content
stringlengths
1
1.04M
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:47:08 08/26/2013 -- Design Name: -- Module Name: mcp3002_interface - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.utils_pack.all ; entity mcp3002_interface is generic(CLK_DIV : positive := 1024; SAMPLING_DIV : positive := 2048); port( clk, resetn : std_logic ; sample : out std_logic_vector(9 downto 0); dv : out std_logic ; chan : in std_logic ; -- spi signals DOUT : out std_logic ; DIN : in std_logic ; SCLK : out std_logic ; SSN : out std_logic ); end mcp3002_interface; architecture Behavioral of mcp3002_interface is type tranfer_state is (WAIT_SAMPLE, ASSERT_CS, XFER_DATA, DEASSERT_CS); signal current_transfer_state, next_transfer_state : tranfer_state; signal data_out_shift_reg, data_in_shift_reg : std_logic_vector(15 downto 0) ; signal load_shift_register : std_logic ; signal tempo_val : std_logic_vector(15 downto 0); signal count_tempo : std_logic_vector(15 downto 0 ); signal load_tempo, en_tempo, end_tempo : std_logic ; signal data_clk, data_clk_old, data_clk_re, data_clk_fe : std_logic ; signal en_bit_count, reset_bit_count : std_logic ; signal bit_count : std_logic_vector(4 downto 0); signal bit_count_eq_16 : std_logic ; signal cmd_word : std_logic_vector(15 downto 0); signal ssn_d : std_logic ; begin -- tempo process(clk, resetn) begin if resetn = '0' then count_tempo <= (others => '1'); elsif clk'event and clk = '1' then if load_tempo = '1' then count_tempo <= tempo_val ; elsif en_tempo = '1' then if count_tempo /= 0 then count_tempo <= count_tempo - 1 ; end if ; end if ; end if ; end process ; end_tempo <= '1' when count_tempo = 0 else '0' ; -- bit counter process(clk, resetn) begin if resetn = '0' then bit_count <= (others => '0'); elsif clk'event and clk = '1' then if reset_bit_count = '1' then bit_count <= (others => '0'); elsif en_bit_count = '1' then bit_count <= bit_count + 1 ; end if ; end if ; end process ; bit_count_eq_16 <= '1' when bit_count = 16 else '0' ; process(clk, resetn) begin if resetn = '0' then current_transfer_state <= WAIT_SAMPLE; elsif clk'event and clk = '1' then current_transfer_state <= next_transfer_state; end if ; end process ; process(bit_count, end_tempo) begin next_transfer_state <= current_transfer_state ; case current_transfer_state is when wait_sample => if end_tempo = '1' then next_transfer_state <= assert_cs ; end if ; when assert_cs => if end_tempo = '1' then next_transfer_state <= xfer_data ; end if ; when xfer_data => if bit_count = 16 then next_transfer_state <= deassert_cs ; end if ; when deassert_cs => if end_tempo = '1' then next_transfer_state <= wait_sample ; end if ; when others => next_transfer_state <= wait_sample ; end case; end process ; process(clk, resetn) begin if resetn = '0' then data_clk <= '0' ; elsif clk'event and clk = '1' then if current_transfer_state = xfer_data then if end_tempo = '1' then data_clk <= not data_clk ; end if ; else data_clk <= '0' ; end if ; end if ; end process ; -- data clock rising edge and falling edge detect process(clk, resetn) begin if resetn = '0' then data_clk_old <= '0' ; elsif clk'event and clk = '1' then data_clk_old <= data_clk ; end if ; end process ; data_clk_re <= data_clk and (not data_clk_old); data_clk_fe <= (not data_clk) and data_clk_old; cmd_word <= "10" & chan & "0" & X"000" ; --shift register for data out process(clk, resetn) begin if resetn = '0' then data_out_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if load_shift_register = '1' then data_out_shift_reg <= cmd_word ; elsif data_clk_fe = '1' then data_out_shift_reg(15 downto 1) <= data_out_shift_reg(14 downto 0) ; data_out_shift_reg(0) <= '0' ; end if ; end if ; end process ; --shift register for data in process(clk, resetn) begin if resetn = '0' then data_in_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if data_clk_re = '1' then data_in_shift_reg(15 downto 1) <= data_in_shift_reg(14 downto 0) ; data_in_shift_reg(0) <= DIN ; end if ; end if ; end process ; with current_transfer_state select load_shift_register <= end_tempo when assert_cs, '0' when others ; en_tempo <= '1' ; with current_transfer_state select tempo_val <= std_logic_vector(to_unsigned(CLK_DIV, 16)) when wait_sample, std_logic_vector(to_unsigned(CLK_DIV, 16)) when assert_cs, std_logic_vector(to_unsigned(CLK_DIV, 16)) when xfer_data, std_logic_vector(to_unsigned(SAMPLING_DIV, 16)) when deassert_cs, (others => '0') when others ; with current_transfer_state select load_tempo <= end_tempo when wait_sample, end_tempo when assert_cs, end_tempo when xfer_data, end_tempo when deassert_cs, '0' when others ; with current_transfer_state select en_bit_count <= data_clk_fe when xfer_data, '0' when others ; with current_transfer_state select reset_bit_count <= bit_count_eq_16 when xfer_data, '1' when others ; -- outputs with current_transfer_state select ssn_d <= '0' when assert_cs, '0' when xfer_data, '1' when others ; sample <= data_in_shift_reg(9 downto 0); dv <= '1' when current_transfer_state=xfer_data and bit_count_eq_16= '1' else '0' ; -- todo may delete following stuf, output are not combinatorial ... process(clk, resetn) begin if resetn = '0' then DOUT <= '0' ; SCLK <= '0' ; SSN <= '1' ; elsif clk'event and clk = '1' then DOUT <= data_out_shift_reg(15) ; SCLK <= data_clk ; SSN <= ssn_d ; end if ; end process ; end Behavioral;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:47:08 08/26/2013 -- Design Name: -- Module Name: mcp3002_interface - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.utils_pack.all ; entity mcp3002_interface is generic(CLK_DIV : positive := 1024; SAMPLING_DIV : positive := 2048); port( clk, resetn : std_logic ; sample : out std_logic_vector(9 downto 0); dv : out std_logic ; chan : in std_logic ; -- spi signals DOUT : out std_logic ; DIN : in std_logic ; SCLK : out std_logic ; SSN : out std_logic ); end mcp3002_interface; architecture Behavioral of mcp3002_interface is type tranfer_state is (WAIT_SAMPLE, ASSERT_CS, XFER_DATA, DEASSERT_CS); signal current_transfer_state, next_transfer_state : tranfer_state; signal data_out_shift_reg, data_in_shift_reg : std_logic_vector(15 downto 0) ; signal load_shift_register : std_logic ; signal tempo_val : std_logic_vector(15 downto 0); signal count_tempo : std_logic_vector(15 downto 0 ); signal load_tempo, en_tempo, end_tempo : std_logic ; signal data_clk, data_clk_old, data_clk_re, data_clk_fe : std_logic ; signal en_bit_count, reset_bit_count : std_logic ; signal bit_count : std_logic_vector(4 downto 0); signal bit_count_eq_16 : std_logic ; signal cmd_word : std_logic_vector(15 downto 0); signal ssn_d : std_logic ; begin -- tempo process(clk, resetn) begin if resetn = '0' then count_tempo <= (others => '1'); elsif clk'event and clk = '1' then if load_tempo = '1' then count_tempo <= tempo_val ; elsif en_tempo = '1' then if count_tempo /= 0 then count_tempo <= count_tempo - 1 ; end if ; end if ; end if ; end process ; end_tempo <= '1' when count_tempo = 0 else '0' ; -- bit counter process(clk, resetn) begin if resetn = '0' then bit_count <= (others => '0'); elsif clk'event and clk = '1' then if reset_bit_count = '1' then bit_count <= (others => '0'); elsif en_bit_count = '1' then bit_count <= bit_count + 1 ; end if ; end if ; end process ; bit_count_eq_16 <= '1' when bit_count = 16 else '0' ; process(clk, resetn) begin if resetn = '0' then current_transfer_state <= WAIT_SAMPLE; elsif clk'event and clk = '1' then current_transfer_state <= next_transfer_state; end if ; end process ; process(bit_count, end_tempo) begin next_transfer_state <= current_transfer_state ; case current_transfer_state is when wait_sample => if end_tempo = '1' then next_transfer_state <= assert_cs ; end if ; when assert_cs => if end_tempo = '1' then next_transfer_state <= xfer_data ; end if ; when xfer_data => if bit_count = 16 then next_transfer_state <= deassert_cs ; end if ; when deassert_cs => if end_tempo = '1' then next_transfer_state <= wait_sample ; end if ; when others => next_transfer_state <= wait_sample ; end case; end process ; process(clk, resetn) begin if resetn = '0' then data_clk <= '0' ; elsif clk'event and clk = '1' then if current_transfer_state = xfer_data then if end_tempo = '1' then data_clk <= not data_clk ; end if ; else data_clk <= '0' ; end if ; end if ; end process ; -- data clock rising edge and falling edge detect process(clk, resetn) begin if resetn = '0' then data_clk_old <= '0' ; elsif clk'event and clk = '1' then data_clk_old <= data_clk ; end if ; end process ; data_clk_re <= data_clk and (not data_clk_old); data_clk_fe <= (not data_clk) and data_clk_old; cmd_word <= "10" & chan & "0" & X"000" ; --shift register for data out process(clk, resetn) begin if resetn = '0' then data_out_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if load_shift_register = '1' then data_out_shift_reg <= cmd_word ; elsif data_clk_fe = '1' then data_out_shift_reg(15 downto 1) <= data_out_shift_reg(14 downto 0) ; data_out_shift_reg(0) <= '0' ; end if ; end if ; end process ; --shift register for data in process(clk, resetn) begin if resetn = '0' then data_in_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if data_clk_re = '1' then data_in_shift_reg(15 downto 1) <= data_in_shift_reg(14 downto 0) ; data_in_shift_reg(0) <= DIN ; end if ; end if ; end process ; with current_transfer_state select load_shift_register <= end_tempo when assert_cs, '0' when others ; en_tempo <= '1' ; with current_transfer_state select tempo_val <= std_logic_vector(to_unsigned(CLK_DIV, 16)) when wait_sample, std_logic_vector(to_unsigned(CLK_DIV, 16)) when assert_cs, std_logic_vector(to_unsigned(CLK_DIV, 16)) when xfer_data, std_logic_vector(to_unsigned(SAMPLING_DIV, 16)) when deassert_cs, (others => '0') when others ; with current_transfer_state select load_tempo <= end_tempo when wait_sample, end_tempo when assert_cs, end_tempo when xfer_data, end_tempo when deassert_cs, '0' when others ; with current_transfer_state select en_bit_count <= data_clk_fe when xfer_data, '0' when others ; with current_transfer_state select reset_bit_count <= bit_count_eq_16 when xfer_data, '1' when others ; -- outputs with current_transfer_state select ssn_d <= '0' when assert_cs, '0' when xfer_data, '1' when others ; sample <= data_in_shift_reg(9 downto 0); dv <= '1' when current_transfer_state=xfer_data and bit_count_eq_16= '1' else '0' ; -- todo may delete following stuf, output are not combinatorial ... process(clk, resetn) begin if resetn = '0' then DOUT <= '0' ; SCLK <= '0' ; SSN <= '1' ; elsif clk'event and clk = '1' then DOUT <= data_out_shift_reg(15) ; SCLK <= data_clk ; SSN <= ssn_d ; end if ; end process ; end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity MUX5X1 is port( clk: in std_logic; distortion: in std_logic_vector(15 downto 0); reverb: in std_logic_vector(15 downto 0); AUDIO_IN: in std_logic_vector(15 downto 0); audio_loop : in std_logic_vector(15 downto 0); OUTPUT: out std_logic_vector(15 downto 0); SEL: in std_logic_vector(4 downto 0) ); end entity MUX5X1; architecture arch of MUX5X1 is begin MUX: process(clk,SEL) begin if(rising_edge(clk)) then case SEL is when "00001" => OUTPUT <= distortion; when "00010" => OUTPUT <= reverb; when "001--" => OUTPUT <= audio_loop; when others => --no effect to be applied --distortion component has a passthrough functionality (it simply passes through the audio when an effect is not applied) OUTPUT <= AUDIO_IN; end case; end if; end process; end arch;
-- NEED RESULT: ARCH00273: Conformance checking passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00273 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 2.7 (1) -- 2.7 (2) -- 2.7 (3) -- -- DESIGN UNIT ORDERING: -- -- PKG00273 -- PKG00273/BODY -- E00000(ARCH00273) -- ENT00273_Test_Bench(ARCH00273_Test_Bench) -- -- REVISION HISTORY: -- -- 20-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; package PKG00273 is constant k : integer ; function func00273 (a : integer ; b : integer := 123456 ; c : integer := WORK.STANDARD_TYPES.highb) return integer; end PKG00273 ; package body PKG00273 is constant k : integer -- force a comment here to test objective 2.7 (3) := 4 ; function func00273 ( a : integer ; -- force a comment here for objective 2.7 (3) b : integer := 123_456 ; -- insert "_" for objective 2.7 (1) -- omit "STANDARD_TYPES." below for objective 2.7 (2) c : integer := highb) return integer is begin return a + b + c + k ; end func00273 ; end PKG00273 ; use WORK.STANDARD_TYPES.test_report; architecture ARCH00273 of E00000 is use WORK.PKG00273.all ; begin P : process begin test_report ( "ARCH00273" , "Conformance checking" , func00273 (2) = 2 + 123456 + 4 + WORK.STANDARD_TYPES.highb) ; wait ; end process P ; end ARCH00273 ; entity ENT00273_Test_Bench is end ENT00273_Test_Bench ; architecture ARCH00273_Test_Bench of ENT00273_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00273 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00273_Test_Bench ;
------------------------------------------------------------------------------- -- proc_sys_reset_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library proc_sys_reset_v2_00_a; use proc_sys_reset_v2_00_a.all; entity proc_sys_reset_0_wrapper is port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to 0); Peripheral_Reset : out std_logic_vector(0 to 0) ); attribute x_core_info : STRING; attribute x_core_info of proc_sys_reset_0_wrapper : entity is "proc_sys_reset_v2_00_a"; end proc_sys_reset_0_wrapper; architecture STRUCTURE of proc_sys_reset_0_wrapper is component proc_sys_reset is generic ( C_EXT_RST_WIDTH : integer; C_AUX_RST_WIDTH : integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic; C_NUM_BUS_RST : integer; C_NUM_PERP_RST : integer ); port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to C_NUM_BUS_RST-1); Peripheral_Reset : out std_logic_vector(0 to C_NUM_PERP_RST-1) ); end component; begin proc_sys_reset_0 : proc_sys_reset generic map ( C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '1', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1 ) port map ( Slowest_sync_clk => Slowest_sync_clk, Ext_Reset_In => Ext_Reset_In, Aux_Reset_In => Aux_Reset_In, MB_Debug_Sys_Rst => MB_Debug_Sys_Rst, Core_Reset_Req_0 => Core_Reset_Req_0, Chip_Reset_Req_0 => Chip_Reset_Req_0, System_Reset_Req_0 => System_Reset_Req_0, Core_Reset_Req_1 => Core_Reset_Req_1, Chip_Reset_Req_1 => Chip_Reset_Req_1, System_Reset_Req_1 => System_Reset_Req_1, Dcm_locked => Dcm_locked, RstcPPCresetcore_0 => RstcPPCresetcore_0, RstcPPCresetchip_0 => RstcPPCresetchip_0, RstcPPCresetsys_0 => RstcPPCresetsys_0, RstcPPCresetcore_1 => RstcPPCresetcore_1, RstcPPCresetchip_1 => RstcPPCresetchip_1, RstcPPCresetsys_1 => RstcPPCresetsys_1, MB_Reset => MB_Reset, Bus_Struct_Reset => Bus_Struct_Reset, Peripheral_Reset => Peripheral_Reset ); end architecture STRUCTURE;
---------------------------------------------------------------------------------- -- Company: Digilent Ro -- Engineer: Elod Gyorgy -- -- Create Date: 19:44:54 04/12/2011 -- Design Name: -- Module Name: PWM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Pulse-Width Modulator with center-aligned pulses, variable -- PWM frequency and resolution. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity PWM is Generic ( C_CLK_I_FREQUENCY : natural := 50; -- in MHZ C_PWM_FREQUENCY : natural := 20000; -- in Hz C_PWM_RESOLUTION : natural := 8 ); Port ( CLK_I : in STD_LOGIC; RST_I : in STD_LOGIC; PWM_O : out STD_LOGIC; DUTY_FACTOR_I : in STD_LOGIC_VECTOR (C_PWM_RESOLUTION-1 downto 0) ); end PWM; architecture Behavioral of PWM is constant C_CLOCK_DIVIDER : natural := C_CLK_I_FREQUENCY*1_000_000/C_PWM_FREQUENCY/2/2**C_PWM_RESOLUTION; signal PWMCnt : STD_LOGIC_VECTOR (C_PWM_RESOLUTION-1 downto 0) := (others => '0'); signal PWMCntEn, int_PWM : std_logic; begin ---------------------------------------------------------------------------------- -- Pre-scaler ---------------------------------------------------------------------------------- process (CLK_I) variable PSCnt : natural range 0 to C_CLOCK_DIVIDER := 0; begin if CLK_I='1' and CLK_I'event then if (PSCnt = C_CLOCK_DIVIDER) then PSCnt := 0; PWMCntEn <= '1'; --enable pulse for PWM counter else PSCnt := PSCnt + 1; PWMCntEn <= '0'; end if; end if; end process; ---------------------------------------------------------------------------------- -- Up/Down counter for mid-aligned PWM pulse -- In designs with multiple PWM chanels mid-alignment eliminates simultaneously -- switching PWM outputs, resulting in less stress on power rails. ---------------------------------------------------------------------------------- process (CLK_I) variable PWMCntUp : boolean := true; begin if CLK_I='1' and CLK_I'event then if (RST_I='1') then PWMCnt <= (others => '0'); elsif (PWMCntEn='1') then if (PWMCntUp) then PWMCnt <= PWMCnt + 1; else PWMCnt <= PWMCnt - 1; end if; end if; if (PWMCnt = 0) then PWMCntUp := true; elsif (PWMCnt = 2**C_PWM_RESOLUTION-1) then PWMCntUp := false; end if; end if; end process; ---------------------------------------------------------------------------------- -- PWM output ---------------------------------------------------------------------------------- process (CLK_I, RST_I) begin if Rising_Edge(CLK_I) then if PWMCnt < DUTY_FACTOR_I then int_PWM <= '1'; else int_PWM <= '0'; end if; end if; end process; PWM_O <= 'Z' when RST_I = '1' else int_PWM; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ram3 is generic ( WIDTHA : integer := 8; SIZEA : integer := 256; ADDRWIDTHA : integer := 8; WIDTHB : integer := 32; SIZEB : integer := 64; ADDRWIDTHB : integer := 6 ); port ( clkA : in std_logic; clkB : in std_logic; enA : in std_logic; enB : in std_logic; weA : in std_logic; weB : in std_logic; addrA : in std_logic_vector(ADDRWIDTHA-1 downto 0); addrB : in std_logic_vector(ADDRWIDTHB-1 downto 0); diA : in std_logic_vector(WIDTHA-1 downto 0); diB : in std_logic_vector(WIDTHB-1 downto 0); doA : out std_logic_vector(WIDTHA-1 downto 0); doB : out std_logic_vector(WIDTHB-1 downto 0) ); end ram3; architecture behavioral of ram3 is function max(L, R: INTEGER) return INTEGER is begin if L > R then return L; else return R; end if; end; function min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; constant minWIDTH : integer := min(WIDTHA,WIDTHB); constant maxWIDTH : integer := max(WIDTHA,WIDTHB); constant maxSIZE : integer := max(SIZEA,SIZEB); constant RATIO : integer := maxWIDTH / minWIDTH; type ramType is array (0 to maxSIZE-1) of std_logic_vector(minWIDTH-1 downto 0); shared variable ram : ramType := (others => (others => '0')); signal readA : std_logic_vector(WIDTHA-1 downto 0):= (others => '0'); signal readB : std_logic_vector(WIDTHB-1 downto 0):= (others => '0'); signal regA : std_logic_vector(WIDTHA-1 downto 0):= (others => '0'); signal regB : std_logic_vector(WIDTHB-1 downto 0):= (others => '0'); begin process (clkA) begin if rising_edge(clkA) then if enA = '1' then if weA = '1' then ram(to_integer(unsigned(addrA))) := diA; end if; readA <= ram(to_integer(unsigned(addrA))); end if; regA <= readA; end if; end process; process (clkB) begin if rising_edge(clkB) then if enB = '1' then if weB = '1' then ram(to_integer(unsigned(addrB)&"00")) := diB(minWIDTH-1 downto 0); ram(to_integer(unsigned(addrB)&"01")) := diB(2*minWIDTH-1 downto minWIDTH); ram(to_integer(unsigned(addrB)&"10")) := diB(3*minWIDTH-1 downto 2*minWIDTH); ram(to_integer(unsigned(addrB)&"11")) := diB(4*minWIDTH-1 downto 3*minWIDTH); end if; readB(minWIDTH-1 downto 0) <= ram(to_integer(unsigned(addrB)&"00")); readB(2*minWIDTH-1 downto minWIDTH) <= ram(to_integer(unsigned(addrB)&"01")); readB(3*minWIDTH-1 downto 2*minWIDTH) <= ram(to_integer(unsigned(addrB)&"10")); readB(4*minWIDTH-1 downto 3*minWIDTH) <= ram(to_integer(unsigned(addrB)&"11")); end if; regB <= readB; end if; end process; doA <= regA; doB <= regB; end behavioral;
------------------------------------------------------------------------------- -- Title : Exercise -- Project : Counter ------------------------------------------------------------------------------- -- File : gen_counter.vhd -- Author : Martin Angermair -- Company : Technikum Wien, Embedded Systems -- Last update: 24.10.2017 -- Platform : ModelSim ------------------------------------------------------------------------------- -- Description: Generischer n -bit counter ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 27.10.2017 0.1 Martin Angermair init -- 19.11.2017 1.0 Martin Angermair final version ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; architecture rtl of gen_counter is signal s_count : std_logic_vector(N-1 downto 0) := (others => '0'); begin process(clk_i, reset_i) begin if reset_i = '1' then s_count <= (others => '0'); elsif rising_edge(clk_i) then s_count <= s_count + 1; end if; end process; count_o <= s_count; end rtl;
-- NEED RESULT: ARCH00424: Identifiers passed -- -- TEST NAME: -- -- CT00424 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 13.3 (1) -- 13.3 (2) -- 13.3 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00424) -- ENT00424_Test_Bench(ARCH00424_Test_Bench) -- -- REVISION HISTORY: -- -- 3-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00424 of E00000 is begin P : PROCESS -- these declarations verify that letter case is insignificant (13.3 (1)) TYpe XyZ is ( A1, A2, A3, A4, A5, A6, A7 ) ; suBtyPe XYZ_subtype is xYz range a3 to a6 ; cONStant xyz_C1 : XYZ_SUBTYpe := A4 ; Constant Xyz_C2 : xyZ_SUBType := A6 ; constaNT Xyz_C3 : XyZ_sUBType := xyz_Subtype'RighT ; -- these declarations chack that input lines and identifiers can be -- 132 chars long, and that all chars in a name are significant -- (13.3 (2) and 13.3 (3)) variABle X1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890a : inTeger := 25 ; VAriABle X1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890b : InTeger := 25 ; begin X1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890A := X1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890B + 2 ; Test_REPort ( "ARCH00424" , "Identifiers" , (xYz_C1 /= Xyz_c2) and (XyZ_C2 = XYZ_C3) and ( x1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890A = 25 + 2) ) ; wait ; end process P ; end ARCH00424 ; entitY ENT00424_Test_Bench iS enD ENT00424_Test_Bench ; ARCHitecture ARCH00424_Test_Bench of ENT00424_Test_Bench is begiN L1: blOCk cOMPonent UUT end component ; foR cis1 : uut USe Entity WORK.e00000 ( aRCH00424 ) ; beGin CIS1 : UUT ; end block L1 ; end aRCH00424_Test_Bench ;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: can -- File: can.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: CAN component declartions ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; package can is component can_mod generic (memtech : integer := DEFMEMTECH; syncrst : integer := 0; ft : integer := 0); port ( reset : in std_logic; clk : in std_logic; cs : in std_logic; we : in std_logic; addr : in std_logic_vector(7 downto 0); data_in : in std_logic_vector(7 downto 0); data_out: out std_logic_vector(7 downto 0); irq : out std_logic; rxi : in std_logic; txo : out std_logic; testen : in std_logic); end component; component can_oc generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; syncrst : integer := 0; ft : integer := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic; can_txo : out std_logic ); end component; component can_mc generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; ncores : integer range 1 to 8 := 1; sepirq : integer range 0 to 1 := 0; syncrst : integer range 0 to 2 := 0; ft : integer range 0 to 1 := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic_vector(0 to 7); can_txo : out std_logic_vector(0 to 7) ); end component; component can_rd generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; syncrst : integer := 0; dmap : integer := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic_vector(1 downto 0); can_txo : out std_logic_vector(1 downto 0) ); end component; component canmux port( sel : in std_logic; canrx : out std_logic; cantx : in std_logic; canrxv : in std_logic_vector(0 to 1); cantxv : out std_logic_vector(0 to 1) ); end component; ----------------------------------------------------------------------------- -- interface type declarations for can controller ----------------------------------------------------------------------------- type can_in_type is record rx: std_logic_vector(1 downto 0); -- receive lines end record; type can_out_type is record tx: std_logic_vector(1 downto 0); -- transmit lines en: std_logic_vector(1 downto 0); -- transmit enables end record; ----------------------------------------------------------------------------- -- component declaration for grcan controller ----------------------------------------------------------------------------- component grcan is generic ( hindex: integer := 0; pindex: integer := 0; paddr: integer := 0; pmask: integer := 16#ffc#; pirq: integer := 1; -- index of first irq singleirq: integer := 0; -- single irq output txchannels: integer range 1 to 1 := 1; -- 1 to 1 channels rxchannels: integer range 1 to 1 := 1; -- 1 to 1 channels ptrwidth: integer range 16 to 16 := 16);-- 16 to 64k messages -- 2k to 8M bits port ( rstn: in std_ulogic; clk: in std_ulogic; apbi: in apb_slv_in_type; apbo: out apb_slv_out_type; ahbi: in ahb_mst_in_type; ahbo: out ahb_mst_out_type; cani: in can_in_type; cano: out can_out_type); end component; ----------------------------------------------------------------------------- -- component declaration for grhcan controller ----------------------------------------------------------------------------- component grhcan is generic ( hindex: integer := 0; pindex: integer := 0; paddr: integer := 0; pmask: integer := 16#ffc#; pirq: integer := 1; -- index of first irq txchannels: integer range 1 to 1 := 1; -- 1 to 16 channels rxchannels: integer range 1 to 1 := 1; -- 1 to 16 channels ptrwidth: integer range 16 to 16 := 16; -- 16 to 64k messages -- 2k to 8 m bits singleirq: Integer := 0; -- single irq output version: Integer := 0); -- 0=516, 1=524 port ( rstn: in std_ulogic; clk: in std_ulogic; apbi: in apb_slv_in_type; apbo: out apb_slv_out_type; ahbi: in ahb_mst_in_type; ahbo: out ahb_mst_out_type; cani: in can_in_type; cano: out can_out_type); end component; end;
-------------------------------------------------------------------------------- -- Copyright (C) 2016 Josi Coder -- This program is free software: you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -- more details. -- -- You should have received a copy of the GNU General Public License along with -- this program. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Provides a loopback test application that simply connects SPI receivers to -- transmitters. Thus data received on a specific address are retransmitted on -- the same address. ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.globals.all; entity Main is port ( -- The system clock. sysclk: in std_logic; -- The internal SPI interface. f_sck: in std_logic; -- f_rs: in std_logic; -- low during transmission f_ds: in std_logic; -- low during transmission f_mosi: in std_logic; f_miso: out std_logic; -- The external SPI interface. ext_sck: in std_logic; -- ext_rs: in std_logic; -- low during transmission ext_ds: in std_logic; -- low during transmission ext_mosi: in std_logic; ext_miso: out std_logic; -- The test LED output. test_led: out std_logic ); end entity; architecture stdarch of Main is -- Constants constant address_width: positive := 4; -- max. 8 (for addresses 0..255) constant number_of_data_buffers: positive := 2**address_width; constant use_internal_spi: boolean := true; constant use_external_spi: boolean := false; -- SPI interfaces type spi_in_type is record mosi: std_logic; sclk: std_logic; ss_address: std_logic; ss_data: std_logic; end record; signal selected_spi_in, internal_spi_in, external_spi_in, inactive_spi_in: spi_in_type := ( -- Initialize to proper idle values. mosi => '0', sclk => '1', ss_address => '1', ss_data => '1' ); signal miso: std_logic; -- Internals signal transmit_data_x: data_buffer_vector(number_of_data_buffers-1 downto 0); signal received_data_x: data_buffer_vector(number_of_data_buffers-1 downto 0); signal ready_x: std_logic_vector(number_of_data_buffers-1 downto 0); begin -------------------------------------------------------------------------------- -- Connections to and from internal signals. -------------------------------------------------------------------------------- -- NOTE: Reading to and writing from an SPI address always happen together. Each time -- the SPI master reads a value from the slave's transmit register, it also writes a value -- to the slave's receive register of the same address, overwriting any previous value. -- -- If the internal SPI connection is used, the microcontroller of the c'Lab FPGA board -- acts as the SPI master. It accesses a particular SPI adress as follows: -- 1) If one of the Param or Value screens is selected on the panel, the microcontroller -- accesses the SPI bus periodically to read the value from and write the parameter to -- the according SPI address. -- 2) When processing a c't Lab protocol set command, the microcontroller writes the -- according parameter to the SPI slave and ignores the value read from the SPI slave. -- 3) When processing a c't Lab protocol query command, the microcontroller writes an -- arbitrary parameter to the SPI slave and returns the value read from the SPI slave. -- It happens to be that the parameter sent most recently to the same or any other SPI -- address is reused as this arbitrary parameter. -- -- If the external SPI connection is used, it's up to the external SPI master how to handle -- values read from the SPI slave and how to generate parameters written to the SPI slave. -- Test loop back transmit_data_x <= received_data_x; -------------------------------------------------------------------------------- -- SPI input selection logic. -------------------------------------------------------------------------------- -- The internal SPI bus (i.e. the one connected to the microcontroller of the -- c'Lab FPGA board). internal_spi_in.mosi <= f_mosi; internal_spi_in.sclk <= f_sck; internal_spi_in.ss_address <= f_rs; internal_spi_in.ss_data <= f_ds; -- The external SPI bus (i.e. the one connected to the expansion ports of the -- c'Lab FPGA board). external_spi_in.mosi <= ext_mosi; external_spi_in.sclk <= ext_sck; external_spi_in.ss_address <= ext_rs; external_spi_in.ss_data <= ext_ds; -- Select the SPI connection to use. -- NOTE: If one of the Param or Value screens is selected on the panel, the microcontroller -- of the c'Lab FPGA board accesses the SPI bus periodically to read the value from and write -- the parameter to the according SPI address (SPI reading and writing always happen together). -- Thus, when both connections are activated, while using the *external* connection, set the -- panel to the file selection screen to avoid this interference. -- Also, when both connections are activated, while using the *internal* connection, ensure -- that the selection pins of the external connection (ext_rs and ext_ds) are pulled up properly. -- If they are e.g. connected to the SPI interface of a Raspberry Pi, ensure that the latter is -- switched on. Don't leave the pins unconnected, pull them up instead. selected_spi_in <= internal_spi_in when use_internal_spi and (internal_spi_in.ss_address = '0' or internal_spi_in.ss_data = '0') else external_spi_in when use_external_spi and (external_spi_in.ss_address = '0' or external_spi_in.ss_data = '0') else inactive_spi_in; -------------------------------------------------------------------------------- -- Component instantiation. -------------------------------------------------------------------------------- -- The SPI slave. slave: entity work.SPI_Slave generic map ( address_width => address_width, synchronize_data_to_clk => true ) port map ( clk => sysclk, sclk => selected_spi_in.sclk, ss_address => selected_spi_in.ss_address, ss_data => selected_spi_in.ss_data, transmit_data_x => transmit_data_x, mosi => selected_spi_in.mosi, miso => miso, received_data_x => received_data_x, ready_x => ready_x ); -------------------------------------------------------------------------------- -- Output logic. -------------------------------------------------------------------------------- -- SPI & test LED. f_miso <= miso when f_ds = '0' else 'Z'; ext_miso <= miso when ext_ds = '0' else 'Z'; test_led <= received_data_x(0)(0); -- LED is active low end architecture;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_dma:7.1 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_dma_v7_1_9; USE axi_dma_v7_1_9.axi_dma; ENTITY design_1_axi_dma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_axi_dma_0_0; ARCHITECTURE design_1_axi_dma_0_0_arch OF design_1_axi_dma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_dma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_dma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_MULTI_CHANNEL : INTEGER; C_NUM_MM2S_CHANNELS : INTEGER; C_NUM_S2MM_CHANNELS : INTEGER; C_INCLUDE_SG : INTEGER; C_SG_INCLUDE_STSCNTRL_STRM : INTEGER; C_SG_USE_STSAPP_LENGTH : INTEGER; C_SG_LENGTH_WIDTH : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER; C_MICRO_DMA : INTEGER; C_INCLUDE_MM2S : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_BURST_SIZE : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_S2MM : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_BURST_SIZE : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_awvalid : OUT STD_LOGIC; m_axi_sg_awready : IN STD_LOGIC; m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_wlast : OUT STD_LOGIC; m_axi_sg_wvalid : OUT STD_LOGIC; m_axi_sg_wready : IN STD_LOGIC; m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_bvalid : IN STD_LOGIC; m_axi_sg_bready : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_aruser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tid : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); m_axis_mm2s_tdest : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); mm2s_cntrl_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_cntrl_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_cntrl_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_cntrl_tvalid : OUT STD_LOGIC; m_axis_mm2s_cntrl_tready : IN STD_LOGIC; m_axis_mm2s_cntrl_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tid : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axis_s2mm_tdest : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s2mm_sts_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_sts_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_sts_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_sts_tvalid : IN STD_LOGIC; s_axis_s2mm_sts_tready : OUT STD_LOGIC; s_axis_s2mm_sts_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_dma_tstvec : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_dma; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_axi_dma_0_0_arch: ARCHITECTURE IS "axi_dma,Vivado 2016.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_dma_0_0_arch : ARCHITECTURE IS "design_1_axi_dma_0_0,axi_dma,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_dma_0_0_arch: ARCHITECTURE IS "design_1_axi_dma_0_0,axi_dma,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_dma,x_ipVersion=7.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=10,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_MULTI_CHANNEL=0,C_NUM_MM2S_CHANNELS=1,C_NUM_S2MM_CHANNELS=1,C_INCLUDE_SG=0,C_SG_INCLUDE_STSCNTRL_STRM=0,C_SG_USE_STSAPP_LENGTH=0,C_SG_LENGTH_WIDTH=23,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_M_" & "AXIS_MM2S_CNTRL_TDATA_WIDTH=32,C_S_AXIS_S2MM_STS_TDATA_WIDTH=32,C_MICRO_DMA=0,C_INCLUDE_MM2S=1,C_INCLUDE_MM2S_SF=1,C_MM2S_BURST_SIZE=16,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=32,C_M_AXIS_MM2S_TDATA_WIDTH=8,C_INCLUDE_MM2S_DRE=1,C_INCLUDE_S2MM=1,C_INCLUDE_S2MM_SF=1,C_S2MM_BURST_SIZE=16,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=8,C_INCLUDE_S2MM_DRE=1,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 MM2S_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_prmry_reset_out_n: SIGNAL IS "xilinx.com:signal:reset:1.0 S2MM_PRMRY_RESET_OUT_N RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_dma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 10, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_MULTI_CHANNEL => 0, C_NUM_MM2S_CHANNELS => 1, C_NUM_S2MM_CHANNELS => 1, C_INCLUDE_SG => 0, C_SG_INCLUDE_STSCNTRL_STRM => 0, C_SG_USE_STSAPP_LENGTH => 0, C_SG_LENGTH_WIDTH => 23, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32, C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32, C_MICRO_DMA => 0, C_INCLUDE_MM2S => 1, C_INCLUDE_MM2S_SF => 1, C_MM2S_BURST_SIZE => 16, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 32, C_M_AXIS_MM2S_TDATA_WIDTH => 8, C_INCLUDE_MM2S_DRE => 1, C_INCLUDE_S2MM => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_BURST_SIZE => 16, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 8, C_INCLUDE_S2MM_DRE => 1, C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_awready => '0', m_axi_sg_wready => '0', m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_bvalid => '0', m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_cntrl_tready => '0', m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_s2mm_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 5)), s_axis_s2mm_sts_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_sts_tkeep => X"F", s_axis_s2mm_sts_tvalid => '0', s_axis_s2mm_sts_tlast => '0', mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_dma_tstvec => axi_dma_tstvec ); END design_1_axi_dma_0_0_arch;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_finals_buckets_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_finals_buckets_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
LIBRARY ieee ; USE ieee.std_logic_1164.all; LIBRARY lib; USE lib.general.all; entity score is port( clock_i,reset_i: in std_logic; score_o: out std_logic_vector(27 downto 0) ); end score; architecture Behavior of score is signal unidade, dezena, centena, milhar: integer := 0; begin process(clock_i,reset_i) begin if reset_i = '1' then unidade <= 0; dezena <= 0; centena <= 0; milhar <= 0; elsif rising_edge(clock_i) then dezena <= dezena + 1; if dezena >= 9 then dezena <= 0; centena <= centena + 1; end if; if centena >= 9 then centena <= 0; milhar <= milhar + 1; end if; end if; end process; disp_u: conv_7seg_int port map (unidade,score_o(6 downto 0)); disp_d: conv_7seg_int port map (dezena,score_o(13 downto 7)); disp_c: conv_7seg_int port map (centena,score_o(20 downto 14)); disp_m: conv_7seg_int port map (milhar,score_o(27 downto 21)); end Behavior;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Jun 05 00:51:00 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_clk_wiz_0_0 -prefix -- system_clk_wiz_0_0_ system_clk_wiz_0_0_stub.vhdl -- Design : system_clk_wiz_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_clk_wiz_0_0 is Port ( clk_out1 : out STD_LOGIC; clk_in1 : in STD_LOGIC ); end system_clk_wiz_0_0; architecture stub of system_clk_wiz_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk_out1,clk_in1"; begin end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.numeric_std_unsigned.all; use std.textio.all; package rom is generic ( word_bits: positive; address_bits: positive; rom_filename: string ); subtype word_type is std_logic_vector(word_bits-1 downto 0); impure function read_at(address: in integer range 0 to 2**address_bits-1) return word_type; end package rom; package body rom is impure function read_at( address: in integer range 0 to 2**address_bits-1 ) return word_type is type rom_type is array(0 to 2**address_bits-1) of word_type; impure function init_rom_from_file (filename: in string) return rom_type is file rom_file: text; variable file_line : line; variable rom_array: rom_type; begin file_open(rom_file, filename, read_mode); for i in rom_type'range loop readline(rom_file, file_line); read(file_line, rom_array(i)); end loop; file_close(rom_file); return rom_array; end function; constant rom_array: rom_type := init_rom_from_file(rom_filename); begin return rom_array(address); end function read_at; end package body rom; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.numeric_std_unsigned.all; use std.textio.all; entity test is end test; architecture dataflow of test is package p is new work.rom generic map( word_bits => 2, address_bits => 2, rom_filename => "rom.txt" ); signal word: std_logic_vector(1 downto 0); begin process (all) begin word <= p.read_at(0); end process; end dataflow;
------------------------------------------------------------------------------- -- Author: David Wolf, Leonhardt Schwarz -- Project: FPGA Project -- -- Copyright (C) 2014 David Wolf, Leonhardt Schwarz ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; architecture behavioral of debounce is signal s_keydeb : std_logic_vector(WIDTH-1 downto 0) := (others=>'0'); signal s_debcnt : integer range 0 to DELAY + 1 := 0; begin p_debounce: process begin wait until rising_edge(clk50); if (keyin_i = s_keydeb) then s_debcnt <= 0; else s_debcnt <= s_debcnt + 1; end if; if (s_debcnt = DELAY) then s_keydeb <= keyin_i; end if; end process; keyout_o <= s_keydeb; end behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc869.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s03b01x00p12n01i00869pkg is constant low_number : integer := 0; constant hi_number : integer := 3; subtype hi_to_low_range is integer range low_number to hi_number; type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c:character; d:severity_level; e:integer; f:real; g:time; h:natural; i:positive; end record; type array_rec_std is array (natural range <>) of record_std_package; type four_value is ('Z','0','1','X'); --enumerated type constant C1 : boolean := true; constant C2 : bit := '1'; constant C3 : character := 's'; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 1; constant C9 : positive := 1; subtype dumy is integer range 0 to 3; signal Sin1 : bit_vector(0 to 5) ; signal Sin2 : boolean_vector(0 to 5) ; signal Sin4 : severity_level_vector(0 to 5) ; signal Sin5 : integer_vector(0 to 5) ; signal Sin6 : real_vector(0 to 5) ; signal Sin7 : time_vector(0 to 5) ; signal Sin8 : natural_vector(0 to 5) ; signal Sin9 : positive_vector(0 to 5) ; signal Sin10: array_rec_std(0 to 5) ; end c01s03b01x00p12n01i00869pkg; use work.c01s03b01x00p12n01i00869pkg.all; entity test is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test of test is begin sigout1 <= sigin1; sigout2 <= sigin2; sigout4 <= sigin4; sigout5 <= sigin5; sigout6 <= sigin6; sigout7 <= sigin7; sigout8 <= sigin8; sigout9 <= sigin9; sigout10 <= sigin10; end; configuration testbench of test is for test end for; end; use work.c01s03b01x00p12n01i00869pkg.all; entity test1 is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test1 of test1 is begin sigout1 <= false; sigout2 <= '0'; sigout4 <= error; sigout5 <= 6; sigout6 <= 6.0; sigout7 <= 6 ns; sigout8 <= 6; sigout9 <= 6; sigout10 <= (false,'0','h',error,6,6.0,6 ns,6,6); end; configuration test1bench of test1 is for test1 end for; end; use work.c01s03b01x00p12n01i00869pkg.all; ENTITY c01s03b01x00p12n01i00869ent IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15); port( dumy : inout bit_vector(zero to three)); END c01s03b01x00p12n01i00869ent; ARCHITECTURE c01s03b01x00p12n01i00869arch OF c01s03b01x00p12n01i00869ent IS component test port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; begin Sin1(zero) <='1'; Sin2(zero) <= true; Sin4(zero) <= note; Sin5(zero) <= 3; Sin6(zero) <= 3.0; Sin7(zero) <= 3 ns; Sin8(zero) <= 1; Sin9(zero) <= 1; Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9); K:block component test1 port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; BEGIN T5 : test1 port map ( Sin2(4),Sin2(5), Sin1(4),Sin1(5), Sin4(4),Sin4(5), Sin5(4),Sin5(5), Sin6(4),Sin6(5), Sin7(4),Sin7(5), Sin8(4),Sin8(5), Sin9(4),Sin9(5), Sin10(4),Sin10(5) ); G: for i in zero to three generate T1:test port map ( Sin2(i),Sin2(i+1), Sin1(i),Sin1(i+1), Sin4(i),Sin4(i+1), Sin5(i),Sin5(i+1), Sin6(i),Sin6(i+1), Sin7(i),Sin7(i+1), Sin8(i),Sin8(i+1), Sin9(i),Sin9(i+1), Sin10(i),Sin10(i+1) ); end generate; end block; TESTING: PROCESS variable dumb : bit_vector(zero to three); BEGIN wait for 1 ns; assert Sin1(0) = Sin1(4) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(0) = Sin2(4) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(0) = Sin4(4) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(0) = Sin5(4) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(0) = Sin6(4) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(0) = Sin7(4) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(0) = Sin8(4) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(0) = Sin9(4) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(0) = Sin10(4) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure; assert Sin1(5) = '0' report "assignment of Sin1(5) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(5) = false report "assignment of Sin2(5) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(5) = error report "assignment of Sin4(5) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(5) = 6 report "assignment of Sin5(5) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(5) = 6.0 report "assignment of Sin6(5) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(5) = 6 ns report "assignment of Sin7(5) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(5) = 6 report "assignment of Sin8(5) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(5) = 6 report "assignment of Sin9(5) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(5) = (false,'0','h',error,6,6.0,6 ns,6,6) report "assignment of Sin15(5) to Sin15(4) is invalid through entity port" severity failure; assert NOT( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***PASSED TEST: c01s03b01x00p12n01i00869" severity NOTE; assert ( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***FAILED TEST: c01s03b01x00p12n01i00869 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index." severity ERROR; wait; END PROCESS TESTING; END c01s03b01x00p12n01i00869arch; configuration c01s03b01x00p12n01i00869cfg of c01s03b01x00p12n01i00869ent is for c01s03b01x00p12n01i00869arch for K for all:test1 use configuration work.test1bench; end for; for G(0 to 3) for T1 :test use configuration work.testbench; end for; end for; end for; end for; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc869.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s03b01x00p12n01i00869pkg is constant low_number : integer := 0; constant hi_number : integer := 3; subtype hi_to_low_range is integer range low_number to hi_number; type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c:character; d:severity_level; e:integer; f:real; g:time; h:natural; i:positive; end record; type array_rec_std is array (natural range <>) of record_std_package; type four_value is ('Z','0','1','X'); --enumerated type constant C1 : boolean := true; constant C2 : bit := '1'; constant C3 : character := 's'; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 1; constant C9 : positive := 1; subtype dumy is integer range 0 to 3; signal Sin1 : bit_vector(0 to 5) ; signal Sin2 : boolean_vector(0 to 5) ; signal Sin4 : severity_level_vector(0 to 5) ; signal Sin5 : integer_vector(0 to 5) ; signal Sin6 : real_vector(0 to 5) ; signal Sin7 : time_vector(0 to 5) ; signal Sin8 : natural_vector(0 to 5) ; signal Sin9 : positive_vector(0 to 5) ; signal Sin10: array_rec_std(0 to 5) ; end c01s03b01x00p12n01i00869pkg; use work.c01s03b01x00p12n01i00869pkg.all; entity test is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test of test is begin sigout1 <= sigin1; sigout2 <= sigin2; sigout4 <= sigin4; sigout5 <= sigin5; sigout6 <= sigin6; sigout7 <= sigin7; sigout8 <= sigin8; sigout9 <= sigin9; sigout10 <= sigin10; end; configuration testbench of test is for test end for; end; use work.c01s03b01x00p12n01i00869pkg.all; entity test1 is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test1 of test1 is begin sigout1 <= false; sigout2 <= '0'; sigout4 <= error; sigout5 <= 6; sigout6 <= 6.0; sigout7 <= 6 ns; sigout8 <= 6; sigout9 <= 6; sigout10 <= (false,'0','h',error,6,6.0,6 ns,6,6); end; configuration test1bench of test1 is for test1 end for; end; use work.c01s03b01x00p12n01i00869pkg.all; ENTITY c01s03b01x00p12n01i00869ent IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15); port( dumy : inout bit_vector(zero to three)); END c01s03b01x00p12n01i00869ent; ARCHITECTURE c01s03b01x00p12n01i00869arch OF c01s03b01x00p12n01i00869ent IS component test port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; begin Sin1(zero) <='1'; Sin2(zero) <= true; Sin4(zero) <= note; Sin5(zero) <= 3; Sin6(zero) <= 3.0; Sin7(zero) <= 3 ns; Sin8(zero) <= 1; Sin9(zero) <= 1; Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9); K:block component test1 port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; BEGIN T5 : test1 port map ( Sin2(4),Sin2(5), Sin1(4),Sin1(5), Sin4(4),Sin4(5), Sin5(4),Sin5(5), Sin6(4),Sin6(5), Sin7(4),Sin7(5), Sin8(4),Sin8(5), Sin9(4),Sin9(5), Sin10(4),Sin10(5) ); G: for i in zero to three generate T1:test port map ( Sin2(i),Sin2(i+1), Sin1(i),Sin1(i+1), Sin4(i),Sin4(i+1), Sin5(i),Sin5(i+1), Sin6(i),Sin6(i+1), Sin7(i),Sin7(i+1), Sin8(i),Sin8(i+1), Sin9(i),Sin9(i+1), Sin10(i),Sin10(i+1) ); end generate; end block; TESTING: PROCESS variable dumb : bit_vector(zero to three); BEGIN wait for 1 ns; assert Sin1(0) = Sin1(4) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(0) = Sin2(4) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(0) = Sin4(4) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(0) = Sin5(4) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(0) = Sin6(4) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(0) = Sin7(4) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(0) = Sin8(4) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(0) = Sin9(4) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(0) = Sin10(4) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure; assert Sin1(5) = '0' report "assignment of Sin1(5) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(5) = false report "assignment of Sin2(5) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(5) = error report "assignment of Sin4(5) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(5) = 6 report "assignment of Sin5(5) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(5) = 6.0 report "assignment of Sin6(5) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(5) = 6 ns report "assignment of Sin7(5) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(5) = 6 report "assignment of Sin8(5) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(5) = 6 report "assignment of Sin9(5) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(5) = (false,'0','h',error,6,6.0,6 ns,6,6) report "assignment of Sin15(5) to Sin15(4) is invalid through entity port" severity failure; assert NOT( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***PASSED TEST: c01s03b01x00p12n01i00869" severity NOTE; assert ( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***FAILED TEST: c01s03b01x00p12n01i00869 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index." severity ERROR; wait; END PROCESS TESTING; END c01s03b01x00p12n01i00869arch; configuration c01s03b01x00p12n01i00869cfg of c01s03b01x00p12n01i00869ent is for c01s03b01x00p12n01i00869arch for K for all:test1 use configuration work.test1bench; end for; for G(0 to 3) for T1 :test use configuration work.testbench; end for; end for; end for; end for; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc869.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s03b01x00p12n01i00869pkg is constant low_number : integer := 0; constant hi_number : integer := 3; subtype hi_to_low_range is integer range low_number to hi_number; type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c:character; d:severity_level; e:integer; f:real; g:time; h:natural; i:positive; end record; type array_rec_std is array (natural range <>) of record_std_package; type four_value is ('Z','0','1','X'); --enumerated type constant C1 : boolean := true; constant C2 : bit := '1'; constant C3 : character := 's'; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 1; constant C9 : positive := 1; subtype dumy is integer range 0 to 3; signal Sin1 : bit_vector(0 to 5) ; signal Sin2 : boolean_vector(0 to 5) ; signal Sin4 : severity_level_vector(0 to 5) ; signal Sin5 : integer_vector(0 to 5) ; signal Sin6 : real_vector(0 to 5) ; signal Sin7 : time_vector(0 to 5) ; signal Sin8 : natural_vector(0 to 5) ; signal Sin9 : positive_vector(0 to 5) ; signal Sin10: array_rec_std(0 to 5) ; end c01s03b01x00p12n01i00869pkg; use work.c01s03b01x00p12n01i00869pkg.all; entity test is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test of test is begin sigout1 <= sigin1; sigout2 <= sigin2; sigout4 <= sigin4; sigout5 <= sigin5; sigout6 <= sigin6; sigout7 <= sigin7; sigout8 <= sigin8; sigout9 <= sigin9; sigout10 <= sigin10; end; configuration testbench of test is for test end for; end; use work.c01s03b01x00p12n01i00869pkg.all; entity test1 is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture test1 of test1 is begin sigout1 <= false; sigout2 <= '0'; sigout4 <= error; sigout5 <= 6; sigout6 <= 6.0; sigout7 <= 6 ns; sigout8 <= 6; sigout9 <= 6; sigout10 <= (false,'0','h',error,6,6.0,6 ns,6,6); end; configuration test1bench of test1 is for test1 end for; end; use work.c01s03b01x00p12n01i00869pkg.all; ENTITY c01s03b01x00p12n01i00869ent IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15); port( dumy : inout bit_vector(zero to three)); END c01s03b01x00p12n01i00869ent; ARCHITECTURE c01s03b01x00p12n01i00869arch OF c01s03b01x00p12n01i00869ent IS component test port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; begin Sin1(zero) <='1'; Sin2(zero) <= true; Sin4(zero) <= note; Sin5(zero) <= 3; Sin6(zero) <= 3.0; Sin7(zero) <= 3 ns; Sin8(zero) <= 1; Sin9(zero) <= 1; Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9); K:block component test1 port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; BEGIN T5 : test1 port map ( Sin2(4),Sin2(5), Sin1(4),Sin1(5), Sin4(4),Sin4(5), Sin5(4),Sin5(5), Sin6(4),Sin6(5), Sin7(4),Sin7(5), Sin8(4),Sin8(5), Sin9(4),Sin9(5), Sin10(4),Sin10(5) ); G: for i in zero to three generate T1:test port map ( Sin2(i),Sin2(i+1), Sin1(i),Sin1(i+1), Sin4(i),Sin4(i+1), Sin5(i),Sin5(i+1), Sin6(i),Sin6(i+1), Sin7(i),Sin7(i+1), Sin8(i),Sin8(i+1), Sin9(i),Sin9(i+1), Sin10(i),Sin10(i+1) ); end generate; end block; TESTING: PROCESS variable dumb : bit_vector(zero to three); BEGIN wait for 1 ns; assert Sin1(0) = Sin1(4) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(0) = Sin2(4) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(0) = Sin4(4) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(0) = Sin5(4) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(0) = Sin6(4) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(0) = Sin7(4) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(0) = Sin8(4) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(0) = Sin9(4) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(0) = Sin10(4) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure; assert Sin1(5) = '0' report "assignment of Sin1(5) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(5) = false report "assignment of Sin2(5) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(5) = error report "assignment of Sin4(5) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(5) = 6 report "assignment of Sin5(5) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(5) = 6.0 report "assignment of Sin6(5) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(5) = 6 ns report "assignment of Sin7(5) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(5) = 6 report "assignment of Sin8(5) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(5) = 6 report "assignment of Sin9(5) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(5) = (false,'0','h',error,6,6.0,6 ns,6,6) report "assignment of Sin15(5) to Sin15(4) is invalid through entity port" severity failure; assert NOT( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***PASSED TEST: c01s03b01x00p12n01i00869" severity NOTE; assert ( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***FAILED TEST: c01s03b01x00p12n01i00869 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index." severity ERROR; wait; END PROCESS TESTING; END c01s03b01x00p12n01i00869arch; configuration c01s03b01x00p12n01i00869cfg of c01s03b01x00p12n01i00869ent is for c01s03b01x00p12n01i00869arch for K for all:test1 use configuration work.test1bench; end for; for G(0 to 3) for T1 :test use configuration work.testbench; end for; end for; end for; end for; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eR4qd4HGf1lcYsNyKkGjA12CwsJ4kb/7y4QmcD7jI5Rqgk7f6Kt7cO7ud0U4655LraOUY251RmIr k8l24szFKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jzdxWfa/v1KbhySKveslV0h0sJFu+YsxDeLJ8O+p75gqFeAmCqRZ3qzTgTFtiYYxyJnqV0fmSgNA xUpv3N95fXyZEYbe4CAGdbxxmw9yWdjurVpI5MoRiKvDz70Ep4us+nSzvkhPYmlv9tcWGJDIjMa5 KCL+rThMG4+CR1ws9xM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DciZZMY0X+fpE5xOQkXrGMXC836+DW/KDWdCccQSJwc9WnKVMQGwJUFxvHLm3S2n0RZgGeXUvlgH Us2QR7xd5JwHGlQYmaQVs4SJRxQIpWLcEprBFXKrQyNz9YkRHG3ar5BmEQDV7TFknre7NrKXlV2r G+Q47BkZqQMYRRVOUDpZOIbRGJtbr9cXVxWuuVE70lz/ePHMCaxINGTqA30qZpZ3UsLRotJW8u5Q tp2hTGz2BZTI/imnleP2WaqeHLenkVDTD9EJKnaHS1PwanH9xzneCrSrpuRqTsO3Pytznzcy7SdF /iY5Y9THKf7wXtKBtlvMJmDliBpCD/CByN9mKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qXxpOaRKXbXhF2ZhFvN4aImOZd9v5YjzuzuS3KY4xBLuE2QgQeIbn14/oyzsCeSOD95tfBZCf3rC zAcLDPKOVhEWmL418OcdCB7CabLr51CY/NZ3WnHWQi2llQJVKdj87hI7ocFIWYHli4N90N9la4FG V76y5aGI+puwmC9AvbA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kMpdI3JFYXvuZSzFDfHB3IiqHNXKZz8yHUgeOpGoj5/h0QNRoA14ThJVrV/mqFEQV+Vc5IT9nwDy CYlIOgcCabFxdyuddWuPhYfCVFUTGgMiRs6tRLVtQKJD/RNUc7Ctmm2khBoaIEvjWPAcs22dbBUd jizcWa6oFoJdic6DMf38RJeVeKxOCzoi8I5LSXcJOfb1d/ObP/UDmYkRY142L/dE5ThaE4Xu0+7i UY0/VjDrB5osTr1uLPxieS5u1vLoxqb8oOeXDQZ2qUUd1DXQFCWc6jPvDc2zoxXmgG+VfQlwQdv4 gBvPqlpnog0apAW+SCTTBgQ3BNQO8sgHyKYuzA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pFMooi/OnTOG1YU7JnxSa8mXvQWPWMiaP1HE74kwHQac/Y2xAPJPa3zjWG4J4GVGpGWRNoVZHmng sDh3oeG01Oia4IyjPTkUH2dRrFcmLHk5MQedekKuuUyV0doF2U0xkQnBuch4yOV6hbWmoUFwNfKL YXp2UN6hrYr/NRZN6kMcNoWQq+JtxWjAUxmSeAusajfJT5/2ooyzRRwcRceGKBSwciF8mN5SR4Pv 0pkXGAE/jHaFThHKlbziriz4IJbSlBi/ufYEc+M+T0PNh9WHL+6CbFkgsPwNveR501OMw4iryRy6 dB2K+XJgqW9hTXAcx0yw7afMXBTxfHa2w+NCBg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block Mogjnq8QHZs/nQrEL8FSGi3s8+Tzd/j2U9w9UVuE4kA39V1BPcqkjRtgCU4aZXN1Vw1pgk2eJlSO mgOwdAzOBzKMbE6MSygVYwk+zeIQnZ2sUdOrq7PGtdGB6QOzQWD0/nrV36qaR/+IfxpzoDH1zRHo zsZXZSMJY3lt8D/8NNu78Y+W8JC6lcDovAocjCMqBuD3L/gCYJIy2fPnBQ20BUkl7WCRoBudSReM AlqHEs9tJ/LTFE+VOpEcOfX/c6Pg+8UUmNnauGgHO8cyF56JTVltPxv1pXlPNO4dWN7IG5u6USir kf+JMDk1Sda6tB1auhvPz8vQfphheKMiyFy+sY9MwrWPks9xcRoyxEoPkXnOh3GBnJY59B+8uyJQ qaywgNmK1VVOOT7Ae+jgU9LUvQXtTpl9LS3mmoZpxn9CuT4BAOGVfudn2RUMcFvhe9shWMkQ6sQg +9F5rznN1LdhhuqlHSLTBcbqO+ByDCbBt1kzWkU8lJCMhuzTQsNZ7Y7WiODDUrh5CeAesNFQbr4+ axHYAawJIXRAzalSWwMoYpxgagzbl+YSjs091tRsVRdDXwiN/+8JdquSgkeido5LuPHtZDg4eL3g Cgso4cRbE8kIc5jduWOY7HoY5qP6EsPsYwxr16K1O9oo0Ae/t2B2ygm/vnOjLQIM+k1Ao4wayFS/ mO5SGvZ0Lc2QSVNJnGbiruOWi6yOZJnSkDsLgWU6hFfhX/ev2RqoUaTDwY7eGhlwhe1n0/o0ex3o P98lvG4eDjgVc7sgcCXnCIpX9/0hORmqON+jLl56MuTJEbUSyhXJif2/cj804PET8qcLM/qSTEwQ VP88Ppea/IHAQdm8Smb8SmQKDypfxJBXgaXHKKNfAvqY97P0nX6KXesIzY/FTXB23Rh6OOWChr1+ BeoiapoXiN9MBmCAlGXOhYDo6BPPTsNCjye4ZmZuZZfVYloFDmELeCG1vpejIDFdoGzbG1/OKEsp e2GORBtpEOFFyw2lYdawTbQbVKNmx9VBwn1lhpniQQScPzHM0L8Gy3P/D1nMRAoGY6lenBdB+xCV kc7mU9QGG8uCenwmrhPpMNU14wGO3pJjfpNORRul+bAfnDE4ds+RH4uwoPt+vFQG2oQQ6ldw6rH1 1kOzubCR/on/tMchnH+tHwdMWNEFGl4rfeDlrFRqDYZsV6j04RNiP5cw12JzDyGAf/Pdsxd5eAAg npZu065QYAK9fjppw7AJ/pMtrtAQCV0IrUaDuWo/vq3rHxVNUvFMunQqC5zjlRZ/+E9kfhJK4/Mv ZnQc3dmU5zdsG9UNYMlCLszmauzkiXy7VURtHoXlBktt5nFPyRBC1NMDQbZ4nRl+Icm7XiM9yzrM aXI9bPlYpEfTYn1YFyfXg8YJZy8YlqPGQ21o3oGgHilspNvbkJrBuaP8LoV1iwlWSvSFV61eHWiI SU779BrVwqHbvPqVOPjGs0U0fecXTFFz6348VAmWh0zq/r4PDhPeNiL/mcLgQ9K5/0PZyvcagpu3 4U9+1DZBL58OgopYQGX3BBwDCFd6r8rU+55FDfNIc5s/kQXsR1YNwVFHaGeIX7a86NQHGgE9Gc0R oVumyCLymjQDavAx3Pwo78KP8V+ZFL2hMwsbWku+XrPVtv8WVs/XTkEz6JWkeuoyFKq1gS00oNt3 2HC1VLijkIUY45qfKYfhUoAk6qp58EWz+FxkJtxxefs0S2EtaRUJvxB35nhA5xsWx7ljK6XZsDJq fL8IUndoQQXIysGtNhYS9ST6NUVpMTnIlEzNFiIRsAKZ57fSRZx6xsmM741q/5wEfWA9ddbrCyFT 1nW9mqahqGo3xe1AROqdZncctZjlIZ8vndABbSXdJjtafboAtXkxVk+nckAp3gSApzvJgLH20V3H 3wQXXNSLDMNYqp6jwfJmc3QJ2hh64TVbwvd6HKFrxxRMj5UH2OCfLGeBN/Y7ICbyzrKPBE4pRe51 qjuwIAEW+l1E3PVVudvNDDi3rsbji0RbybOJUhkve0Q6KvHR4fHtxdxRMtLzUCDekPIbXzsnSfMQ Z01biwWAsdduPQ07NxMHMuTNzgSopy2Bgp1OyDeVIIE/ah/klxRRLBVYJ7i1OlHyDEDyswrf0yk0 0I4tTXhH/oPk32qdKzGkuO+fIc6bqSlF8iPXwonrmeyA0CNQPRZgZc3kjJoYiM7aCYeHptJqL1sC tVYcYcgZGSqlIdiISAvgXTNShyncDDl8o6qdXAXRM6vONRh91rrlIOMAGmKdB/+FQuNg2pYJzdiE bDDGmPyDMade3IMe1AoYAcTNHHxQMiirXRmrdpuNOlxt+QOVAfVN2191cWDLKwXpCMuZxpXuqzUO KR1pQgUMYZdnrSr+OQWOanxq531Gi06NJnOzDfwpPKIll6uMeKTxxm/uIL3kK3eM3/XGy3RQz0te plK7YvqP9ekk7q5EWXMVRXvkxoepKv8Ky+CW7BgavBtqtyFdIdzWbhcD3VP8PNG/xsSTT07ccMPL 0GgSgxzTUZD4pMI1I7Sl2KvOpHdpMla1ljwzTihH4W+wzqw3AsXBybFdEVPvuhb+nljsJ74p0sbk gYVziyse05eja9dfuIZGgB9ZZ1O5RmjFHp0uGNw1Z91lwjBQ88VXZaQtX1/pM256uZd++259y7DY GZwSWl6o3E6cnsdAhGI0QBVWTIkjexYOjZy3ycGNbBKcpyn/Adjpc2ww+oSgSPI+IMgKhaeQbAz+ 4VAFwTv0yLwvKMH3KgZAb/qTxXWn46zcvlOwq3zJCsg1o9nMXs8VX/L/cbZmaz3GO9EslGFnWSDc b9WjV627l5y4E1IengYgTpXs2dZ9NR+FWerc1iOxSFVh5YM21oPyJQ5XLyOPT0n9yt860H1tBBIO AKMvqaYY3bmQYTvHT1bq3iugLWlo9Uj4QAHj71bfySqPxaEuOxhLY6bmAVEgIAXHcGxXvm+XTznP KKo7ijqB+iV2/OoaHKc93Bu1ajvDaQ/ZbNKF+KeTia/CaCiB4xAHGLzQ2TGlJwhZj38vEpvXS369 9O3rmT6gOvR0iipYP0WWsHvfCmnssve+Jn7vmro/ihqErr0H+Gtvo2Rx0oQb1BVI9+O3imEyMdNH FYmG0MkYVIHEJb8E6FdnhpjHASWm2bvzWgoBWSXCZDG6HRiFVyRMWL0ej8AlHqMoJ4KEMbx9uC5o HFzpZJ+TOChFRum5BYlQp3XS1dbSe4NKzz2+EvlwWMeUwILU+zpThulDCLtMunhlc4B6Rc0yNG0v F0a9At9vdORr7PawWrYlEhSTA/N6IFrP/GZ6nizJ+k2jBhwsPDvShFq3MPS0zB51Tzw7kHpr75E8 mq8aqdzDV7jh7vbEs/BhIrUL1lBiA/jktsTWvjsYxCwwQPlrxB4npaLK2zH3gnEh0hT/Zt8WfUms MuVeuGmL36WBEs5uM7blOdKIHEWY0sYP9LvKP50kgtRNqER0HiWVH2DAPNDj+b66N1KgijB0vSY6 k76o1s5GIXZwdrhPO29MDua74P8JvMzVoXKuG6hD/hmquQGoDf9hpsNlnANBFjJLlgtXQP9+XqI3 iU202TMdPX+Y5oUwOGDdgKwaP8RkbE42NtsaZ0/It+tz+S3gV6NzaR/k9GLb07CBz5D41oLxXug/ 4l2PimtwISqMGeDoJUIFeD4JuSBD20ek4iGOKJWjSiaHOFwNTSkezVplZ1dww0x4APASBT1l17IM CKBCuecKQpMf/K7hYKrUvApTuBJ5wc7mYSqzFyTUY0u+eHlx2zfHaYYprlKA/FCCSMwWz8+F3ADN Z20wMiPk0cDNahJUhtRdt8jsZYpYxm01IDEQC66SqxnQVMLWv0Nn86BhQjTjEXbX3gxNza3l/OkR jpvb0H0vYIjJYhYz+6WiY6VVD0jC1GmdsjwKjXNDBbVL5sXkgbHD6shoVB07VyF0G1PHkXsu30qV oFhei3g8+LyfV6ms70XFmC9bEu2sZTMWU8hv9lAhOzhSyqVbS7NvsWqQvQ7Ek40VFREUYrRNSIWE OylpvKFzPbAqaFsUgCfHGFzQcYZl+dkMkCW18K/LqeeMVtHhEDGvtTCsRCYichAEFfjDEi74vo9c nzjdisYN+CjRQrZFLz4ne0n8/IbuXLfc7f2fuoILeDX8k+ZqWUlbw8xrlEKzcnttbOPRpPXBcJC6 WHALyGLXh6Mri2GkwHQICgjJcJUHYgofydsg4CygaSyl9A1/mEao7nKmhwfV72AP98UofBC3b38c omisFiKhS+sfRWyc2dEmpU/NKmWI3El5wHMLKWrPqMpakbGJnd0JocYLJZhLr/dWIF2TLbpfCV0J trgCqbsoy8RzNDVNkdQkT6WFkXcNRCmY0Vw0Z5buHPlP5u7JyonfJAh100XEDy3/2yC9sSbZyfzu DIRNcagQvytoO8R1UVSs+fQtXgAl01TSLfoqU18fJym1K3zgu8s03aF2B0KS5jl6VtCkraRArfL5 iCdOr1F34huA2BNelEScMgsGC+DJ/kfA/TpmM51TC77s7KJD7TzHjkPIrLkm+bE0xGaTVgCqg4wm IKGoao3JQ9uPh7zLfjSWwh5bmK7M4TobK7C/6JGBiZsIjZSALriRAyknHGEow0xRNntVso4h1VHM mXyVBzQdq+mz1cTnIhyohlv2bHQ73pMZy6F6Y5xb/kzMGvu1asYhvJtMepWBzLrFTo0diInzmAju K2+qKZykIE3WxQj1FI1fhntBdiS/AjEbYMyNDWL43tXagl1MkqYZIt7FaIx04xlPXIEFTw1Xxhfs IoyVsZxuj4SDl5rAgE6lFx1f4fHfcovS/YxNSZ02XAzY6gGS2ErWhlseQ7VVctRupoChr0d1cbq7 m+Bapd5WXjcYfnIh7sOIMlFubSv+yYGWpPTj/2m9KBmZ4ktLJAi+oosXBBx5aql9Cb+aCJUl2Q/6 PuvT1tNZ6sA+mrMI6gdhSS6kEfk6/+CgediECjnrLD3joerKQcL72OR0qOAy2w17l5dCrUjE/ksn mQVcynrRHKcuqG2sHQMZoTYQ5w3C/zwwd8jXdIoSkevRAn3zJs5Y8M77dDYIJ8w1L8IFKDpZBLh7 3iCdS3B9lPG18uiybNDyZzrdvhDaGVP+eIG/o556MAVu7AyY4AJU+l8yaJqaoeQwy2FzR4Ggdpml Q0860rRQnoGoSMDaKnRsL5my5BtHFsb9MJLwLrllHoEEYxEf5qt7FJ3Xei7w7tkq3FLTLCMwUFjW EXnutlAb2cQpesLv8ZSm7BFL2Em8SXKfP47PScS36pGWtyVvxEMEISssfPS7RrfxbtjPflW1MQl0 UZwXRlUtD9kGFT+vfzdFwY+v7n1VTC9KRhsO2TAq+yUJEj+2fuqTeiKLvSaQbO7vdhlJA1t6j63e rTY3ZkUXZ9hyBOmDSLCUfsMYU4XzMC8XJFpikKNTBxzLwPzSAU7aLO+1QEuUReqMICRFq57OLs8X dWlVJC9YTkzdZAq30x44SoWT/htc0xjKHk3UH/JbLW2ReBGdI7L3qOe+qO4q8u1/uetQ3dir0FLZ WGr8Xx9FjGybclBbNT75djbTw34PTLuyERi7Ux4t4+KhnYS4DxBIPMPkrwwe3TVmkx7gLXMd5j1k ctspKGUEw6ihNW0vvWsaCgoIpZRgYR+hO+Z+PND5zlJNGS8Kly0MmHVadlU1jzRn1/txHwvycAQM ZwRho9a2oekfpxeLLim22HOEBITFaGy0tIyGwy+S00y8m15YbyM9pIe+g1wNQGGUVrz3LAfF/KEp 8kSgVXlDzQC/h2nplVJWG1hYokViO/z98vC/gM8sQPZEg7HML7USlQrDJrJUGI3tkmFd1Df1QVgY 3okaiMrq2w8QTlTFF/EiqMi8OgO8HWfh7kMBhzgNhDLBvGXl8xpJP3/7YNGxNRWMNoGcfrk7+7sm YhosmAcfSfshWtx20u4OAvck4Y0+vdbgzE7MwUoB6XUpVHI9uSr5wxD7ZMnrWWqLonmnSlzwXbVK KqamxE6p0EkVstPuk03MQgN0h0HnRe8Y0SghfQLNAkN7vfe4kaLQrxMPr3xhIsFgnT9FNIPe9D1a bLWmKRxMgX/TABONYXt0zd6rAz+ssibl1dwJ+sIWlt9WXdvVZdrCyJCGNj1uPjzXNNVNqTEvUOkB 9xY47g8MIf58JYKxRJA9PAuNpTlbYLh4JJKoRVaO0O4Vf8S5Nyd/oLTaUYNpRelT+ytmqg1XMR0w yd5eLSJN/CT1AWg7U3pBgyC71M914M0OgOJIP0/DMftJiro87lIu7hKXzkTZNsvvG+K0fu9OMTFt 0EMolMapf9Z0SbLOxXV2jjYW1eyf5KYhwqQYsgWfkfsJi7JpgM/HxpmIAXuQkWB7xxyzQNMpvAii lwRtoAIAdl8OevlcjV1Mbj9NROjnKi4ilMciPC0Jn/JVJkDtAVhWYWrzFnqbH/Dez3NCWhLnL5H1 SQ8ehgNyPkd/RsEBf9EFAf+B9+bw/G8bK5LeRwkYBBNLZfZGe0TB6kqx1icRcT/4vAC5pk7g4XGp 0p1rT6J5TbVxuY05MdgtddKu98UmZSJVefD9flujLZ52GZWcKmAA9NNLsU4bD4H4KbiqaSfbu6R4 hkIk8IAKYlhHF6o+pq4EiHmF671VwFtNqneuMmuDXP3du2DXWww//znwv7s/D5q/AEywVmOaPoMA bupp/n6Js/m24ELxcwI1z9t5VtYBpbENzY5NEEWfb++2rqrItC/GNBFy+tjl06sYmfMqTBX02fhm XG7aXrQU6NHe9456AAi7NggcNHTnC+RqBZYzuFb1aCJdqW2WihJ9GWkp72NmDWMxoB+KG0txYn0O nR/HhJ5kXCysK5aWC8dWzDenyNPD+S5L1sVy5Mu702YUhzfdAcyPe7j2VQ5xPQBnqDUxT1dsWSbg wZC2frcX1ryBwZuyLwRQJZcsBtSHyqdktEtLZ6+ICg0FnFSj1ZY6kWuNzSlI9UYS6yEqx8W+daqF v42XJd+EmG80aoV/MTVugN+P+mBDPRcSKT/gwSVLaIKE7IMVHDXqxFkErMD/wSImsA2VddhLIRyx wdmdWcc38HfNbqXqOFgoAEZ4rkzw+fnC5p8JCGK3AzEU+hpLjf4g4h873dRwyw6n9zJnPrXSis+r vydojN6IYPUJ8TZQ/WNSlAfxxbOx3OvIOYaWoygeJ4d+4YXRHvao0mU8ptmYIdI/So/YkiLF0uSd O7WoZbxfIRtAxz7K0eUkOdJjmypWTTrWFeaXfy3iOHyTR6bVFjz0sbkidY1QZheZkNfObI6ovmuz yaI34vieHhLC/iWhNq3N8tZo0dXgT4teZ5eolOOE6KHAywYpRNrAy4tpG2nczP3zncjGh2ShYhF0 X+j0icX1CV4Wyr+46sonapJO84jWgjTyWrlNSLeopYj2DOOukTbEcZHNrIosev7LdqDo8TtQxvp9 kvX8LnXsvzxZ2WPHr6GcTXceeSTlPqYc3P5QvGyPQGulVzgEf5ZWb1iup64+WVjgAIjcCbYtM1I7 QIokYIUwj3WSljWcR+oh+qzIjoVqMoLRuQtJJa+KsS/Z+heW+eUgQzXRhUWbpiSEuVkFEZSToaCt vm582SGRkhh4PU71j0A5plxFZXW/jwc14bzg/wRn1Q4ekZZ1mwCxkLgMS9wzQOFySxyxhKZlMC1s AAZsh63tMiJFGw6h2A0Rdugbg5oORsazM/9Jc+u5OS4uFRy2YL10J1H1r3Pe8WB24axj67uX4GdX 7YPMfj+bmw42h8HFFcTBQeahp0CK14VOrrNxvswIt+oocztmpdeIvHnv24oeMOP8lRzypSYxQCKq RLOEIvZ48oMV3chy/FVcHPYldF+uH0kw4vSUcYffQrQc80Mnwi+4uoSI7Vk6QynWqm/9yf1Ja763 8fAp7N4GlaQVDaCOUF8uk3sWnn57oE7YSiRzBvjVWfY2Q4R7vbXO6YypuHPkNkFYwUA9nH+8pGq4 KS9BW2MaSfhZBBXLPkAcMKSLLjR2o6QTTOtzYXqe+Cyzkvws0Ptr4hhRhfRcGoGOHnIbeKO2wk5t Qq6yHwWSEq9CgQsnu3udWIJgzU60g8UqK+HG1j+OYSwdBPnwQAr9Cp9JcGCPu6ORK9PLPsdLEBKV +vv0CiQZsCdWW1xSTaf+Ce/7ULxZbI69/uyLFUgY1+Vh8LhSZX1irorPFi+US+p5pTekcSgQ51de Z+VK4MuBjn1dYuOWcZhETYjiiTDSG1I+mda2ZxaRwugTq23h/FkXnOZuFgstTrPzSTxMVvrqdOma GVJH2FFADZu3oZAAiJmzo1tRuHsgBSnxasRfBGM8XkQIJoWe5L+eLiZ4pk1JuqKTlFHiN11r8dJo g3lfpkcdYdtyAvpYqqVF09qgjDTrCBdUs0BPnnoROxud7eXnKOafeezxWVCFXxNDrQyQ2BEngkQ1 lGAYgCVcPWASjW90m6CjV8ggLtkdZJwd70B3ZsRBb7clnz9NdDagRwOPl6eInnnUW4DSJR9H8VUy jDW71tejxqkbZMhE8SsD/45/2YCfJKzZTyolHKVlAsdVnZ251lTupu5EUuDDShTH/lwXRUukpPsW 48YRP88pJdHo6V3KhTzrBdGxJc6Ujydu0mWmp9ZA9ucuyW4zEWwA0lL1I1+L22CxXT/qhDCfd3v/ 1/waHD0JJil6CUjZ2ux/YOg2w9XFL6BrCmJe6u+thN83M1c3VdEw14HBv7VOizTZvoapTUjzt0L+ rbDxpZ2YAuJCNSB94B9jnbKCNFhhRnetNXptTzo/FP2lb3PdU0DZJasS8XuFrojPjr5oGCShuFKP 1T7uGp3SDcESfFcnEnQu/X4rXJmE6wp8mvL1ttux5q2qIvtZ8537RE/ApuIExuqKp0vlAhh1A+TO tIuDh6Ajfb7WDmzX1bkUpi1FxZOXphXDTm55Fb/ITp8BOpzA7mT3su1fESN2FhIeNr/F+XX5g+U0 zVR2Mw3ew1r02HA5Iq/fBxhvicUXVjd8ZI3afxT6+et19Z++J+uhSOLkLv7F6qC+Q/EnfYLp+EMj lkCyDWxUWKUMYDwJRuNsxcM/exi1mpNs/kesu6pVoJ5v7HpqbWZJpqhyPQ8+d1+5wmcPHdr1/s5l sB8hD6c3StD7fRKiJYAAdC8f7Si2pmltWf+VACTqBn3/dZSalyHSQ6El+HPJ6uK3koNn7+gxVGsa 3QQ/Svjyfjg4XsuOUQxrUti3/7ie11dWj93rwyoTKjcDxV3COXo4HKh4+B1w2XuaBsVx/C/DHaQX wHp4oIU0OMcmwUpvNgJS6IsxWJzRt2LCVvJNA7dYAmUlykmlVc1ALlA7iJW2g9o2JmJRsUJhkZka RcoYQSvJ/09VIkTUMHHYFDKdhYQtbh+cGMDc1dHkF5a6lXIMRkY41aQIsBPSG7YLFzKwGZpzeqm7 9hlt6LqzrA1dBq49bdXPlUUHa8x2zQhBPsjHt031k7epKhhDdbuSaQrBIDl9gsVAT+xrMyp529YG GaBNaMaCUg7yi3C5IGmd27bYd/PmFnDflZdGdvY3HH6F6VTxkiAnHsmYdKXfgx3N6YrkmI+wQtkn fe2EOFv0fw3FRmD+wBASLoV4/maeE3AnygwovhnBuA/1Nyk25MSh+38iiG78txOV+BiauQO2IY8Q zokDOvO21k8mLeqPCZ/ZUT+QuX34tHIg0fA9UoLcOOX+r3BbL1YA1QYh3JQhthR1QkJz+dN26lPD vDY65KLkSOTpA2YfrCsUkK5YJ0t6e8yCVefPgylLhpVdjRrs6Sp3NdGEMA6o2BwWrfstGtzDz0UB U3/jfnZH8EbGt6snaLpS5JC0vabIvI737op1FAZAWFPV9AfnZclIiPqbb32XUkoZsZYzrBW/eWvA bRfOHg6rPpU5c99CQQbw1SzrwUTPXHoUnk62ezMgjMIOXUnC1pnwhY9JGfcOZhd60Pgm2kpQD5CI Hj/uUEhNTe8vOCeve+fms8PYlf7H4vhNQ3vHJg2syphiKKylpk4udMfB9jVJicEobmDDQbu0xdU1 cxvM8DOe3unTvgb7Lpeic3bK3jUPIJxLlDAAN/as65WVYfvm8+IzXFpnqY60rW++ZTD9kJ8dU7Oh CWWiZTEGotftigVxGtRyLVycinj2p6YWVekYSrV6h9WQVdG4oyS69nU2FuVudWCOJJUd5EgyuKUN zSYLmC5Dr8+tXR2CZvUBqaJTyAyREDGCWGcqwiTL1NmvDFtJ72fqPSP71IDeexH/9ULO5dU6XXCs nsMe8yNwqMj94cV4sja/3mO1G9O27CxvM0IX+yE63loLBoJEQnY2uUljRWelojaR2NZ+5cgSaHQt mbkyUuX3AGC6DOsvaxxhu0rGjA3rW/UdsxdZ/dBiBJZVq8uhiiCzZxJSIfhNIYPiAFlzsWvQI4iy /mFxis9sBHX64NxZZGU72CiXmrQnG238oQHGSIKBBHbADW7g5R3vXCuPgLwb9JGtiCzFGoIHSzoS Yv7r2exA9DuFIUEDny5REDNe+bW/5C8JMXCWds2HbOotLrcT8zqdDYg3XjcptjH/5MwxEvTZ4l8R qipaMmbvbuHwgWIw6eXw0ZxOrCt01S5RGkfvP93Bms0V7ij9V49ijbSJY7Odtn7BKjFG7PsYWinn LWGJ5hsrTOkE3heLDePduCh06FlIhRX4hliM/QiC0vleWl9W+b6ghRm9fCr8kpGkxHcJBp4snBik nXjntjVR82q+wCkw7ltM9+YVqQKrBnWpnfeuZCM0Wh8mnIeciq9ZzEMnwz2C5fGHsk5BArJ2J1vL IVo+xC5Q1a8q96zBxdSRtQJBq5C7vBM42/RYXVpgeLyeNWb/1EM2NeqH5hsx7Hs/Q6RHmwyMsOqd wyNwL2CW1TwWuo5bhcNhbMS4YhJB0aErHI/ibejMpoGSnBoDLy/4++Og+iElFevp5bEFVUFYCuuw YQZsAnM8K9XBtflVIfHH24grTB8tVJVzWsdIbyW7WPxKOdDyLFVgL+fscy5cEDa/rp0BJVKDoYKA Ihis+ez1AAPmszaGLnTphPobZ3wSIqKmSrV4QsoeL7kfJHh9ZhQBNulqMUpelb+kOQpP5NqNim1w Q/G022ldWjKVgpqF6mkIpnJto7Lz6x6yhZTWEehoWg5/oQxYABLQvbKDHBnDNCoixy/+4I+tJv5X 5P0cr+pim6FAh9Mj4PgY1CkN1gRq0aJmpH7zr4X8ix95BzGGPIjtNECNwbz6jr5duow2XjOPkJH7 0yf7+xREP+a3gdKC9+8Nx1p+F3NNyPR21TPWxSAWeXkz83dLudLoXm5hhDQ1TS3zpozLU6CaQT+S tb4t730XO7mWTJN6HeDmtF/7fhSLlOo8Iz5omrx6sxg+J5ILlWpcXNm9qIJEb1dpxoiXsniTHEPf VaySpHfXyWWn5/actlszgd6EmmLROVxgzCp0V8AtuHY/MGtWLEMquR0vuvp6RmV9qSwoCPCqRAzH MH4efVW4r5mL+heG8x/6bfQ5SjBtoou5yjCBFWjk/qAsM5WYw7kPg9La2p7BwzaJ3eqCkA+HfBJK TFVBZwR4dT6RekFhT5ETFsqdX8vuhkX4LhIspxA5BfuKMPR+EA5MjRGWtYM3OxMq6W02Ou4HVwhl c+lYM+QqYb3DRCf39A0u7s/yUrMIEBcEeZ/GN7IcfkTMqolckgvMlVAhxoiqCnwhH0FEfQ/5ny2O iTHpSp2lz12iHqoooizhQhwQ03jqeyIzvTqtR4qni/9FW9VB6QIVqpPhDrtaqrCHmEQYyCH0622U eG7mblDH3DjyLhkqLX0IS5HJQU65kO1ramoSEb1O5LEhr0HGHWVzGc/1w6QzZ2+kq/6V5seIdFhX yLiIJuyB96xQmPIWIiyOLguSR0mtvOkD292I8s58+gal2nFTlr7z2Hb/xLBK53UeonK5l9izbWww UoG51iGAIzPIa+ysxSnZl2UIkCjOsDaUhXFNhcRfFwoOSNjhhDmVhh6VeS3+lD2+OUNhb9unhWo8 zkjwVOQLafrx+/09GJPIbv1brtgNOUhd0VqWjMtAHt/iUTwFck7ev3kzW3EqMfZMB88LkcJQepLz AoSMXDCAk/VZIhMrh+jvv1MufkbsJzLsyZoLyxhMhaPqRrPFphmFFKCwhXjQhhsPeGAYB7BX4aua sciryDmhDJrUAEET9oAoL6l9AajCMpJqLi39/IfIAPpHpDDxsxgdMu8AGz0ZAMXg0x/Bcbk6/f1N Owzb66GKrczM9sAkSbq7/82MW4npzg/EC9N6IUKwmHEiTLEnz28ugkrR4722UvxYqijUzoKpVJez w+UuP0f8cXk0M4BsxxHh57YI22AoC5m5rW9Kpw1OAo4tnAKWmYiLn7Rh9qaNQVaV/hKy3OoBxPqp CAsjlvvLaymMzEIBD3KaEN6eiSyU+/K/oSFHcTWTRw96AdMC7DgYjAJNoJvqhzsItLMht5U6BIvi 1S2FucFgA6h7oyvtoXwBCZHNE+C7gL0fw77BKzVtrzj4rr5CWLxc4rQeCpnUBs3bHUzUvQPF3LJZ O+xOzGwqO0aGR2weh7FJVYlSYOA06w1dAJhGe06Dt6pzJhm7l0AqdoTIhbM+l3lajFhtW7EsPojm pBO0SyorQxL34PmNieMZTJpjaCMNd9WROAdU8uuvu4fnAuvvGXthZHvING4dOuMDY+4Zg3uM5vRE VYy446PrIGqrBCbmyGai32OncY+uc3q7ly4tvgEhMX/UqrR+P+shbCn0U3/PeN77jAfX/Cr5OgFU kj1HJNYvMjvJ94Xd/nymXYbGGHsevQDvVx81KNTOu3CFSFFjft6qOjbAJIsk7iKPDNbLjjBcoxP+ FUd8imuG3A422Kr5v36FTDZV/aKZ25P0sX2gmaolk131cDcFKyo/Yy8E/mJP3L/2SzAmOa7/Jhok blcGNXApuGqHI21BLaHsD8raXeNlbAeJa0ZuZtssobQLbQ2J1C4BwSACqPpzMjdCb6165e8MyY23 rH4Cwc/nVvFjMF7bV0bcyCOk1GxBRRbGXUg6WPAmxiunejJhHMxpb45KZqiRAk8jpxw6Q1I/0nDQ pZgdb8eO/mvYTP/aE6ufhsiSlHqUNFI4vhoPOxqbnIkY+6KE7ZZtnQ2sny9Z8zicB07HPykEOlzg epLDDIxG+V6L4ls8KJrcAcFZ3tyrvFOHNE8goyFjIzktZafkcPzhB1rQJKid3h+WovEQGV82gvlp hjPXsgdCUw9OCfdqGp/zYN9R1jA7rt/0iJDUZEwHcICzjAXaJ1wuwIWb2aAZve9NUf18c2SQ7fRT GiTkOfUqyUx5mHRoNy6R/YYjoCDtJ+lboO22tFUgAqLU73cR76Je8avFAPov7WNnuZChU0WZsQEG nKNhb/apEnZ2SwAze0gsMFaMbdQ8mPgBNtW7o8tTOn5XRNmEovVOLcd/bKGeZQ4+J2Qw/K5I26n0 4qulQZjMFAsF99K3Ff1q4FHOlBXn/4H0JYkVmW7vIF3rUGXBwNy7zz1J4JHXlCnRG2/DKRXK5paq 9jEZxv1hwBrcssi1xpFACXbpupKsovJFMoS9fXWJ29xEnrrsxWDAhDUli1e/Avb6f6S6MVvrP3GK Ug+i3T9YGgot4LFUuzCm3IwP1vaOAjwHKa6RueM+jgfwf9Pl/2w/ZZIMcJdBvBQdjZ1EkLsa509Y QPqoFJ2g+jpU405x/6/MYguv5U2F6/6i4Qs+jvld9ofLe3JFmXoZS0Y9uk+LoY5gOl40i4n/kVup Xx2n4mbvrg8FyO3wfFALf0BbZYactusPGX9Unis6wf2OBUGhe/fIz5phmPKP2Wl09pWNzNBBdhct WBb29LjaIxixmx8t3XF3UtLEM1UTTLvCbqfbMNdIRvyea0J+gvSberSLwaC7G3qq6JVvjB3btHqr xB0PlXJBh4hZyBf+3zaXm6v8lQZjnyVQMm2S/HYclDdEeWqaYOiQbK1xfToU9ZNe1lOgUTI+KFK6 4V9dPSwYbOIc8oQ2uAyeHVRPlVR35H/6YOhSy7T4J0EDigVwyuzUDy5WOzSScEOklYqGoSyWVqEF w6ikF+o2uIK5AqRLdCwuZD5f6B8q/hYqdWJVD2r99eaaJoU9Dwf0++mdsOkbQIM2ooVC752vb/11 t0pmb2VGJKuTjGxYH9YQd9x+LPj2NkzLhZophzNJMewSZUTPIOYAkCJ4/+I6NR4Sm7Lb6THP/ERT V4tDPbJ3W2WOwv24d7qrhiB9X0iljGrFji9f5E1srL43B67KB3XbNinnDcHUjoZs7aG+cmoF9iMV mKe7FS30RRYPxOslzQz817uRXu4l43Ep3aGDwjbFq+XD/iNzSSLbR7tYyhdrLOEzyA4Jx1Plrjn1 F4T7ByCFQD5jbCIny3vZ7057JUsaab+s/v07EqsqZ9tIr6zmOzmtusOrRRT4Zne1/7HMwqRzMHln B07Cb0YDtU7sYSPCYYYauRiFeprgDEgaWN2xpv05ULvtTRDjOEGRaqlJZLAh1bEdwVW0LtFzmh+a dpZBbCO8yvwzHEgzb2Jqc/VFQRiW1RiPdoHZSCtHHBl4XtTrXkaEdaBwF0K0Bxym2PeDtZ+kvpZv 6eNiuX7a8/q71WGFV/uSTuGjXegIS0x+AOLb/c5SopnS0CQnBEdokPhpX1NwdsI6wfJnQwzfMHxr lMcC2qpfP//tI3QbRShg3TQDbcE/gcreF9KE0FGGGQGUAV5NHr0R6xb+Ju9gFwEvadmOdkvFTfJD TgFrRgcouK6EUYOWPM6/N3T+isX7g2US/LQ+osXNLkMLhYkd6/U5B3xNr7GIiB/TH9eMSH392cqj O0hBwZn/HxOHi39x38rR1pqgIGReaWfBc+uckYB3lVUVP7C4/cC1NOiIXlKaUJBKoG53DSpg/fbZ n73r85okTIgLuEWnB7+CpFgBXn6gMwcoeiw5rN3gVcJsEtFtXkNhoXuzYg9xf95zFD+zTF1qN05K 7dgyvY6AIwmFP8WRqR7uS1AP92SObl4wb4TQxXIe+WllD4QUCptZktaw9of/6OrDgnwZrhZVZB0F MQrff/wxC7mHOtcFbPRpwy1GU1uWUai4xRqRuJRVjcoFFxK6i7gwsfbnESP+Q8fmRox1KkvBR00T ZVZxJ5LEt8EKFUGafMeZOW6ZuuJtTPvgpckyWJDYDNpEcZ2hQ+qlgf8s3Pq6P47cEKy5sKxjHKJ/ xiEcfXV/qpDEbsYo0kZ8qTmAnfDhRARFjUO1x709t46cTDR1roKzfq7Hkvz2TsZA1WtHF+AXgfcP u1duyCb4nTqyWaaplLJ1bAnLpddebhZpzYFn+cgTiOOBHt9ILrH34Raoc7CCAFgCahjxeCuL83rV i90BbzWMaqolP7o1rhPjNBNh5ZoSyymcWFTbZ4k8AopxSXENqBDW/JQhm8uBCOrFWLXoPNZlsM+s UwrWwBlWoutSrM55YkdAQ0LP/0RwXitWBx3ngQAbremmkmx8h3DZ8VgSymwuV92fxCVE1Pc0wmwt 0H75pMQhn6FUUXVMSVBleiyx1kUf00ydi24tw94Oni/QPuazN02z98BQk2hmcDA+pHtWbqn81FXr Rt9tihJvZW8kvRrpn17QIupT6iAmHaqrvPcEJUizG0V9OGg6MYrR9hyPVcMrWuDw95weiiQx8u5E 9+wlKI3hWfu5kBMjAFa0q4cdvywTyxOIMhz7goDRzgtU6mkZPrn7QY6aGFEaZNoOLVxAq87Eu1v4 1rGDpVLRhQNVP8AydvN7Gl0eckNr/lQFlPl4ZO9JQeLhDYcRAflYc9cVMwu0Br+t0S7WDhMySjhP AVuAro9uHCp4BwK2ERdzefMb8A3DUdHwPs5bFsUVqSrdpI2HNIehMAfN6fzFiu1kEpTYo7MCTHt5 VKOqs4+Jiz2OQV1oJsY0tbU7nCessqPCxBKj7GlVVC+TcS0iGBlMe7ICj4ipkrJFBGajSbBoTs29 RTiGIpErlI0bhcVvBeaq0xhQ2oFjov7XFwkRQPNZjhFq1NNyJR7+5TN/Sc/rnaCpmEpJbSQ0bcxe STzTZhMlXMwc86vOze6SqWjqqLpUt2RsnSZe1PDYH7hmrFWuBg8/f0zijTelPk5AE8VjrMJW4y5g P9Q1xG6lqbLwv0nVmzIY4z+1V6EO2vWsPbyEKbwC8869Ydou7fAYvIiXrmPugwS10uRoLjuBXjtH hNkiJU/GIm/9DEbi64MDC7qK9A6vI9unRf4fGeOTK5VIivFd31n2Gnzpi9/D/8j/uH1RtkIfIXj5 BkHNQ5yJJSXZfbR51Z/pFTNca/smDPmD2RKTgIVKzl3JeYmmOCIReWOma6c3IgweS3UdNfxmUVOM n6iWv5slWD8wCSXryfF3zexOlSVZ9RAbu0JsYkIDCNcY/I8yBkIbQN0Kj5EhluaH+9CP1SeUdRBy lGVwNASaCd5sHaKYCQlu5grKrOQaSCnWYPyY6eErRU6s1ZCicazjN8uh2F9x9i0NRPseMGqNgIGn dompURG8B/VhVebjNZf+xwiNXeUKjVxRZ70ruqwbBbtsvdZftXT2ipELqc4JcQ1yejxLrduzEFlN hM0xw7bnA6bjA7ocSyG+ihI/RYH0P4lM3BqkSZykpy8G/QwT3RSn0FE1eIXYrlj4O53aY9yxjU/L npObcenjMa3UTvVuScpiwr3IwCqnNQ9oI/nzgZAEMtaPXMBUM93kEuZis++3olRhWB0CytTeBAN7 C+oNsM6wFX3g3E6W/JYaxJuIgNHKkGJ4w62Qk4CMo1SRltYTBH0w45KrsyfTEgNguWeYzQXNYRoj /qxF8MOSZyd1UqHeRrNQ6N+Rh76uuMijKCSD7ZxvRC49/gypvJvcW3ab6aok0bhCHS7S6rO5c+Yc CXc+Ukst2vQudkQPBcB81lP468H8AasHVUiRa/ktyzpMj1H7Z9Fp8E54Dv6tWFa3uJbHzwP2JAWS jMFMnHL84md0iuZcuBsCmM4CqwtmMJRIVVIb4dabAy6oMyqlMp1ONhIs3ut7jDxubiB4gdo47f74 NQe5yFTQZG7rRfcTQT/Tu0zwtRJ4svyy3Te/F45QJq4UlfOL7SoqneetUnDxsfGOS0v6dZv8W1w+ rgy2BzvcxDiob8WPvlCnKbx2PZuVtAJaTOfiTUEagus9Y+3upek5kAILJs83PgMhXtv0G0JDcPjJ epi37+brXf9QGNBgN+crQvLIKwRGAkX81/3EkOMeYP4KX+9PSjpGgKCOR90CDyckVD0rQVABBox+ joQkktA/6egacWcnY1L0291u+wMtPoQYtH/WNTi1MK1S9BxyYtf086iXJZ6bcW/3yih5svSJd80X PW2HviuxBKjzrrPF2I5CAvHyVH5JYUtcHaiApWVH4Xwo0cuTjLwbqHS3ZkSfZtFTQvtP6Dz8DMVV MQfYiI7YcbxAEYvFOvBYUr33rrv0OKxUTPivLpJ9KgcUgq4OENYnPrkX/OKU7dL0VfZVTK3qQu1B CWM2UbIauwkAGp6FXAOeh4uJBpdeQLGUlkX2sriP/Zgq4sy4E5QU8JwffZRnKCTow1iDo0J1eIe7 +FAb3jsgNZi6tb67NvipZ0SmNGL73silaix/8kZ54m3LRadtkdrdl0k/0dA74cQwfOwobpR+BO3U bO0294GEPxhl+wKfa2nc+XqVTWfjzu8OTR4/MZSPairPTstrpR39Bs4lyRryuAizt/MPlesO9+xl uGdzMTJ4pkCGwlDKlhPPcmtyt3K2impknBueccaZ2URfYTFLEKX4nmTsgtEAro6dEUD5Y5phGSdX pwPVU0rl6iV5/TP7Caaq1KH0nPD3M7sJ5GOyKu/5PKs4lmJ9/swmGIdTHizYjcpMsdvzU4kKdGl4 1ow2jocD4q0311ch6PhwJoiBAbjjCdNwMvF+CAsl8IV9Qdx+PcFSCbQ7ERtrYrCtfnx+3YVPzUrH nb7hHJ22CsTRy4zJnywAB6R0IkmAv4DAguhbLlnva01JUUkXLUyqazuIOoTKGcBqdq+7VljZ50Zz DaAbAoU6iO70IzElHmjz1YW6REARsiCgrLS4Tdu2TTO3fyJqRR7Oalx5fLBp3q5KJUeo9IK+A5q6 Rhz0ewVon0/AryyvWfNGdVCMCr1xvrWpjvpUP6UpVCx9DAl45gux5LL0vTdD5EoHtadqY27yFgFQ KgEhCovvI8TapyiN9OKAXlvDTjcK9U+5OgpPrvvpn1iRt2N+a7zMpjRhi7XAMWqxmsjX3avMB8v4 cC4HOolXfNPYNyxAcg+B1zNa1PdqYoXe33DtINQCVzPYuTaze/56i2Y34/2CRGOnOLWsNwZ9SKpL rx/mB7z3A9o8fdHTm7h8Qxofl0OTzvEGqUxXf4efXhGF6D9zqXPTN/sH2c254zeL44qm3XT/fFYj E1EiAWO2niuS4RHoBOJboBLttI2RHeZVpNmtzWehX1Aqdyy2EiVYZistILnmgEM0I6gAAmPUGGxO nFKuiu4d/gYaDMj/Oib/bDAc4LiLHQfr6EXMWaNX/VnBUmKgmc+u+TTFaZOrRsvB/D9/tmjE6hgj 8GflRYU/Loqpo5ZgrI3MpmOggt7nTq7rnLGijveFkiobi91+aguvEcTNezJvyhdVbOJGolEIo07s QEfs0VOkRxzMW3xCpnNv2V+VAHL2+NdxT/kCY9jmhcJf8RcREj8+0wAlqoKCkw5OlTz0aSoLcA4c Rtf1ZoCIYfD+hjDub8Ajik6WnO53PddgJ34SQGRvA6/Dz0Ti/MFvIc8nEKC7lVEVbVMwNNNxy2NA 1TN+AgvlebW37sC2CUmkMzwYmRfMl7gm95SQtAJkw2v7vz7dlAk+JXI7zhhCcWjZK+mxMF6rhfAu mMWT6YYNIaXFqMs2YztCFpsqUtWGM0mdm4tJBygOZJOCqn6ViXEB0pqrC/mCxzyl/1tL/fWR9M02 78G3EUWrQxmPEL7exGL+g9H7D52I71FNe/RTH0QwJlW6I7bynw2io+xaGKZoVprRxtjRHog6Zmql AgxP2WHNyZo6SkCJbGokQuV/Xwjpu9L6SAq64yiceZiFFNjiR/FtvB3RMEUFfPMnU7kCJxuLqKuR YxiUMQk3dmEw1ENUo6JKr1LYDS7/cUmSeHGP80gwt8mJuqTIZw+fpbpPiMWsJNsM4atF9mguV/Nv x9lC977pBew3ZkQn44jqUbgxGxo2YNDiKOkgRwmRMD7JeLFefhylWXBZwCdt2MOffjbHbZ3M0bGu bsh2Jk3pXK/+963F5Lq+dX1S3F0/DzBnz27D56pNw77Ca66VUHM8jXlDFCX/ABNDgG9eFIuU3bci ARIV0t5Gq2Qgm7oeyo7840NL+SZh4RZFlP5YlMiXFwZmCJLUuU0oPfo1fMzf24mvIoZHkQb2+1mY Zu9qACNEhQcYU3PR0L+HUIb9hHsod3NQ7dcm7QdDF7ev2lqymVKB+Z2rqnLMJOuHy71i9CoZWlMG vjU9KcqAXAXAP4UuUC2cBfz1CvFGpEFRIAVVmR9lJojfJwTGHGh2mqHsZqWtelexrhMNiMJl4F4V xXz9kKGv3xUqWE/aLPVUSCpvsd9j+ec42ifWI1FvNeT9h5l0JeVl+0PN5wnUL14vXHQ9jDLn4SZ6 NtK5Nrg5ON6qEdqwNXwuEyrXKVjENJ5F7J0fv6AFHZ97d3W0OwPxvg3PJZYHiVpqtsG7WiuH2iS2 yA6dlBeUpRe6ce7MEROFKfn4Jck379xmw714sHdmI8axfvtFms/znTdYJulqDi9ppiisNFPiUYSV zCgSJtrk679EY/H+yCisdENcg6cP1gZg5wCbyaD4p5xHSGZNSga/z6Wz53x2deldJcrdxS8B/qht bJdOORyx7qFq6Fc/hgT7eV92arVvZgeM18J0cW/cQpi6SM40KsomQtLuMaYWbdtQiKG//xk0MRWU FKrbyO3aU9NQ8BhKa9PZY6jd1N1Pae1JyRwBi1/ytlmNhE6EVTIujvWISJw2Vzc7YUgSPNNFNj2m v06yiKCDXdOY/60OGxENNa/84Wah4eC51gPU+zggava4mKpwoDM3ES5VXkzTKVCX4pA8K+6rTlB6 EFymZ0DsCyUbnsg5gXSiMGkS899n00m/xFmrggrLfxItqqNu4hf5U2Ci9nTezEkQoJ68P3Y88OFp GhBmTkKWaHBhtYLMhbkrxfZqQLRwfwkjofHMd5IEdF3QVvJj9xapz1F7GoNTspvd+PwKBDXhKcRj 401xd2bTfzJKKif9geZc5xJH09M86As5WCHfBemM4wlqXdMSVG5W6gEszyoyYRAuTpSU8+1MfQMK X7ndyoX23CAeZ/33DSIN4aEGB/ZMiD0IfMFXVuIJ6pCyFsFgJjtPQ7cVPHuEIQKfDzWOcw7jRPz0 lUOCXmuvF8FVBEWmekL1aQwf9D+SnnoavxYahIse8TqHECxVy+lJaDIXouulzioDqmFkmcZJil+N lfb59Sy8xWWQC/7KVfAEyzG/RSAElYfyBM80fSOunpBu7AL+8n1tm/dsI9p8qGd8JohK3Qe/13ND s4X3CiEuJ83Wn/uLClcnfhBbHc+knWDB6ffdpEtBAHDgHmeZmHKBoCFH9S5ab/TgySqa3MAy80oI GHc69xWCusjmBeczb1MJ9kvaQec7FHE9pFN04P5pQwAV/N1H2CI+qh/8A0tH2Sb8xeteZjgJJHpH 1CjPYppqM0pGVhDwbpuI0c2vPeMi7uZyKBDIgqhWdkV3po+xG6fohO/I2JuLsDe4Xa00r9BrgNBT 9lNu0u5XszhjqmnJP4ZBDWdLmKmjYVPSMOJVFsnfJERZf4mdieik1f/QuuxR7Mtiejjfo8ky05fz QgvUY295cnV1guXALqPyjG3vxbOiQlm1znGaDfwLv9xFfF0SvIAFd3rtU+0LBkBbz0xOYJPHk05q m1R5oMWSbdKhglqAn8/BGJqMp8Tqj66pWrzcFxi3I+Pa6X9p7jKhKzfPpAdbr6ZFF/Ijvx3yKEUh 91ujXVH1fAdbK4Gb8zkhMlVBitA7m2848aLC0BR3R1ePXa4nbEA5W6wJqt8Mk96eDtbcwiGIDxFC Us59+hLTbMcNPvuy6fQIf8c8fAqS0RSSdWxLj3C31CPIY5y4FikeIKp5l4AHBd1Zgs/78dTkyDYY aXaDRwnNmCeYTZD6aSfVc1aZVlkOoVclzgizpWYhn9F/3TTcnAjp62RaMZAq9z1TrdX9pt3bjvIv 0/g2dxF02mReuFQPqHPgoP21tq4XiP6xzDCWz3fV4OL19YN1KBS3VkEdy0gMH3jOKA44qv5n5JLO 3ejvE9PtafPWS1ywrnRV/DPM8sb8UG6TUEuJzvJO04GEGhq8x11KhCqVS6ijsJOHcq/9d2mzkQWP l7CfMcEY2sRinYBIf0LS9HH+sa7BjQkNmnAUAYvr9MKituqM5e8J0rYXpOSmBM3Y+Ya/hNGuor2u 9vMP8pv+Eut+oFKIs3aW2eABeeTAmX7lYJxg6xU1CfcqcCFwlA+49J26nkp+dtUAfysXBLg64xOi DblNAFpJ7msFbFzR7uAEuyjpiRwJh5Qc/ljHpQwIcK3yOzxtxTOk8RpvA5iMMHjDNI8NpjCbbVfc y9528/HIHb2gJUavmeDm8MLyaEMGQyGcyTlx7HnS6mgXoUXdoHvA+TQjGDBhNvBQwa5n5YyEdYNS Ij7vcA9ei+vMh/+qOD7Z9LLMoaKk6fRlXDbnDmNg+oRasZ9v/aZHFZLBzh5GiHyTbef65k3pEi50 cEngjKnWHiMy/CUKdA/vYP5a7Ev2GoPDxzUxPWlQJ7fBSy3jl3IeXNa/4ZEAZVTn38YA1o7H1hT3 DFrNfFBbuXw2xvCYOnx5gXr4t9IJEBZ2jDbu1HPP+m0cCK6Y2ES2bPtNirrCuN2AudAlk8EAWxkV zSCui4QAiH4jzswxVfyR7EX1NpYjFQk7kS6cXo5ezyYz8F6PxBAfvraNquer+WRAnVlPI8MyVEO+ VOlywTnPUkxTFVpB6lVFJ+TF8pJj1wdJ0yM/0pYfjboC9I5pAzjIYG+eslQiPk6x/ToqrfQ7KI+U qTeG1ZHwhllIeDP8AQ/TX1Ix14Sy27z0/OKP3DQLrsx8QcPIEBTbmSFWDGvwLtbA0zfpzoAiQsQd KixWJmvG1Uhy8aOPvaEx57HMFbzw/wVWIJ3v7yV/us6VllkqJOp9dIsMUJVKDyeVQci4vfGMif0y gL7nliPnrvV7mwA4OIjDA4u05BHQeFzpfzJmZXLrkgrJHzmJzKepldWDZn3DgziN9dkGzgeFvqcV e67/ZgByZfS7Yfg749sCxXYxLhWm7tId87thRsj2amA+3+Jkf2T7j3V7CJXwPNzO/8L088DZ8Qdv MeIcFWFMdojQ1K3PH6SNuVhWlmWlDzj65OyKor2swioC+JV9RHm5TCGtIJFVZ2P36yaVdH58zvvZ EPLMhNzca9/31V3pOheQEkO8J6wgxKZMhFxPiPWRrBzNoRGytBjDAqy1LlNUtxKg9XiUSzboFlS6 PMFS41UC30ellpcRYAZ/0tMVIqxMhGIelCteCTMHAWYM/WksYR+LToWlelY1ThXtnSWvFjCDh4hC Vyg0m2boRnJpurLF1quViuQSR2JrRT9lzRc9gFdEXcYhE9Htl5xrbf1/Yz+VqkdVMVEBnQs4d2bJ F0e74CMwxjmppbmLLF4ic/55et5y1BqiAWyf1uzRGf+e1LUvRsJqWJYmm7c4mTCd0c5+eafYXChE WR28nzVumiO/OZuyGBVxDclcA2Uwy2NP04FVQzbz3Uku7MgDjxq5nWp6hWTSFud1o//U3SlMRmKf sJkU7yHc7hfKr6CCOIkCoBmnCOhyb64BbMC7ki9iGKpCS1jbQjeDicLaVs9mosMqvu9XU4AIDd/O H4D1ShtoRuLzE0eWuANhp1xipbGaTErlocdMYbaBkA5ZJzJTJQJbp8IwplAwLitzZpIFyBP1VYPV ZwhAM92puijGTskFLdV2jbFbCSnLZaSOOLmqPcbd3unwBNj7XnCvmcTROffkOm1MZHOakxeWewpA 2HsXtdSveJXOenrlh/WAfA3EWQyFyBBoECQ8ykU0sYgIc2Ac4fAOFFcoQwTbYSc6g4Clph918q40 8jscr27hHIUkS6iWnXwOJGk6Sj155P6LULYnhgTXr0TuAoOwKBcRIbzCoZrG2w4i9vzz5is41f4s qmpbDsE2+QQen2TZMwdfN+IuGGK+Kt/USzBMyK2il1eUXgviAocoe58xxUcOZmOzOEEjiPqvreE2 JRBZBlh0ybWgJgnUaShZX6iI6iTX/HjLBNkVpJuTsj1IqU3QOwzQbYHqrwLQ6mMnSGwzoZJKhGWj PWA6iyWVnx/ezJiUInx6PAdD9r7hA0GdeTu/BeTdmCWscPZrs3EZZgQHDA7LhK3fKAZymyC/qwdi +r0M/1fzGlvRe3g51BKgLftMBYwvoYcdG0SsQ8PsZWLVZKj9kwV4CaidP371eaQRRWla8S3s6PW4 iXpIlnowBtEb9pGmB0HaJk1fbGNIfHbnA9XktbwJIufKlEHkvJOVsMqrWV2kqRxJCukECM373eZ4 q46b8ZhtDWcUKO5QoELTmcmO6CMvdQq6A7xIPPT7AUhmQl2i5Jcq5HFGn5PYMiN0yhx9+aavjpUI Y8UhX3otQ7cFA2RmCvIVMsEPritnz0yINE4RjKjcMb+BXWCDtdTd9NLzTCPssMXqP+7+kzs9P4OF A4h35ZwbCoMJ9wMpW32hWTBgMzzKMWg05SYOQoVbMfzQDQ4gOw1O06bLYRya4wxdTEij9smuHT9X GCD6zjQKycSK7ekMynKzr7M15vnENn/W2GK4v19FQzazFfpG9u0Kt6gpyckYpsJjarIH6jFJgf4u mAfJ1j/b7T30zKgsinqb7fnYcOBNd5/2EvRhMRxvjk2mza1T27/7ZpQkLPO2e1WBzw/OstC5zy7j qQwGrZNkNXLa6raqp6p1NQ+KdY0+Vb4OaE5DnVSr82M8R663tcuk9a3IrjlD0atYX0E5JbY+hvFC 8nBtTuNDyxcVCgHB30SSwGxc8vsNA2rhp8t3uT9gGImsF0PGGR7GbljRTKi/WDTCRvh6npw9idsb YWor5qtIU60SfyA03i+GyhLXGYhvCYoj/rCZjnEkUAYXqxjBqLUgA4grzKykrt2Mk+KNuE4/wu0Q gA9+0vj/YPlFiVkst8CJ6FLvWo+zpLADjF+jQFO5BkR68Jlw9WWwWhyQK8rvh6U3HfXVFh7Y4yXw 8ib77FDvYLjgoO4zo9yeD7pLha00/K2KKgaccuA8+aGWjStkz4zdElEUYEV7ozt5Ze/9owbAPQxc Zr1DGXM1b0/OUgzXJEwD/4GnRe2ifnmtrpIeDCLzubgq9VQTi7eyHzRZdpVpzDoyLCdW+UrTC3FQ UWA614h9yoA3rqy0PM7A4yoyotK7QV42fTxZpHr+000Y+Awyb1AgTObAEE8KXtIQF+2Ob8J6dANG SHdrJ8mpsY82mNlEqD/af+wh1UShLj2vx3ItNaSay6V06d6oxsKC/dSkRygyw6S+3phqOEhE5GWm IYXaSV0hiqSzUdpjuwYdIsoG5kr0+Q6al2ZJAUVKoJ+83Tz5/v1WBHdv1Sm1yzezOgiuHIh51s5P JbcbYSuVQSaNgBqScjOyhtHEKJo9rUNbMW0tbhsren6ypg8SwLdmwu3/rjEm9x3nHtq04WYeSlJZ WIL9b2+EliE8mEk4xE6NB2WDZBufQmj0JgLHx5fvgPxjat06XQyP1kWhOwlYpuoCHHc23TBujnvN 276A/H0cGZnQ8hjIEijDJk2gbhs8vaiziTbGUBRf1uezh2+vGQartVLWgsNeS73WgS6w1PMmHh40 SUIUmLVHKVxnzPmb8SfMlfkVAM7nuMb2zGp9ZODIlcNsRLFk4azRSp5cGvKcq+oOXWA33JncVIWO 37VhNYJ8RSyw23HvTbayjjHTPESy3yD+OQnmdJvqydm4x86qfd+vGgrSXPlfK8c/Kjur3r40CCDA efKek3O/wzz/Jl8Y5HQNZuSuFUyC/Pjs+rig4nUR2yO/jKR7VsK7msUmrU9HYBm/NmsLwBw2PV/b csK8cbpR6/chXheScHmCMNwLO54FcD1ZNj52ZSbHdWxEVNPLu7+gXveq0bcRgh17TOunP+27fQN/ qV1OeFxqtAlqa75O6cEtoWkVEyJZgCl8vJDeDHpZW2xuYmLdieDNqNOz34QvNA+RqK9R+lpBBteX Z0tVaPQkNI7Reaqonf6+LiNneztzt6M7AMBo/VUX01c9hX2jdRv18PFescu9orfel29ovDBsq7i2 QVnpuYkxne0ZvThB0dJUo4+Z1Xqp/I1RyBF1SnUxd5vA0lFGISB5ZdAVs4uNUy8jKGRKZMARMNfm rzCjjbLSGS+L90vPz5UmgInQ2n3mAxvjoj4YM47I4Wq/u94WjA+TRSfhPjOrc1Qsbv72pLXv6AJT ambX8SVTKLZ3UqSklss65fFSj07MSsJlClQIRO7RPsguQp9086QmErxd5WJJrIjZYSmIeViH6iRn fEt7jJTH+7IGpDTDs/Q8YC8G+HaEG0u4HHb6PP8LFCdyXqHorcVtGXu6/I3YOuf24HE53hiL039+ O6lWudIhj0kaqPiuMfNx3ZpApAjr5CP4cZBAeNglHDxpXBVTWNnGH83HC/h87O5TkY1gFOICpfZR n7GUhmrhd6G+3Tq8nNeSwaoo3wSmurOP3JxP42kFCoA3rAVN6n9sGp9NxA6d6YGVwLC6VvU1LD30 JwTIwesDNbe6AmNg8/HNCdfE6FlZcq++SPb2KwCQBa4Aaouv7Z1oqeeLd+0RpiIYkT7ons6ndUxL laUn6O0lO8+z6XXn25vOr1r58qjuFqimklKLHbQPbfWpCF+lA2vjkKs/69O9mpe/HHkXL7/pULNE dJ7I06mLOAgAZ2rgm6deIXxq2vyIBA4jtctk5PH8spKT14oej29nve3x/bqlC/5pEGsmIANVbYHn i8N4zIFrLm+nRFXpBDJJKKHOa/WvuseQJ0uqpfNIifQlOPWgwodLFFxBxl2UsH9q6N6gFEP35B63 pSSDRKxkIprLCdIM42bMLG1kC31gt1cg8kKKRb2tcouQSMMok+fCpe+mh5U6+Xh8CeiCALnn9ez5 FpcLQTlWqsuDNdA9saGuwDgQPqBtzsw9HHs+EN9OU6qV82GM5WJIUEN0kikk71vrgkdJ3zoYU7p8 k+qjGeHZNtNlzwnm9vgrlHac+cpU5Jy62kXHqe9DCbqj460knPQKzUCXwI7/scgSMeghI3IUZK8U 6eo0uQ39fT3W2ao1bD5gJV7/plPUp6HZ0Vw49jUG9Xf6W2hn0G7MQgvPtXVcfnWGWL32DUYTtvyA ju1qymF2SPaF59a23neqC3z/aVD0sMd3LdyzPPprdLK3SqdrGGKmIb1I94fweU1rzJwRKfq+wUQ+ iyM08gt1bPWVeq2gcVd6yHrWy6xWZHts9+MlV+txiby7EYCyl1J8KKBAoxSPzTXXVALODB748NOD HLkHyfkIoKFkzgWfGxAzDsKDKg02Q8M1/68TUsTUwB2GPKz01yil2MP1SAeQRQnZvIa2MZT4ttL3 G4blq2kLbzh+uhnR8xRg040L2eWN9/bRuMUfRZWgXThWnxJ0UZx4+8PSLh3KKW+SqCOZYwP4ZGIk 0zp/Y9cIz3PpB9ynp7gmymMV0mvUlaoHGa//jBdQhoEkSiX8fiKvI6L0sRqqMHfd986X/ZTpjbEl K7E/vbGgB9XwszJT+K8vnr/ZxqxIXOmONyaBQZfk+kkvMwHOOo8nf/JXC0uGIC2Y/D8u5plQoUGR 1wROA4wUYLlpWfKwhC3GaNBLDVkaHz+RHNL/TyAbk8ahRXaU1VZhp7hB4GZlyL0jtRcPcLPbP9Me X4eQX867bLARLdx+0ggrxnupU5lf/+wD7efAOnlcRy6teBt6RsucSWdE9ACIZ7oQE3ZqiQux3Jri u4nh9HhMt3tKazZoVKZPsRHQeJHRXdAcF42LyZr6+aQWVPzFajNG+NeW06H/z71//OWBvQZ/0/s6 73NzIIMyZskWF0AbgLRRw1N0mRZzVBcV/RAiqRI8QhW2Y8XP/lj5sg7ib6YLf6y0ry84mKGe5UXL d/Vi5sJ0wV8cYQrwZsTrB5ihH6dEQq63IFrAfl5uXlBQOPmx5nhOli84UG+3DZgWWW5vW9SO5ASf W3q5znOQZ9Sh6p16vKXVjNy4RkiKCC2ylc0xH903+nndIJThVNbK8Rtz+s19td2jD6c7tN18cKJl ZPuwqu3mWOrKgApfqkkcY471+zqjT0eG0Ctb10IV/FVaIrcuMUEuTT1BkXkuw2O1eb+4B7kodQru Mv1VpFIeX6HWeMjqJyXCu9sIlTvM5lIQ9S3nmVvSYssDlcxH80GsUSploBIdsEZ7memITNnA1MFq /RA2/cn1xs2tKMt+PzFh4Cj78JrcteuPw+Vg4VtnedyV+D3kUiYtB7Yhoz5QCCZ7ZPNICaTe5j9R zZB8MOicVRznwM+qyNgzMwHtcXujFBTqPcdsGJxVCjlK5eKVjEZPatrBqFZ3s864UX3dyu/DHHfc DLJjlYNcEdrJyylZ5d5rumxxDVCBg6GZPzV15dDmv4jELJnUF6k9Q5p/l9+XA8HAN1RQ6Ie+wF2h l20038GRggODDLYMQLDjQ4nGwg8TGJkX1uNe+xhbGFb8q0MW+1QtC9zZcAJrrr1jP+GSgTGb5XtM Vo5qI6Lj4izxdJsKWivo8PHzf0ZIiUNy7pl4psvo1EqLIV6TR5Zpk+UpP9NK+VWGcDfRp/2A9KUZ 8e1I/OlN8RWrD09LZRjzqURoz5L/XycPgjKnlstuO7RYPb/qelql/kVLm8ofmtQxjaktT7lEcTZF uj9i2ouz+EdTQ50Sse+tA3M8tFTOLWH1moxcx54WESwRZEZaZFE96VGIkcY8yJQN4NPuqR3I1K6/ HJYP92RV/ZNZhDkTxPfxMLUPvKVpsT6Acj6dley9uUVOObRCI5NjXCArcND5jJ0QYAv+hIN3gTw4 yXRQindl4QJsyKU/ESciMlxU0fldVOxCXxkcu729mVrE6LumYR/mQ7/r8skRsXNl8uAkLZrsYT6p P7cMv0OwZD4FSC+SdxJNN33ha1E8dC3iJHCnXktovLBUho9kTHeVgAvRLyeO4IeZ2j1sGmzKydhx +prFW4Dl0zhuSdUDbpQuXlaCW27IA6DxpwIbGQw2VHXGBH4BE96uygQzw6cpaEqHTmPuceMo8xT0 HFRpn2KtxSY5jmNsKutI5Qe9M2w+mMPYMZGgbtmnEqf235XONUcqnpV9rtv0op7G4JobpanGKnDS Mvzw+4x5QRFueb2g+QcvxOvKXwrt5jm4vbr4JbVr6rmio4GciGgNryJp5YrBHKInBAmCIca+Vf6y TM/Bfu99qYXSP4BNS+wjfuqplQCJpF2rODhkZOVCRPBF8CQhEeEPUiM4drP79xw28Jq/GWHElZZr iqHQuNKM6oanK6DN5G+Gy8PkQVWmVItyt6AAkYW7SoTyvvNw5qEW6Kr2sHNSgH6qgJNszXJv1CJS mB/nKlEFYWYKXp6G43qjmAq4flqmJDcFTFB7tsLn9cTQEJwDmfzOR4ZmWiUMRBR8K3jX9MBwY2ak QqHVo20SeLdfv6v1fABCp5m8nfpxbOJABfE4N5TplmStrIcjoiqckymoJsDCaQ1K7lEVkpYucKJS WQvoJsFFZId9w1dyMgPYQgDWYqDIQUjsLa9NasErkK7BfQMvi3bB31wHgHf9k28XqcRIz6Q05C9g syis3k92kfiz00qcW+myuX6g8dXn8mSH20/rqSeMY7Xfi04d3s6JENkc59T4ZPz3vL3U+qG0o3cP Gh+ql1SUIJ1OdOgpjk0BLXLsTomMI1Lyd3lWYQYprBO6j35Z+L3HXZp5vI22GMIZGHTEX1vtzZAH USp1GvB/jtxV3e3Mla7X4CmPC//iXnNHYk8dxIOXUoQlWqGtGzPhugkiDhCvRO+D8PINdmPijSHT 0q+woBZJAszTpxubN6ZoYHrdxnT0jAgdhA66QQ8W6N6bbWXcMcAcJg3aOww0G02kx06NGEmHP1PP 5eOriuHih3ZUxDxith5+jL/PhelMKsZGB6/0ZKw9Bok12ImCHm61vU0PS75x/msdX+/RsqgS4qIm MvabsOR3294RfKYMt3ijz16L6xBcg1Qp0JZP7oka81Zae2yXAwMtu0L1BQCC11Tp2xnZc0+tTBdt bBD9X3qyYgYG2c7CZNpQas1kLWTf6OGKF9G3tG8q21UwXuGUIqsL+GtxqDCVx4uGxAbhojhVqWZE 1pB4QtukeE+AMHOkbgWLIXbLP4tkJ4ceRillB4/Es0TmM5NhDnfOE+exP3UnbTRy+YskDSKrXi18 k0vnSFK00E5ceA9b1fhA1xxUD73hmV1chKGwZCOzDlRJPF4smRftUEdoN18rMowUf+rJLzdwkHUt DqNZ/5n/5oYz1T2X8BX5XGvBG2atbEp34wmI+Y6u9jLllbWNBxc3cLOCqKNJHmHeGRjP4plBNA1U ucCjnRr/HxIXrjuc3vRhlQBVTEASSxrPdyfcRdmIndHNGFQFshasrRSwOGy0cgjRv13Bkuv7VJ+Z uqRslUTC2PfblxUlMQZjHbexVWEHKJ72rUIYaAr1Q6nJ+asX95sMYhC3ScNjoJ8bjVtgIgVPQjzp 45sMd7T/+iIfLZmP/FB1NT1f35PJiJAzUg6h0ikuvsY3hhNM16BXc8JqS8tqsWfigH9a8/NRkVeq qx+qiEM3fjx8pb2yVTHgOTKFhT123hAnZu1fE+fvrkhya0Fm9lF6fITuhrAUXS1zdGb0gFDaZq3v 0Z0UiH001t0MYqMcpDfkf9SZhGDusxWV9XlZkyE4xlSiyWLRnFCHyh4bEXATQBImtNAtLw26RnY2 EssJASqZMJW+ygvbROnPSY+eB6YcQPBgU7hfl1sXUs7MQh3r/KYHNDSBH3wFwrh31oWBfNSD2b+m 6ypgFK4VY+XHZROv0G/hgA+yQ78jQtzBl46T6LcL+1VJXAJ9E6QKASZ3hFgXuLmIal1Bzwad2s6N hJPW2nMQdgXuk7TUUwgq6YDGRfmBvL0+YhFObuG5JcpqIRudcoECwtGTWj3ZTb/CKgRiyOFje5dn 2hIeJleAkR7abpjYThaZXlaDcKlXzhl4aNxoxGNY6gI5o/pC8RyV/24HdS/n9tAxFJWu5YnPoTr9 Z0E4FXHhWcd2Zpk/wGEdA62K4MEsc7adcSGirMf/aBU8LYiVtvL2VhHRbztQ/oW5FZ2BLz5Kq50g 7ibvKX6GAzIDGd3zvnBPmlbmQZY1lHIpGxAEmy4F40LwIUuf/+NXSgq8XE9Hlo/hdBYVcg42mVee G9CCbe/dMwOXqDFmNjgm4e5ugFTzN5Sm+dWe8GxxQ0zvjQCx1381//VQAK49x8cB3PqlOIRVuaXz 2l1YzW0lYrqr+bCXLQglDC5rL7edQGUu77cvK8+yyHfgmpgRnxnT6G0cKV8dlTrNdATIsCXbppFo 8vkWEUULD1orojZYS2q62o/vFt9/Xr/UhPWiaNyEVaYeJv1+R9XfTWugXKREjQ6aRy4PWCoYYHDU y/I+Xn7CNj4cl5umn2K3YBgx41g/VUAaTJVt9siiLLeFxNe+Vbi0rmLNoLcBoJklmXAynvyOGHe+ RByV/BMPbla7a0m5Zy/9NMV+jQOl40T7/XO55WjGplXhg2p7WWweNfprSZYUuVdPGxNOMss9s/js g6kHQHXrue0rPDsI2LxHJRFCpMlyGdOk8DqvUKfHIZS5dN7WzWcVUFIRLKzWcHg28zPraO7nilaO klWaZJpPLxzJ7XR5HonF87HYCYQc0PtkI2SInDsf0s/su+ddmWLhcGx1zcQcTWKv8J19I+b1yDSq JVfidWKu0yysuKbZwFr680dV4lOZH7urtHlHXrSAKYQtTMUHFmOE4VlVhiNEvO9/uB3dtospy9ag BUqVKXxHJzCprxC6DfETkT13DCztt17ByklqzNcnwh04Sd612s1zQgs/9h7c/yqC1X8D/km0JoAt 3KUfUoZdh+Q27I3ADdDjSCNnad68DX6c2QB68OuBCfM4jgCERIfctyrJl9pJuROMgVA75WRdDpF6 VHTHe/LvHtBDFgAH2zyGLIUTRkGgax3ExXvM9AnECkEhKsWVSA3Rqvwg2e4jrGU/ur+xsJba973A LWS/Woh3i+MOugTmZNVaIcDdIebr68mR25HcKwuG86as2TEbi51cGXbe0F5smrw5sUWQn5UQiyTj YtLlTm5afbCwSpckVUyQ/4C7OpdSjcz2avscZ6u8C91Q7HHFstuUAqpLXIlZLztnliLXx1rbG76z GJ8DF5PULTHG4FcC4v/+Pa1Hk6r/rv0hUWXBQLBbxnE665WTkMaxp4XraUJJu1wQ4fwtZh810pWY TJdI03BXL0Taemx3p3Y93nPWKMQPQ52v1SQc7Cdo0fVaFwS/G4JqNBE4vU3XbyoZ1mCPhwQ3lasv VRKapOxTnOxlQqqsdBP9CUXAWrgcvs8a4k8AbWSyykV3xvOEfJXA5J3xPx0Yh5weaoy0qByMY/xK ADzYhIbVHqB+YSgk/8/pNVceTkoZmTWJpNR7CAbImQe7AHBcaMntqoxeo8o0kTJIZqA30dNIHb/5 tGuscjDH8AEYAKZMhSrMjWxxF0KxkXNN5wVHn4m71aWd3J1z3HEvO+M0m1EO1gn8CP9mKTLyAbDQ Z7w47NDDqm+r8aZIu54dmjWWBS+fBaZrBOQXoq4LWa0Z9TfwlF9+P/G1YRJTPfLlF+mQqnamBkpe awe2ED/FjYh1OVBKayWki+Hqmsd0bs5A35m1payq4+j2FjJZ7I5xmrxyPHOFWj7P9u2EJUBgUpqe F2R0HEKD4HjXNaAi2qNm2s4tnvCYLlDYhA879B+cIh1Fte3l3NOBIf1VF8P0tNXSBsWbhPrwTotS lh2C3bs1xRIyuTEwbVmceENU5iA8GpVSCDDcs3c4rvdFm0UYizh00vyhLTjGmC55X2lKKzjYoKkz cX67yktCXYCg0nklR13YRohKdRrP6ck7ckkiU3cRLX9oHIByJgeRtUXWJTi3bcRMs1ToJTBDLedH 6wFkXjdyLTOJVVS+RbdE5spgAFkByNssxxNIeM1n1lgBlzSiVQpRijYUPgkR0tD0jzMrxAACStdL VvA+dbwmaH4MzjLiysa/hvet8WOaGdS+8zwrISg0ux81xKwh03houLMyQtSPL+9Y6F+chOJqhmpC LAxWpGs3v/G9ueBNov6Z5J4vQF9Qa4fTZnTiUrracYC28ywrTbAFcYyZCs3AQt2kCn8/QqLCtdiU YhT5XydLd2/vlL2SuvLIBFV3W/9M/E74niM4lXmeXzhYPsalzYiSWK24qAZY7afuHSyGK8CwR3v9 7LqmtYYsKsPJSDcnK/PaJgohOjP543OapOlsMjmCze38u50BckqPa8gsI6w/7uaRUrh62i/gMN6w v58r1nW+ZV7/+zbR7S8szfnBiEDaB8C0R5tNtGddNUhfl7Bmi4I8yrDW8EJQ+XWZDGfZSZaUptJM lBKmY/j98b6WftNrSbUc+jwqay6EdIM1ajgJVcuiFimC78k3tGLkeVfXpXko32lOgGs8KZ2LIdZp VrLimrKgRL38wbXbyU1utBALoPRcPrp2lr8B+N6bU0ThDBoVkKcRP72467vjVYjZRWWP2apitLxW C9A9/uogilAWmLqhTV3aV+fu1f6EUq7dG/M4Qh8rXh1Snbej6zEWjbnJrrjwJ5MrVRKrxf2zVXCY 2kRFUvBjR5C/RbsynfCz0630ZQYvNhROJEyCfoaRnhzXuLS5AGvlU9M3t/EDCNuJ0ehVlZkXyUht 60jYcx6yzgdL3AiLGbCUGV8dJnFKa1686V7/1yECb2fHbRLHS+OvbI5bTIgeC4YV9u0h0T9nhp2X UPogvrLtSxUA3C8WZREAojoyGqthaSgnbgTBho5LB7seiqlPehuHWns/I98CugyzvqgNRP3xYqtv zxQZKYg07N+8I82e4OsghX14B0GRHeoVQiWbj7EKkuGuNswugtCMcA08/zzHJyb9a5Jytad8pZSZ 3y+MU1T3M3KfEzYly4Wt/ngbf39AlEbIg3m0nsWtXVENzuIeaD6Ztd72HJRE7ZQni87IHq3sAdgK lMBYZIIljTWwF4loA+GwMxXUpPCWovvO3TcVxNaYq52zBhCQgUfF7fS1PUo8JW11dMSrDBtL+CLX J9Owz27usnp2jpWxU/jCDvu6gtix+YhGNLJdXrGvu1+05logvHoBoOEuxWmn29PsVumSqUCRYMvy vwpnMyknyKslUAJfVrjx8TPJxuMzF93CPSv1jkhDeA6MvySSm/iPsAO/zvnwrfQRZmLC0Q7BFwxs g2KxZfSdaTGWu3j4NHGB3H8t2KMeCAc5eud+K0MftwUO5ziHv0c7GFSgQugjyAzFELg2M5UAD6kd 8zRd0AgzqEkrbnslPHV9SrT6B0gWMWRjPAsKOND0Iai1bW3fU2JkXpgIzXmmaoruDuS5Zh5QwtZQ v41Eu0j7oa/PpgQUiGiwB7YibgX07QzKlFNkwwRRFlPwcev9X+ynwZ0j/nlHh0lYIYyj3LCNOBS1 3sVr13NmjWnJKZyUEcz2uMNFDx35YiwmUqp3iHVGmmdheywFcjaIx55a7EnvAM3uXa1jHR8wdoeg uTQLDbjHBdgWL/kQc++xcBFUvxCifcmSdLUx/6GE/G9IbO4qhzn6ZXRXyJZeUZFVzD7sEWWJqjQx 1n8F6cXUG+YSwDVUF9Arjqr6EDO0wxDkrIbf2mjsYzrgtGNQcQdGSLatnr89kFSmd9iR5e9+SYin pEjrkGZ5BKDZWQN2h5zpTp5Pf4k9PlGvDMkTaUFicyjS9GfmO1RIrISsdy9UU+RBMwRDM3uy5x7k EZRNC3SrVT/cnVHDc1RXQq/dXPKMeNqyOoSXdlxFWrhVN45fOOcKVO3hgXUcfVB/X27/tCUB8oPI 4mGiZrZUlFee7sSWEHuy36dzBEs3cfANl0lAYS/aYaFWkRjOJ9lZuM35Q0vpmRHbnSHGOxjz+wc8 YUXQNDv5fLPlW5d7LvfiHKy9WGuD4lQjU4Fq7c3j14o1fpndY16WzlsFLFzolQ0F7vdymssUQ0WU x25IiRuM4+x1F20wF7x17p4P0jEoLNNGAUE8irzIvhF0zmSOzX355UswzlnCJC1WuDxuv+dNtErg CUudUMQ7wiF/pYv1HE47bhiyQJzo4qvkxYbk+9WCn6C2GSKoW9jqkr4/W09g5uQ+HQIKT3PbGeiv M+KRYnnD96HmAGNU4QulgXZYDDTKR1aHAU4cazaTTLCXgoC0C5YejXevnk0spwY88tyPXMgwOy8U 2k6E8skpWN1rPeTbb9ZxoxTcZdCvCREHiLXNVog1AzAMUcfo1sX8I/9Ovd9an0QkBfoBzCZfnZ0y Ks7qOxXUDRLyewLRZBkmGXSijP/yHCE4+znaerWtklXykQrVPFr5jmQB53lE4Vh+VQsnYYSKtERh LgAaXDBiZktXREd6/3IdtCHFS2Dug7THgA/wcnYFsRi+UBsujBkQzlTeNhxVzF0iFB8hNXFTT5M1 c1TTZjqoimmdpFVjIwR4EKEAp+LdXK6cOUBL+CC1+e1ggPe75CnjzZzGySuuCYzLewyi2N4B4VET mT4p3xeznLsmDrQkOogcojj6RSj0p6dMhUlvfdiACtS179NTmeMGlJ+l4RDmeNo4/EaqsYGJ/G7q fOaE0rhqcyfhIJX4IoP9WZ/ucJNulew/cKZqnpXQt0y4+pyyd4payZieD2Kg5BrkGYXPkfsgBJZF K8An0rHlB/NUcwC3R8FXXNCctxPb+Q3cIM8b64zJqnLgjZz6jr9yIciVGpG/yFGO3/I5IgWtolZ1 KTYg+1RbqKCq/kbWcvq73KtMTxvQ/phTom8Tj+Fe2AlatY/atFqEWZdylfb9N/gUs+vUwNlKcptt luxMa5M1gvYxPdzr8HJdZso33ZACBVuvlVwBvlTOaRIwbaAyDUqLYbpr9aHBaJ+WLFrLfKlLRNu+ 3WLW1sWXrgZ5L4nsKkrRRrPrfnaS0h8AXz/58/Ve8ozCIlyVyFoartPVns4N97iqjKN1T0kAmFMR EdC49qGmzoxfZyGICCmneuha3nFgJqVrvlqUJBBJQ1/w93CWW0JySI42qtXiMe8OEKRw3xELRcrY Um4MjXNZR9vl/WJnQgHBgYMpBqm7qxi2cePiIy9/N/8IwTy0mYeu/aAnLIVIlUryMRlL8mgGHYPg ykNsxYXpRGJsa9SYe0TQdDmmkIFC29UTxwFOmujrZvk7ran2eDkPwGs82UZVAkYFGa4vwSC+XHnk 8KMtzx4hCDIe0bQDjfxXCjmeZyZL7NwYOYFieD90hSdwKiTxjwX42X9z1IPdwYzVSgPI+WWDGjy6 b9pfYpMlEmKh5u9ivxkCz/XcxT1Dz4GT1gx1lslV3Hp2tMmeLgcxlEHbhN7+S85xAWhzkAPDZq9x sCf2uH75PPRC2CA+Mcu+BEPcL+zjIUQ07anEM8JVYejuMDfnmOSmPs2pgmd4jebGTDsSQtvoKlWu W1KjHy/7HDaQWpGt5wKm1Zi7pWjRfpohdQX0+fZ25DFLts0QPXFu8FdRNdqP04h+1V98JNGB2YmW o4HaQyT1VU0pWXunP6krpRV9OHexTj8VQtImpt08RHEJSiU37KLOzn+bKeg2EIxRZrCCCrvNFdfq 80DfAy0UKS3ih7oEFWxh8DlMaGnVzm7XOxTEb4xaG9HOcoDamrWxy/gG7SYykCYxEke+fx61MhxH /EXTe7GxUyAygeoQ0SZ+819HZd32nAdtoLmZ84F/DI4St55NsJzPDSxlxt5VqipjSs0otxP0c92E lxbMN90M4Gw4XAKnWrjIcCBuiNs++AgG5DXWs6V25DfsD2jtU1mVw3w0vdPJ4IUpTtgooZE11QwF fwkwvOVO6xnv8jib3/9p5GNbAsE32f6hDSFiU1JfZLLgV4cx4sfDg04fuBVOergDjaqFmS9nrWBR G0PPgTbAFggc0wvMHAZfclCE2nyvNJx7eg/YnCCQiWxP8whUsQW7XZDlT/Wl7iglelNv1Jg0LcZF MoSBFfa62PZ3pblSVnJBvDvPj3TYbroLGL2q+NqmGpjeOT7MV9rssq0haDSPEuveDJb28mLJUJeM ASQ8pPL8fVyg1FlkTUmqn3bBbVLxC+RVQa9HdRgUjlOUpKoX3k+NuZ4m9TksY0FZRYR9o8rhHPjL 6iAJAngTwscgN5Ho001BdZM16fdkKoXlsxUFHJfqvWZqNZmbw0GyeQsMdVEyjw51Wt3S+hIOZGj9 YWvdLI9fhYEGXbBCPj/tYUV4DzKUfxrX94mHDeYKBeeYiAc8F6aW6Vao3/qPMzsVgIhCmW2WisXk Nf0j/5Tob9NCtEERAfGe1OaI7MAiHb/Bt/9aNR3agH89rofpyWNIc+haWCOHjQfu+u2Zw+lQR15T yM+hu25M+Zbhb699DHLwtEo/3SRpNydfglSR+8XC6ZS4WVjzg5nuID1oQKZK7mlTXMi0A8ceTqs+ V2WFaFvqIwLe0GuaLxBEw3GzzPFh03ZTYTNJXB3IaYd8Lz6QEt0sOSfRUOzRDl4roCRegc1XFUpS JnMP+aeIa/h5Jwk2e5DhAYvUqCoYN9vabKqjTYwLQ2EhqYuaWV94l96GHp7BjIITMyMHONt2cuJH wYVqJvOQMioqrrIha4k+oJIUN1lHda/fo/fPPZlouuKxi2t3p92PSOPCFwit9ZQUsWnTa0g5qSth Xk1yqwJweZxZxHx4co75WxpO2tMOgzHTfXwxjSkgmwYvEAjOvXfxvT4v4Uimqv05uDCOb3YWCmjE MPNvNA0CgBrlTH3qWx54/C2FOvdp5+RMNKpCfBbu2Cjd7pCVOdJRZfGYjBFhAamGAo1nYn+M8f7X Ygh8TXBRWKDTAk4G0hva5NwBSH8+ml1lUzStDkLuSQ9Vay5+sTkJRi1TlW7F4WXtsf/T5Dd47vnR hD4HRDcZoDt2mp2kDC/5YpNsvYx/ctZPzF9mhJgbMSXDv86+F0xAF5RtP5XkJOwYCirB7f7r12YG e08y63gRQRcIvNXnXZiK2lRPi5cEUdz0p2mErSQNAE9txVFvAfnbIeHYWEaoQZMrPpcL7Qb8uFhO 8m3QivvSYEbhGVO+Dc6q4j8UVmspZPmPS8wODEiDC6EeWrZqGxDYKHOtLp05kpmXWZM5+8GIb7eL UY3T8OkC7hrkf8vWIecIFazlom9977ZJxDQFRo5+Y8nGZHhwvHZlYNr7R+rT5amJ1ohajegYjmPr 2SpcnqGQnDf2eHCqQ8dDkDn3URilFtsQaxONA89Tvf4QgVTR8UYzl5befAcRKGsTEYPW3MSLyuFc esDHGhFF9c6m4JvpMUDcvXs9OJ6Fs7TGN4IA6cMqnzk+iNrQ9qXbO+6W0rPNL3bhw2bRXsjIqxjM lm48WeqMO3UevVqGjzeYIxxQ3/0qSmNrskTzc2TeGUnYIAllM1WcQBFFrnsf1tOn3R4YDFbEzgzU aXbRx+zVXPqYmFM15Dh6elKSP1rd/u7vg3n1ppE3wIlQQixBfsnRSikbk1OCruKnmtKX3FS+Jfrs 3Yo8NTxHXkf2TOtt9KGvNa/9Qdd4Sxk0JZztKDTDjnh8Ap0mgCeGLF0zgr4IUafj5vQkZi9GZ0Gy 8nHFIFljmhFV/r4PV9KqoNfqDaYOJg+r8AIXxoh0x0jYMngdmfdCFnjPPY3t4uxtTn4Rd6dBY4xm yj/KTQIJiS501hWS+j+62Z+gBO2v904VkVSrnaggdbCjRbL1S1ngb6pcuhlm1GPsRWsft8jYYBDT susVvGkVXGe6cXTN69itpoEWybjTVANdvlZYOu0jcPdmBXdet/1RiWRON0sKUyjt2y95p29KdNIS OxHLnrDTIpsro01uNSIpstHu6K2Ki8yPTMYj/kyYuazMfue9V9RlfMcG1QfKj9OHMa9UU84lEeQo PsCeRTt7RRCuew+6rVoHwiKTFD5RNhfjq4rtyJzj/nRXEDbidTgy/r7xigQx4aCncGasPChvDfMU uwSECVQClN5wzFrXa+9ieuCpi68st9RH321v4lB1trLJVGyjYmp73JRWNxScWb9h9etWpYs0Sk7J vA+BzFrcf6N4yfVJmGQgNzpouw+UpWiYLJ8duPxQAH6T182OEchw74F28pH6KJSExIYrmYqXtlos Nvt9V5CeWyBLA9+Uux2f6s3+n52Ms3y76GEAt5HL9UJUuTg3qmzqUAOSvmWkE1yNtjXXyxj/sPoT n15pDhKH9l/NMpHFr79fp4WYdEu01Nj4u5/mZ3LPcBM+5xM/SOFgfp755IOeDXWaIA30ZtBpVH9J 8189Zt9ajAxbqEFCSHr+eVY3lHZrL/h8xdJ9Z/yI+S9ligJd5YIJjyjyheuvpKAdvMQJHCBzEHI1 83J59WcO90FC4JSKd89I57uqf5JCzr0rKTWs18s6nYkubtxUoFRHSshxCfx1ZjEJ7zcIyCcrgURt Uim3HdGnlSXHMSiN6K5qIZc+ch5LXu/ga1mAgP8fTuU8VG0cQ+TISy7EQ2vX/dGzHaQVl3+SR+Wv PBu1GbJmzHtadcg8G/k4eBTSnsILbaqvtEorFftu1Wbs+rzB9a9zm6i5Vdx+IRHDtbOZzU4wi8CI qFg0KtKeItLAsEdumpZwJTd3/2SjQunXXbQRdj9GeQ+7sKAHwEnc5AsC0LmqZ3JvMz1pFYCviSmC t2AQdc6L+d39H3zoD603nstBc5ukwdcYaXfXTS+skmqi7CUhc1oLefHcp/k8c/6MGhvnG0qG2vsa iRrftHGgK+xv9P7yNwusl65CweFVjItAHhQkPbi7WHQr8/nhvU1TgArDnfTBE4ADaVTXTi3EZNCb 4vn/Jz4+cIUAftpU3SbUDrw4z/x9VANEDuOoY89EUDHEFzGNJmHERzFwnysBSWxNaIfzojmKJA5o YLtRr9C5yIVUKQmctVhkOB7iK2JubhY7Bm3xSLccixPyCPdZo9e63xK4+eRrqoBGTcJY2ZEEIH8k BUu0a9FrMZjnslP31Mru6BbR8Nc8lpz6W0bLROGlYC+pO6DKStq7X9nkJvJ24xRzV8XaxcE4wPA2 9geaC2r2gsBoCZp0kvlTYutVreaP14Ll7Zdb2qiFXbTj+N5PNoDRUsCfH/T7Wu4Zj6PKpzgqx2ic PDQhEBKSBiPBkzIvMbiWLdgX6BKoYT6Nlyu0+ay9e55UwWDX7j+XiEq5KUp2rhawev8wdaR9cHJo zGOqX58oEibB6CCbYHTaUC40vigyywIqsGjD4TwmQVUkDod+E+UyimbtEkAa6NvF7kNbhJ0blum8 k5CER4deEywSd/63oW4qGqd/JdhrNXXAgtkJRDmp8DUKLeHJXxaXW7SO3tXpfq9BeCVmfT0dbGTG jmXp//9Odb1wrBxJwltrVJKTY4LwLsvo9Ck4Us32vHucgXfSB86L/Uk2aIVORVWLsh3kZXpeZts0 wCaqylTdzZTI1oz1FMJhR16QE6fFQRq93mJBtbJHytNqm3zkYzKofFLW3ZvBt+74SK32j/F5Lbls 6kKEzzOfh+Rsd7xY/7Q/jePhrEXBFkztbw0eBV8yCW+Hdov7qoHAD4AvkF0FCQ/IZY/ZItz3LwTy lnj9SsmkgwVfkrBmoLPxXpOMGiU2RjK2Ddvl3Z2qj/knUxOnI/8GFFyC/mWqk3wjcexHsCFY/F2G akAB5q9L0WygyyvuyHnVzQwN+VbhwaXJQ0Rc7Y5fKkdoIeKeii9gAo18oG8PY5AttcH3+Ej/Oxlb oOgFEE0gnNGdEUQtHRyAl/jG5FdJHL3Z8bRbj0TrytrZhl5tSly88tySFjeawE7k+FJxL6Bl2q6o Yoz9B7rfAgyzt9UsK9Ck9v/1Ud3xUdIU19JU9Uf7C1vB0PqNrF55jndUhVaVku64dloboLV5q+Jk e+o1T3YaeUAcXz0ZtopCc6054PdM/dVuSIHqrF+hlxply/VYbR0Y6v8XvCv/32H2Y9NpF9GsyCv6 tdo3f7ArySwftO0GUDZPSNd6sn/XsowcbtMer7vgdMqKuZMuRGTGYed/DATh0af2y7Uc0XpGcT1g VwLWuD+bl0DRlOiEHD3vdcZXtP5X3RRTZqbW5IOEE4tFPR837Iy2XYe63ljn/xmAMTrXQ3/arvII xuY2UUS5aJ0n5wjObrPoOP8+/lyS87GMT/0cSkgmINMcUwvO0zj/gThWQxIR0t/NAkh6Y9eibJvU DobLih6n8QPjwlRE6OopdMnCDLmdPbIIIP2Ph4vIfzHSe24c3DyIkyKzhZCOv5HlZyHMeZSGm61H +zlSffSgNQFuEIq5JEU6U59PlcOVdERWrUtsCp/iO54afeRFayk9P1xHuVWC3u+Sp6Tr/x7g9lPn ByejBob7pRMqgtlNIYjzFkNXA9PJzEm+86VC2Ys4m8JW0CqeO0UpR1N0j4K1iGGExeYbaOViQvbd 7+5IxPeZr00KBaD0d8QqrbYhSKbn4CrRnWkKQe98Xyru7TYoL5SXboQFOAXelhbtFJGHkLy1O3vb rrGcefOV78hOem1muWjGEVBdrKtsPso9qq2++4vhuJIrlhfzup9XNVlBCVoT/2YxR5DIbGniMfy6 VbidVRXlMcxmLrWyR+V7SNxRqxoFRpE0Ex69tNLtBbvTZqxYrZZLiejkK1oHzu1p0/sniqGYrfLE OhBh7RmpqoBzz5HPVWwn67QPCprTHGQ+vGIkryrQFsqxtxjNrq7fNoTFHaBKW8RPq7WB/qE9R20Z G7xvSkiCexyTVJ0MwdALd2T/EWZr/vTJU4J9p+Su/izZ8gAhlfL5RmHO/+3zOsxv89mOM++wQgBx NMCCEoCNPbqjlAvFsm8hkAqiPCQnaymoumv8VQZcQ2HSnmBCzym0PltUJ9jf6wRWu+u1wBJB9zMh LVGkrHeapGM3SwvvdzFDD00Ml1NXODFSRrUlPRWDTRUJXMb1BcwqRj9S9t9TbugCfHL0qsZJ+CMS zQrETQghGP/6iGwGneoxsjLZU/sH28l2AhVbmBMFVPEh9xp52VfYyJ81FQsnd/Xh30JpWwayQlSY 71zugoeIACod/ZRI8Oa1qua0eJPt8cILMvjb5cWY8h0ClqUeAN3p+SJRuANpOosR421O8lsvhczP 4z8RTS9Eoj6iW69VxenLZDdw70HzLm8T9lmdU55FXdNYyKweOG/xKcGaxxZYbatbN2HVzEBwYakZ JqMRPxfnLBOT5aE16xAYedNH7qGeZFJTIQndD8F7aKmEq+CADYDFOT+c7ILYoE2C6V+F4NAyR6ZH wXETQm+nI73YtwbvAGYsQLcUHe8LAaSz6rXW68nb/OTszYZ2utH1Srz9q9nVC8QL7iqrIItDLCl8 FIRaIe08PH7JRE7GRz0Z8AkGy3p0LVB0XIjas5xDvQvECUi8s0+qPSpaPFrXejH3rJkFHRYA1IJ/ eAV10gNgtBBpByzGRhIM7IV8Ig/amAYi1pPTNYMZPgZHuqGIlKiXIcxwTgabDeCjvien3/ENvw0K 47cETUJSZPm9Tc+7NVsMnyihiDF/3xznmEq5zs90wiVyv2VFgQfpvlh9quwNq2RcnWvqDJ+OhUOK ces04AcShhzo9+Y8EU3f/Bof2Khy6gVrxkz0e2AbxwUwQdiwhyiygEn3i4ciwY5Sh2Io02uo0UCQ ZcuQ3KyMJLzReAqTpoVuj6wFyoJL7F/kHBFtnYPofTaq9T0qEyHfINCIVDT88rTcaY6ojzppnQVD QNOp+jHZYPbpVlCd3Khj4wR4JSz7RowOcWY8NY+hccJk1jSgd4YJHC4wrCP7U/m/floEsjW6HE0u 1D6h+vfkHQmV/sEY7lCv5qLzEYoQcxckpeo3QfPgv7G8xrgcNg4GIEqvmXGGAeIXloJXc/ZLM4a3 ue/zNtX7KkwQhxabo2de0EV5AyBZNRK0F5AQNMmJ8AJ0kxNFT8RnjT2DYHEkL3frx9ezJAHyf0NH iwz5vyNMJyCNe4LkPH7yA7ZlUVlG2H9gmb2BewUdoyikwk5GiB743q0JOHglmk4BZD3KnUaJ+3Yq CYRSvjpOEz1AWV+WCiT8LfKaUrKTpv0RVKm8StxAkJzrKrHt1utJOdd5gvO5wSfUkrjlDvW8SHYt nBbZaR6IEOKDmSJ9M7VVLPpq2RYmP+CfJTZPo6TBPXZKH6KLEdQtzZAoVvHbDRvPV8F1030FmIT0 jvHZ1hYqlaw+UVW9x1GK2mDxymJwxzG1oeZZ3iel+UO7JqRBf5rYS8s2MPHcI3/2t0fUlq8/wg1m eH9QQJ/qoRqZus6sby928wpiCLC8wKRgFi+8Gbkd1kvQtBzaJgh24p7DYjVLgIJdupM1Q7HbY5x9 +gb9yyixZWkNU25fcu2ORHx8eJnhLD+rc1TqGRi/jaxnygLgm3PIBXCfKKuR0Ytg+aSPdvlC+xvo 8IApLVfVo+DQU1jQ4iImQV0yLAdoCZUU9Bmf0aywQbKpdOw5mV5CYoFxVJXmMwjreo98Xe80wHHn ORo+6X+vQ3jwoB0e6UcAbJAlIdKAnrC2eUqqvlcoKu3+BEUgquKVyJS+IYUsFPWHQ0/xoyO/3AW8 DCPwauDnxG+L/R1D05LSV0gU7Pm+jMLnNjBsWjarjzIwXHYSlK1G1sDXWok1Q077bmr88ec7aaI1 7FjuEl3WfRdWHgUIee7KBIVPdUi0Vum7mweSN4xmuYYoVcW/7lYessKXE7B12E3DOJpNxz2v+5lz XBMnwGvhL0ZkzEO5aCD5eFUtyuOkrgmYhsnP6IxsxdieFTOw8cpJrKzcvYYx7w0E1AcrA+TtAaWw xjqwQJKTSDsWeoWVLs8WTqLy0iNCP4Fl+9vpWOeyse+uLMqrwgPd5zjNG2U5/ek3VFsk+DmQqkoj b1SdM+Cby+X5UN54gcj3sZV/ytqYOvAEM03wujd3Qo2xObCT9sUJ+5FmXQy5lZK3FqAQuEisPASD 6sJy5M+l4qnS8zgD8KoUhgiJ0ObyAG0edDNPc9/+P0eElg93mQpyulQgRjEQCuRBqObQTBl9zZbZ w5WPtFuQ7om28WMsphE0edrHo8IQvZ7B4efjfKeBX2oxe/F6ZhNZMIBdJTopxoOsN6P0DT+BjRnu 8ePg9Ny0jrCvvAL1aL8yl3LBZzTDYoYcREsexH/qZVElXw8MliR5yjBDAAspoeasu4cuMiMw3sLN IQFL1LUT1lbKXGCqNPTJZG+xNsVIDzt88XOQXCvfJ0BPz1BDyMPiOeO5F8yq+bxmjmzzzNl2wPVc /XeREPjemJOZQeOYAfJng7t6PSkmmk362+uS1mA4HI6IwZTfEBkc4UHZdZkxP6yQKR2IvFZJcp+j my8flNVCXkkHQnWtF61TO5ohQsSG9TMssrPOtruN/1uZ8dNVNdDwnXHSQnxC3rae6cVttHHg+O/t Sp6/CybVYvX5uituYeS2fnAUr7GO+hUru2cHyUCboQEMhyQVZRCL0uMbww8hgTBFiCfn4fFA0PCP xfxgW7yBg7i4BjksvepONYxha9ZvFn432wZNhRGZaZNk28RZJrrJ3f0ISPodfRfjNIxn/mW+58K/ 9YRVoGWJIKXGV/euP1S6XKXa6E56KiyFzoWhX928gKlrjr2oCgDhHs2fSwiZinjqqQrjBlMwdewg 5uBNKw3wfx/V0mNlW/Lu9zOKx8D/Fn3y1KnoWqfvVE1+upiMEcA1nkpvcy3YNBnxqY1AnYCfRxYQ /dkL6sQvupyiCvvrFVoeLzjsQHjLYs9tN1OPW987cRIIODsHRdGS3IUI11bnaJFoNr3DsKcXS9Ug LuGzTCfHy6q+YwWhNxbjoS9SMyow6vXOQYynkL8zW7XuF+E0GbkJmxFGoGV31y7m62vdiV6O1df9 6ebOGV/o/P2QvrBOK6ToPG5lsXMLVmuOmDH4on4lWnDpnirF3SRcBq3/NMXdagMcZN62sv/uBGYy gP97ujxfJg4ZJ4DCGKiLY6SFkS+qaDaFztEBZOPgNT0X+epWJuk20dJtH2R43Dc7WsGUYsCmMtqh zrdQiqWfMe/y8QU19yabrng4rpJNwXTe114zZicaFSxxiamLi3ktdj6c5czJCiaUey/o3wqVitus kRqb+R/7g0JC4HpAqvsXVyKEFwuO6xqU24HqrYiZuQ08kWfePnMMADPyuFKj0k0+enQBQ9AMwnxk sAXL3nCaW2lDUwjVRtomIQhaCh0ovOo6VJVNHkhinpOFAuCPe0XT9tYtunOwm+MCseOego7lMiCk b41jiJ536unCB5V9O7SmxSY7aCS9sChIUDVcT71CKwMeTDHKVxQ5K+8RyV95YwcQ2khG/M89ZvOj R5EF0iFjNUz6YVS9uGAdWc3O0YQaR6FOdKqwC3UqjwJmETb4oBubfwn6O3qfv7Eb1MKGJJETCAGr ephX5NkUOYdTZQuCmFtQI/dYs0qjCNPrjxVnJpBF9ssx+oPLLtJuKkhqIcPfMqhhomopEygumYw4 saOqdC3v3vAkme1aaXerd7PDomUwajX3nOLEecD4RKteZ/AodjjpCd09HTPlTeZgkhXYdjLDp0wB pleB54+UPGXiVam7Y+cIVRyfpK+hYUhElqjBmMMqzrMtzvOM3mBK59g/rKl6hvTWBEtR17XPzEbf Oo4I5ZsxP1oi0v+mOwW0LOZZTV14U+qQGcG4CHTZAx0GTD0qCWWajIXgz6JxjPctaAz1OO4RBJ9g HvxpfhewOriDUihfmZaRy9ZIGzfJQYDvzS3i8wH2K9JLc7g1b4cG/yWgh7MC63hGupPJLqUpkh+d WV6IUcRdFKStK+pglN2agP1f/zqyCHgnOy1ccgILbpSmH1AhTcaUUQzlpu80LMkOxv2Prmk6R+BS XjTpO+T9g8eEYM+6U7pCxzyrhHwjZigwyay68vOdKCynKYwWpqUae+pyzqFUMvtI+HygahSXd9G8 F4K0KxfL95Q4a+GhQw+sf6lWYAXsVngDhP9cpfAjKeTrkJnz7za7NO5KBEouoPKJRlQXK3eTWLe6 ceKLn0ZvXSEK2+VwYhdRJ1DBRur3wCElAYvXlsWglPDrswJkfKRiK1m+RgPeEfhtv1D/ujAyzPd0 DJXxJ9uUNcemyvzCiXueyB1halp9+eI5IqKh3XHsABlU2KhxPO6mUJBiCmYndKBCfMtCt0IBaC6y muKVFbYn7JeC16o5zlE06zeOXyQInjD5N33T4lSdInh2DU+6KlJxUJO0RjTmPwte3A61h+HjofCr MK7SpTYYzjb+8SnE+A/NlGlV9BBaPIRaBg2fMi4iaZcc6ar8cLMk6/MhHq7RMiQLfezjvLLdNFlx EYPAcui4YRfIcgpJS0QwNvy+3izA+mN6qbpWr8CoLTFDj0o8+EefpnCdl5vihXEbXTbLCmtAjFKz 4OVo8mRWvgTvTFndWdbehQb25qq3XOMXiIOqswHenN7d2N5++B6gCNQH9WAc1FsU4de+pvEgyBG4 Wj5ys+gwAT314DRDnQHXep5soE1+vnLQfa3X0z5bD1JT8ZFnhy3oqiCGoCY0j75yr8POAM0Nyi4t 38nO5B3UFfdGHoqr1qi5Xf9kG/QSsOPrZEOq01sMtgg3WRuYmHbGHajbx/hteb6G4eMDlkRyvG+w cbQKlTZzsvA0LOxwTNv+X+Lc/aBCrH45Eq32wAQtFmb8JOqnDwmKd8+WvZ5uk9ZYXqqxZrWHTm4k t5UKdZClxqG+Cdf2d9Ej2QSsmm9kUqvmgqNk9QRpolIDyhZxR7wjmF5VPu3gsC5/1NFC1DeEsb5l +SiOyR67OyUugeK19aqoZFDZbM8o2QS51DHBZRn5ozBQ+x3h0CMdHa5bc5MknaHDqquuQ/LBskU6 7Pj2W/mCHksi0AlPxnDnJg3BX7K2JtIe2GUsGNQkzH/H9Lhi2cuSxOv+fsDV8E8H3Umhk+Ks98ba 4RS28TvDiDAw3AdhdsJfS104EWlNeS6xkpfU6kECvOA0uwvqoZCzoRP7zayX0mFYBB5tUvsMaqbG FvSp2S8m+UwTLp9tUrdUxQ8eRt14VgGgvVRyEAvL4Qb0GfcUX4zt6Lg5VQ1n/XxfwT2rc+tRdRHF rO7BlqUyDt5CkW83QI21BBLy5CtTXWeX5svoav6uPJKHqczXWUl+GIzhJ2RlDPNcN0WztAejRL3M e5xMbZYZPuHk9Lg0Zi+cwsvXgFJXZJmlQY9ZkKWNx/j0E5J0Kz1CiYyUvzd0K6D/WqPpFrcTqob5 6oKzww7JPOsCOJ1Kl8Qi/vFizuYXyrxRCC1ejVvY1PxaRP/aA8+5oHIo3DQP13lW74p+fflLpAn6 j4ODO8iVGXsZjpfxvEAESVmLvBdeEuifTphnhGhh82JA3BBe5giPF8pLREQ9Y3+t8vrA0xGWwo1W LtsqWZjHl0zyv95biExWBZmk7MJD+wjTAtmabzWIHKd2qLAAElbmxSRHXsW+/XTGz0IFfLAZSzdG DRF92LMqejah5Ut9iLn9foSEsMWsmm0MoNEocnfNUEUhIiAHJ8oZUnvCPr+xnQbFlUP3FDgMkBdg Hgd0WWA9cUD2lIwjcQ7zbIQWU0krt8BsYxi3S3c4E5O7T0AGnUh+ZwS3+9FicdQmzbOXjX1i6ZkR yjkOPTApDp5Wv/kTzAUMb9Bng5rcm0vq6i9G01blgP1ZuwOOTDSMoqK78FurqtAbAERGHnTUWx3i rNIF/txoSVV4FhVDXrN/bm7fG2f+tTaW0c7BzBZ5QgJg5kORiDgO+yEqVxmB3I7ikjjqVjG4Opn0 0JGKfl7NTqVnjVRDRng2fKA2veVwI3NvqeSIkgQS+SNdRDBlwb/qOLnDTj3j5SjL2Nv5oOThTgO1 YuGu8otNEFWpSqH5FK/qwk9AMD9v9OrW4oe12ZDjIF+JLlxYp5Cx2YNpEVwDlOhc4hJNiMwjsrNx Q0hqDSyDLyfbTTYQzVoaKRzEX92ea7BDDcFCcI8c4ek2jzsJtk3plscs9wou1gmaEbF4pMLPWYDv 9Cs+sNvSifqLF3aRuVa4SZztL0SbJv299mbdBD/yQtvYGSdkS3oL82Bwf0xq11PUUU4fa7CHCPhS fMHayUtmHFS8Q/a/mRMJC+w5gZEcB8l0tGoypIRdhIXtDQ6PnXTRVUgi6id36iTVBTQk9Wpaa7di 6TM1cp/Bv4TmJJL1ZJ1SLhUZE87JEzvtrQoFO7X+DE33uPaaP2Vb7kL+5QeHC1r5yyJNMswdl+QE gyUA8/oup/QsLyPkSr3ijqFhzkoFbD28AqJwMcxllqVDAy1+XHef/4lKeoSyCxe/yak/kxVLjqb6 QBI4FL8P1ssRxEsoE2p6VYTi0/T1iPFYpZu2r74b+5zl1NOYsSky2tjrXcIr6pkbFASkY4/HnANq D+IK0DlCOG/8RMeA07EUxLPCUGYA2yvqgVmrW+1j1OkftxWbTTA8SphR4qU7JTeSC3GvfFB/oNy7 uPCgM3TewcMLCHeu6Z7arJn6KaGEZJPnxGpRNqACRi+S2o5WD7aBWdO8a4tdbYriD36rQk6CQoLg nCwdqz+S8d4w7s9YSWdivELPzDOgWvTpKhAY+sZwv97ixUNynDHUZ5L4gBfSlX7PqvDquROaF5fi z+Un9SP+iLX7M5jioSMlqP0wKRGKlQ4iMo/wmtVT/csponcXDpwi/xxaJ0TDOagg541fGJ7RpOQ8 ZkOq27PSLv0yUqxSE7tBJasGUXBc/OTBZMVIgncUvtNNbLAhq1KkqlEnJHVArlpJJpgO3jpa0XGz 8P6yh679KobDQAkfgwfoXoRll6V+xCTuxibX3XFRFJKpfY56+eZpmD9TZwkNaYJnbh5r537dg2x9 EQZ3fx29YllSz3/9OMkz6M+sSqOKIBZWgQkQhB7Ulm15JAn3Re/Fuasmu22ggFgecO9RQYPbcZ1D DkvHsD7lD0fsuhREUsyWAbfmqSOyx91foCd1PILWNZx8Hfhk5UcAfUN3/Sv1cRy+w6Oxk67i+DDn S4giS+NgdOOQB8m8x/Bx766At9og7vx/F8SMYfJYLbbt3rs1jRgccwjCqywWm/PHbLnGz05rap7y /l8utDGkByZSaO5h2elTnZPYV/qWSjaMVyQV6WLv46+w6coW2gPLF+FYb6wJY8W3snv1JZ/xsI6r Td47Zy+DwQAskcuLF8aiTGA3WZK5JcgCpfliZ9d+k9zEjw9WCsi6SV16Dh0U6M0gwBxObbCS5vAa pR83jGqIMz93HihmwqWUiaMsYQ5X+WpshbPBwhobjKdY8uEWV8ATMm/n0+oBfoRjvLD8vJiY5cK7 S3Y15NpTD/A351EMbAxSCeJYyPwv+mK27E1LCd/Lz8BEoXbOop4eGj9xUhAoFQcb5M9XbW8bBzY+ a15hhJ8JCPWcBdHRZeEyEX19edX71xYdxzeOYrMOSvTsqRiXk2IMDSoUZOTSidsDh2cmdOGMN2nw DAJivkkN8/B4Tk7+9wqucizQI4UKcqpAsYzfAQWVitko3RvXvUEk1YzObGuuujPPqyYnG8lXpcLw 6SYiyEUA6KCfSl+lBfKwuH+Z+36PXkwRfapsjV0oyTtODaWav9xY4c+e/yQkGAkt4CBppNx85aow HWmZxs68a7wDxTuaUxDdIx5jpVClobV/5hF7i87QwcTIvJeZ/UFW8vys3wDwCXsWz+Y67o5MATve EhDSdCPiEQ78enTHc/LmHmEp/3IooXMb8YKgnKPMcNcKQrOecdqAVPr0JnRsUWi6KxbFOaMOXqpN ygvmBBcJMKgiScp80Aejl5zCsVM+X8HRThtZcGaYtRBw5bCVvmpS1Tr4dGFLlIOKdsKg4NeOMLx0 TPbxv3knFNamKTEII67wNcs+/VklrLaZrFJx9MifNaI6jjBI0nxECNCsxQVOJKj1Ijw9221ptt+K xa29ZSvKiRhX5sHOMU8ijevZ2RNiayknOlmx8XBO0MUwrMgOXevuuQUCyCRprIgch44jlnIuNrFn LHiGPCmS/cra29EFxH6z3bo3FqTU6vAmG9V0raTq1stK8KH+tZP10vgDWl3syPGDHQr20uiG2IDn S8bCKyOMHBZ4UfLo/MJP5kICrVmwrkDbEsVMdxbOqZuh8+5XU2ID1qRczlXvm2z6wiIPOwIF13uM MQmtBUXjyuwDpeFyysXn8Cd41xOFzWO4LPp7mD27TsuWxRzNWYCnuoTdp3NnTE2igdksIAxzxVKX U/0YizR48SpONmpvRicR5fgtABZBfYWrNnNIfbPQruxItwepjkBUV466jRL+0bNCEHi5xhjwjl5H d/EqwCDEkG35PGZt6ex2a8d4HUHKqpVrSVMmixQYZiNr+6d5+Z7oIu4DlAm81cZALUhZS/Y7vO79 eFJ9+K1XtLfm8jXppWquJAUVLhEWsT8Qg6CVD9l/7Do2hqIUxZtPxKqejTY3RIAizjdoDgSxKa4P O24k4C7vEudU+DbmcCudemtEAb2LqhRwG2VCyJwZt8BnbdDTl3bZVMMVT3o/Cu2T59NwNuRAXqtN uSQEI+TNrgZnjEcMOFbPEo9ZcQO1VFK0f4iSo378S7XnBC0J2pzLUY3GjDwAhF1ATAz2eeehES7Z xL9j+TpfMr6mA26Jcl7DIyynPGoCnnChY/qUbqrKIYDG6CNI3idVmRo7V4LdZQ0RDHcpVvrn0FpX fkQOjhzgsQ0oqDcy+jP7ZwEhJanPFJe2vND6lEh/fg4/x1o+VpqQhTkYg2xECdgvt1KwNbq/dVCS MtpgiY+syfAfHggmWFxv9fN8Rl3Iz8ovbf1pm6a4VtbON5WNSLy5VD4WMRCCdtB238vpKPu1yT/i +zLdYSB3/vby674eKn4csCdZamwO9mZZ+JQfcd1C+tN8Z/+KlnsWBFSJlll0R1mHgVaKBURdk9Id F0WCRyqTcGYRlQ0MjNkrcsbkQ5haR7BmGS4rweVGy3OROqDrRwr+CHlLaOIGwlHweT+Ouc8fUF5u /0Ge/992MWHs5HvqS724gHmiuo0VK9pa1AmRNHzSVhCq57hNvissGiH3NgA50IfsgHZvRurx9B86 TQCECFIktCz5h70AplqONJEts8VjIJYFos/xKE6KUlto9lhtLQqZcpeXDktj4MVuZfy6DJfEwvXZ HT2JmQ6ObViOyiLWGOsukFoZnLn0QoCKGVpF/ngy42l17LzzbLJi2ecBSBr1fShgCU+IOHyZJl2M UIWJ6KrY6FFiouNNLiyC26OHOOWV/g68TEXYwkWDPqttronIB7kB9+reQG+nk9L3JfqNCdXRcS7b LV+OubM1c7GxquXSKwSb/4BhLjHUhxX9TKljlh+UJ48RNuI9sLSb2pODLcyMHkBk589vIY2+W1xw PZkmlpLDSe8QJ3MJMJ7iB5hv2oGUfQBov6doOb2FQqUEiz3+uv31L8iPxvxnFsjn8vtm7y70Vki3 v5gxcuzwBF6fhrECQ6sDmANRS8T9AeUuinCjgTbL6TtgIZSxqTw6WDAHtIyNQI9PFOaHzNBjuHLN 8W+h/Z8+7snHS8vYjwul30fBWXm134jMptEOYq2KjM+Xa9q9qGakddbYTgBfxuUwSSrCZWE6mpWn 2EqHMcbXhu6pu3jdmxPrbZFmobxF5oftHwdVkroxjA61UpswamgE6VLzcLaGENwgG+2l55uPXF3k GOyIb4WzLnDK1kUjNMlBR/cLd/RDYkxryMYZ3tEIb/6hZ9kEqAVr9H37mg1LMM5NIR575VC53w9P +pVWMDGNgpprvpf2/PFXHJqsJdmFxjpEYjrsJPMzojbJnNFcDZJeEcF7CMBnUko+Pu8ESkcH13pP H+QjPv/BsRKwP/LkMBkIBUl2wngxT8eblskAQ5V8XY1SjJeSu/YemM0djI93XZqZQGRigPadMLLJ hnKu3VdXg6MvVO7rW0Zk2Oe24ZsjYGiP6vcl4hGZZRbV/MN2DdsfotARY3PsoND3cWcevXifCQV5 KEUWVCZJzz+Y6gKfbHMq3GvEvgyhu6fnIWZxOnNf1co9D9pz0LkC8NjZHwtZhmOTB4eQmUP37l1I IunSOrkJmO94YWbUBCwkXlJKrTPErOdzeC2DJ4gZ8IDwR6kHjCYN3pq0TiOHeBo+2JpKYsMGTDjq RG1YdVM+drSmdBFBnPa1XyfqmfuTrZGozQ50bKTW8QoWftVE5VNfEozUExE6IWzrr/KRKykve6Lo loY+GQn2/Tuhaiwl4rtPK5tP/wEW00lIbI7kj3FOvgXIwcudcf6oZGACS688Q2J2eCwH/FZb+mSS YFKXEsx89Iw6qdA9idTQXwukHwGMGCQ0vkSPo4RiBHXW1ceef44uY6OwW+AkZIsZiChp87wrphbn 6frL786HWgcEVGAVoyDwr/y6+iyaID9CXXcZKOQkNG6+5Js0wJY4u9fD8wfvjFf02lhHtteFOyE9 m+dgFEg5NNDJnhfdmhpESMpIkL1pGjf2DGX2uYoSe7z5TUPNSyNDKd+y+HnRfkliHedpQ3g3Hm/s sfjGM2VfF4VUAoBoicjkCCNh2fvr6YPMWwVxf4WsHYCaskTCd/Vz/HHoKCU35EKxURcAkeZPfAu2 a3FqpGoztvfOg72ZWzWbZ3RZa6KgyFT6XxqkTzjsKO3quktDXROpXP1rbtRjAjGEyP7pwOcF/7kW afIe9plCBO/cA2q4NkfYt4RoNav2MHy+nYOtiaLaNs9FYER+Z2AF9DPNWB9r/Ba7HibRr5vJ+Ozq UUodmYIpSQK8Z3zNvIoTpvmRJHAliSPAyNtFHxCNpNEaBMlEGYysWpnaG/7ss7GrgGndxz2AEqPC uGDgI7hCImzEdvIpOg6jnL6k9UL7pD4ZQtV6Bvv9IyukiWQSvNhdpjpIkNsVP+kLQ9ozhN1QJZhZ 8Ils79bjDcKKpcTpvbDbAsONvYDrB9GjeMSWzrWUeNzMVFwINoBBBS9SDn3OCYPdSvcahUBJA2Am YyLuheJwFpBm94Gq6vH9fsemmkSeE25NLtvYGWSLGEu43Kjvt1/jnox+cI5OhZ02F/S9xoZnNT/z 0Nb2cVIITEygvvV0c1odr35lsdBcJ9Qau4e3DpICpFu07L6dvxMIV8xzF7WQ+jyr98leiushc/Bh 8LqP5awOt83dXs5p5rxmBaGWmIRKkBecT2jd3qdJjT7oXo5l4KJLbWdo+Z44KVcsbyqPUTAsSgc9 RHuoiUwF8S+lladq8DDY4vu1WLaHsvX2ZVcvfxk6zE+0VMYTmUYEH+JvDSDfOQHjWp10jVDKirSd mTpVyRM1ShTw+Domcb/Re6xmJBpDno2CUSgKQPm3M2Y8+3hUXbTUdLyYGKSzkfEplsYVWdL4BvrA Z2rmvPmCw8K/6Px8jd0g9JhAQWlZrBKHSbX87cgpSmR705dMo/x38ebCW6L3KwkZ6a4qufxnyXyD QPQgcL9b7hIsfYgLtbl7/ug5nDm+myJeGEwraq7W85PkvKiDGbXPtE4gGHCC3pXYCChQdXEUGFbd SA/aJnE0M7qgiTdJW9Hn+ctYcixB9DiRAAKHX3QkYlS2WIZ5C6gc4oa1zVsGBs+D+SWro7ge6ocr CO94jtwURDEpX83Ec/VrFtB6r5fKNhqHSWJIbGDu/lHC94sdT2p9KMEae/+b8j4/5mPm+1m3m4L9 aa40k2927yKEN4nt4c0WmIKgZYJDPnfXmdYALt1mnWHgswvUgzt/hazTjfoGZurGGs6bDuD3O6Nf 8XePMbGwmrihMKn7w6hXEfjDw0BxPMJ7F1J0t72J284EHqTuyXW5Q51l5Bje1UCKhiKdocx+2Q3c xZKaiPmREYUJU2WdDpu9BYujswk7I4+HiWTApSKVAC6si+hqOcAtEdC5TjOvaEVWp3nqcfpzSaTX jLu4fhHL2/rr0ncSG2trjYKPJcAa+S2PbNu9majUPBIxp+Sva+CgKv8x7V7ZmC6yfMBswYU+wTZr qtIKXBSizewcMakkwdf0VykTmGaGiXWHfUmDgsgmF+S09V035hBkvSUFlF34uyRhnl2TZ54Z7/tJ 4UcMJPzoPKhStB/i9Sa4muT6Is8SYnIjKZVoFxnmtgCCfWMHhL2/y2PCgXVv00Qb5Bgp2/gitgOR 7LxQg1L6Mb9jhZRrq7Ssyv2P9Ho66+4NDV+3MxtEeiSSRjnDY6RcvHBNfVQKBf+Uem8YCxB1VIiz XPxmwBb1OXNFama+0MYRh+xvLA62Mn5SA454MjZU/F3F+uVmgGy1PxyO4NXWf+ytPG1smdODfq3x hdnGslsL/9mu8TSeTB8S7zHv/vIJUyDEALJ2bCFcCEojmjcjKoz/31v89MqEB4LJKaIOMc0OCpjM Y4mcbn59m/fvVhIYxZfcrYEmg6quiyDOXWH8qblNgPMV+ddYv5B5lLlEZXnloWUzIaiMxDBh690t nSkzAvy7NxFPym7b73xzOUjQE5mI1ao4jO2VLD9aYT3NO9xhf7B7AATCalvAbzTFk+yxdPu2JA96 /8ukR4+oEW3CA8SBSWCt1ypz+bfkt/e+0mjigvAIGDPxgysErtHP0Vcd3xg6K4mndUEDnLTk7Zsg j+KqXnQxUJzTZKc36t/slgrR/9mhk/8Co7I6bhB3op2jfufCA/dKDC2cnFjr80ofn2m102H7V/qM YgrFhbvwzyawNnoHJneq7Xp/kHrRF77x8I7V0avOoxhqMrY7MAcmSAMmecWZSfNo+hEMahb8pRyn LZT9aTyDeIWbBc+niUsgFHvzVPP+04ZGv3Ts1W6m+qynOWoU4BFaFd8CvYlJam6Xbmoq5HSQe5bX 7zeic82e6h5XARkc6IhK9VSSMH5pWoz9wMwfTyfyUTPIdN5yfOq2exOclBD/cvNOfU/36H4FePuV R64A9XcZpUrSUqFBPaI9c8reKd5Sx69gjxISaN9RBRRQuppH7HpGkF6cmG+ksxqjoICIEhjg453M YMP6WgqE1J6ksFjldtPAhk7eCHWt2pjB70vYqAM9/kYYwNfY0m/RIGeXccDS3I1m97rgxCuT3yIY OpDbzIra9L7gjeclYbLE8yYEnIid9aHqJxKPuWhpC7wKj+pvpoCAiX9QLztVR+udRiX2NZRyp2S9 uD9krIcKOg5813sl21slKb7vfQrh0ppko1mQjGkXXUs64w6PEGn5u6ctFbcWB1QBKI+zqIO+WzkH w4V9WERm/6cRqV4pnM4rYNh/hhQf+S3f7v+eKs8OF/8JP6/Rc2J8hkMLqy8ftnMLkvc0yuGdFNT/ zBVKA9u5S8FlkrclGd1uiRMApioA5SiMaEunGanTf2DL7t7SCqRaJGxPQcan8E2xWVirtBLR71YE Z6NRaRnKJ2GcK9xxdflm5bXC2pVarccYvyh0tjtbondKW0KLG1iEylckQZu2b+puWstm95/WXjwh zTQm/+9bRy/ekhBG//FzkOZP0FBqu0ertE1rJpGVGRHBZq6g+NoDXldGG2pmHFhIzjGuLaxYrR8F 2pli9ySG+ls4DRYeajWVP4qMhEd61Wm8cLrmVC3AxBWJkL3Pu/VN3yfM4XRn2kvBg8cOM99slC9y ycM/ZJQrvPtX+m3RetDm5+JB0Nom9C1XWG/7qujxW1aYqwll+QyJ2d3l5/msYu8S7zXFHx0FX7Om BdNwO5HNN4bAHJu4irjtDUZqIDBYlaLKL5a0BRZaDxRl7+rk9FtXpNYsSZeQZvWoC4wXRykiA/ud p7T1pYMKg75c0YWaAMVYc9MPnIeX+Oi7JtZTB8DY9Qtu24w1c6yy+CTQEBwuaXyJDTSWckEVQLCx pF7dlrqkBZyfOIDC/sEUgQUP+dfz6Vxgas9uBT7BRTrqR65XzwKUM4MYrP22PHuRggruxPqz82pW UXsEHjI4MGyHcUAJGN8vxq+erIDMxpq+TOZ0Go/bkuVvwK0YzlMz/zBAa5qJ5/V6OkGe1bG+7DV5 H1YWVodA5eEcXmHaIM+EmOwWPGV9NcLb6fq30bAB5Y7exwv63bCVxqLMDpthS+BpBKeeZBAaidCu ftyKtrg6j/QNPzhTOA4YBaIzFwUKpl5D2MxAKuzbzc/VadTo8teqECWxIkJSly3sx8rk46b6SUOC AqR1maz+O9GrXIF5c3yxA7UVk2hC2xu1iKcZl95xpkR8GDoWwKNZuObgepslnCV0lxcGsbF9NSsg Ae2X5skhYUrz/95lIkb/ABa8Bd1d1OVBm3ux7AQns44fw7ptpjLqzIVPJzDXjW1mhvLiME0YgTPl BnC29+eHjwwiviPemOvd7thEgvVd6oCxwggDuceXHgJ5ic6RGf3d2aGyegeG47g4fFgHKrXaWX6Z LQJfO8hrAC+nsGnztPmiBdnnj0pXQRbomQOZJAlqy3kTE/aDMUfesrYIBd8M5Usza9nLewnq2Mgq SejbrVekBWkqTmBu6mozWWheCS1YEbHtI6MQjjmhKG+oit5/FQZwFtyts/vWecJSgA5itzOTW5cO xePR9mJgitZ95JnSSSksIf1UIsBWUVJSReSCAUL71eHBfimc+J/OqztU8aF60MUJ2VMAkORO5yWD hsn+YqiHJ4qnzadDJ/1bQ9nXLe3tMRhuBmTLOxU9J66vcjNOJrmtW1nFybUizXEo2sV0azqHlzH4 Ayhek5WTMMaViWZwAi87bBfphCEaSkDhEFEZDE67wGHaWpEdThYfR/JV77rpu+5w+NYBFZojAPuy E+aqdc39z0Bl5qH6RJprLajFvCo8RPkcmnHu6Ls896mil1MlWAoUe/DKGNe82jWFsHEsoWKr3fXB tbLazRezQQh3Yp/J7ogrSHGgHOLo58/xLR2xOWNDjLGt3rEk00lqqqmkXFMI8KPdwnhraGeaS/RN pFAypCJ/JpIxsLG0G7AEU4IjGGCURCIvYuhcDblsbkooGbM6yUrutzTAO+NRk3ItgNJZtVAbzxT2 i5Dpr9golyMWSSkXSZpG1VF9HX02CYn0uNObddVbHWJWXoZLoLDi8BH5DtQNr1eey+ICzHW0fYnl TJN2rpBiW5lo/tmDWjgxxEO2aaO325k1N7lU4KEIFp1AozMCqvIMiBaFXQVNoyXZrlMBd0R/DdKK VChA7zMmHB9DBs/eQkJEnmJvqfj2EYbR3WKaq4dgL2DxbZe+vlyC0rCDdOWcYN35ddqVH9JuJ1ak po4Px2u0QvUe83adha1EhanzzZM5fCW4uorPiqRGRFZNSUfUqF7Z+x8Q2vxuhhTgMNTeodGIwC+X J7LWmCjTw8V8kLc1W86CoabnNh/MqUL10pvN665w8x8GkYiUK81rRSZPv3PDERwlYvoqDHZzB5+z Uv4nADsr7z7uUS4fb0wHjjS1mSKq7G04m1VDSaQrSRJSN5JX8etRIpUMosnv+iNMYWFSadQrbjAD RwxDLQFPvWPTusX56meoHApEt3GG9wxHSz6C6eYrRRqzU/JBOmX2GPn111XylGngpDXZi0NClR95 ZVIScHG9gcfXRaWn9c+tnPfAM7GGFDJBh8FIB1R3z9gJZoIJw2sd7evHp+AlOL4+qGupXgUrYlPM IqWgFld2zU9J5dTlMDlVxjYI+WXT/gOh+CRklhfMYrqLiIEMUog+8wQ83ONwmkz+rKh2jcC4/dYC UaafEhv6oImDGHz/BTLlLjI7HUINSka1NyGHdwR9xRZJuab/1NhO6P+eGuycOls345MNsCETsbrD Rq56X4cUH+GuasLHPyP2cvwPDYsyJYcg/fMERsHuqblJVL5OJYBLIImI1Di3ekHPAHAMdHs5X7tZ gfSUS72TIptU2976rXLYzNESdajwdjdiHRpgNg/8m603KEpowSK999ho1UYIEbQJLvfdqBOsp5BB SLZTrtUu4zTH6EVcLSgOYcLms1Kz2u+f39zG3otamLoQZUVRoB+qeg8+H4PPyEmMBrK+U27djwKE 1UR5AuF1wSAp9rFd9gQ5ifJvdyS32OMEkGpG5UFo3Q08+I+3jr4nL4VqzVbbd/Jq2tH38hd5zQVS vFe8qzaYnUXmyCBU4DlUnjMh7OULmAD7eFDSHjhSU6LnG4ei9nsExMJPaNbCz2EcKAWKreEtjHKS zuOqet9Zlhmy4WZNhLTinvLRExVZf/mF8WWZDtg27rAAFuZgnX/+NhJ3KN/WAkL46LB+CsJWmSev IlnR4tVu230xw/VweXZ5pVVqzgSh+qoqxcHiih6KZbrmgr21O6X5JOHsSNSMcjMlmoRz+SAgnBRT Yntb5lAImhhe/c4m3B6xA0hB65+S4Q6DTdFqCz/inFnJMLI2FSnL34DxW6/N+KjVEafltBOdHDQK V4vsQJ1wZFWLxxUhByNRvlvpX4gqzm3+zMhSamJDqiY4JgR/xxrV2mr5KtiamueNhahflFkl4+Qb HfgLMugkvH8LeukIXvx0QrdJQj5QVkKGeoEreSI2TTncXfXAx0FSVlxZvdxEYqn90ZrGuGsGpa3A A9c5LcGz8YyTg/HvnupYwui7xu/y7/9J/X7PTn3cOJPo1XALchmqFauORtbnKmQpMD4o6lyBKT0p pkGBogaD5e0Jvd4/anasAD+U3rRJOx2GgjMangzfsxSHL+uymK5vjTDIY5IzJfpmKX41rM4Z0DVV trXTW7R/9PcL6c9YJ3o8eT378atpGj7HghSwzn/1cqqXsmCIcXPm5b/7MKrr6QEb6qkGxjlXrd8I 8KLLvgr2LdxzzxzIOYQWDrwO+hV/wedNQ0f5yk55XfhNIUMuT1YUegPugqUZGoSU4tdn5ZAeWokj 7ReT2FC0jRPDKnl2cXAlcYlOhlBvX4/MdrqyHDsXYT8uYSkQELcAIlMVvu00QgtTZTY+qzz+Pwzw oXt2ksKJfYMD69lUggqCpiASt988dEida9Vnm8Z0P61XuaeXsQXa6vEE0G91JsB3AIZ82ZikYmij g+oMsBfhv5rgxan8dJrsgEc6IRzju6tTkzW6cE90biveuwJ/pK56CcrdlmO9+b7+VGNU5HgNeJJg dFf9ZZYv/7AWYdJlAmRVcsdk+zIHZjbBx8a+GNbo76K+0kcgPONM68+vJ6u1J0EtZdyUnmtoymZs YduOnG333Pld3c4nggSstbMnIUd/5LBjxlRNDTRH0+3kq1XVaxjGgfsOP8Yq0zpq7cnVhpWMRgw9 FbqzeXjlSZBIVOvDeH3UPEeXAlXCRiCTrChzNgKHdIH8IGwan1auVUKpE79QGwp3O/o5zEETi0Gi pHEC1FE8BlPlCtaRr8YMZxO4RnuTXQoCws0ocIFlxwj7MqxczHeYFea/pxKsRSGuy+sNyPkF3TlR xB1Q0HQ/0Q5XJIK+F0K44llNpVS+f5y8JjScEYjORoH40aGDgjfm1Y1GbVTOY81sdLW5sHq0XgZN 7ixzc7bDnsqfDAXk0BJC5IPrLIA2pDhheCHdj1qUPH3yVgcGLQrZ+47Q/bu8RFGyNk79mRyMW0io kjxc5nANaUTdWN/+xfqWfMCFsIZ8uUZveRzFsrj+9WBoB8+4+k7vuKuzte3s7vSdY39iRonGXwOs TqQz5VlwEwcwp4AxkL5EMA6dPCfHFccxevOYBsN/2OFxtmyyqXCEyI9uxp1MOj4CYUF9424iDK5V LdlNZqlZFOYTkKcYV6erY9Q+fOcGk2LWJX/FIPrc9wMnGzM+c+NREBK5NHxlU+CyoS43LcToQhVD Bl0zdgI06lKMg+XpthKhdLQmeqXgLzztxKGW8KN1oZHGu91rHsGhraz5i3ESQN/zSVriFB32EJwC bsKB081U5S8gS36Ai3lNNhkcQDT2MkTNeWAkXWbOhSD1D6H4drYCOMHQ2vZhB23UhA6bQ2LXQrNP k5938bkwcttv0L8gDjwgfj2xVZvDiqbxcx3uymrszejnFizbVZNa4DZg5pmdFG0bQES0/H7gzHRA dxVkA4HvlJ2/NPi74Su6lV82WWNQeobUYJ2ApRyR86YEAmWWEHA1BQ+iOrt+GqAgzoir4/8GrZv0 vnOS1UYBAWUx5vAYd06T753BnmXE43j0VYfe6YdRrN2NU0CSM4+wqADWAe12tVC/OrWTaEHJS5zn 3wiio8Bh5BC3rB/Grel3Sccq4DrIAnEy1FAMHE6a7g6BJcXk1lY9PRnB6InU9YhCWTCyARSX+NU+ kxZBrR0wrxRJuFLWeQ+jag/ZZjA5Oxj1Gj8qtB7VXqMKXdBNfbLq8uwmo7TGdoQMP2UCMeXTyJ7P Wq7Am28DpX/eqF0+/oGg7YJwVhALsyS7hkRV3V4LG841eTY/PY8ZcQA/eBgQ51SuiMx4WMe77dkl JffP8twtNOk2IIbjthUeJQiNkr9duQOcsDRTD0DBGzKrKbRnywu4NIWwGVpYsr1DCwyEWA20XQ4d HxDHSQoMVH53/hexBEYt8/+zW5lCWY2qY5TeiIUTNosCVfG/kWTvtf6rgWR0YWX/MlXF2lsiXFJY JwemEWa4kZFrRqzzHPq0MYesB1Em0X8P8Atg1PwxAWZov69zbs1C2344+/h+eM0R9ykLxki55Fln 2p21bdIeZGM1RFKKZNYsQDVDJPF9u1HVpBwbI1hX1F8gkx4qYSqIegFt0sQG3HvNcIJ9bhMxZWx0 ByI19/vR8FdE+cVtRDgtNUBb9uW+OzV2YvEhR1WXhPUuWMVpQXNKjljm8jGNs+QvVNEUSd6ZaKzU DrLgy/f4p59pT+9S9h3WsFloU+jIJMhuWBzgY7X1m5OzFYzEHDelJlcams/dQJYpD4++npgliUk1 hz3WNqqvsuWhoyipYLxDEmQWc5DG/vBHgpiIfe0gbTZHTFuYgzz+OHTQEYZFSMYX2h8KhVjCLGfL zq5E5OPBEq8SazKrn1UCxBA/XLVuWPsEN+3ng2ybV8/LNkw9+ZX1vW08JCQFppBNnk32sWJ+qy+s 6rM8jt6Rf2YIswPJ9BOX0F8yXWXuxUuL/uz6KTG2i99b8vQ8dsibu6Bvq/V/F/5ykj4MBr7xNnU6 oMAg9P67CnApt+1C7WFcuO9ulABGu87XP04y5ifX75zQ1BuzGp20UK6p6q5PVBTYbBObklgcm9xB MLFjVt5+m7G/VK3DCsuSeJ5nVFr8c77Sbsys5kaeiR3mlkmW02OY31RHbZFEaqh5rPA2G2904u+p 7LTwP0gxMRhybnMY5ynVGG2KXF4fZexGw69AONhy+h4SCVEFgHV+0f7poFCnWbh17SP+3t7PvHgH xCaUASK2VYDcmmqRSrTizAI0i8MMHaKlI3y+jo/6jQEjWMEY/jv2OmlRu7cqm7WKAyUB2ml6GYiE rwhEn0n+0mFdsmsoiLNgFqw47Ggo7z0z/cTf/GBwr/muDB1PQpu0yP3shtASJ7B8eHF7BnQethQo eUGTCptAmVbmEHF1TVvdk5Gqlffbm5765jOIhEb4q16uuZXyrZdvru4f8ucs5PGqbMaAs6R9EKan nj0Jli7RP94+1sfZtmmNd8sSyJi5CaBCYVfwOe2T723af1mJ8qXcRPI0UoBmseJVPLkgW14D9DlV oGIWzjwVnORyou5j4wPeQ/LmviQRMjRiW9GC3VKDYQ+uSqpSbCnsQZPwYRlKmDCZi+izeE0TPOQU CKthq9whyryBWmXs/1CbmVCciXpCBt+Ue3MDSfDw7ZB+Kv7mXyf+zILdIfeRQgd7DTwvcdmJLltd ilkNyxI6lxQ26wyTrVZBa1hecxqxL0iN6tEGAeTLRwFcrhc9YasZgcOwvwF4XYNvfNFn/W440NaR VT2dnKZKjGlNpw/4xkpbRmX5YD5QO3nt5nC48KNROw6QKsj9M5XqglBw4BZl2snA6sNGGE1FPpPc 4aEyFyjYTK9HLUdLYnGTSvCmvbfXpeIos8uCcnvSHyMjnoRLYWV7PsjIPetCrKdZ5M17dcZhZR5F ykn99foBGohDkazfDZ637zKd1tqCryqpbUFCHiEEDLCz+a+AISQISBsssfIWwq8i3O7a3zcPcP1C RA605mXQMu+STQ5fXfcvwvPK2mM9DvilMj6EvT7SxKdMoTHNJVlPApSgrR7tq78oUzXhd1d0T5Yr IdUXuTa8QwbSjF1wBh0NtNLmfwoSjn9YB9brVU2qmgQEkW8mOaYkY3MI+byQPRyXwRGvww4BB8Nc AnAZjNv7h80ReaaEzE3Ef1qnxI2O+mdV9maXQ1DzcQc08et85SdnUm3fwltLu2C+NSnuDwc1JXnZ vGTnSBvoscms97Gfy4WgBFui5hdtY7WY0tUSBxk5oV4OkgXHrLa2TzKv89hV4ANkY4b05cUt68ke SXEG2b1rzEK17zj7F8VSV34sFwbGyHWCy0wypQDSquslz7dcy0ghalPEycyAr5h8WW1SPRo9Q+X/ d7JOJldD35iKKVJqBJnoZdqLeJvQ680CR2+qwg41l0OUvRSpRjHhu/U6OL8ZnhPKeOKqO5u0qQ9p bw2PZ4hDLjHT3xcwNHEMHAAsxn8oyIlz0rfVp8XXvpr/jFkwZm1yT6479SAIK2VW7B9F9Z6tltJk 8lSvgEafZRCOhZhhSiGDrICGu5czHqo6U4w7TrVrKdMTDBhjuU/1ZHYVHhM7Ja8Erifz4N4HKTKg jWaquRl5o8IVtw4kq7xb5VvFFJIQSlb4D/AA2+NZU3xtq5NMZksSEBUkhm3EsN5iB88+gilg3iVA nLLt8/RX/pbSZviL8zxhf7I0Wex+ccx9Zkg09q0u/pvmpWLSpi+ussPmattyUpRW9D+Zy5VWdhhM Fox/B0gg6O+OBi1BUyn/gc+2x5s4gF/dJ0ZFQ2wNMpOe/RmoomOwDjUQJei63oXqcFuJ1FxuQiSn FnOaDko76DDWJVenjJetFk63Eh6EVGDALWlt0BBacilPyOfHdAxIoJpZ+H4+kcpTjUAqp1EUrRMN Q/iBmyXcRkOJ27jfyfnrW1WLy0NNhe1zZu22LVspYs9m4RcJQps3xLa3qLAPiX7mmnTaz/BNFJ6j BCeV0216ezpKInpg5pU5EPtErYUGoh6+59/I3Nzrr+pM6fbhcniZlwp5RuQNrS2g5JYGDJNqTgDB o+6JJmD6c5ZoGfR2dYGmDzXO6NbjgSETT7Az43Kq15xF6b5aDCLk+buwNPGo8Lt0yca/C56GcbMM eVOXBx3NwAbnRkI1cie62J9M3CDyOMav3rqM3zpb+waVophTV2ml/1h7HJuV5LmoAt5K2oQTvZWf KPSOWVC2ZNXxfW+BCois0AcjnbuIzUemOg2tDfpsK/HV4Bcf1RZDqnMrxvKP3/nWxDQBDFnshj7Y Lkdzlxj1wJPFGHMr1lpD0I+fCvVlnS92D335hqFy/HZwEgLhBWWV/SjKCrN4Kqnd0P7xE/Dr93oJ yiwNgLBlnugWpCZr2wgvNYIUYjoy9wRtaEmI+xMC6ZbiDqIkaTs1WR8balfn5HtT98sdt4Jm2BHw 0NXEq2cobd2JJ5sQwlna4F/fbx3hbc4LGzIWJv5Bhq8Q6q51Rbp0uuvE7H0fHrzZeVJNVzBiKGke d7lMal10FgHQtRa3iSMn+1WrNPl7Chm3zird4mYRN8+BUPBNrZ8ObW6u9cknZFm1Ngy+G8fcPKL+ 5fsFS4goK1eaeQPmAX/kmafxnF9dcxb1zNAzVH4SnwChqj/K1elw+02lZFW+5/wgEddQ46YO0ppJ YROW0y31Q53lKeTdcPBxlYHmYMlrIPLbHBYlZTXo0bcKTjWP7fGCMUYxO6bs8IiFvPeDf4cJvvaw 3Q0e2FpyIUHoEfT/5CRz3SBYPHd04klbqGMuFDBWAFeUBylu0spTPcmaZa6MTNQm8wxRm8LRLcLV 4ETZp9wVeP2ClIOTuYHzAhPGUd2oUaymVT96urCVG7SZVtLdSxQZ6YiPiP9DE1+we8waRxznDpJr g12KTebAsLr2pb5tJis03cRk+kjMGfeX+6hKkbcAxN9tI5CzGbR+v9LIdGaFG0pnxipIKxvPnHG7 1k5xwppzYGyQEvfuHjR2Nuv7Tv1rV6JrGM+11F6PwHLJ8Q5MYCFR99yKsMhYDFgJDCcQZuTiFNy/ dBAcceMu2ExpZUMOnAqQ6jZqxQvnVWRlho4T4oU0OvXBiULr7d/WOXZtQNyuPafC/yDfOqQa5nTD umWVsfG+hSN/L3SKtJSNK5o3fu2ay144lc2KiFo9ZaGUIcnWAzlrJ4E2651mjejaf4KSayaDF1oy bOlB/YR72zuJ7aaf5+uxDakO6T/LTPeorDb/ArLtc02XPpx19Psp0JhuT+GDMlUeutADdpTA/KsG p7Q1UxHk0CByutlYqdVTJu+tbcPULNr0Ali9816dEM7Koe/m4XihOj9rLk2554UtMNWMhOBbWJM5 p9aRjAayYys7l7aMOimGlIJMypovx82SBXFxy5K9z58DCcTwalw4RqFShfmA2qiqk2QbIdWwb4EV 8XTNBG5F0jeLmcjwlmpHHL/HGUPLeO8np0rzeFwM5jN4wamKIfWjLUGXl/WyyyuvlZB8ZE8QT0qt 7K0z/8vAPXnEiOPRMi4D7Nb5O6BR4wtwRLqUPJg+7SugsQtNqwzDtn6qAKQ4gIoVyAdqjV/Zedto cbJ4xMP3z0O3SD0zp/6ANHk4FmLzaVNRp0HTHd07hKclMF/DHnzgCUjrQuM41yGSBjOxXmJfcRW0 /oqoeKyPwmBhGNX9fsZm7Hbwbaz9EtVqP8JfoeoD7chT8YAI+kH2pSv14o/e3ojyijb9y1ZluVyN ieKtFdo6C/vKXMmpqKkAXOPM0fsHZuOkQDdVJ7Xbf/ekzy/p6qXg7BWb1OjrQwLHCQasAFGLKugY G0QkwTavTPOCKOBolRBzQlSV+IrQuIx7qAwRAMTFY340kAXSkDnpGSgkrFHk2GWqyT3fVZDEvFe4 BSMSowd4a3Ye+nc1QCs6fbVlIVqyKBcMIYAhMJt/FQiNwQplD0A+LCHNHbbiUEHfyRQy25GMZD23 /+8meuNZfQp3L1tt4d6IgK8Wig4PCtAP2v9Ny2Q0DHA1xw2xwK+N9MMsA8VbvuHr42Dw0DkxDpnf Y2U07Vtbv52v3gq23RbnGgs0fUK1pTx7G7HeWXeYU2bus6TAoqTqynCbFR4rymVqO/cZJnbVZctW 6VdaqI5GEkEwZ4UIDIWNuMvOCsiNSHrLCW4uPCUTHHmo516LL6Of6FAMZcA4VZuZ9RRhz2rSCJK7 38XZFSltBh0xTwk8CyKhCYjGxhPvzTGXJ4+lV4xfMwN+ehBNFpv8tGuGsccJ3/6SKTvt0MrEbQpC kcRUl9fbwgaNMjiqMDyw8TnFNlh1Ek9HJ2XF8zFjAq5BKGVpTd4CoRBvHGYil+50/gP7XXAz4T8v 3rU37igKf/xIKvAyFLWSiHh18Thraz2RzWFGSP855gms9WyuykVBTtJtO4x3nWpQ9wsjLqf+dXdj URDZ9ClJxthXUQpHIDBdCgjHUFDt+6UXHsPa7wlA6UnMbyyWpFhj4UuLVm/hDpxeEzdNZgbWXc04 rbx9t7HpQh9VgdGtI+Zs/fHgbClvMtEYhwrS/ck5tHyYZtVcRw6xIIUQl2FobWF8c6B5WNNQUb4K 4cOjOi9fPdS3ucm0tbeGfsv/9hHkah5bd/BoKxJQ23ERBErJ0XSJhlHuAbgrdQZ3FZhpuvU+HqaB v9Ol/fYm6jbavglcaHbjK8q4ZW9K8Bh6gq1ncOGn28B9Yan8UdBr1a2g9Xg8t/HHbR8hrNJ7aF1f wya2F38g2a5TyZGxwq7lWqYCRK7WjBhLZMhuGFfKGKf1+dV4GvkPYa6+1q2yx4BpBaq3iISnZkOP mAWalX+JXcg/rtYlIuqjxYn3uSZtG0o6d7Oo+tdo8vUT+OzHemzJhSLXqNwLA6VcB6nag7vXmshs wwftMgTiQaEQsWcqk/Eho55vMvdg6FibpAB1kMQW+XQX9hxX1Qqk6N5mq437gxPnamVJPCFWFQVu q7hXH/q6h5BKj0E8RUF/uFx31n6lQ2Z3zR4dVUnbEydpH9Kr92gb3gFT7bnaZ0Io/ccj3/OLN8+R eD9QTDPCu4nZUDJXPxya3T8tJvLZo8WqFtiCq9llw5ujmJDpvq1unNIToFxqiOry2Ee4xGuQ5f/J wz0iI3Tz+HtPhXDekEgXQXhk+zVBkJTMLlEF0YDFgVpQ5dgEHtBV+ULqmkKuEaSg2jdA6qZsu4Yy A4brxPuDL5RgGaRj0nT9p8SqSB28+JGvexsb2jvqvv8YwVjsZJ1be72K2x1K+6ZDgfkJBVQvFlbJ sqUit8NGDKJlrndLUJmDBb+61FREBXdmbTBE3uKtMGp2w2PzKEIvYKA7X8T8I3TjRKj6Wzr3AY0A L+nOlfjsqfg3vI6K0qMeJfc15y9653qForygGvvfGUtuS4/AU40rxd+LthUosdmk2rocfQkdl8gz AgGQHteHW3vYQ4suwv+Jn1X8COxK7O4ua1QGPxnRafMFGjba6tiZ2QPRQQEvXKeBVDiC6o3buGcH S4Y8mdgCd/21XrJddTfOpis2AOzwZhl/IakAm5JpyS3FJMwuR7q6kLE+pXp7xuB4UrNHDx9gWg2l lDb/Iz+hbK/J4v+EDiIxg9oASfjNvYTHAVT4Jo/zvcIMeKFXHy02oHYiZwk/ELcLhoz1VpUuhlbL rBc/SfCtZDFb/dcnL2q6pgbdcgGdPy1qZchALwJfd4nMHr12V23aKsRBwrbDb3qA2YepWS/BKD3f iRcfP8/ioUuOXyYWbsNq3sBEzovTrJ2Y3AzianhDxQiG9Xe3btgO5S64BIwvHqyE3Q6V2R+Tuese xQAE+AgoebMYX3e3j4eFyHNOXxdzjxQ79NwIKR1J3SA0eTBaVQPT6SQ3MddvcsLFrAmDq+z0tyuo diWFm229sPS2kF/3V3XikSrrrctbE6HRRUz/5p2oTMbI8bfHS0C/gmfjMAuzNgtuGBSYHno5ov86 pOEQiuk3FlqWRNA9EY7rrwk53AZ/Jb82Eh5JnmaPYnazNrhytluejjW+h8zboqvV0gY71bvS91jW csRPtuBmmuCo67Ugmv4pnTpw5UKyf23LIHHg+tAvJWdB29J709GDwKJLLX0bXtnUFwcks19NdLMe qM9c3e0FEISHgTcjs+dtfwk4mqL+SQf4wlaiMut6Uei19emfrMcuUhLA0W9meBZk0g9+Mbk45vNl JH5BMGOhPi4LemZtdWHHV4B3UoD08IuCO9qZ4PwyOokmSdRf98DtbMBSOJ8nhGu5zGU/o+4IhzEF DrHsOT3IJnXmWUPasaw7XMFkFDklaEoYYSn3WFk0DFpZkhzu7Yz/4oVv2h0pbQnLwHbPNHpTJpPj XKAx+AQj7FojD4I+EdNodyVoO1sMFT/obzmtTX6z/FoVlDzJhgBjHpv3D6uBTtAfV4RXs8E98VcC I8U+icNGKPa/p1w7/+Tdcfe69HVBg+WtqirBtzZEefgwKqQ1WD5/JehqON+wggf+rVK5jLXjnaKl XJViUBJcQAIO6+1Ln4UiunR0nlfwYJcUf2iR/l6KDwEVZO5hpm8rgOipAmC9U65DtWodZ+mZfzBF zbwDhZL5RcCKkXt9FCTVsV/jLq5Gw86o2Ay+0NKV7aHD8IkacRMa7LdxQXaE1MpsIt1lH1S8RNu5 w0zbHKOtfjyx1skEnqiREQT3cQVEQFm6bwlz52gy24JTeYQSFPZFwKaXyj6qxBJaVW3HPurZ2i+y V5FfODHtmcPnWOobOZWRmu5wuN99+rczae+BHmUqlCEv1XKOES9reC0pgb7KR66ffay369f4Kvuq UBTLvb9yAH3gJnl/N83ZSXeO+cS5oWWfiL71kaciKN4AduxnpChTpCCBZHa6WHaMTt3BuT3C6iZE /wLYioqjzIEr3PJMWUEzTQ2aEOWJkf6vVOEDcq4oGH7JvpfOsU3/sIUv2sJUpHBAEVPdj8+yWkkl uLem+OjpI2E39Q2bEDK5dyDetpZq+bi815OM4a/UbJCKj2uIPSpPqUyO7ZGDyjRKXwXNxrpIVhZf gwJrGoKf5sUvORmSld6SVoOM+dk8eVgsDdd72MQKsRoZpPRGb3gEiFtnjLYQNjONTIMxbSppG9ex F54dX/xz0cARvByYihmhHtrq6idtnBvwe3xc6ns4jqZrkgjc6O7Q8RTj3Hihypv3bXFOEgBWNazt EvdS4cMvK7TUgrYujk5taxbMU4R0zkrvkCm2u6iAflWn2h+k7TfRCCuqy1GShRYn9C748ZdY2b2E CNDtYKOaeSw2tmkk0QzMSRm4HAwrKOKiC46+cySJNMOfDeDfJWSna5ph3syJN0iB/PoNPPrwsIfU 3sp8WqMjqV92r2XPbRetrzgPMFTN8h3eC3rHuy9cYTOZGusruVt/X0JdzPyy+wIKGjrcBaiFfpiM MGas3wdYcBEt0i/TN9vB7fp01I9ucEqHTbA2K1plc/fMJEh5CK8kohnSW++1Fh/kPozYUIqSDig6 waQMbbbgMePvPp+grkyVky+eIId4oVDUazGAkBraqt0xb4Fy4UTH4pl4wJwc+o/rH+9qRVCA5sr9 b0ofewZgcpYYnyU4tEfdWlGKoZG3OQKbl9YX+zpQPOTfxdb/BTFZ7836G5WFN2C46gCeZCQ43Ry+ 3YW+E4d2so6g5CIbT6fEVBxuwW8YTJULzkN+IABXy2FolY+S7WSPr8UADjgOt1fA2TDeA2Xva5YM lpKGT7zJWwTMgJViCq0jqy1TAjERuKClV4K3w9hhd57uqkkKpvAGMNfKtXkoSuMnT1dgqTQvpVW2 EanJ79y/Dh3+Cobe7fU1tl3NL4/KUsoPqq4Tobr11hdrvgxFaX4EL2twL0e2qQ26fPo4Dfx4780M XnKwDf1prh2jz+MbjptjCTF3rMqqEycNCvaeE+Xzu8wgOIHYndtw/jjR7mgOuJ/Zq9HpfMSPEIV5 VjAUnq7+TXS702e/hEK37Cct3u0/rQAEMsmpPimehTYsbSB9hoATOENXAmPzcHq5c/RUFR7XtTCr j7a5rR+17WVlT5S0Vj6AjZuqKNxOeKFdO8tBByuHI7fCDhvcEXwXa2O0ek3l32sRam1uYymAZY4i TdJ1PPJvXqhNPxQFHppaevLNcD+IqzsFfbKBdXzKgRljcSX6cqrDl9pidE74qoe1yTmBhX8OWZXZ YhBllO0EiEmuBSiSscYdg4Xu/i6mjMoIXd4AZd/VAD3t1JCibRKYq4WOoTjmzXZn4Vh1HMn25YW8 ykbIwwWa7+bKXYgXxlsZgeBqatrAQaHPGdSKCXXzR7cvlGaJeuBbO7i/BZV+5SuC6tm97SRwzUx9 KGLOj1IqlFdwdwiRjjf8ZOpPvFxMKX72OsAmq6Ep8hnivpYvVX2g+6HZO66jbWurqOnEC0sek2I9 XzpN1qY7m3Sx+DTBVSWKo9ksRYDKaLVbLRlD+FXVHI9duBr5+kC/93EaWJdDpFPIh/4Gdi4cYUQU 0/+TAqHLPOl26o5HTonXzfgodEhU6Mfwh7Lx816Lcxr4egHWwaDDztusrL+f+VkEqqxvLJSz/ebS 22pXxW7g6NQzWdyl05on7vQ0roQV8mB4CQSKQiLkHgIwqiKbe14v+kXhbCYvpwmFDVPm96aWYEeH svJGbSOpvphGn2sDn/NrgybInFwunYEHwRcUJmx3GDb12sUGda2vq0HzN2Pqum5vWVvy/OXuH3yv nW9tIUQU28uX6z0158lwMtF666ggijlb5U0pzQgJTLJHKGAQBOs/0fZhc18UpZpiFMRY04YUzvcj gqCdDNQ3B3V9kyU5JLhBj/N5sMXY3jAWd8YCFhMHFaZCd6JlYoeoMxb8ooBxwlAbL0P+ylEtZXTE EB8x02m4WOLU2ou5YHCzqAHpE9z7a08RUYXD9KSEgCGdTtCSEdw6NWAw7sZTjGYlLwvD6sJo+YEi P7pI+Cqtjhz5lTvcyeMb6ujYREDEGlvGA/itZE7mo/Z6tiku8r2uw6gHaV7Kv/sPhyoEI9hgQUFT M5mhQ8c7l+0+yJtaOKajNqd4cFgnFwNTwpGyLpG8MPq6U5x49s3HltZacviskWWMNRG8sQslICwB JCiPhelFmP+cxKKKgjCFd7dyiM/lCajO5RzmYCdxlBuVrdwdz4KkluENnqVcUXOrr3ov+vuC8ppi al4WKkQM/KdGFgGu6JLUlJ2yQzU2U6Yzh8Rg0VL3bgoEZFjyrSATJARnUQDquTU+SQa+qMTMOWR9 AK9kk6ToxOp7ViJDZonY/RjLVIK+guqxWcCxtDH20jKQ2oZTEvwEzU8FVcvavS31dDFT/CuHOZ9Y IkJUwldy0hDhrNmGILo6B1HVQDnbk2S7NkO3r1s3WqcDDI2AnXsJh8R2Q0b8jsSrXTiUV0UO97X8 XoA3fcKZbn7CptXABde2fUC3Ivbjv2wxmD6cll7uOU9pf/bfdGV+9lQwt1h6fH5h2dAnCn8uacD+ MHuDJwrxRhdZ0fr52rznajtwuEm0TZaTFzNhpZAiTXSaASPNpvLdxNvmKudmMmpR9m/WfXZKDJcC 86sMDnO40ecJcXWwmd0lijMiCUhVNY7jyLXPMRIbyDfW144Gm9k2glxIUeY2EFgtUXBdDNY3tDh1 ErHWsYBxbzfh8wu1Mjl5dKFtwxQhvFJDSgXaBw2od7bI5U3ASPPmlEZgTf4qU/pDsK3CI92Fuxmp lW9wfUVT+53aiVRV71dhAPwlzkc/pSqmQIVnNeieMl7AVHeTNfyTQV6LOzuxqBUj/WGaQtmDyBsz +AYAIzJ8eDN7Orls2mVWP3eQO8+mp3+f8ykW9T9rAi9usHpZa/d6HSjNC6ruxWwfH2AfptAWkGvB Q3sXLsJNGJByW/3egSYbYITFKTlFw6xucA7eKXIEXwvt8Th6ITw8iNNKebXkewsaa+e3umEUnFpc Yeyv4r3Q8zBnM24jbyyY03tZ47RGsd8v86fnovurYevhKMq5R4ZJjvR+TR/ZNvp/JWMCnFrRGMto znIEnJPuxijWUPE9pqYNgNouXGJPrQqwMUW734l4HKZOGqD13XRalTOAbWp3aSqosPNYg4O5DK6s xLsuibaNXJTQBhSFIv4wjX0RwY57vkDJhgg+0ujudJpppHEJQm/rebXSVhzRk4hu2EV+LXMAgTws qntc7+L6BLKaUQBmUHN2NtrTx+kXQzV0SajpfFAK0rgCOki3xHm2R2HyPXmYK/p2kscKothLUfnv skRVcF1ssN2Q5Ii2vhmUjaaS9yeuPAj1E1m8bFV2EqA7PksPGhO3CJ4cMfTl0ePLdlCqYxbfNTrP N4N9AVIWFD3OyRKmYqGAAfUx2q/O3u+nkosJhxVSpYIirslK0AKiKX+ltj5A4PqlcwbzVy8vS54T qea5d46+7NVk4CV4UBtT+02Y2peJYjFTYll2Gn3hUHHcF3Qtc/qi1Dj8F0Ff0fGeY6ufAyX2l9U6 /4AX6i2LoJfbw4fLIMoUaQ8cPMtz6Pk1wU4bx/ElDL7rXeuLQMbzYoqSOZJ2YfZXZY0vb9rTAXWv AyXVfR+duXpi1Z9BZDxl02DsyzBRDuYKmiAe+nZX/hGpclKclVVpsKenT1besBKv3yVmh6nCYNi1 C2WpIzaKdTmdP05Bn0kaRTkdcv+tjEgCO8sixUYyfE5pdCjD8SUcFXUmu+RVpR7N7Q7eU+dKJ7Fb WZ5lDepKtcku8gzNzdEYmwXbe7dOU4kprA0w2SEIELVV7PxkaJ78c2/w2FoR8cCbp4GhmJU3Geom w/PgjEG5JQmXOfgZjS1eOa0hWNema7Gy3Edq+MWc5hBWWrh79sMySr9RL/ZJfIJeRccHiblW8Zd3 04QLnwyTmhR0E+J1UL4qf8PCcTK4XOXGXqoiMP06WBW17FxrE8cIoFnXEuI5/Yuhca+d4UnM40c7 mWOhZLXsgjH0yEEcOQXMKl+jlzdIv1ICkjP1ktORHzOoxnI08etvSurEXZlpE5A38kRaeVWwkrCc la4MxQVyflPQ1bPTw6CYXgBQsnMQ3v6JZgAz5J2guL371gRI+BSt8OXas6ScvExhHUiOvD3wqdK1 7eoCwZZT8SMh0ycHnqt8YLlM2beBuOZMANVQPULZaPL5opa6uZDnkIGcIOpJ+5XB1w6SDdhL2C2e SS6Kv5O/SRdcajiykiH4aeVDZJ0YeOct1FU+6bYK/mxwEeqnEPAOtJSryGAZYZKwGMUYoF2DEHdF nOvj+48t4+9w4G2DO1MIsbzveVm4vx8fGGAHky7emPtJrT4V3W1oWEEckfF1NwOgfYdPOSELoYee 2OcUuVwEgPsQYP0TODYE4JZgl5Fv6z6hXVLkWIu/cxGgNM+HdmqGu8WW5C86SFOF8wKBUG6v4zbP BIJKSUA3VXg3BrdfaUScC2MP/xnahoANHycvx5A19GBChkDDjSUeXLTDHwTt7TJ5PNti32RtfCI9 5+vCsoZ+Gh9QM4ANcZJuyxjEVwaOhH9vQN0I77Vc8WZaTvBGn6oYnpRJPku7DhkyBQ8YjuPFKArj 945WFU7WoHmnmYpsdS4lKNIzeXIMacBfuSkDQ3RUeSg5Rr2grcnUsHQIjiVMGUVw14QdvJp05gRH +SazrqupzyURiyLdpaWRsCj6MlJOJIy6afxmWfDqcHdDhtvlVDz6U2m+b5XxyDiEk4hKAlvnIEIH wJ56SW97diUewffYfFS4lsjdO09MAI8a37ronqseMD+2A1HYOOOdX6V4J8sHpzQfEOJxv1S+D5Eh IDYeeRrGIRRZ/5Jhmur07QEgc6fhlFxlmEjGlQtnFlzmxAYHnNhJhxh/FRXNPEmGuiCTMfriIufG LmuhZbCunh3k3pixPh/jgbpwLmoggagi5/T/evWxs1GOWr8OH9WGYqYBy51ULCxU126ThtD6Q2mk 5uoqejKNgxIWXBraTjLo29ROwnwN0simmvJL9m9CEm1lA3cli1iTSZpX5dCvN0u67WOJmrqFsb+5 +2K72xpbBot+6lDUE88Gq6GNv5aR18MJ9yTQ52OBz7c2BcA+waf73mNZYtmhAQp7MXrqhJIJgDqR lD+YCYWhKS3F02gaYjghxQOzjhblQ++61F5Qgb47Jpm1PGig0ezmSMe8HwdbTx0CZKW2kfIzM4ME 7vNqYFNyMF+NxQMDt038HUzpjHMqgBEQSlhNPdt05N97Ki3sWKm/x8bDSy9ywcsW+DvBgta8qEvl tvru1Xbe75If2trj/OGJ/xu9IsjhNMqKqnHwYPExGNRkhSaXH1I0qpCuc8Dh+z01pAaYL0v8LT3S Ug1uPeSOQZ0vZCX8u55ByuTDKw0qFI6ZyeSxYqf2ibbLQlgyA3MUwjCMtvl62qw/P0AvVwy/lusq FUvKC20bQsZ3ZnQp9LFT4t0hI90sh4iHBAHdpNM1bnEhjvcSrEeEYoiye84+E12PHLQKayeS4ipA olviZIuDiIrOge2WQUYLKrdiIhDrdHTr2KeVBzsq2ub+VwYNGByW/tNdmheS9jQpFa73rJW/5BQG lscPTBjvRJXfnkejiQvZne64W1X7LVIYF7Qsh9y02ID0mZejC72NcZP9i0mgP6a/+o7Pw68E/cJA kUi3suNo52sovPAbIK4ozVvh82BzpIJyBsklXsh+pzXMJZBc3hqq9FsIW7uqR8bALUfe0k7wSOq1 wpnO1E9SGQSjjVTdo+A2wk19JuDRIgqptFKO/bkKvGy6M5hd7qwkfBKQI0aFbZK9WsBgP7A5rSYy ZlRgpOjfYVzMcE7FC2yxC1FKa/Jfyx9uqZV5LWtOpzO7GiqrFQZaFz2tDWaWCgNgWOyFLsVo56lZ YqdVuZPZ9Tc6ALLPaEpz+50LJv2qG6ELE8+FhKKsFmwFfO7nn0BhjveB2t7qg4fFH3Q6NtBppxoE 8jUVIOAgx1XXOFGow26+sUvMeQYNXZ4vmA6a2aS31twBzSDOtW8Ls0mqDcI+hfH2fYLAefeqjXbs +9XMoqEPRviSHnYFETpne18haiKHgLRx5SKxV29A2+CdMVCIeV4ktQkGyFU2xkVq3L0C2JZBkTOM R0rfy4L6ekLnINPiToxnZehM4wjTIttY8xzgMfdwgZuH5goYJF0BIwfyPpXqhwIT7z28rG/A3uLW u6QMtT4NKSb+B8/0mDOmUJwEhDRHbN8WlWDq19ho3+PyA9cX9zeZGRrBe9JjTrk3Jss4mQCAqswK 3adjVnNCgp6bmVbDUASRUWeit7jLC0vFf+ciBgk5SYskqIwsbCtbVKD+Nw7QlswmxK2PEfD9eGQk fm4PQr7mlmk5wwsWJAi8VCytyWC3gE1qUMZg0EulBvcVtcXgMzmgo4z8jdUyn1YIsgjV0tGzFKuV VQQUkV0LbHnxst763kk/ADgXceoO0btN6IBLlnK1DfsbfozbofoXiwVtqdmm68QtAdVLy/dkfIF7 VVzNmkm13Dxe5xV49tFMOK+T/czC1SYJkbNU7xPFqwMg/TDpN/Vzw8zBIPof6uHkgN4PR8OG3lWt lyquzlELE7i04THgkZlR/BC7ofNxxC4gJ+ASkggE5Vcy+4cLTku9CPh9Oowk2Fgnpz9DMessKfpb FCxZDpR6KKkV+zYTg3oVwEFkuHtCwraNy0cRCXLvIc8xQB/elwIEy74KQ0kK2Ov2PggpULQ8/xxn vrsglr7EsT7cb7AD1QeBTWmg44j/GOZqsBaRgKx7jb6o/HAJ+Kamm0bZPPNuwPRyq9VOm2XMGnCT WExRevw05mjdcA6p8ewqHWEi9QTKWq1D+zGlwGDE5dIxlPdro/E/ta7J9B3AeHo3LiW6ey1XwKyj se9neIg1V41hMJxRU9ciovHi6Xj+JsdpucVpVtPMTWtwbwL+tiR/7rHEhSNiJ/hKvNg29JZSTOS6 ATSmYy4rPb0ju3uGnYmVBFWFzimviVoiIIc1ZZTpX/33FkKXtHS1FexqLG+s4a5LHf8lJTR3TcwL b8WYNELv87fvIxroYzBNMx71az5g7HD7kh2m6nlTERwUdjlu7uNy4uoI3QtDeRyOUC5qW+LEH46u 0svfKHgFD/KlJiDJ+VojFy9S7Fhn8I6ftMkrJC7APHtzHH4aBZwtVexlWvUfKi7eURCKNDwY0KIP mVQr6C7UwJYKkYTX/imH3AtmG7wfWLSYq2lhitPQEdISgviTyaULTPtfYpX1C4oYCPY8SrFXOIYQ vmiy0qzCc6SrS+Ri50KRLjr1SFslCyq7xZGF7ju2YOwqLnror4olDMPN/ISs0gLLYYx7MumQUxEQ FNn7ZdBZUc2fDAhqxw+912mTdhQJ1LSZZQO6ygHA0wII7wJmCmVBGjU1NvlBTaTtQYOW8ANCSchF fnlOT5dnCfiNM0JWEsw5cHSEbWwtnLUqTzk3HSTdtjBmXTzzxsqMivElAx0X+lYl6o4NySsrLWxQ uPMlJSKn9/hMFq2YOMCDlM9zL2GmXUWt/61wBQWg7pn7voRMRD8abHGv4MzGyCGdN9lD8rw6KPsj nPtiG2U/Ku8PicqZUpPFAJdq8KnGaQ0oo8a2LP+suvbXpPstKNzNJCP/ApcjmHBE08qHZM9+DEXs 42BcL20hmmJAcnUxnxcbjB70uwse1Caoje8HGNpIzs4TRa2mVerEalb5q+swSjc9sqn9mUhcIY3H JxlksTnVNmz3Uz0LUOGhDMn0HrsuMJB3+GL3MtyHGd+w+PPjwx6sT6rzSvBCexal5YTRWdlYYYMK kXC42f8LlDFbjyw+4YJfQjRQyY3AuG3bifXtRb9tocV0ncSUuOqwQhG/yDCvJNdk9c6chD1xRmE+ RXF5U7tDhIaQVbdu+W/SvRvmFK699Z1Z+zscKfP+vurfaNqxkwYvgm4kWhudzc9CRUJ3uTNQ5Y7A fCFeeLrsZ97+iw08Y6Oe/GX4WK08xaLM5eAKMhFxKmlIIZjS0B9h8bXMB+YnS57BWT9mcr6lodz5 ZI1HA614Dd5sQnC3UtFQlh5rockdjKa20H2CTXgCkwEh2vG4dif2fMLOyeeI5KbBdesWpWRNigda udlkoioiynvzvCFT1t5D3sCB6JW1XcVUNdPu7I9zsyF0TcpO5GPTWJ1OWX2OOGtsIpIJUgHdKZQH WlySOQrky8Cru4OTdMiJspk1xz5sep2kMxp2YYyupuncor8nfD/WFTcMRbp4gY0WaR/oRXozarlS LH73mCX6eXUN35wXnl3cRtMCsFvPuLdHCcYqqwvjKAF8k8n+y0hO5B572GEhdoU/zHv8skbzYKWh /jtonCrb1BNIZUbrsBsAEbKuBVvGdISYlnYrbZ+JP6r63KHj5yJ6x9KeouvmZ/bXH4Edxd6oBQ0n 2NjMtZULtZjlJtQ6Y3ed2hRH2DMpsStbbBBK9EZtEiuQodJzbL5GFKVQf5m/za29UuXHQurC2nCe N/3DihViqO5rQKGdhG5PywktO3S9pWhFtepenXBA/rJNcY+RbKIeEnCXOhbxsNYw/rOKDv9NHvko XcGwaq1QUC3ieaVnk2czHbxKzKNzmls8PZ/Od5UT44tNdZAKkbwYqb5x2iCRQUmCvPglLjTReVgC ie8qi6OpLOtFXlshHfGvqjCSM9NrsF23cAnKejuaj1POoagC+UaBPQ9RJT3lPX0UmzW0PE8zbv8o j+mB2NQv7vh3WoX9FOUG93rD/6cjxLsKntDhftOrp4bmsBUztHawvmt43QKrQMRJw6hmhjoGkxfM T/9E8Q96+WiyNNIN1gnW0TtJx2ERw+OXSRlf/yoo2X34T0V16GsavE8g4ZQqGlgEu72GBpY3+PWe ntSehdiOJZB2zrez5QbZnz2RX7pWyD7aM78yJ4J1+D9oA/9HQb6WoOzsR6PYKlmx93FvpGbhWM/9 yq5GlpNBa4pHlMRcPkzhCSIISxNz6aOCgsPQCMfgNU9fNa0tda8jDVIqjHgqQjLh1qy6tEogVrtn jhLpfR1Hq3r/ZbUdfgXth/szgubFWUrFQ4e++52CFd6PQY4+FyRDWLoNulQ9YMazhCbxqca1H47D z1t/2MOJIFBj9sBHxlUrgYTQ5P0URaLX55AdikRHAqDSthkIS71Q8rEWYmSQD+npPP51Vvc1NB2O FXflu1AUQIer9ljJD0jfgJCaXLCPBOjAtLwHTHqO+PN+mi+tyvnPvK2zpE40zaiYBmW7DHD8Y3Cr GzwLNbArX0eFi25Wx6/6UNI0S1bt0Rdk8ODb7idh9Y8lrSZ73T8O49wVJk+RdRCB9kaQ5buWUjc1 IS6a11wEqdICdONz07THUL8WV2Q9GJQPB3yNVHfFzMhtlsrkLf9neYzbXQ601x+O2jOJ13HdEyV6 sXHhOJ79E+Or8/l9dzE/Mzsq2NcITlTHb3fjYMEDG/BuamEKNwPlzJmpKRWqbK8AcmSbkbLjBalf aX+BJmlsixOMm2KxwtEA+aRuKrQoY7iWA5Rt+SmmNA5IHsTD2+Rq+RuadLLYSRdQym6Rcghdl7xX S3STcA8RkjUtnq9Ka3l7octtgPFEG7Ku7tdjGaMSbeJ2q5MgxGeGmMCarE6RBviX2ICXyMoa0tuO r8mnkqhWUpuaYCTz7h0hteywTUORm4BW1/sUT88sDapRD7EZbppw7/hG+iCfYGAMd0H3HfYYju0F OqobQR1jm+eJ3HNHPWBfpGn7CmsAD6nf/7Mwf/Jyq5V4APKwVyhqVjFsroOUxRYX8JUydy5w09qy grqlZdKoo0yfndrk2UZcrZtfbNKLivqoJlB3FfPhSEdpAmTpwSOmZapIgbnpOolr6D44g+3G/Aro lJQgY5Lw3+/Ezd62OPHP6hGo5Wr2dSJ9bqEh+LbgR0q+hfCy/Z97nGyyFewRmu5DqkLBXIet9Il8 xTTEFoSbRRiMzuw6coEQtRcSq3nS3NLaZZK9XDkXi1/WWLSf9bKgzIkEI1Nm9H4B0Lu4Kxx5VHeS 68yTPhWFxANLTPxBA7zUgByiN3fgrXptUE1G39Pfk85pJ6kq8YS4TxMr4RrgRC9FB/WRORfkRPzi BdqUHvnr3kcMY31sDhluELHuwvcOT8LQA33i5WXkjXixWdeX0YQUooPZWkSO+wiwkvqLz0fj4cGl G/eF24onyFlnErbs0YZh+dlSjEvcqXgKW834fvUTsU/GoTZPettGiB6A7UIRT6zpruAbDyaRgZAw 0OT2nEYKaOr7LuoMqWcXTV/whZsQQdzvAVRiDLoW11ChyExPNq/KtY3675vdgKZF6Htq8ByiJKne vo4CoKpH+/CnNJ2X4OpONHfByzN9vWsuMLn+mK5+fCxJa95hgby9lyPhouLQcruQGFZjlkbxyquS yxgRrO4KVBdTuRIkNBjKFaoq6MMk433JFenXz0dPOIqYhPEgJJ+0vgmyjRAkpiI7IQI69hH7hlCS 1SiRRv86a3GQNwilMfRS0IESjxLNx/l7TAAzdNbqlTskHbCvGF4eSMPiWMvi75zpSAl1gfNWshAE 5rg3KyhKpSzAg5Fr2wTl4Mi5/JgGXhXWKhEPmo3WjfmeIRUm3gX/AjKJaBAXe85jPrNByHRj99yt gKnpozl1Atxn4JdVVix7ZbbYTJ1ivrTxdbMx/4cK9td/M8MUMymRFvK9VqIGHVMSfMdLy6aUH/2Z spv6rKb5grap9eoz1K3iPp/k1bkJmA5bmzONhhjmAEMvrfcKzxLWiUrglpuojGB5UQN1df4XtzYb gE2lVbTmO3mVpQaCWfLMjCS4SFExfBCMFDOpgANnk1QKNJ/XQIQux+w/deDipm3aPnIKN8ceU0F5 s75LTBeeaibXSxvm8JVO7WuhsCE4cEKK6qMmYtZNwzpSV4/vNgd4lBJvlcONdfviyFfWWGjcUKGb /MxglP4/x8TyYEsyV+SAvUuisYSpY4R0XYVM6g2CJJs2EF3G1VpJBbrlJ2sKBjnVBnl+VH/xl0pU 7I8jL7qM8rKH3ZoL4Qcr7Jn38PJDn1jpo5XDd9A0coPLo8U4GtFCMbyNNbu3cghEdJft87eHNUIM JSxjwEqmsPwuk6/SS5BbXh32wj4V/2ap1xDWmMiJfrlPoLTJGJeDWTk84My4FoyvOELws+mtu5qf YmIxrIoPvoDrKMbWuoP0Jl+JXwmDTktFC536k3s2ZMtgImrmWro1tOCMTJTI060vzNhB8jYbCLj/ byg8ytKkSF9NtSmQIgTJ58gtwhAy02etxBboZR3dVz3v1YQlOuaubaPJvY6uXSZalBs1aRkqYP01 WZkzC/W3AvYZrr5rFfXTVqWk4nLf7IZIcMQcX0ObM69/G/oymm7UErshhybKNgWqhNL3UlA/SHku CjJ21ELlc08jfKowfN2ruvE/1kOd3xQQW6O3O/tPufh5SWBf4Ju0MybNo6z5cjDiUfivG4KET1i9 tE2WWpYorlwd/qUDSO9KhYiPsjddTHic1o3OPndRj309ZNP5JkrCjVbH7X7ybttjc6k2a2ieZvUT JpjnD2xbPSdWXClxGLSdAd8B+91raIQpAxWynK4MQTrdfVvVBXJ/qGJK/nmJpIyjl+57QQvm0OCW wZMLf7YTBxMc2fu7cR0/AdD41P+81UnrQPK5Fu8YEmctmOfYZ5qxRUzLt7tfbEJVieK0XAqNvcrA hY0MjIbJHSvzlrlax27WjSr5Ze93J93iwN6v2upJKadY9neEya1KdJIJ1rkgEdECVsjttnaw7qUj apJCyLsGES1vlO0BlTbhhFd4l2gCAFsxl9zc5jDN4GfYTqoDCZJh2rFe+hRZr2P81xYonXEuHLXV /R0dFvXsjqgJiJ24nZd9VdlOjGjyaHbpCcjCo4IEz06O7C3lGDtThSsBMyWUNIL0cyvlBIh/CDqH Zxq4ucSWHij54triVUaJGb30+9WF3Yf+/mPYL9F69wD5ag2Kv5wt0mnPTjzokQxo9atuJGvrUKX+ oC+aRMzjC/VDhqLDxo3fjOPFwi+i8AQJoh3ztR0ciCM0yygJrhGDhHIKnYYo1iWXgsCSGJ99Qzio /hgOdVJqgWHn+PatpLdMUwxEDG75qDMTZG2wJP6Cf7X8eAcnWeIpE9S6r7Rt0VPJMnFeS3UDQ5hx VC0GKBAauz2SXfdRuAQeV8Nof8YOwwGZPNxf8ItM4YJ2yQDZ8Hef/75IuQz45EHguwK2BuQYgQ1m 5zYF3wsAiYSlQjFERhh+vQqwO8xtUT0bKLfKagRZxdFouV4VUkgLiZZzF6kTvhllcvq5XcYaQ0fc 1UvKwosArtE38kQ5WtI0Kng0mGAEHmp9jH/rYGow1v33s0noqy4Q2x8u+PoYOAMt6wjOUxwXoK7L HK3TGEgPoPh4C0l9qP2QVeKTr4ec9BbVo3Zh2mFo15bWhYdT3raQZ4gZ1Yh9PYDV1basGOVfoLSn j2sZEnYc/PdVNtGnYTh4hhQ7+vWL/t6SV5cigBbk+Z3PjIwv/nIRq+KNFlrj3rwaSE4+WBxSgLGc xbmWHtbOqAquolXu9g1ZehXRSsgaA765skin+eg1u3CQt9bNtvpA5Br4F/c0o4/pqTSn5G6Y4c18 QolLXxqNBVz3jFY1qCsuxPObnHml5tyJJGQ43fpujBY/RFJ64Q8YdGXwaL0YHJfr0FnXfXcWbYtT tL760q+kidqVcQleltH8oGlN3gikORaERlrKi0d0+g67+qiU6alCpTw0dSl9Mg0+BOGUPxl4vNwq uCbSqbhMZieOe35z0+25JgnpyeF/xtqNEDqqrA18d5ACS7fXX3sDKH3AbJVFDQAM/9/6x5DYvl+s hrCqe2O15pob/LMVSRph5wr6bx0Rf79hdISrU6/A0S1oZ2RYWrPIqEF2OMsYEpqJ9ZHuLTHFpS+r yyOyBuQzKI7Ocbxv/AnEq5v+OMeDeSy4sOmM02dES8CPZFET27WGQjnXnGtDgXG5s97hjv8H+9S1 bY+TVjUtCBIcU5elEl/neRZkYOQoyGUcSEfW3JVnIOZuw85k03TOKESTZpvEAuG03ZATuc9LSWoV oqCB0twvEP4NsClW0/BbGhXZBeu5VqoT0rxaCdVUlunzGx0XqSnBLmGexd3FcH2afNv3Fb+JvU5D qq6J7jkhDH0Uy9Ux3TWBH35WXKgRKx4IGs+G8w/pdARUK5hMk+UG9PRU4WqcxW8mNCpQKt2l4XXr gl8Rpiz+vFCtF+M0vNA2BcR5qRjqZlXAiHmgHysvYcr71uWeufz63Z4zjQuTtJZS1facpk2Z3eRd WpNaqhiXUjt8jzsz6+hD3pQPnpMIfHLPSwNac2pqnRoIueS+UKXfsnTFMkU1hU9yXwfdjR+J0hdj 4Uck88B6eFJxrYTG0g/tYpuM5UFfx55nZxkZoHrKTKFqoOgypWORCRRr7cuMhr28mjeol9DMhdUA tz1czGzOhhjGvVQxmEoQZ1atRFBzMjHKr2iiP7rg5FPUokkhryrLAnSwxEbZvx2bxvIJNh1uzJUu OSGeU+co/90SZxGi+YYK1F+HIGM+KkDT26X5hdnpp00HZATzpUY1Eo8oXbgR188I+oxnXzyL8W3h hBWsGly91ORv87xUvd966HXAVIOnj1/SaWGmsGdVTt8RlOijVD5NZpWTLxvly3+SIGH9Xdf4yQ69 ogJUDquji9wwHxT/56Z/BrahvBIWVAT2uhTdhPVFLLK/HDTkx8wzOuniZDkGQaxE9xrlaEMmT233 zSoqNdKHb04kKFVG7N5QSidjNAZ1+dwCIRcCz1m0PZx6xHqrx//RKsqZ2eJFK3axYa9/dXc1SqgM b9mYjiVErVVRbfmaD4pwIbg8nqcCdwoqBS+JLCy7K71UnuBRCJj6ipvrapMZaeOSVDw09Q4KKoFL i4hPaVXm4E7dH97Vxx2O5yRs5I0TVDwrmvRvx/GAx0mkkNvtLKfzljxwsDQ/h/vAntKOyxj8bVhp kYWrwzBUmjdqMljjy/GOatd+QNce7Wg9LLjgyYI790KWEj5diGeb79UPZRhbvmmsqJe5SOqn34rm gQQvxd461YmUIQMK8Y9GMh0/usSYRH54MrroxyabvINgl70kj4WEAnOZBv+7VuRaXhfI9dxOnRmh 8EpocWmVOnN1LEaDvo1L/fSWm6sskq3kKilFtwtnWAwDojsLW9JwEGeltQykaZOuqZqGgRjXZTsr iDvWEE9pJ98gDe3RO5Y3YAyWJqeXlHPEF1ktiJuFex8CcJJJ42eibOURHQ8mlD5iv8xRpgmRXuyK Hu9oL8yYrMB2F5yEG6qL9TdBUEkv13wzmw4ll0m+CazXcztqIV4z6NGMcO/7jgfrv4sdtJJ1DyKc uAuiQUvbZKMJql0p27c/LFMhNmTm/1z0Z3FvXepkKSeXqpV/WfiSe72XmrQANmcksihQFq3Op/Yx F1aW3tb1C2rP1G+fL8XGFYMhypIzi9U0OyJ8X8tWwCNqPTk3vvWF7VOShZQsioUx+CpvvBRgUo94 sOzL7towjFHu0jRcjucB2d6yPUxA/oeO6rCEKjjQkEso0fGEZTF3AN1lMIgKQ8Qq2wlwdFMMk4wP pxGBw9iph4TXsZjTEGEkv54/LFcB+4TiZJ1tdD4rSlTdWGPtJKHjEoHPOSO1Z959xBNnZ7aYE2We xvLHD4oh0gPd0McQp2lK1xWnSlllRPmtTDsnyWK5ZKoKzx0RD2bMN1pds2yr99Mt+8sz9PxgTrNl ctrP8jcipTpnup6Kvmnui74EbxhzdiZdTQimHQGFZaoANKfW8dymzw7CcYQ+KWE+9mKKIFawArqn Mq5ptyqhWmGAJuzKsKu66z14GCAIw4TCzufoD1LhIkWAUxF2Vfw1h09FRlnR+nSCSZmZ3fRyhcHu E+eYsyZPscKMxUtiM2MvVzhNK7nk+UTOUm88I/qxEMwAg9rmIh+pL2lTWIZbO4rnASNbJLR2Hmua Gy99v79v1mKqWmoH+iUw5O5zIodlus8ZhBmcYrqfxEc27+wBU5X+sxD8n7bB5XaV6c3mh2KwkGt6 LOV+I3y6D+3nu7ml0vwIzlAwdDo3RB7/sRciNnr6zm4BXCjjX+1GJIXMMWS/E3I2eSdo/z+38jwS OlOjUhDplkRJ7+PV5sgXtGMK/oBjT+kmdTzmEzQT0coLeExOc892VheCDvu9HIpwbqjKmn8v7fsI +Aw1NO7EddQHvuBKw5A+7/hPoXq8G25PHKt6QqgU2/VEhlyTGlZC5cj1eRYxCbxThHSPlfI5MOL2 GWTl5FI2kd6dEjV6Qa5wBKp1GSsYDaisJCOmtvbYZzMSIUQ/IKvnkSEwcec4F/nyFGh+eG2biMbj ixLnb45XiZ6S0XMd8XxKXhjMwyHK8V4dZn6CS9vMFAei6gbMZ+WBw6qljzhyCpBu0oi7s6e1UW6q M6akxYEhsVApnezsgtKzemwKKTYKotPxS3vAoRgjj7edqt1ZhMMsuMNF+FilXwqJADEyx0iSymUm IozlETetcEXMpmh2mWVm6CljQZIU15iYENiHKCv8eGYJBJoJBnmkOIl8dMeUwq83PlYxJ1eFZZlZ I6SMlpIsZVzhaTaqiYiHYEV8yswD2jO7UIy7r8mOlcfuwjyX4C6/ClRZRqIIL11GmR5xgUC2bFWI zaj5wuGa4dUFAYp3IBmh/08wp8kKOZ8U4huABzNkuk6F2MLilcPwsbHcEBRg9rgrbkzUMl4S171G BKz2ANzbKRGRPGPZzDrRBCr0/t0/C4heX8xHgZndIxxrAOy7TbUpIaoXFqDSj31gBvbuwl9pEu6t D0LRkLULWIjfUQEjTM//PODhiL+f3UF8NCPJiECs6uVqHiSqUt9CmumB+qJ9ziAxrEAqPwb6XSMB uDb/3j9/s7vI3oo3oqPR8SQwo5AzfSLlTFqw8kUQ90ZBqsdmj0Q8t9BbqlkrCp5VYfUt4RjklJ7X swKegKqhtUbYUvFBYIR0ipZIj6GwKEE7NTzSXrjlFnUdEj8YcSqJh+milHowBzjBWlHH+rwqZzg7 TiRQgXzkAR8pUgyWO7loSkIb58ucUXAF9StfJpa6kYCBZxncPb5kOuYJQTe+jZf8bNNmf+1BfYv0 1ZfhTjaD6SnuvyGBeji9Trtt54JeOR+/shpF+OoEmnxDghqMUQ++SyxAYOTw0kA1CmwpeJUpkPyt DCL6EYwmz+tRYV2cKyAC6l/2S+cMaipfroB0cIvbtDeVJvVE5Tgd++ba04z9tMK/wErFn0bea1My FheMtPtCT3t0Gqu9zWVJBMU90zWfB4F42+hpxeDjI93Yu75Ryv3rfhBYHXnbU0tEWhIDGcQD3W9p D7xhzqsYzxACcnEVfUjMUL54wlKMTkzXXD7wpZrDiP3B4MTQRteP/no9LT4z7xE8t5vvzfIP2OX/ YtZZ/xsyP8abUrvt7Zotw45xrTVP7wMdMwlP+y3wxjX0ZoqWOZCF1aOwHyUZJwV2Ri5nQkfGsMWC iLJoqOEHmyz38RpVzVPltTMsEjAENju6Jd5zxIURt3MNmhsCWDzKkCiknAtutsIi5dnCHBpg9XIm gRiztUF8lXEt/ERLb10c+8T6MLHiFJIk/hIyeH2wZNIzp1ZxUEPTSfVGKrc6nD/iwz4KRBG0okX5 n8/fCqC2YcciqXIIMmYXq6eQjyskzhesrQwNwc9yXp1J5lGaHplZZt6t7PdJPv5L4ZbLqHBuA5KU HDL9Du8oW4Mf25bd83Q1zhxnn7BM+41Q27vca5g2LHNyTa5rz+au7D+Px5dcTNlvlQGqiSg5F2We QHLnuSSEVpAQHtFpCmnO+VGRiuhP1tVMR2zSQNkF8UGttLGR9/syZs6LZAaKgEetIjzMUArYwZIG 7OC6KDqXiw8PD9U07q5qKBsjJGmuftgSw1BY8SAfKVFu+uB5uzLRq2fYG8AimopQ57/7Sn251cuw DtlzxHYsBCKZAAcavyYQBABJzLqPiHMRi6YRSsl6BIwR0bpWoj7X+M2xc50klCeX3dxkPIeWTKtj aYw9JspF3hx3zbcLnoLdKlLr6Fr6OPEPTkF4K0p0+xsxvchG0kbg5+CGGWjsiKhMPbR41mYM21jS DVqL/QLsvLUB0xfjwpZKNp8y6yYA2NNXdDaMwpXovvFJV3fKNd2RF0A3jDzEk2lH52DP2BpzxFBE HrrizpmFg7Dk4hYUFTCPWDLlZvcUhXlGge4BoXfBtg5EpzSrcE7pLEVF27szOxYDrMQH3DCcAynW 9ZadxtJuNph/RR48bXOWgjs4NtdEh4CEiT7cV7vsQ5rj5We6fpL0Wp2P3TjWs1w2PUdAIGSn3klW UWpC9aetxFcO/vHHTRikoEv6fwpj022+Q4uSnOMMFB8+DRLy0tWkX3h+Kz/yY60hRUtbKilrq1Uq bq1E/OQ20pXge+0lAiHjYzaGGAkQ6bZqAs2sTHzIKnsuxPYFVqcYZNBtLb5Dc/LunP2HY2AE/y06 oTm1w8V6tgPKVhToa1NZ50xcMuHRwLPQoCOcr0pDMk+S4VIvSfxqazKoCtlkSHAVuKwvPP3JGhc1 o8EWJau6p6a5vyNAHpQBoa66VdCovMuwISYPvtDOSiYoVNsyZ/KakRgj9KoIhMrjOAV7ai2Hz/D2 vXnDz5oTtfEOFS8fsQqtR/Ot7zE3jrShptvJcliZKRdvoiYfaHkTRhofYHbYvNRS3yVMG2rCUChk 7iKQ9B2EDFZvq3tb6UOQJUPuzHq/tOYT9LbHipE6MDLyzF8jYpz1Lkv+dQIzmuzgQHCpJHqFY5NI E+DG2QmajGdOSYiSWg73d/hj7JE4mmR3TxYjD7HRNukWI2Qgy4qXJ3CJ0K55i/3AuQTzNTdg+qSf nXqlRXuf185wulBVhxYdE9ZThBTYawcxiXhCRBV0gy2UoMS3MIgg2AeHKsiQ6nTtcnVldbRumuRP vBBTEW82WQGeGSusIS+b/33Qzrxlwo/j4vxv9EwgODsTZNPL9koz2psEmv1l8juEcZZZGNogMQom oA52vJUJHjqOtxxrLZ/ItgvkLxCJ2mR7t8ELh6vNc9GyVGgtXUFalwtchrBe93fo8FaJ/zZGhhgM mnvaWXebw3dmMKl6FNXHcuVgmJS4c4wrebOQG/8+kEttAWWOD9rOK/Le0Qd5Q5zdMU1Ix2ME/et9 mTZdl3Hpgsj9qloSR5XIvo8OQYU762jj0tUhvBhSBxCxo69crZZL7FG7U8BMHGvG/eUV0siJxtuj 7BSOovw1AbKwtG8JKzmgC6/q6KjWT4kj6dZ9f7ZFZVgqFHIsAkn+BXJ3shDjrOf8qzgU9XhtZxhB dmlZ+F1uq/j7LcfZhLvpLBgC1/euoYWDS6j6xUw5pDBgiwt98f4ZTnXxxZdKKxHnewwdT+R+YbOW pEO2oZpe7xZ4qVzMFinRVAOjG3Jk9cUB5MIvroEalsndFN2PVTunYOjOXG7WeNcye/O9szGeByYM 6X3rzRbcb9UzwDHNPoQeF9RaBqPGbIsQXQ12bC395RBoqTOuB04jEELqE0tB68WXu7EJZ22FjNZU yiXKAuc2OBvQnDDE5COIIf+IENs2KDSAc1+DY9Qw8p89Mms/7WvfRgwU7LCqrWkay2/VH3qkY/2o cWR2kfLymTGL3gFlUHdJnYkcVoa2Y8B7vTGxMzW/NAaOlQPJ/jktMf2YCN++DG67gTC+OH61APG/ WnpWe2FEhX/sxlBwSeoU8CUs3fBZTC4HlXECX7smqm8/bDwwPNJs0+ahtaj02m8qXPUVsC3kj0rE iE+/8BzdQcx3KaL98GUUfKuUXhjjo9E7SgOJeWw2Hr/87P4xwi0ud6G4/5fJyz4jupuhSGJrgWC2 ph9yP0Gvpx1OWaD4gzk6x2EWvVw+7LuHRjmPIWGN/oR0KZvsNP1lde4xpLRy7u2xiKkZr9O/H6dd jC+4QDy9xIqLfywOqFXSsMO+T4cYtOnZFIolxvnRyubW6utab0xlcX7W/iTTopz1KATl7QQSQYDw h4miqPtXW3I83Ky18l1199n3m8t2t/rGEl3Z97uMJiFpUcBjzSfbWNi9IWB9vEsSdmq/71aW+akb BRiGpj4iX27X6Qe7xPHkYhJE3DALp6RN9kyI1Wq9dEuA+cr1k1Yz7C5mBJTkKGwR6mR3Mld0y5Y+ nGg/lWD9v/2bDHbOa5bMkEFdY6IK8jcOYIh4w8UfQVAntv+54QkNfBgd7KGzwyjHyYdhOWcvSwOa PfshsZ6Y8WtYSdf1l1EDPoaa5cPfF6hG4+czThysh7kldETL6jCNe/Cgrv7902JeB7qD4phVDkeO gI6CPxQJzX7bYsC2719MA/jN/UjGuuwacJh5qkGpA23c7TLDPFzryG9xUdMjrM6/UhSM/I9ptGUX DG26RmZcSJZDFsk3zi8zn3X4tRFBMj59/yHerNus5mwwTIMf4G+ABXVjtVZgEOP4nfptbPFOsMPP au8TcVZLlaVrI8USmo0FYCG10S7C+SIy66NafnRipSBEamt2AMJEUuJw1sMQIiwVhklIKFPglGQZ EcxJsutp/Xkd4GejSh6l8mr1T05/pje0wDERS0S3rxagtkjCLawOaBACKzsbQuk5rlcLto+YjYIk gg0izXKlmDvF2BaehPcEFEQWNW6TjanwQPkOdUbz2RDlAhhi/K//rus4XV3YcJsDcHiO7tpWzoqm YEKZ3HP5X8Qx8XBFwFKC73LtAiTkE3MS4OzVwODMURbVwkTQLlBlXWWIlxLpsIFsD+LTvT4Ya6/k COjdMq7zfNre5Y/6J31gFZ4vIbm7YlmiZl247id3Y41td7vy0fx817Q9uXmOTrUyhDLZZr+UFjb5 1OGZl+MWXXFoEJBSfnzDa33EZ/AFiZnuLN1DARK92f9Dq3dBlmtvfLVF5UlOU9SNkMEdw2t7XoW/ Rkv9h/Oup4SacFnsGsHuaaGnQdhDFg3tAJiQsfGts4JHoUi4X0l4/ez8cPJ40g4sdJqsyU3Z8Sm/ W3/xQSBzJEldXi0I/A8lQ7Oqc4RI0ELONtjJel7BegCcx6KnI9WBr8UJcxzKwZoCc85fCK22cBQB R+/6+26LAcwM5eNJfa7E+RfeaN6VSYPzpHBtdO4qGIvpafrJq5yCQwLpPca+niNiPHxGQlRovjUd J4cacZgvM3th7qRnlTVxgO2ZWrk0lUa5eWHWMSMHuv3emnycHSfnMjeD8tBz2kSjWVpcuN3k5tMY 5wdkCKb6Wolz23I7NdE4EIMOWNL/zNSYmWcK42smHYnGhZmcjfd4N6X2+iB7cJESwcbzLzvD8c0W sFiifdRCKeWMjxpybVuEu/ikEBjFzxcVM3B14qfGezi6o6ZQwj5MtM6UfsKHgZ1u4VGCF/mvC3Y0 AkKV1BCm9nVOd/h9tIHo1dFdPso2oK04Gsj0eMqCXAM0pp/TTuO6G/Kuaku0mDgbsp9GGCvWSCQh HINTTNfwUsDOjiexr6d5FU/xp5YQtxvCDmf9EJmcOpfESSD92BKuDFMuxK/h/5yFkDm16gDUJSVC ZlICJWs7OhZfX7i+IWWNQfUCqfQaV3QXi6fiOa6JUkjMrXdlNspKSxdHT0zzT92U2kDPFRPU7YO6 tSem3Ft9d+B9urnIdQ/8skHI1+cdakpH8d+68cPxl5KhX08coClm3NKZ9COJj4OqQ7KY9WZy67gC YucHeO/0Q43qWQeiLvDyWIodATVSrbTND1PvMCYc/L/agYljwII7LhsSbF5yc6dBleIDnUgdvwRm PoZ0MK5sAIlu/FGuNKfRzTpl7DHKTmcMvMCwFBXuxsZNs4eFv6DVotRXKsoSVV4CEXsQn4kiPof1 3zxSXyeHs/Y8LcFHn0SHIbOo1hSSWelNhaGXptnvpo0VSlRTHwzJ1222uxwuHV1BtAvUAE5vJmNK ra08LRfUjA4POUMR4pcrOC0Atx4V4MtUTYWGxxxteDd7UbnI9VV/k9tggzyUgiBuHaGD4iAGn/Vu hOK7T6FeMC269GsCo3PXAP67OWmTmlDv6vf9Q75HVt/xrMOs3kxyytCOMBnOjYSOpyaI0IE+Aj9c YoM2mIWzcGPL61+NLI1jEemGPq7AaLx5a36wVdzCwKknXHwV7yBRShRy86Nb9BJv5VO94ciI7/UU KUR+CK610R4jrneth4p//+F64SdcyJNKs6QR02SKztog/XWB5hSQAiisQSWswV0EsrdZmAO2Hcd4 I6SgyruX5ukkQDBQkoL5rNyCTtVlo985SbvF1vv90WUSusViayh0tZK5bPX42qf1nLMqnH0jL/mY JG/aiWC/IgW8CxLiHfJQFyuaPtYGVylcTU+9HexCcL2GF+zPMjuU1TO3Dh2ogUHIOq2/g0WUiU0a x2i76vGwV9mfhoSTOCa1bz/XQrahSxGIk3HU8eGkac2xLfYFItquMcUEV943J2/rPRwa0MOWYXVr XmBcT085lPvxf8xBMxYdfwRRr5RFSCuv4YI6Y5h6i71z3bwGwxzlOi8A1tzwau8wQ+J8qMIS8EMN 1yIOD9Ozu7MITP24BH3tq4xZ8oYOIrHLo9YMtDTAe5/hfbyiAi5trio6d0Sk2Dpst1EMBQogSgOp O0cX922f4279KEpsAFW7Wi7o8d+raix2p3/blLXpqPv8jlWVPw1InyqL1j1qkrT1tXPK7CtOxULB iwiWhCluySx9X/7TZc4CsaHHqghGtdmhNIWAH3fB/LBXk08UjdJA5Uo99Fdqu4HP5gcj7lWy7qkp zCHcLto38qBa55nbjQgFXEXCZa5/N53mwQdw7A47l//7l1Lf2/d4IWUyBUMpoc0lvurQmBltm7h4 Y89K/8t1ZK7a7vWTub83nyV+quV00pM7BKK6g2zR8NLzMPEbRfJNrDAwBjtnD+GTcA7v31hho5y2 rTKdMKipaO+vJv0e2yg4/DcMWAJprTWE/4cKBkqbqMG0NcOdgKxQlNWgF9LxxDe2p6fbBKeW9lOu bRvQkCaiCvKue3t7qN5ZXQiBrtdAfh88dksQs07c6ZiBSjYjDRfMGrmJIxpeLAFOOufL481DULnd lxgV4ykpc4jIX3meBSKkW62nsiEH7znbiU+SQEJXxNWe9K/3F5VOULnTolx/YApzCrG4Jep0B2s1 2QkNPdLKyEe312o6RXn6llLtTzFkAE1j35dl4MEopCBpwGqUAI4A5Pj6CXb6F7wTGeS8gGQb8PL8 gTeAVKoRifdHwJkJn3LPNjqqmtwzLxQc9ltFRLjJz75Vn9dsvL+mwNzH/FWQq+YKweycH+2DOmFI ZfLRVConZPcdku8OATz3cT0a9Sg0hWtB1nvzA79jANuQWlf3VIMHjaihoWWdazRCV36YLhBHDms4 z9zQ7DMAsKKibaafKW02FOdggKBLqiHGL+zFVDdmsRF3i1xnnj/tCE+S4UccQN/gPMr0R+6Sr/q7 cdbdou396wHpDfb1jJGWQbjRvYEcUgod1qSgG3IUg69W7Wor60yTtrYNuuyX5/KoBDpjfWLOKWmJ MZd30gO3+TE1Y52/LvK28fq8CddeucrEzQmrzjfu5l6L/faDPO9gB38VppavTv3mZWj6LHF9wJ8p Vl9/mDtaGyRRfbF/f/efjyGcm91IxAW3dBEZlYbiHyke0qEH02BzXvb+piIz1g9X/SPmu3NizHjJ TuZlfPRss2PDA+wX/DQO5GLfvfsAlmNKgPfBTPejYaU+66j7/5a2Kza56JtFE0NWX8ZDDqaNrkXl iv1LgF2xVghkLV6nGm0rsS3HlS+J8XbdGZWOiSlhi4W/Wxew7tfbpf98ymUScFcFg0BTEXqrvjYj zVx0cYdTusrdQ6Ir1p6YF2JWnfzqHKwHNkIt9HJfCHZoH02pOHcTTKSTdkYc9UHRHxjU49/oOtQy d0Lp8+IVzdcQ0dDyyyrM9Fuqj41hibG7J68cGfeXczpIivhG3qK2shxfyLE/vou2ZCt4roMF5AAb XYr88FsbON1kv5FJVamxLz9UqwXPBDfikzcEXBvXE864hT5sIfB2h58+bNFkuk/flkHsmgPcpfY6 fJVW6WZgse16h2GeMV8PBLdmwKj0knNLowxBo6nrnApSOxfM4YhGkcwLHBGYQoomGm/2z1McT059 VBSjxCV+iFZO8cIPKI83oZjwseR2DAcyKS3ceyQPYZug0a6uJe4pJ1kQLWsg5QMyFQkPM3W3gd66 RG7IRYE2X5oUmHKtVaWX1Z7JWMhcVY0q1dSaKTXhGD9KhxoAwWcsTTh+zld4uKSvDjmoEejOoh8r tT+lKSxKYffYyeIaRgHZ3/pHjRuWbm1fby13ctU4309GfRL983/yoZqDAtn3dVx2CXZ3h7nd2iw5 P0AONWYEyjBbSrgY8KkMKXpTPvNyXnwcAvBUF2NY8Wau75WG+ONih+/wvSVg7CF+tbJPEUQf6PBb xSqUMJsna/xqBzLk81IhJLZhNOglDIfhkK8AKKKNq8pFK5ArqjOZVd8Bv/55CFTlzJUIxrsa/akN Lphs3fRp7d7BQMuFXQo/YyIM78Mo/P5vdTb/RYGc4vkg4hGubN84XlOB/pIC4Pzfhu04ZcxONwGL sGgQFZ+LWWT/PZhvYnWj4zRFbYYC+xs7mOXHB0vfWVd1TpB0/ub3NfrBm/eEnJNKGhQsOoO75+LW Dsj/V8GGbuPWxOH+Hs447Oz3KBvu+FArBVFTX5r7RYsPg+FtjUqzc00v/BtFxUGO1u6kwpycsF2j houOX53lcyEox6VuepLbsrMG1+DH5S14DAwNVp2c674lhs+sxV2PntgRXkoMD7bJrbM8tdhyy/5G OOXj/J9zBYScQDj6gBr51Gsnr7/lp3txC7cYVa+JodJnbtWkAS85Ct6M1lWw9RS5lx6NqysB1/pE kIkBnu37enCuMv81I/ZjO17RR0nW6xcyfNzdrcTVy98XJsZZjr5OHRshJQMyEQsQHeKnDa2g5ARz iPLIhUJRaOhSMJdOwuaMBDFbr3VZGGIxpls61SBJsPEzDghHXvfhHvN/iU7OmI9/cNYdE4QAIBUf 0nAcU98/a1oGQK27klLx7fT88foVIoPVuQyX+tLq1E3+/fk0o7IDcbAALUSnpSPKNOegnQ+ozr9t Ui27x8PQGJP/1QBOLVySPhaTieo++BF9lScMoiKzbiHB6hqLpUeEZA195a62qJOKykszYEQiW9Gt VbqVimyZc/HPsDvyQd9MbVsubDqRdb7NJol8KSCyMqHwW99jj3UhFtyo4DIpQY/ihhnwFXh70bji jLZ6k0wRz/k0YAjUgnE1g7Qx/M08Ff9XXAHEjytE2BKAV9Lh2xc8lEkcCkDEjuooP8RV9llO4LCQ 7METSLkwukaPazNPb9TQ6dGWe4kVGfuzARSl6FeHp3iX4CLD3NeZHlS7BYOjAaBexqroMfLUgbnV xjZhFoJD9jU1+LjBYcfjmgvZ/6GVxthpiwmanaRMF5AU56a6R6GuLY2itrD7ZmTPibrcP+UcpKcO 9oC0/kCYH5HaZ83Xs5WTsWA4hlyczaPQAoyBMqN2EfWDMmuZ5Q/WEoO37eyZKGZcke7tVmjgRZAu jJmky2HlecsAl7SJXwu7wl1VQuSsg64um/iWQHCLT/Xq7fZ/JAzt+gJsgqT0ZC5hhOgp0c35PH+T pwMmAU8IossTinJFDwXa6/BB/Y6GVXNpnFLX59v7iwnnQDlnMe28n5zd+ZHPUCzN3B8Nvv4R75ch pliG+8ZonLiYHRYqVhduWB5vWnqjng65FgJIcei9cbMsiQc93HMCpJkj+pcQYKJ+kpeaBniDLqvp PjlmUXo4DMmiRpJkDOkh9n9y3r7COw//ncmHQn/H6HITGxMJx7JnNKon2RBy2J1CB1gG/7zLMT/z vWUuPJ8W78DJpXwSiAPIEo71elmhMzzfupESjivhxEXdKPq2vB/MXaqvvBmq06UWGSQ0upYpP20Z 0KPRSmW1ijvBsHuVonnu/rg/HcAwg1FdXKYYAtYxjDV4Dn/ieiyZMTLEpk28CHkRGuknVbre7inO Ets0GxTe1zupGwqQa3J5wfc2ER/Rm0fBs+N+kdLuSzvmUkbVgwUsBtA00sVNrk0Hg+Dsx40hIaBq hTysP2Ob8AbxvgreIICl/pQ2trCQENdxYaYJWoSotltxyIGXDya+vYg+MzLPR5k3LtT4QfSEbrnc Az2D5F7Z81N9NTLUKpZXPc+cCS5+YIdRZgZh2tIY+NLw8/2W6W2eOh7xNxydynNdDekwxUkK1I8T h7OOcJbOMd7K7TX1JOiKLlYeKB388XIywW8U3GrLzyEcTX2vWRzrv+/S3JzUsbacuQVDZF7QjTC2 rcNFVexFhGaF18l5V6qWOA2ZBdWN2E2GuYPR1mYO4feNbu45U4q8iv3h345a6iUxWLe6FFv9PXZC 2SkmQuyrUaSktN74fWWVsgwgPx9QrIdE8PTQRt9y8dQrgt7knYaR0HMxzz1oULUYi2Wb3yR2si45 NM5vSpZFPoEQB+ClX+JM6eWvO0uRT2yuOo8eRw19UxXUiWpVIQ16m/YmDjT/CiTTWgJpFYLMNJi8 jrTeedNXw/uI4tPD9EuyzvRVQoDPiO4ljJ3DPZr3vUixk03W4r1BOxMtXGbK6uzNaHYEzjrMfoXj X8VXMzOhnBczmJTDQvDkEpjwGDcEFqDa86XMsYIRw0LiYwbnmOT9As30e8mDYiVeQdLY4aZ5P8fW ByJknQa70VN0rIRJtTttqWO7ibFajRhW7iUbkJ7bS5aOgEEhIf6W/a782TPlN4sHE++Z5gaWXxp9 aTGLeCbjrYXCcHZ7TYeKwQnYdd0ZN9wASamBvE3MugyN4tVbwC3ufiKCbVKpch54x0JairTUHoZh f2EyKoo++MTZvLbZ18p97i4GsP4+t7QVjLNwD48J6Hc8wwpJUPdqmqlV+xrADkZpd97V0OjJDbu1 aq1d1MiJRGPS5VJKunESIBfkibk+CA2dY7UvYC6M1Y8P+rAWLrmkEr/axBL8USOsIUeuClNslprX TNBIcBt/SsDCBwOT1r3nO5folNMnmYBl/1SvWq3U+NloZgbxK2I086Avc7W4QzGw0vD9wpUrQDwd h4uZ3OIBrsSjFb8GHBy3Bq0a9Vo8QznlfVASG6dIX8pSQo658G1gwzGA268xvX9n6jpCXzp5r7hB eH5/aoNDLUlmQHTiDVbF5rper08ogPfY9kvEPM18UoBhv77eJ9FidgR+anS7mMrYaSlLdHdtP6j/ AyZS9mCLI3b/IQcBxRWRaAMZDVXcByGcTfdjasVcoacHJDEKk9pa73CNy8vs0Yd7CygdDy9R6m99 wMl8jrd3i2kKEVfAvREFNgRTFHVAo1JMZ44T1OlXWn4O9zrPZT/hGNdUiFsAlrg27zpld4As9Soi XHiNCuo4k1rXeJtSbU0DbhCciDGDUU1EBLV8gLXCPlPB84ZdmLCdQ6r+s+rU4nLkyofCfSzVdOqk oUY53apC57UrI5uQ95Q6or65bg3cwf09xQ83hENDiX8fAhkMYt+bdDS+1zWWhO5EfovFpldfzJ82 ZYe0PFsheLBA+oaRBMbr54gFQqBryZzo2wSZsgW312S1mjBOCfBeC+s6mj1FIgaUN7tZ3YR5geKt MQF8sys+YL/anULnCOuQEHeEdFS5MBO6S4xkdImTwhr3r8hFYwMIVLuA1RiwBMQVhA/Dv4EHNyVt lXoSfbdAG0HJDgzPj1NuEC4fnQ1BGPZ/Z/DF0xTsk/x8LUBrf6MqOGuJagrph3xnlzm8u+mteUPf DtYtuW72yEx2RB91tTZdKWMXuI0kSuO6u3jidD+tBog/EpV8FfcZlziwDMR4NIl2UWUPFomFnkol qCF1WbggzgrvtoAZdo2nzKPMTKF55H88EnV2wY6L34MkFlKvNI+cQP7JKbXdr+74kip4zsAmTUgi SM2+IpcRIgAksy4xQLVduSveqz2FZK6wWwlCW1oiOxRgpb+RQwBKKCQCK6izfjDOjeWJdIw8jOQu MRFeGlr2jWc52Wl+1j08ilYvQTFef9KRQusA/l2CrbUQnl1cTkbw0XTjpp7cTUi6vNDS0f21bEer scB6d+Dd5Jp9aqhqQet+xtJS3D/M4EIzeHimLxjk6QA80umnwH/d2I1jCK0oDYkdtsxyTdTJMoq6 XRJw+pz0YKpO5wzYY4m6lA4KxuNI50T819dI5N54xN22rFEkkkH4AYUuE55kKE8fkqt+CLNw4WTT lWuZ3Cd1q58UhHdK0ikbrFhFqx0nrg6f27V9TY3DCwbjCXrnCNccEh3oY/7W9A1SfzqcRyw/R69j Sr/6p56IEZxLQja192nWxSIhbp87yxpeBgvaPU7ouuQlPT4CpNPVR7Cwqi5EIVnsgrZm2sDIYZ8X xnh/ImBVhYktKaZQEPInHaCQZWYH0DBz5AUDrdtfEZceDMekRssFv/sa75znzECopBhprpan97kC a8LPZiPIcxb9Hob9s8aGi3g/czdHLPAsH7AxLoU1i38Q+i7p4zKOqmt+zC+jmwIE7tUnAKx557KS Da8qOFHWIzrATPeh38r3T+TG1PZptyzKbSNOptwknDGPtb5picD39TU871U11ONxNGsPZLHynuvA rTX+7aejtBpQOobiJKBEONsBp7zcd73kGIgqtFnSa4S3AsL452uctLtDbGJwgel1HpZdgc5AAyNV FIbuuzRCqwdTKEchaZYky7NgShOQ2CkrLcyR78oSdvQ1OfuJ2FtEYZQKM3vZyq5Gdo+oevuMS6Yd WDIYcxsukXBoLEne52rz0b1q4ozAwIWjl8D4iwOVnyn5YYiQg4vV+8MEGlQXhccYZrOXmFtVQxxQ 22rpLkaHExH85Jt0DnQbzNYtdV1azHQf4u8neINzzIH9fZA5PE1LFq6eBZ1Xf70/EN9Vg533Kcrb frPXOjiwfQScbwvWhb7QPsuFudxdmN5BbI6fOD/eOyXUlDSkVFTJvcqR/8kFHNQRC+t7pwjX7xSe bdRu8Zdqwz5WN9+J25KT6SgLrh+w9OAGVtX/sD3/UmsHMjEOGqHqbXXNTzpsHr3vB8MDRF8QHRAX VzGnx8pOsFG89BjSKnEgZTg3BndxMfHLvK35A0nLDV+xmoaedM5iZuwWT9OZ2/J5KCRvcunLOU/N l4PNjN0p4/VlQaVRHoqtNY8qtvfNeJ5PMqISDd2omo93yCnangaynNcydhAQkPUQPisx+J2jiF+f JzyyiSkPHB5wfLu6kt8F1JCc338rkRPP6WEIpmmwOQBFZbcYvyfUyGTOJXtKgu/PPOjuz+n4+2kh 4gFtqMxb2mEkv231SdG+qTzzJM5g+2gLoZuc4vwnX0MiQlQXVnDrWMlZHjRqivexkVyiZbyT2WTl yYbkf6YKtHeRswnEQdimGU1Ao7aK3DMmXYsKsNLpfIn9/npzrey/mKckGMnBzPL9LrCjIxxAXyEm WMos2h3aFi8lmhSF0pdyNMH8NC9kUqWBcHbEKvC1tlbzrCnWkW5+NX/iNEmzQiwrb5JZoWJwbJSz /BehJmJQvLWflBOTuVX0CTGCO1Lice/irPC4MNZ+bECiGq3qpF+KN48dBC4fx02TO6fVlr07n8/f Q4y4g1Vr+89iHekDQcyo5yMIko4y4pNGugwewHQxm43yDjB5JgA6G1hO40ibwvGlzrSh3cgKvTfx Zco4oF4NDD2Mnreqsp3c+GbY4DYyAb8fQ4tf/DgfoyFFUoOObE8sztZU3hseeUhgSyDReWCEF/GC rmlvsDuPGtO+qIFnz+kD2u4XiOTBE1WxzJTKGtOi0r6RsDbXXeycI2t6m0AMvhGD1pswUDF6TTON c4bIkvS7Nwd6zxIjGVgdSu3aJ1kzehOUGYBbxWEIBBiKiZlbmgWnaYQdF7YCIBAC6rnJwFlcPxKj 6cTZwC4abSyU6Q6NfEvHr89G0U6CTCiCcJKUZl/rz/jJbO5Eh9jUVsTaZiOMCPsf/8XIw7bTGue0 4hOMNJgbAl2DZCKu0hZ8+IQkuAM022tVi0uTCcQup7KlX7jmQhu6B/zCHZN/B/l7+4BirM09SJWp DNKesj3ZSRD9oTE991na0TmPpvhRkL6HddL1129wsDBEgmu5mY2nKZ9v732MrhAESzJcm04+0UPW pJuprp6/XPCVmnaATIrcgQpjvQvq66afFnarwGBx7r2mvpfgPIvI+0i7v7z1TAdzX830FRKjOf2y BXGZrrN2EVOMuI5Q8wVSEZEG30bwBox9Jt97NK8R/IgA+DnaUE+Idx8KNMYC2FKjlZTVoV4xEIDk MxSnarWAoK/+bY8CXmU8ytlbujLHtWbSrCflV6lt6gcAGRzsbAgR8ybCZuS/W8brnFTxOHKi51eD mJVtcnWxYvuzti5ONZynF9oLofCLKl4h+rb+ooAoikmOAZkSMnXCuwDNqZNlv9sMaX5U8f6Kx9UR BAyk09R6kjD7gcukMqXe235RNwODjKDYyrO7q0dZvD7LmQwlgqSfUYflzc3060XkPKR6cr0vxZLZ 2xOFSdJ+hgxKcOkiGUwGVbR81vrFXezCDETIsaZ+TR+U78TexxBBHouFPeOEvBsStDPjR1JAFQrx +z5GdbeMR/6myWUeDvvpuUjQ3JM3GiNCoZZtvOExrXc76qzS5r1DK0vY/Wni+pE5axhZhjs74y+4 7CCVzxHCpDYS6KbtSmLNWNfqUKPg8nWnRas112CFEEM6znDb4TIhNZNSlXKbcZBzPwKWwc8U9VXn DerXsroeKDl7v7VeT3eCTWQ3LD7RZY7lDqD2/ToDsxzvC/5eq1wsdfQB2wIYTUrmcte29aJTjhS6 B21TQJhgOAl2Jt75XuLSBgMXJSIt1p2WE9pXKKjwe3zBG9UZb427jHiZ59KvX7N+jU2BrfoHkk1I VAeNzH9oO+RtApoZFA36RnzWLUn6LV/jMFqZA3+YqWHcvEryb2ElUknKAxW6hzvSrxKRjgV1JdfP wSJwMVtqgnPxumIqcUFvZJYh096qgxf8jkwNv+WGjgoit1RGkvB9k19veuvWawwMXwN0BeKNDqZP qF+m0Cxqsr0w5v/xWB+B6Y6t5kqENxCk+kELtXQs0I2aetv7Rk+KlTATmTxpdvqvpaIKQ+7n832J iJaa65Ag7WxPKx09pcrDIryKU3oFTVDSQnXzF5TKvM+ZfrEYu60oxhiFD/O5Bva8bOz6HbkCnbJK x/+rIOKpwz6HP38Q+eKNGASQiyatkuLneZC+XSXvanDImhLBdg5s1wjICW3wSX/2JcWLr0xDU2BI vH6wkENF0Xp5teFYxIr6Daaq4FcaYqE+tjkXGwMWagO0AddJfdiC2QLA1AAoPtjO6+AP2gUpuCc1 91NrjeziaPqUP9UXX5GCJBBsqBRDjAVlVr5Ka/BuDRg+pRL9upG7kr0hWdHkunYImcEoqJZyIJYL UF5KvJvrRldfVIwSkx0YGv+BISNq1PiBEQOICVprGVe0wwtnk/WSW1kIqHDLrojturnM1ScaXBkm WKVKZgMj1+tPaXDIlnp6FVTu2UOT/I3/DnvCTigrvHsSIOaOJnWgHgG9PkETEhMgj50ayUQP/Wxc FSXBVrmMYRaqYUhMEj/jDDLLZzDHHfxxJ9wHNnjfgR62/M63p3tmZh3tSwWd5cazXI9EQ6RYIZx6 MOGQBFuuwlhLGQX7NeFHurOyJxh3n+r9oRobNMVr+tmd4kfkkCO9M7DH9eiXRuk+w+iQArNbBxd4 ixgKD+ntNb1yLIcHWk2lX27QVQJKqNmk4JnPmeMRdhPtbFQ0yg5mLr40gHLJz/w0/zOnx4C8ENG5 zQjzsu7EKrQ93x0LTlaqxNfJEs1xB/Lpp6696O0UYUhm6yXW9d3Gi8FubmVULcmPSb/oVxfgBzBn TBCJOs9pTbAn3YvqTpQsm9NXvdh1p5uo11KhaDQq9oJLIdtD2lsVqGCSbEaDX6wmRJkkR+k3ZvtK cJ0ARVHzHIICD1Xe2Ncn4x2NdGzz0WmzmEL4iOAUfMbFipiSPwA/AfdC3/ryrQSqaNgop2YzaVhg 9y64M9g9yhmKtcQqi3A1B1mfv6AQIS61JaMPP4mIiapGs+RlOQ4EmqMHLAigHVak1hK8xh7U7azm EWUVXBwy7yVPJUjCW9SWDd5eaZch++sJ+74918/k4T6HtwGuLxKs+//xiKm1AnmsEajCAUqumpNR NRpx5wtfF/saqIrgTIyJUmk1mZuVXlrcm6IE5IG4dA1EsNMR5t5q75xxTZsQFSkczv7nUkWl4ADu VeWlYuewoQ48jUwyxM8Bd+7TsHMkZUjLfgvIYcEOU5++cIbx/ZqRKcsWvo5iqbEjWjU94rWuezZA XbuFaUdOE+jvxcbcHQELIkICg243B74Vx+iE2dPOoQ6ba9SnNi+LZrV5X4Ww96lSLV66a0yv/Gcb Vj/ka692096WakRwuArvHCmcHlYzrcLdsxGAs+agcr/xP1wCphYLiuikI7XuzZvKLwmwpar/InWS SdvKqv1iERz0hQoARW7on+xK3hKYT0xUaF67h++i63k+89qhVq+ROwA921hoNOuyS35V4qpSVSWD ZSb45o7cAb1NCqGBpC2UDCXtC7m1r6j7TQCPk0GEpYMA1psluMfdhzDeK4JUbf9V109+ewhEHrcH fcokJgam8IbBABhB2dL2ctSJYKvsCkQRl6rj+HmHoyTQ1gKYGIkoN7TlY2IUrCfHA8KD7jbmuFa6 g2488IMhEtxWZgKrekuxWmZPe2pYbHXuNcBXoC+SLaE3jJ/gIIabepOSXq+GaD5KvkABKS7c971N Ek3zzu++U35VNNO3mbCCQdP4qntOV1TaZwUF/yreYkSsLXl+kBHH3kOZpwRaIEjIEDqZQtKLw/k8 QpODhSbUSmsuXs4f/7M4VulyX/15UYEzhUWwsQpbxlF8FhAgWeAr84czUICISNQiKvsQA+JlrsbT jIBkLlO4kP8/lQn6eOWFkHXGel7mPZoY7GiQLzVwmBqp2JLGE6N/2jMyqUOsFvEq4LpWaw83u1wR velw1v0PRjqpQkeB1qSOFdyhAoYGQnCO6glnNwf0oAGGVmHIZJhJeGLfoxxiVPa9vDkZKyf74Ey+ iEPLkeVxBWdqoa/VI901+lJ5pfUvJeDOSzjSFVf+EYl54nMecX8CxcbaDril0lwu3iftu06lvEn+ FE5ZvAy2qQ1P+IjENaftqHuf1Rj5nGwmHX7mwnt62LOix1IZYB66AOms9biulcXsbTvaeg9WAFvv RJyqDxeYTt9+Wachb6ooqDB1rvFQYNjJWliLkwmcjohJ1i7LTqFJwM94cgHMBboTGhDZm+cWFQig QrnMhSO8uI/xdTEQArbI57BY2wFnpeaJg8u4scmihCNHjt4j+E4J0Ur1axQrq9RH5TyH48+XztrD hcNIT/cMOGKGx34bENIzBF88XFDopb3a+awblQ1SNib48edCRM7dGVooiRIUzD58QhxUBYe+aaJa cMNGvbeNEuLdG1aqP1+76+ePHlfRHgmsZ2BTFde3ltwM6bhWbhKbmyK6mF+t9/uva/j7Tw1p23cK 0tl9RHsAJFAnYAh5xmGAzMi8pTb3SUY84tqJ5Ix3JRNRsBILh/Jthi1CoydXkZv+bNihB9s0O1N8 k6xVIrW6uT3WMehpBlIpNA3O2NG8AoQA8NMA1Y4SbYrXo8iP+DHfxBDvEx+WbKXCF/P236sp8AEe P6U9qoPwlVpqiaCrRGxr+Wg2OJVgpogfQc4rqREOU+oqQiO1WRLf+EkJul/xHGCPb/NSeVpKenzM qF0EYDbqSOucxMSKHh1xgaI/0vyNX7YRwFe6zJS0NBxkCYl7G83CKj0wFFidNx+an0yrxpDN/PCZ cWEwsEveqdcxjx+pNhD5jHCpOS85VDEf+3Bsb2HVPmUaZ8i+LlGBF/vFclAmEqclQSf5URglrOTW +yThs3c1/C+6oCJpUxaNp1AfpOxMaB8R2uXixYse+hjGV5NUz90q6bRkr95SZH6Dm9JsrKQ4tMYZ JiBvsy1YEN1St18b/LoRX7jlV0+yORRrMZay4V7ET6kaj/FAUfcJ+a55alu3ZSeFbwCZzZK1qUlC 5VIsd9rD3htEbKdcQAvLCGGeKHr3GPfm8xkBTvNCGrqTvNIHO/A4of7oV5Q8MQT9hsPtgHk+1l8A /szL50j6q8rL0KddMrtpY1rSrS4qdjPYC7FQkudmImo+06QVgAGarzWYfgGMDFk31+O57PViwyBe N2h83cF+50xGfEajXWsVhkFQy3BIzbEfhsCT+EsgnubifmMqq9mffIw7pH+wkbZq11sp9JG2/LXv KwYfRJFXx4eQ1+Xps4AFdI0jkOLEWupLO9Ak/LNIrBg1sbDfmSgee/O4R3fuWqi/vLEVbcaDnfqj FlmU0TR5wZKviGSQsUhxKTnBfoi4l1YTiDdd92AuEtqAd2niW9FstzejQK+r3SI81aWbHB9Me2H8 kuUMfXaOlBKxst5yBlJb5tqdbfGb/0ruJ6Ald0ywSV9S9HskGplDABLwgowx393nSnaWEiiASYBa y4Z4Uo/YNumm7LhxCqR5cEeEAdiArJyO2GEYrGLGTatc1LUwulpJlGKad5Sbqp1BtarcM8awF2Za 4gt/7ROM+X7MCNafBuWi/QKrspAC75va59AmWq/2J6Zc/Lktb1JjxTRCJgFQ/d1pt8hDzMfEmJrd 95q7F/0IsO91WF+Ojwim+RUBH3NgoPpzJXhwpM5COU4xi4zcD/Bp7L1YpZAOoHyb86KO5hV9NskV LwvnkMjkatDRLW8ngByKuieebR6TbyYj23liE+XE9kOdvejUQKw2ZIbE4+reFsTcPwZc1et0/i9e kXYgSHdPx2y4LeeHMUsxas5E7vV+wa5kiFoVf2OKLLpN1wSZPKb/ZfNmaXOATTSvWGi3vWLlk8Ck VkPBxtPK5V/2awIa+PNBfPwcvgfH2NO89wFFvQkOy/j4kFnV0AVchgsl/LYunLHWSEK0jq+9I8B0 TVoFJK91TiihAAn3rCdZ1qLlJHt0k2lFYXiWvefWUD4OW7NUEaZhxm2JFmTAKPxBSb3LPUM/ru4D ZEt2Rbm6LehGeSvHBcq6jUbk19niNd7fLV0d4igzOAzy9sKyWl022ldocLQGf15xPuo1ck9e3zQg VJdoza5WC66NkZPcc/2g/8MzoDd2Nr2D5tDtUhDdNLbAMIpz+17WvkLGzvHdlZJ5W7/dxUD9Qbm/ BzfVNSFJ8stX18e8OdbIG2L9lFpSMuooNJ7R9kIg9L8VcknV9ZNYUlzEyBUnMLpPVdg3MGyeHQ+U qY0CyPCA+83S69KzroLMboXaecTzTDxrzQJzU0f71FBw41brv9orRZdCJJGAek0x7B528pPmkNbO ERuC4vBAJnlNF+36QEg8RrcKFUORkGNFK+qxQvBA3Qen8JvWx36QcYjq+Y2qXyhBxn2NDKzieLb+ KAMdw9UVzIwgeskWBCIw9PEef1BND0iaCcQmvz3VuMt5MGREwYJ9ASjrLDVAPXjYu6frrPq1y0Mx n1bhKWV8LYNi8UtdzLTdacqyDRS9p9nbl/9YUGjnTEVghL+6vQEFKP7AzWbkTiYCS8+WmdhGL1sW drXPMF7PXm6yyny59K9zp7rvZroVYxgeWkjfnoGUx8n14YJ9lDnpsqAZ9dCyU7p3TbBgU3oL9aOE n2uWbc+8I/GQ26jY6AO+rrgoX0NHlSgHEsqKerxLPiOAry+z2n+D5YzFn/s94ntbDhhhlXHyxk+Z ghbBjH38qiT8fAQIjy/IB67dv9UzmJFN36h8iy6wdeIHUZgYVRza0wxFJCuFLiniK0+E+6ha3RC6 PC+JUZc+UIuXl8EB5NRl7SOsde7Vc/Ck+B7JBuimp/0Fgwi1L3v/sWjLmLLh83LSvbDR3m+wH2/4 DH1IUglwXzeOHQEhKrQzra9sL4DszQ1+hDDsJ9otGs1Vvla/jZAqizYXwPKTv7LfuJ6kagX3R5Ey JtsvN1bXDXKrAqldBZgQUQ5hbicOVOJEuw3gSPminM6CjyHi3FNujt6s24xLitPyESgitIknDC5O wSdjXORlewVdhN8tZvC1tPMkXnLc0AXjoa5bCCxEEZJiVnVBiTosx6gR3eXltxMUg8QW5N0rasHB E+j9UL250rBqdJgKWeA7Fj7aFKjk2PKieudLTeyKZLGtABlnVvH4pegYa+m9AqxgzdyBXD1phcFd aic+/bolyzj9+H702cv9TMX0jO+Ru3Ih2rphsz0vN8pvbhFVeSW8TPS9a82L0oVOp0dBa4+YUAG/ qoyDf2Zl//Q0uIiJCdKrxfDUn6NVy3XKGLgm9VbrVJIsl2RMM6R6bak1f4wLGe9nN40h10nN/RJ+ H6TpjlYQLQ37MhUHV2AhxPFNBeHUh1nET4zPlNLc3d7Ggki1p4nzdC5M9KFRsE+frOwVtUs61vxJ sOV1uNaLl8BLZ/Bs8PS9MylM2eU5DN9nzciS3gti5gbdofLCJs/7t6Si4/AJRtmEPI93PSZ3PpnN q6juPVHDhCVDGe2To90k08rj24M5B70sAUpkkxC0KkfMShfu1H2KJlzmJOpz04Cf98WwF2pjZV+g SlDxs27lt/Sp99LfRARcRIhBzPauUpzeJN0SUBC2T/MaVMDA0S6bXZP8vqw9f7VPCodMhSkBiw5Y liOkZ9G1BacDKrSjgxPzvqP9ociDtKG2C+Hul7nnxM+Ns2RoG2k7l34GAIJFc2W2XG/E03R8n47+ XBRhj4pg+wqAz7Pls1fHZSFYxxSub239fPKwCxMa70uIdkc04X+drSRrU2hbDws81RfAffe2M7hF mh2y43e75Z8w2t8CxJjOlk2EyFskWV7UZ1ctU9zhd9QQDqn023qrH/VSVivQtsmK3z4YFHNj8v10 NEKQZwwWpnTBy7hQPGyvNIW9mKBEhNOp7Avuoh0FxpXuCZDR1qCCzOt5+rxT6Xf3ZsZFLcfLwT6N EGMSuLIJPYUOyDvCoJteoG5+hJPnRhmZ/qIR/hWqTv2C9uOBIH6wX5/Q/ulPDb8/13PEmXHr2FPh MhNPekh6+98kRICySvkA4eyN4X2QdzzxcLUqNeWFY8VaI1K15eQUNKZf3kPJLg2gNkJCkBHRiIEy wmQtfA7XZNZJUyHrK9BUEhbFYZsPvchkltqCiLoaIdk5vRL4ri0VzyAbEAv7KbJu9/nQ6en1/D83 dyZSTBA/4kTVto2uUbgkweOGoBQyP02aqZ6F71Hlo+Jlk8CG3CC6AkxE0ZIg+SZtEm8OQhDxWn4U 5V2DQIR/zY3/3xW32aSsfu3mOkWRh9hGayW22okU49GzABHUnXqPzHpZuhG7NmkvAAfPjBKQ+Jl1 rT+vmyBN+A5gvg6x4+f+YDdzZDpS4x1vCSHs8naDga7k7AkfBn+MQeUkzE70SifAUaV9yjIioig+ LkN+oBXX/qjCDn6i13CzsANV7UUFDB4mSWp6zekquMRFthfiJXipBZ+VUwvQgFX/WHlSI8F2lx8e eL/f4blgftdLJkzWLwquWM6u5tI7sTKs1hiebX9d0eEX3G7m2n1I4OCS4ABCKmgeTNB6NYMXdxA9 tZwkicgYUD1Gyt2QCW/go+vQBs2T35mJwD8P1h/DcD7pXPp437pcNpd6Qm54ksl5P/SXJEdhxTml SWKCVqsnqhtKFFAY77HBcVzKaREbU3uy+vZI13tLGdDY22yhpC3G16jR9/mtmhQDZlKbf+5R/046 8jata2apMZ5p/BMjUSQ0yzlH1ubirzI8IQTZWrmCElWzxlZkGdd5xFoBn+A2dGnjCkkuJUn/CkKj h4rGGgHVrWmJNckWPVWMzDfWRPHJbdu8Nfo0VQ7vQGZndUL+XFvlgkU2kxlJO+48UWSWxiANJFkJ UtAAqr/LuL94iNX+vIIsO/N7FMICv3TjKQwLR5baCcQ0BBcEyaSipicC0oB+HVuNpJaC25r43/WW tY/7wQ1CO5JT4Uxk1+RLhklZoGy/8tJ01EyKPDpihINr11iPhaYnLEg8Pn2cp6uChMZeP0pnbKaA e4Eu289u3pNJtOLsMMz6h8BWIvPdD9KNw11IJt7Ik0RXHgNBz4GZxnFArQNC3wUoFnH5C0kYz667 Kb7HwUG12Kms+3tLdmROZV7dRx3/5HrgmcyAlRthlnZ6hiLNm03CQsNbYnNX+GhQ0N3Ru+AF/lMC Sf7i59aNHGrrqRcFhmTuh69oc1NG5KZsUmtU2rXhbULNx5PRtlq1zy2zXjf3lxn4sRcJR0o1lsj/ xI9UtE4AfxoRbSW9PsaU2XW5W4Th/oPMNXz+ccihIavEo0R4oyjNqmtdBhSok50XAlgvUdpoimCH aLaOyGGy+759+eIfTSLbb7xZcJZ13Q5US4bObEeRJkrRun38QGr62KIt4hB7Da3pJxTZ/9abIy8R dBpOfHC4bJi/Q1PF3s9MK/tRAs0vyeWn4/unLMh+94sudz2wh1WKGp2i76QHHrNyzTYelAZzuaJg f8V7/FSSNFyqxBE4VD/sTSZ7ZbQbXR5vAH0eGn+0IkX9mR0w6URx9C4abgc5g0/psEP6wwLW8/kD HIjs7FPWs1AcEXV8F7ksUB94Lmu583HZ1NItJ/yhT5g0+bbPVPFK7BGWwZnINWXMDxPTzikeSA9Y 7J9781VU9+AtyZ5A1yLUnuHML+6sU1jWrvCxum/t0bW74ppUUUJEgwHeS7qWMd1ztSAY0c8bqxnH VafvhrqSbgxOF5BymIyu3u1l1CRSYVCZH59NhA/AUeNbqjnp77Lq8wAEVDWLwrOcfGF8HQi0jez5 c5z8BAEkpRhFBUTsI9khNlGnJpZRsL3z/lsUVoQeI9tfmg2Y2wFY7hK0loGAShskWT+ckEByH/dS hCzpFMuJzuTKCfhOsld8B2RbfV+YvnOqAw/2b4CxV/QoBdjNF+ZboZFyU19HwBBZgsQsr7BTEBSl wv+Br8v+PUpfrjxqNQoTCsbKt47scvejrO/DMF456kHz6jKzLvzNX3RFxKOTgqBneX27H1QLqObj VnW2Cp6/Di8cpqWZ1G46uNrZsZKu0yTLX7vQt4pkajjA4NxuKGHakoFLFyGxWG1KUrQEju/Jeytv mKmd0Apj7vb1ngRfB1rd3vvwJt8qVFcXLloX/MUWtNR0AjH6fVSpPQ8SKlsJ/8/0hq+O0dTfqyES ZTd0pjWH0Ww64wrZiLK1oUl5gi4yyRjKSrvTUgAKE/nHfFIegg4+aYNQ9w1Ag5ZEBZDOSE87l2wI owb9TmoiOdLBaRFBDLJ5DVV7g2gVA3bXeRqQzGA2K+kaBc3+h4Rzid2uoTUHUonG1631Tn9NW1aK db/T64u2T2lNgEEhAWbR5/pPzOgJkRv1tjRdQngTywHxmFPRycW/PPijQhz/7fuRzL7iy7Xmox9W 9PxLec0kuiHbVTtARIZfLk3PWLohz3sObMkrVi8JeDml0P4/uI5WER9NrmG/mOhwP1H4wFM6g1/t PC9ckmq55mkVjp+gsmyhlnzeZAxNTyNJSSB4i1sRL4I9wyT3vGpF+XeE64iupWpoEAep3PNYwXcN gm6UIWgyiGmDYYXnSl1pRGupFkXmEzstykBsCtKgY28Ci2ilw0MepjEm/tAc2m9sZRCPIt5l2ksG dFjwIC5Sps5Hci8Ct99klUztELqaorXmr0CVj4idgAwix7bT1RLFJjuuzaqyFc8Xjk6MnKRviLne 2rrEK6nHAUlMl0eZbqHb7A9/wXqbMrWGuTX2wKBG7b9MOkkPP36Su7Pj+W0JVNgxUsn7ChiQc9N5 bAboG/j+vOXp/A1zBnKRs+C/dQMVtQIIs/oSrNf+F8bfDb2chJio5SWB23l08yBczl/FWGgaKZmq D6oGIgqo9QQoF5gnRUBj4t+RtnM8DPXxgwfRrtSmQbo8DvPZ9G5JRiWKt33Nz1fBARW7Z1O8MtgW GyEeX9eipTbXVWN8Rtuo+lHVXndkkwR2Z+NJLZRPxJPh9n2xLd0jgYPnLpUvUlzEBUwGGB+v2CRh /HfrHZEmUC75scdwbLjVtxwJrAhp0qL5y3ZoAbgSKdsusbXTwZBF2+hkBajY0712mUgJUpyF7KfT S2oUPelJePZxUDETfHKhHVoYdqMagvU+unISeWJHPjQ3IWyqnHFv+zpDpoumeORSDga6T7sBSr7b +XmkMAnoJ66kUEFytsNnIO1nUFddOH/nd7466qpVOYvIjhOmyRPjpOj+/o0eRYZmKwV/E72PgrK3 pvu5Hkpf24T4p7JFzWMxdflqKboKoP2f6KkT2EHhjHXOdDvjx0tRcDDy5i7a9WDt6vTtyAVG4YZz qs5So39L8wZDuA7MiABk4c8YyZmfzg8v/2SmH/oUmsH6W2fGl8RqcRuaKt6QUYMnZYKv5enfIo0v y1KjTxghlkrz+LlUHMz5pQ1aa0X3FoV7eNIg4wtD8FhPqHToZORLKS+4sVnnRk7diM7n9vW9ZylM 3Mr2l7baAJGKD0jhYP03D4HxeQwTYxxWOx4x3clYP82vkFqvP8JulMHZubcs8pUkviachE/1n0tF eaftI2sjt6bZ/SHoWpawNow3gLBevar4c3MI48jY5Lo7HtQKORD+pDhc1KpnAh71HsdkaWEGh3T9 IhnLMEQ9/gkYc/bA47URNM1klCl1VcSMr6w4YdacBqrZXB0RIqZWRpNRBAN3qfQ02Anll1A8K2oo b541t4GdSaQOSB6H1KhCqDRavRBzDNdObwUZS0vMHd3bKgtbSpq6wgsZesoNZ1IY/lsF8A/EVaZf V800Glyx4b5hUYwCOX+43hEdTLP3wvRZYTcYiHAJbmDssBJ7lCUD4iDX//9ba043esirjgbVHpeD Q6gqV+ArNJHd/wa7zRoGvB/OCrcbMtFVv6wZaNI6PuG4xw4k8trFNVafUsG8VySKSXYFxozXPWYa I7dFnD0gsZYnp+NQ75Qwyz20aRJuV+KZ5htjfkZcNj5sPloyKtH1+700XF21Ct79T5MIyATzPTkN qZjeLF3XWkDLGXhLerOoip5uxfYJrlnQUhGkyjbaQvuhLBkSPpgMkiY2/R+M4tqtbeQ3FWz50GCt h6XKfOeji0kmMSFyxKz+9hXTn/tbGgGB8200ow87yXk17UORfcE/w4ioABUPbY/sCeyMiJnofmjb 0Tdhc2TkXkuKB5M+8JHINwlXBkbLyWrBWIx+ki0dEamZBdyGa48GzbwAvs7VgrNSFLIDqi9L9+BY oTlLeVisY0KQsQ8sOGvMCrn4cO+C2q2JKOWfTnRYPavm0bSRQYb7OQdkXeNld/hh7TqmBf5g9fCy VsA26Zycj6AGxbvnmiNTD3iYJ2XJdB6sUgbz5jvw82s+1VMRwcPS+F0vNXdGQwdjaD48ZuRWcBT0 IaU8JFojgzdRrXjtj8r+3YOVnLT5D4Tn7I0DqSdM9gYKODI55jNOPKbxfqUrOkNY0fpC5xTkzDsy yad8FNT8IIt5iPy+dUyw2n+4vLwqIEHYZT150SEPSrNbOQzedgiR5OpHRfZuRO7bCGr+xX7Hw6lk ebVJRXiwHslkKVjp3KXMzIdPlO8vAffxCWyWROeY4OrNIvJ3jkKDsp+/GxkjukbtwxFDiLw32IBE 52PYnYURQGeZGxqcT6DxqhA1Ktllm/uBWRP74JLgGqx6vWAsmDPUJZ7tL9PtqgPsZ+9MD2itqglm d0Xj1UiwYpew1Th+sAianDLiAaVxBXzqpAjF3Tg0gjh99JMF8AesXlD0+eSl3SmMXsUx4Qz5fu8t Q+5QM5IxtTfxiltbHti9BCGFji/pmDnGLLLkmqLucV8wyO5T09xD9el+qoV/z0ZDenzIjpX6J5pU Bh4kdd2nDw1yc7+CzS/fKtdBPy3jqIO2Krsxzr5XjsSjxuabwdZsa5+6R8hFHFnHIyt5CdLLo85+ mj+iiukmU1AplEeGZd5Ouh9HDBhzOlWRxHtu8ZzUXutC16ehRH7/8cwf5Nsm0TUocM5E9H9FE6li AAy5U0nkapwactCqWGUhyMltWAMbP3zpLcUyGCodz1XHtD+bH+geXL8FOXrAxLVR6KHUdXg88PtM P6ydgSdpf5gkQy7If1mn9x1XhP9bI550IUOArLSWtapGyH9kcXpWSh6ehUOHHDfJDQ4dII9CCTgr 0KN3H+7oOyNuELDEU2lXsjtSD+4uHiRP0VOWptC/Biq9QnZH5Uc7vk7/llD3gnnBrMTwIcmjyLJu s4MKbCR2mXm3UPmrPDzpNBdOp7Zf/xYdyJCCgaI9ic1VSwWjxgudFwBolt05BUzf4jRV357/VtaA ehnvFuIrgzcit/ILp9R22JyRhKzryHOI4DQbHND9qokeJCuDDIbdYRt/9vLa/3cHlBHsBDPNPFDt nTkAoR8kn0WmMjJso6m20pJg9o5PR0ZDFpMc0xduNTrZG6Of2zEAn/u/QWGwKiORjC7axh9P7N7g NrBZHVmSObDfrqVcxk2KovC/o0/zYHgOCJeyMHWSVjORSXHpxNgy+67P3CCPzwmzHUVl5Nxw58rS LXOLEzBmAOHqoWOkW9PDlhA8XZMsIfCQTqomn9UlK5LbohN70ns1GqWlcDvXAxzTasW1gVnQIx1f xU1cQAyvCov5lwSH1jcJNVdTN/6XD83wkEKcJNFHj9SD8Ljt+x5vGdGpl7KhBbXhrbMAewxUOCHu dEXK9EaSv6/8yL547jU6ETWrgktwPUAofc+b8qrWQJXcFWV3Okp9KPuHr3hlRzgjY6UfvFzWT6q/ pTmp5Uaj+Nt+hLvKZQ1fzFDUA8QM9sehnF/kudJ1BYJQ3mRo3fkNBOCrYHkcmQxJfYpuLFxZIfsi DAJ4VYYZvMZZW3yiqZKbwbbBM39IBLqyvfyh4JI4qlK7fhHCkFvffrCvnvcJayypD7KTbAyjlcEw 0HKaDWWci9NdZ03+82lKb/Vy/LIor6i+AtE+55pMGqMrGtZqqy7UHqjBYUrx/anUJRq5rhZ5DW+R ra9epbM96Tm77uc5WD1vX8TbmCJxo1qFm3g9OsjmD7GprvlYVu5HvddDE0YrPCns2u+dMItbbO+3 wZfHrgmO2G+x33uuyiz0omtVocKZHgCrNfFkB2IOZGVPqvh4OTkSCpAPm4vmnPe3NOQESRsLQzxn kTglEeFMgif0T/7VDn4ZNRBqWeGmuD2GCWm07SYQMu4CyZPXu2Zju8cU6QFlow5vaLIHJrMn3xiz ie+OenR2U/57fZvAlAraXVw+jInq9G6StTCVcv1iMKBS+9kGeGdtuJmD4+qk9UuYGUaJN9I47SsA ouLDmlCbIb5gXYMw/eSm7Ru5fvz2dWGt26Vty1CqthGBC8fy4zV+2DXq4zMQgUCU5dtWkxk4WLnG to9HRoAq/WI11RWTAAK9oy6ARlBsArAHLRUb+aW5q0uKkFW8+7DTQB0hq/yoVEYrDRrdSW5cPQXb kn72D9udZgyFcQA3TeHXX9WNwPmiK5f90Mt9YKHnyN+YG/w45IwRJ32VypLdBHUgnKlM1ZvQ2PqQ ESDzbzpTjg5kdse7pOsI45yfS0fC891eXMOLV6qu0gN4mQs/clIGdwVOKCBJV7UZPthYu90C6frL jddsk0L5QsxKODq9Az0ym+biH3YDvUvx0mvQ0KJ0rZ+1i3GV7+KqXNoKV3RvOFParyKMZzlxK/J6 iRIebK1nPctrpi8Thd1YkxoKtS3z3uzimJ9KAvl4f1q8E3+zMv5J+fUuSnVTXA9KJCKk5zLJTw/G 1W5UIqRTBl9pHOJqo65S5ZqfxROvP9SBmlT4ftyfH52t/FmD5NmhjvZ2VMF3cn1E/CMih8tld52O rPZ9Ftfcx4hT7m6iNpqqYagj5IiMvJARCIaiLEZb8UwK9oEiG0b8hEpHMHLA9BlaUeTnF579993Y eyWRK3vLezA13AoTBMZTgw4OQDaPTcYWZERQQjLMmqSlAEUp5Pzoud8X3ktJDo/fSr2xGIel/dfd El1Ouqq2TXRLgkdoh4FO+9+OhezqiomUNvTSEuCAZGvF1u6nrSCBXeL+odraa0PHMAie8OkO9Obu 0mb4IZ41KD46pBHzd9cHJlNPBAfExtOHGDDKamIIsM2E/uIx+rtSkT8ZkukgNk6uU1Wgtu1k805G 0dTekGOrAd1Bt8wndusLdSTd/dKxSMcwFF8bLeCqotLMAns82iNPIqrQJi1ueszauhFNeShjWsOf Y1RVn0xsj9wrjq5IQir1dsXw0zWUAqO5ZxSFzMpP3rC2ZgsPlz3nS76C+R09xPTpb82K9Z6jCaDM euOMoe12ex/DOik7OqVft1wQj9nmwQjlSN0mmiW5E9meMonoxaBR83M0Cjqvq7zANX+ruZvv9hpo /V3m6EDxbBR8WNZMg65w9BhKq7UZ5Apps0gn37Mtwycf16FdR/zmXy85ltQCQpEnOVl5rqiiYOAt YEtic9m4Ml8xmRJJUta73kxvXtKSU23Hn6GjenM2gWHqo7Mck9zakkFW3hZSW1yGTTuyQYcBQB8i pB7ydSU+csAkKJwon4CikY8bncsZb0S0jKWvUNaptwpRUpYcG6/V1I5eADmuI3cTWu6R/Xr7gEKI MABl+2HKQYtcF9efX15HdQLpZxPEYleqmjHMRrbqCIjqKh3PW9+PHyd18hSHuGGrQZKSKUlGuh2a gnWj/BE+2Axv+FRGzKm9Xxll4/sPlQ4rU6B+Jm3hjZdwgjIqk6LU7TDfGIH2xJ7hsIiT/Tl/a7ZZ SXiiEpbokUjv0Fj9CVvMxYEupLHHcLv6dD3ICIzVR+rGbxfxVWgk4tQDHbP2A6nyw/AKiWnQON6u fGXR02rKTNPlIyDf1Jqc3QBOWVoKWmFbjOEtS+7CDluBoFboY9jkYlNAx06oAOlmz2R4EPNEI+Ne unMtLDTpWdRPcy+3rz7w/X1kG/xmuKFBrnLBCZugi1+7yfOLHMkW2sPTja9GQkWEI73N3FgEgZvN A9mURXU2jg7MQgZlDfNQXk5CtND5QYGG7SbCyNjWCa1xw62qEfAYZPZNg8+AgZOpcZFBfm5COb4g GHkEjyJtYr9KbjUpVgXYT+qQKDv58LjAh9mvZ4IX9a9A8CpTEoPuL0H7p23NRflBSIGNY6jI6e3r 04V2oOSvgI3yN6cR8E83jrioOV8XD+1YRk7qw67yrwht/mZcmvInA69uiCKyUWpCC/ZIBBmvbEIh kkF3/FCGeHOqVLP03EyroP527sKeXwBq/z/tU0Vs1PAJXGb/BOb+zUAiAzt6V10eplOMyzIjZv9p +PJ4oMjMgRORrOrHZYTTxAxPtAQX3213qEu5FaZYyEoAgbFYApC9S7TYbaH7gdrKpk5WWkhKI8hp gtvJ/KaK7iidlAFKNT3+LJuARWi+rFLD/C0kBJu9oLZEv0y7epBY8vXGREqdwECnmmR8IxsSkizL CCRrOZ1yeNXrmHBNP4OwYcCN9+okHoqAPc8FQCYiVn4WQr3xgTfB2VIUevg2DZku5pJeRaanMZAI GGp+iawxtRFA36H/8fwZ/ecPlXIXryGTttiKC5tRx3EwUTYC5NSLdtts4ASLgnKJcQ2gsKDLrZ9C zpVnCKxKk2RVYwadOef/Lsd7jyzsnE2F8Ltt6wmOj0SjkbyHx6p9xkpRA/uYC+uWLZazJt+CPnRh VnpDf3icJWrH+ELPffvsTuObMRK/nuXodibP7pADRlH22NQJSUmgpz0H3IDOKSoGVYskvVNKmteS 76c/Liu0gfStXQTqRL/VgJ1YkFfZ6b1LwLM5dS8ZFXMJZQ8vl/+FziDBTNMJz145VqpSQnWTcmC1 T4+SWyowWyLxI8uFmkcIt7C4PrWk48VCoaJyopvVKbILuef9tIMAHwIaxuYtsy/z8VehqQoeFWxN 3O8a+vpMMWg2FyPA0xU1DDVTpEFO0sutFq/XdJOfY4NOAH0ZBNmqUPG1YorjOtvk9k0YV8li0iBx DYKwGhx4SGjV5k6Yxz9+ajBu+7jc+KvWNAJaaazJlHhZyLkZHm9fHJbsV9UT8ViRJInHQQVx/16I M43gEJDRw1rU5NlZg/Z9OWxTGcp0U/Ud1zKCxZ+NUnykMNQ3uA0EDqcZ5nRBe6F+xpEvcbCTck25 D9gFYW/pnHSV6gyX18VYDmitJoA4ijHkoJI3to4dcU/Uab4NEEFPaF6ZshbKM2x0PQaJqBGv7Tyt uDmGp1qyAKKqgF1EBgLVfP62U+nLZPS6x0lMV9qVpAEHZI2F163d0OI64fqKtElO5IQJ6HPdykKd zBBeUH1Bft08dpc8F/mvmIUN9ZgXRMNRRdnUMb964WoSXs/JYtnX4cEAV6y9jKWK/HWI/z19TQXD rDb/p07G67VymZu1N5sWCMxxkDWGyxaJEKFq0hEYc/f4LTahEnuiIOqRUlh4nWXo3eN/K8YiYHdb qfOZCpGR9hma8al1RJxd9TZaKvj7KDy/l4d+6+ZymKRjlQ+Hdi5a0qxe+rjnrgSURXsAwSKsjeSW 1yeWW7uKzKcQNNMhJRO/2tM/ykCIoDjxV0eM9N6SK1r8+GJM9dsrieiP403t/5I0gB0N2ZFFBOl+ 9139iWv1RUBdvgvRF9m9RLuLiw5FMS/jn1Vd592q6xdLHMqxbamfFbWY3E01wfZIe1X7qs9OXzGG Bta75GkiyULyi+/dhSREoWJa+LKOVu3vbMuLeekH5WVhZOZ4qGrTKeUDAwCGlRf2T8lU2UBeyssc ayuf+6BcN9XJReQYilFJMVOTYvhNq9qVR9FkgE8eX+EyxEvXrR5I3efx37gKOieAc4xPybbn+VcD kOoJOmsUkLCJH5Ky7yTM9ZiLI4jXGGndEkVxM1/SwfxZyoiEuK2GUi25HbiCDban2ldosFyCQDzD wZ3S0I0afId3dpf49lNJd6GZFYDHflBUSU9jd6FPrMMpomrw0506YFNrAF3JNbLZ1yXpDr/DpUGn 6zA/RNrQCsLrXxWt1XBm0JgioRvqEu1hYekX5gh3QHeizDmd85DFdWYaEj9j02FNKaf/DfCHGv7q ETnWjP9Eml2Z/J8SPbrxolW0ZJLOrtnkp/Hz6Hvq81UW0omqKpik29P8CHZmhRKfML7D3RGbQhyN UBRv0oKfc82U9b5oZfrxKDH0x/MgIuyTe8ozh5tX13M2VoQOytr8CCjEpbLydLEP5ykEyDnMUIB5 RAvWU93ojcO+K4DpzsDxitGSPPNLvdn9Z6qf6zx1x6MbB2a9RpqQV6OYh+JS3uGzEnWpvm8Fxxf9 dUCq3WY1xEsr6eVPw+WIvZwD9iWRsmHgZd3THpH1rGZN+3TcVFF30yPdpe2qrsezCxbJPyR8NivO wBhVnmuHpaIsCXhTdcyIQW9WR42TEiEhyinvS31tsgf+cUkuoQk7R0SDVCtJMyJb2+OY32b8xYzL u5kkvYGRh9WNK34tlSv6C/jklwS/E5KyEv2TK4Z8/guUcZ7jB6ScLHmLos6BWJAtVWZUD0SIl0Aj ffneKLfDkFHe10dZazpxBSZo+wKRguUnGKVhGzRhsgPdyio6IDGynH1I7tpgVyEA0c2o4OGlwWdM gqDaL+dcCdylIEUWx7whOP0PyoAhaaNrDxWh1r7Ior1Kkc1Tx1+3LFd8d+W0f5T1B602AX4ALo9L RfmNv3G1sdAU7szv3U6KxvpFrBzhzLIe/EXD7NYjtP9QD6ppfuyWNaE8IW9ecFxf9rtTd5XV1aBr 3OPe9FCC2T2pz/umXrqzgH3Et23XNVdlZwlP5YbB+DzUbXqlBFrkDyc9397wv1CQow9rdfSGYXAo S9dYq2mrhqesAmLpq01189i8glCKT14qvIVm9zShW4T91Wi0nt3flYSE0qIt53aSl/md8zKIwc2q 6W1pK4qtn6aASCJB4z6ImRxgUHfvV6FD0F99EEfzqD0WVXSANTLEFIIM+zdttxZIp9ptTa0hG6Ls hAdKvAR5Ugj/BlOvHWnXKIcfIVbpQPYeJcJUSkoKmfIp1EMqgZJgUrxqVMa3zWdXgXfCNqkMlV0F JhbFM0bla1+0sX15CnDHYjkRhn4OuPGvcjWTGGcaQ5Jh5YLBXtOrn2WofNpFhPJWuv7Dxj+zCdxN NtxIS+x+ZvfR+WRE28olI2ULXtBjmJ1QCSduBpmh/4Gq6rVuGvi6L4kAy7XKVzB4kB27x6Oyg/h+ hU2TiZrqSzafSEGsdanAwbGvuHI7MvOUSd87f4JAY3mqGnF4oxxO0m9PllI5xWcA6EoeOA/wm3Gc OzwL6x7+Q1GMEErmXM3D9EHFlKyoXxclp+GvDwU8IrLg0iegxJYLt8jkyhFZguF3LXcAgJ6wclRz DSoR6YibxMBWZZGHpGWySa2vMsmL/1a/N7t5f9B5GETvHTYlWkcNScSgWaeSfJKKgrg8IvclQBhP KxQyq6p5t2Dd/l5ACXqcP2vexQ77jsGjIwXB5A17NE4FBe2TPdGI0oVfyghJCgoloUQ602nsOXS4 0Ds5MMd6YIIj4UrlKAU9iGE5TvHO1mEgc45rg3PlUItC/41J9kw/zMcqpfiko6Y9ewJ3Ff1OHAL7 YPwDuh7Es6YQfh/oQFwaI3Sa8w2wVrLQCFhGukvstWq7RFI6eSW48BHuFdrE5BkNiVMifRJTb/dM UFT0dG1gyMVR2Q/hLWUlj/ZtRu6L88cxwPqIhzoyFfNW9VWb2hFV+QmDO16Xq1JLY7Z0JkwSTFOV 39iiVtHSObhlSC/GPJJWMLKpx5BYUc22m76aT2/5bKjShGW9IubPwPVQfyY8ZEQCY7gWZzTiuDEG 2XHoRtzeu3pXWUbQ8kaynnbaf302nD8iyisqj7DUxbjXr8Eo77wth9evb76wquwby9m6R2YBgvwO xZdrbyBpP7cfAxp1nj2brxd28lKUirk03GqZvgbzwyWnxAPyhrJzrVZyasE8ZC0zT29U8b7iCJNF PHW2ys/4SQmWTXu1ohLX3oANe2qB8iKzEcd0klDoONXuBc2m8EZYC2yRiVOCIzdWNBmIqK5IwXpw Ltoc5fUUE8N8QxCvR+eVqMkB5MAGtWt3BUhwk3rAlBpWJRWZqaQOAskehnhsOV7P2FIIAGtDU9zh ckC9/MEJh4wAoL/IQ0axhuofOmpNsLJTM/o7J0DDATiy3fXDyzThSwm9CTtwMhnj5OfLbss6b84h M7+GxYKBzeckK3rHk3dDZMfUUIqq0e1QR5645qcrCjw+hCth6z0nsDU6rp7tjBhRyu4cfwf1M2S2 sPE76f6GuAawxBoAsih5dLpIypt9lNJdoK5lwNP+mJmcWz0JcMDuJ/ElQPxH6wcQ0d0mMMx1ZQRU /vAKREclMqvH40f8eAcX22foKdSZm0qxpBnp99Y3oKMuo1tDaep6QS43CYndm97LLQ0k9esYcilw fQrXP/kRcTgFVP5/tptY1kzpXbvTDRfswdfZgX5EPgxPndWsMZu72zeJbVHaNJiracJsuOt89b/Y 5sfBBFNaG1I3H14VsNOjx8krp18Oj81kPNoSdofSkNVSuwN/O75NJoJ9n2Q5IlM/zX+LDBY70r/S NvVYu/hxDMutDI6BmifWyrqDkZVAtzU7Vev/TfvfJOZrTVwFMv2gSay3nRTHEz9KvgbFadFpXWeo 9dlAI1rhPIJY2YIgRPSGW58RZNPirHlfSQcMQhXBWEe9a9nOHCm47cOo6gQGAx4qayA2rUWtmeDy E+lSg3KyOqYW0wOqkXx4XTfUKbLjZXGpVFP6IP7h2o3S38irCkuIu9qlMcHobbCN2/xqmwpYyiq2 znwHjL9aSvzR02AWB87QZ7ECTWf/1Eu5Ij9qA3RbE5RYX8z4TbvYjOVXZhsw24xUKBsjJ8QfR/fi Qx+Ra5exVX4p8Wx+TVMU6QSEkB4el9v3FGZ+kIrih43WDUEK9uxhnu/0gw0XxMBgirnLst7hUJ7z hLVsj2kSBc+HoEHl+ryK0N0rgRWB8zOHRUk6yNRo/KFHnZslwGxLzw4UJZbxBFCvP14Nd/TFx41A Za4e+4xoTITFAI925rJF00A9faX9K4Ll/zcZtzhFVIfBVAQfKDpR8Amh6WJFAmzRlQU0a935cHVB W9QMqfHlEheoxEfEJYQabCVi0Ra6CxUnfgXoTEbeXRakdxQrFBYQTIJGa/q/PMU6WyEfxbQ9H4+S FC23K25uq/hspcjKsja8qpUpwH73LKm1uALXT1ZtbzIThmIVRhQKteUkQoh1V1v/fuZVyzWjw2bW Wfj44tQOXQaOQ88MCK/ppqnq6kIM5j8Yly9f3hGpNt2A7PnxL5t/kHDsHQijit/8DHhP2tjbrHrE XW0SPmg1SLG44TlC0TqEhMqdG4hKJ2kTnvycY2wYluOGd5hr5Rt/xafXFmgWG8ArOBg8Q4bRO920 wTvkkygfxSXuQLrlaaPPuf50LQ1aucpM2Dbw+mePnvQbf9s13WC5SxVuqDFCILokVbcSnJ70lcgK qrE0Y+bdwUEnhE/sa+P6wYnZ4pH7bkzq67OWrfi5Q0qermZJfwnAiy6+40yS8KRIIJGsdUTfVTrL 254SjztvwCdEhp3e8NbOz+sVAbvSjz7Q3RM7UzMcng4EqUunFahH+DDT2XF7kUiyu3MC8OD0jF/1 EzUGA+WxOibRoDJFNFqxT3diXb7gzhF1vEXAnffAFerziR5ncszvS70V+MiEpoPUMe/voP+ZJ7Gq ajZL6lW65/Ak947rud7wzPpk3uRumOooD/DYE5FCix8Rg//rLnTmf5w2do2YIWzDwTcP/0F4J8aW XfCWkY0pbxKRScDAXPoo8nMJwIc2vCtQFUw9AhoVfBtEvWbHWPx0lq7Sxnn5cS7uCc5ADcOFnc5X /HxzRX7y9mcM26L2syq5yMQF7CRsyR+TTXF8nXzj5j8Gz0+CNXqB2HAVtpA0zKxhc/l8Xzw0pIgT xI/ixXrgIT0CQ+hdYAaeClFdzweVxcYdPXkdBW1dF9P7WEDtUCa5mORua0WOdZUFjW0eJ3lS+hMT DzChEt+vMGAssUE3c2Z2DY6YUXDyjdncfSg/GJrnidQmNKx1F+yS2m1jj/+4a62K75dPn6Jdiit/ cqxWs0dzr71eJnYPQVnqLvpmlsIePQ9NOABOsQ7VCVZT6mQsC/dn9Y8xcf2V6MRNrscIvR+AQUnF e8pbECE2dJlh4aOXmJbrWkqCZ0ImMFTyXa32LveGzRjwwvVQzNF9q6jwN3Xlx2PBub7gxeJSDkY6 A32SIpR5RqrwodjXL5CmlDgXZNdxPCKLqR/GmJSD9yi5aP4WEaKGe42s+crBApijA0SsJvpAU73+ D1wQvyYRqIbHR8f5/bSHmf3UgABbTsbH2DRrPh9nDLxFqVJF+ARnk2z4g5f5riepbWT9npjBpPEd JHnJ3NvKcZyWkYfUUNH/yd+MTEHa0JnZsPXwWlpteBe/T+VKwU+/WnGNUECboOLJq09KA3s3Qn2P w0Ccj9df8DRNCBnC3kzIRTu7FyaNAJ9DaWUVdD/VasCxTSpWTSbc/D9gujGqxFXorJk7PJfSdY77 xT/kFNSSrgHO5E8jf2ixJP7pqZfoAn8/X5R7xLqEjHAYRJq3G/CX5OkZmuPnOj6FyoFBbsZojrzu 5Zt4X9Z0d+VfmwaVmM3cqRl/GHZ6ubAgtcRny1u65FGcwnT7d/XhjD5NRoMFee3SB40B1dd24T+H f1i7kO5N6NTtgNY2RFwHIOddaDN3tKOdz2Iqw9pu+wvVPKT9Bh8PICxbY2DBCA8WUktTqL46nZLv +8gKpBNAfZD/a2HNHRM5oiBiobkFI55Q1jUOdbHLmE3niqLpHyeaZxMLfrdE5NKwe3aYR0UBzyu0 TRuXmO2FNhGGFHk8JiYwWAUY7Rf/F+El0Md/+SzwKSiz0YulteyN9+eXbNaLAaGaSGzGYgTt+eJ1 xbAvY9A2Xsnq9IAbhV28D3PqKXIziukgIpC7lBimuAwvKQILqbrXxMdBgpeSBw+HCapz78yb/hou UPspXz7k6GWFYfscWN/Xu5+AxxgY1PuA8fUQDhpTrRaSBIhXZEM95SfPURWcVimNJdyHEMPkmcKO kDkcUW/t/5fh3XYIKO+vOdeTeEY+CBeGkoKxEDCbvRsvg1joZo0mKlkPilpxiWnf0c2oeEiLSXc6 YIeAvla0GD6Q0VGWN6OhqK9mSXX/zZmBDU3OtGChXgRJuNU+nMLwgOCSYcJAZzWe28UdmWTiohJ5 2C+YAFTL6LbNdTT7BZSmNMHiaQzAznFRZx/qWgHRwKn4sniRKwgMgxrsQL66zCQx+0SHFCOLFhKr vWkDzEmjKfs5q72DdJYd1YZ4NhgeCLo9l1COJ8VZ7H9ghGJpDjWmwGpj7Gm9mjZ96X1nA8et/sFe c3KZ2+oGD2z1I/IOsInyRDFFUwSrxNkhco4XH5o7T1bDhzIEnMcOi8DWgL799FJf1bc9z/YoC0DU LqkW3wZjxtnYhsiHOFdxmKQBCNsHFhLz8c5WIAKYtpmS05IJUlHoV0/x2f5jRxFF7EHXi4Owe0iR IlwlSU2Nt2yBEVKvCbmK9AztIuDSuCYe4nUtelsWq6Tm1/PpukVFXdXINBjGTRy7dITXROqtiHJs mfUeVtQQ2UpIE1dHWr53i0KyXeIFWW4afr/oD7FgaK6xK9VAjKGyPiAYriDdve2q494djKqCbVAH QAX/TDujCBnmMeWrz3YXWs5A1Btico7rJkQ7+iuUUXE8UUKmt0rYBAl5veAw6gXVRoxnvMsXugcU PRQKIm/91f0cBIlcDpRLai4DBYblXBRNGTHPn8NeOXxvmtGp2I9RAa1fy8ts5D/KQivurW0HsBuy DWOXSHY4Zh53CgoR3JJyc6Id3KYlJ1HUjbLsakED5PyxHZnIUu7ukEoAvFRisgH8V2WjEl8YVkMm sSQm4UlpBAyEqvgjEz9Ifmm2WJF3rvcJaN0xgfPZAlIpYRc/leOcXyVtaq1Uyw2A6Xcxv/b0qmOU a1nAf21zJ6dwUA/lKKpo/uhl6qxhTt3+MzgvtBQiXuy5LwgccsZXBSTM0MDlI3gUQYzKuLIvpUMQ ZDMle/ukPJLdhGFZ1NbrRRfOUhWgXPUOW/UnQ0vS/WgWhrPfBhE9HDTLSZAqb7hXFNNK6gh7Tcya AoJO4jIq3eyZbHF2TZgb2Xu2jyQxM8uE9N5yCLmV/2xJhNy9wwEa5EdLz0g0fDUNe+KSLZtVB0Gn 3dGR2rJKy/Hm7xb8xLw7plfn40Ofc7z8vR9V0nY07A58hfZp1+h/xAoRz4hDchX4otB9nxH+n16h cbY6RvdYsbIvYN2i3ZelTat4XlJ3/MkgWmf9GyIceodBwbR8Brk3MJpq+s002+Gpea7Ive+ebUgH mnF1D3OGHQyZUXYDiugda9JcGlhIFL7+9nal6f/iKuXYiUzuTqc0tUV8pp39ZXwV7Xae7Oos6yPS MHxMpq0/MbSmGmzY4N/C/W5KFH0NalUmutyyUyUq295SoToLbKcdpyiH1Q1wVOfNHbrgQfdnZEq2 k0dvApdaEZY4dB5iocp3Iqa2KvBgynzsP4RJKfa7h9pUGxLON+GA4jNc3Q+c0fD/29Y/bVNWpBnJ pXVw+tbzPbQqd3mqedDE76Ms3xF1rkEOsmNN8W1r4EUkW5HOgbNPstQ1Rlgv7Uli4WDKZOnMjenP gHg2fMGVww8PPlpfw2L1beNWnCTj5XvyOAe/ZZAem4rppo652Hgq7k1GMRlJbh7wohQBdu2FZqA3 XpU/mkA+p0TD7QdbmXUvjqFNhIx1Fbl3vGx0/ySJxaQO75ai8PlfDP5PrEEUB5cv+1DYJemJjNcV w93viRc4DLAJ2XVXVS8qwfXwtyxbU/51DdX+Tq7AZgdy4Cl9HP7Gvsich/tuPUHS3H08tZnKEu4C aaMPgVo5iI8t/aDBLfb1a/can8FW+Ed+SSPw4cnmFKrRY4Us94+RK3rT4jTxDLxug5OyB94VOXum nmYmgTxHOzG6Ho1i68krwYQJZ+EG7NG45MByyq8onSb/uFcshlCmj/Cw4RWVWRQx5DGPwamNk8Mt 7U/lqeCoeZyTd2koBnvqZPRqCQQUd/BG5rK2JnWyR5r96RpmJu/2HUCn4AG2XouCDPGTlCpp1R3Z hPd1MywGbd6VXSpZMjBeK81IiAbb+EAq1qOLxKd1afccj+V07OOKLFYs8buIr/SVjnLlL02JoSuM OQvD5/x5unEXRsV1XuFyjjxIE11W5YK1UqRrJ8PzL+Vk+yFyFxrtytGS0E54GpGTt5Zo/P8oxWn5 l8/TuAZse5KtHa5WerbAxfTCC3gwEFEpywbrEQy9ii2AObLU/1kcGOamLLVNMNJN/5OgelUyzZpP 9mYmdX5okJjeTTzkdymqGOqKsR5SdSV3yOjr9Ml9DGrNMp29zlX1bJKE/+CnGVfcvIe0wZqCQFLS CVAaiH/oMyE3qh2yNhcexkptjG4nEgDv2U5R3WUTjnTJ9hUzGksrrohbbr7UfOixIaTnAinlD/Bc /NBooMOp8W6qEsMkv2W86e99ItLc5spueOWOZn9p8MPT3DbC00quQ96IRXNpdyKVnzJefzKV8FQx A4gHPbjVb/rAXGo3mORYaRG/F+vHkbEZclqvOCFnVIJ2AOwcY/UBXpv7BHJCyWAGeJ1Mc5qgstHY yb1tUXR6ChwvnrFkTc+BSiFCj3fHpAafrWbrhNrU9OxsgrAZ6V13YeDgt2EIh+oqik131aS2k+oL ipBB5SxuitNyd7X4fNAXATWnmfYuExxPy+5tHQYDR88sHoBohKg2EG5YvG/96+rjgC90KeB81DT2 OsPOCUffgvBmICdFwqvTf4scNC9QzGW9EYc+cDCtwUrVv6ckWLzezo08X+bDSrJNvpxAbXn6IN6h BxAkHQyYqBMiectUZeUH+/kSmTJFPQaUBFNuiPM4n0mrPLxVwDAPYTqIRqxUHATf0D1DB44cNrfw BcHaFPWI2Mcsw96SuPDgtLPH1NxLA19wQ7cTXSjsavC9jZbo+9nVjHbJyTjq+JBVmDCsZXyhqyi9 5wbG/0NXAfsx94BshtstRcYve7t2BhUltQDt8GIhC2JUJBiPUjxr0KrQPWgioZnE/AeARH1SACYl NMlO2nQiANs/TciJAZPmMi7ZME9u7VPz8ayMKbjW+bkHpBFPIHXyRYji4Yan32z+MvlTe6kb41nC uxLMFlxwuoY9XFTcMSpqiVBVPhJyb+CP1eUCeACw86bOtQ4sCrRDwfaKGG0FhhHlw2sK3obLcSWj CKn3pes+KmsSWXOcIguaLczAh/Y838tR+zX+APh864TQcsx0N2vIdZOn2wu9AIVV0JFC7zB24L5J 8LmDctAafKEZqjkEIG4LgXcHbaOySr6x+lHJER0jjKi7h9tHmuGTphJt2oxV/WCUVsm5WlEsWv9i KzHKzK4k2MBf45ejmZCU3/EJIbBjXtZOmChZ/i1yEVnb7rj8nz4Jb1gt4YzTuXOJE6i0ST4YNTQa RzRsY4k0P9znOuiJ2ASPBnrAcgL/Se4IDS1qKKoEZdAUrODd0TrxWytFJErhj2jR7tOZ4kbIeLQZ EdeKtZklkbUbl2BrBZkssHAT/2FkmlWd1u06WNz3EOVy1uunHBHLvfQ+FlWPpPpB9XpMCSnWIPLU FTD3NeH+l3hqQWrYx0SptBIhTH7VvnZUa2H+e/TUlxZD6MKX541uImNeztGT2yGsjzJ9SIJm12G2 SNKUTZi49sDw1QirlB7pevWHdZ9AWwCAk8C7tx9pXzmeV1dSfua/fj++7DLCCy8w0MTRlM/+tb8b jxoYv2Jidz3Xnp3pRlDwLBVdfFsZ0VvUQ7bme6MEpgvJ7pmh3EkP6rfzC0M0nbMPN+MoKRaQ0PbK hatOEJJB2hSJFrc1RbZtjn2RnYyfN3yE1MVPjIH1meMqPta8BPcKVyC7t2uGJfk+un40zkjgZgci YdiqUamE4x6rJ+aNnDP+LMODozzhXl41iuTAodL6FkAMKSGRyIT0D9Wo0ZTIDsA/W1kPLIu3JyGj J1WVEwYpsoCPOel84XUcN7TehaPzMr3Rr1P6Ls+EnE4eKGpYI7dH5DiRs6623psFU/Cr/N5AHQvY 6MsPzGUVcMMs8hsinyC7aAwmRbox3oF8lUyHFS/4//Ho5VMDmieOJeSHwcteSYKIpwobKiQVa0Hj KhmT/ByjqjOVP6EaK97WcUC/KrJPMPz0Tki0QMa9baE0Ueak4l6mR31jb/KsRI0sT6EH0xVFK2Em 2mqUksKmxeenDoZD5GAEDt5KHi0wY225DB9pMOuVzv+CxzaUkwDw7jxI94CVaVk/70KKnjx62Jo/ bfxb7qxMMNSWf2NiDqqsCxNI9Vh/1rhDH+Cn09i9bRoO4UK0sNwX1IAtrrfnOzMWkUdi9m3aYGip RyQ2KW75n/BoML5ODNY7XU0AuveNAxpmIN3VYrrHtbV/ADXSty7k1oEfIBhFhg+yN1HsPX7cCG18 vJkrCopSLZeyg6SVDmNU4H0DLjRV9KNk+P4BM/HT52Brdl+hfiXameQI21shnkuxh8OD6MxqVvkE d3s8APWhTOi3fBJ4glp1yYuKgv1H7n7OazQD498GI/uqIoevBYJ6OJrSeK3DUL+VK0SyBNgmO5pB 3GROg3AYMgnvesGf+zlmwurRfwtJsxdnv6EfzdIpARGcy6EZg1BKuCknKNTNYte6yR2Jc8s/qLoD Bg86HgB4Priv+ntBztt7tg35C+702D2iuPzPWSImzHk7cDneUoc5f5BBBVSTeH0VLtQ0Qz+Av/W2 /spcM/ZT67oti9z07ikGFDGc0rOid90j3FjTrsZiaZWMpSI1pve1nDl5VAnl+55qJ0gpUZxh5ttD lDrBCoREoBUshPzdrcQ3R5WD4FjIHEK8qF32TLVfv16N2u5xYSoMaPy9Y1ERgzKvDYhdFzfoTkVg acDvCAYi5eZBUn19EZV6b6qc8+AQWG4fEiZYk5/+uFobJG59C34zXzmFslH+tGSeg+KdwLQ7uNBU qTwel1dWE+7Dsc1YU2zFKBq1Cxykzrkpt7VRK6cjGcO+PQNKTKhg5voT0lwZRwT2WpGI7qNO14lz 5ma6s2oca4ViWPjBPr0759u0pM4xlpQkkIaTMczLEVduyjUfwTYaGdoofIcVBsxp2r1od1iwnNX6 FJqwi6/3b3vLrXbjVnjxFW3XCfy34rdLYplJDK/bhZ/uDuc5qdywm5o89s5rJipDixh6/wJSHmNe iJsbZ05Sdtxv5I519GKYdLShdASzAuL1WgrdPdD1OnGPm1/Dqz7zoMDQDdAszxTDgjTOt6MaKsR0 p2Xh5gEVhcuFXHqpfppzvXuF38PbR0GT6izTPuo96drxfr20qtq60snN5+0EbdpiN7BwaaLX6DXO Oslo7G8vBIXUvr9i/Oh9gKehatD3xhk9bbjqcJ4GQTbsOdCm1ZHEPYBD7NnuKiAjyIJV7m+OHOHn yLQ0BJLJEix9HPV84jWOOi/DeXhxyGk40Hc8hajBi/skgCu0wL8HcMb2ACJHXP4qF+/ofYjm5M8C ubPc52LDrmr5ZlmxNIg/8A5n3JOltgF3Eq7nEBabM7vzVepSivWGOTepuvFDhRW+/vipomcoJOum 24MjAM/UX8rbtVYhhRWoRCofSIEAIOHlgbHmt13+ruvr6oKXLpP7+/KXYsR2tJp6YjfTmMgixXGK zYmvEAh4VQMGq6t7Bliy3Vp9GzctTEBV0PevRVFy26bGXySlhIwMiRJBdI3bycca76vhlQUkV+4Q 9AIzCU6oRS3xehHLIua287uELR4S2mO7nT02bVKBP8ILwYWV+PuZTfcrEWWyucNMXFi55yKdp23I g7bYulBFfzygGF9UqiqLyk0OCWG1JmhX4SQTA/Eix/3vrrAdaDjnxS6rr12fNXzRLbot3YaTw8FA TzTrZ+9OBEa5etfWKy5xcsnhmirW9lmdvq/1jMTHokFJalSPj4VWQvz19q5lfXZH3Ug0VXb7BdUK AqSVOfjpGDWankta2WDXMf/E6nlmk1c3YqnAMLO1Fng7qQxtBVpfyNKDSzIBZjDH+r1UGy3wPAsZ pj/VVK+7T0Q0LnfIkpApJyIwJDbKMRPhD8F2qmbL3MDwPqmJP16ddav7zHb4tSQvdspF6/6hbCvE 9AOeNlH/i4lva22vGq5Nc/t7fwzeURrgA9Rz/Rzc/DPHyRFhRoPMNa4O9HH1k1+20z8pz9aHJAbs EearlaV8TSlf9jhdzLskdDpjkj9EsCJOlcZ6K3okGWXpo9PwEAh0D+KAXjq7/vna8Hg4zKG/fzFc +u9pFmUn605lRJlNyXhbcppFD5JEsIjeyKHVWQTH8mXTDmlPRP/vv2eSe0gKOzzyLaNVxh6zPl2F TiT+7byzMPtFXkVxVUFBTyTJ1lhCS/E6U3bRwrP6aNSoQ861BHlKq7El3lOS6uL1pOOVP3qzHQyT scb2+C9YIfZZ1d7BAJ78J8lvjI2kdoKxnDuaw9/wmb/YlCul+AbZ6ExXTwATUKvaXfLn25rMEr6R 32NpuxlqDvWof51JiMIAfdkkXHVwnLlo0Zl5bktAcp9lYU5cVdYBmQqDsNFm7Q4AGR8nVXJ8xIJE ZbDRJn/3P2FqG98PvaIcwWwxh3YOpXSlxziTIFN+y57R0HHTNiYgAACcvORxJFE8mSOpRbVFwpl1 e+USCIHEmWmnBCFPsKiPwzELW0aBRpYFRLllAG27+pXxbPrFrLLDQLjr9OkCWqBftJEiZUXrrWZK vKWzTsWPEJXLlYa1AsVJbq2nN4hDI/EfvihZzGdvmrfKw09v1a9lmxhM9TGpPULKYlaWlJ3EtYD4 R7Uy/l29qRLKeOrABt70d3olJXj/f+4JgzFMOstdOvHhQm2dlviZw2wOj70IhODkPFaTXMnTnulu rC8BZfTsSyStpVIW4hjUXYgEgIUVSzOA6hPUGGAqfoYeJbejG34p1tCld4SaUTzR8oOSv7ASGevp 1v1zlb4Rcb93BMxrDjJ4kYIQEbU0apPDY08meXDQ4ts2qgwenbfLc33Y0bSeUqdKkp5SL/uDua2Y Rl+Al+jvT0AZWE458Oxe9Ft8r3tuQiaAn49bqu3coog7AvwrRo8E+xJtEdk3TLtlYXg2KxjNRXdM c096GSFl4VPOo1CUCnozDnl49def4a8aanmkWIqfAk3X7bhMkB7N0CKoyUL9WEZPyURHZfmbuaWj klbEX/dpdCD+2c1ankdFm2z1wlxL1tZGawXq/mdQkDXcyJPFTpU7sZ2XvIlChjJu4CeAML/6QaqT D9gVDjHmFh7IE0kaC3d1YSwVPYMkv0PiGZvV2WDTlkHq2adJ77KqhnoskMjWQ2quqZYyMM7nM437 3sqQY38hE+b88EO9i/cxC0/CJFevBPloXo/32wOEYfimyB8I6djcWUFKdUqaqevS801OU5yW6Ey3 s1xIElPCM9INEB2LdLTtDmTEIRK4LrYzyeje729aft+ZyCKl75g7BNa3xk81puJ0itBu2oPb4QL/ Hp5K0NutsyTqMpmj5qwre5TvVV3Q94JBpKqLjq1/uF9a19UwAWe4aR0rezNrQbDyszfvz0BOq64Y INvzLLXmw+pRkXCubdGsjdmcoBip+jwx7LhLEzaEl46N6oTOoApYJwqVb4e+r+0/obFEBse6W9+b UkWETUIrNxrfB4+yjOQxK45gKdnIB1b4VQL3RUXFrbJTW8QXJV9o6GF70FZnhVDtVuFTWlYIaOUJ fQ7TgHX/LmWTa/Io/XgwuULY5wLsQODKulO49UpYAwXFL+cWfXMtVMZsBGtSAw60SABwAU9PYtZQ lhNZDBmJq2NVZpkf31Q4kbI25RqbnpPJnHK4jNU4rQbu1RnloX7cNSoJtu7vpx+Vlg1RQ3MbklDD mpqTV7itDkXw/IutKmX/A9ks3LdRkmCfn5qeInEZ8ltAyk3dODznqUU2FjmYSVffBkUDdP8q6T/I c9qSg532zKveoZ8E/0KvrM/eSdTp3PRCJfDN/0Tmpeskqqjx+DQcREhBu39VdHkE98aPzFX/GoD4 MsRo90FaG3M/rTXTp6nT7pPS7i/Tz8ezPh+O48yEOxzPXpmw7TPTFtTu/qusK4L292xCO+eTQSMu BUBU/eTI2iQr4J1nt5/Bc3EEuPUHGAhXsAiFfcJ0MnLkxDkC7drMzsor5BB8lVXhwr2fvDjdkkMd 46FLZclI3B5LYBDMRl3qGQ2+fdwjVAGWsaI2+4PxviRBZQ5cEKvvc3MQ5b6Xl8Z91CeHZbNyHTam 4T3+xeuAu5e4ILXUZlv/hWGPU0P2F6H7jRp44FlLR/VbgvOyJd5U2X/xhVj91FpPutK0ihfUO3UO U1CkrzbZy4oiFoaST1Kvf7JLYuNN3/oPt+/xQZnCJBOkYXQn4K9TReBXOw6YkUJ3h4JfSR2pcY7+ Lnf+G5lEW1bC6GN9D9gsJM+gL26RZI+OU9r7aJXxOlL+5TvCOsi7OCbrB/1KQOt/ytwuM0J4GTqY Rvx4AfNrcbc3o62wHFVWmYOOTHoet/LFZs9TTR0qqRZllCW5KRDSjz3fIhokxCMfewdJnoh5lYyp H6FiXpkEtZK+smh//WbsAs8a+ZLYZfaAt8MHEYkcYDBC3aATYKfWTKNhYhT8R6jGEdhI3spAPTvZ jyUbnFHRrLJWNhIBTPcfaFcpjrf8x2/22HpbaPNmiqLefl0rzhQnrW2gF4hKrBMZSQ8Oy0T/PwZR sKo8OG7usVPv+qdsFNYI4mSO0Dv5IF5r1LG3zLP7f8YiHZppVpa6Dfx3z4dsosvlwvtkWkxmYq/z K9jc7cHJyw/OOsBesa7aZ7/tvFM3xskEvUqd920GPe6fX+It+yi6NI5eJXCt1A6z2Mm40n0+5vVF dA4JMoEsXQgsWzRvmqNKwSgZbrIodWq+iNTyF6gkEvQ0JOEaI+A4hxo9vZ21Lpu8kNP0HN9wUdOT kgZRvhnFnaimI6xHtE0fCOU2kHR5DBY6ClJ1U6dGs+tV1OFnFN8UaULPiECkwXu1OxlW3Yunnthb knbBspo/i4oCAbiRCvR2Nv53c4oCKPXYJYz/SokOxQnXj+xfus2wR3vZXVQYpfdmJxGcjtPwAsKC NYGCnu0zyaWAb2jUA66b+iLdWMnD3dT6kmvcmxLSIxYRWLFbQbrEykprHcUXEOd2mtZXEhBUv/XQ 6cVV+yKbGcDEVUaB8LmY48UXky/7MqTteVUqh6pK3tooAgvjvueCiCs18jbK54S5uDLIqTNZTm4r jtDNAWNJjMdQWZO9mjxcpJQg6w2LUZpOR7o5+SVRknzMR5V0yc6J8apBynjvsYKH/JftCrgKQX8R v7NHh97/ucZ0EpNH8+n4CXELjJ8BJqa+k+a3RM8txPLfKIsgqq4z0F6lbZAfG2YBzbopYgIs5K8s UygI6A0J2wZOUk+dYBI+70+KA7LsHPDPdDjOCsXVyC3/PLF2KkArVs/r2TtPTFsXmDyBD7MvI/Ic Lh03nGZI9MH79+6neoeKJEEYxf1dM+NJRM5WFwAoE3iDqSMACqNUQjvgSwJYvUIBKZ19mCY0XuVP zI2YH5qL60cBHlOxjLx+h0mOmWeoxhWsF/90TB/dM3oZbpHneC0raryMHBtvNaFW3Mw7YBcxL0DA s4Vdt0iRbVAllZYVFn+FeyAkKRAYPf4LdUUFnVznufdXGo6o+OxjenS1WtcUIweC6Wxv+FnxLFpe 4A1sEJZ3dv0ZasyRoZNpViMEVotchP/D4m6Y2/wi2EO8f/DHrJMu2lE0zgMA7ZjsVcrIUi9nS8C8 OWpHJ7KwAeeH66gm7sTp3RMtYDVfK/zY6yuo71fJWvBDonEKvKuxey0mUHFndq8ALibNgtq9esST 6qfuTanrH8PfS2Q6UgvzPy+RCRGe1OnNnZZPOatDPxVytbZeDUxJwamyhF37V9aOnwDO2N5+xwXP I1I74o1VuMe/xJN2pDVGDabLFi4HTxBOv5h6Vovac/ilCQvZTyrlCe841WEYJPAj1QG3QKF1EweF 8390+k0d1MY5wu8O820H+H1Z1IKgTA28fdPzAJfTQ9u0k9CqP6xNpDSuRT+AhAXjyGhuyHhfLlQ2 ad5JPKXBs875fWhMA6lDUHiFoQW621pGsJ2UfwUEzKkdTdkyAK2odObC5jxXOerN5o8L5psRisJ+ w7mzj/h+R8iHjBzZYo2dnGumO/QHv9YfH7sTYhYinYATbmHNMMyIRq6cLt+8aGrAUc5E4cT9ow6D v/m7BabsiP9fVyBavtVHZXvu+Hq7cq4bqZdkIKCaAYVSAHMUmeLmfzWIZJNTW0n2SVbBjNcejMhg 99pUwHYjWKThoGK8OXpimsmZA0Fx1LSxNSi7iUxQTrlqcnv5ko60WKJd2O2lZt88CGKGBWqfMt3v 1oz1hHbYi4SmXRATqZj67JRmdW9PEYd1kvkU+vKuzrrBUYay5K/nGI7KdGGkWvjokTL4hK8DGSPY 8KSZsri41gg2QOmC0dpkucySzduvI7xQ2TGV97tBSFASKYLjUYBdHQ4iDqSe7z0n6lroR+H9LUr6 62EKOACvxKcW9s+e8uOn9iGzsJdmI2Jh+drizHjrgVuwn4pPbPOvQ6ZF1mITNSQCz7cPuPiLs74C M+00EdZT9nd9FehMG9Mw/YrGtevZrbxC9xRsiKE0Vu/hQVx5JyYgLGY6G0Jz+/LOBqCWhEpO5zPT GfXrHWRPrbuuHr5nCFsCB34wTE/EexUjAA+ZkLViaPxlRSOUzLT104PgLrXd+Fp0p58C5z3VBrlz svcqWdBTvnmrKg7my9ybiJaG4E2r5GEau3oHz8j10MG1ZAbcU6hpapPOKEmnZFW9PDtyzvbbmVMV FuT/xCQbKdeT1AfsZJeIhI1w9rSznr03/bC/tIYLylZR/77nHfUg6H+c6QRk87a9Epu0+5IZwiCA nRAcwA5LGQg+P4YMiwsI0ngJBr84hHJfmMalppkzTrXdGDocv1c/kvCz+GTtH+HvuctP6KRoIJA0 l1VCT3PqUE3RqMtiI6CwFNpeKjo3vbDuYOYIGLoo+3eL8fKZBptaDzuKZJfaQDXBgUqfgNPdWJii Tjzoz0gkVxIprldSXVPLAf3YoSzCNWrlRbBZRaxSdAS/azQkhM+SpUhWfAvW7ySg0DBdpXK2hP1F Ie4icNDEcQB4HtL7L6FJF7wMJE7elso+X1CnGocuhCGLvzpUTThro+PSP9GHiHMDHEpwCEr57y8p pPn/O/dSSkvCR1UNAeBz9xt1pCYqxSK8O+fdUJqlGnl8kWeVVAlv6BfQVR124ZaVCzY0I6aqMhnO ufmUw3icrcAuF0/2xCE42uHpIpGdbEDcBqb+TZI++FMg0hGhmxU4V1MOqz/MoDYJF9tCiDN1s00j ac2qXrH1mUvRdlXAEcWn0jD2OSMk3hF29kHEvTt4FrXTgoAts21WSoVJ15hnoTdIDqV8Z7myi4hQ ADnKPkqKReesP6gXFklUGKPVDeJjBjJDGMBHkdToJPYPWo5BCT84FvjTsgcItn8PJpkOiIR8oXK3 WnqPHEV7dkYSSuktmPXJlmN/uDnhs++vE+4aX5F8VIpeIm0Rlu3umrgM/iZlanjylMzVUCVjHlaa ZYH4EDTT8co8A5kWCsUbNUPi8uBO4kKDoQpf8Koh3d4KkrynlRmRLzYtz9hhEP2RtLzP3lRtJFSl bPOQsq1LHriUB8BRKWrfpkN/OyB9/SuXarC1glO6rNr5XhBFSgtpjXn/ULHiKw6GDFSfUCErJWUI mWow4aJC4YTTOI0ZuoKIhpjn5Sfx/JuOAt4l3/II0IHZwAOXRC+OPEATnVG9pOO3P7jLU2+GYe5I 0KU6p87AdlXPCxvqJlM9TBRjRDYMs/ssdMBPb1sbklKLID6OLtK8Q3vv9/5jC9DzEx/eV2+BKH05 E5t9EMWnsCFTLCJ2dgvZo2uedRR/1pn3aA2Uq0x9nRtLwysnRuc/S/kBaF/0vMLiVShSEWxmuWRp dftZiP5iQuE3uit6RSprq+PmZZVEen4o6BU9yQJCWxLk3xK0SodkSRkwNdYikK6DlvuDysm1p3LF tNAY7dGLRq9xKkU5U0CtO7qCD9qgTdaOXe0csF0nrtCoSskGCf5mDbpkwZALXjcWjzadUvKBmmsg 06hqdWPHgdXaMZNdvzo9+XKuTMhWFY9al9sbVAZy31mDE2DPGoWMlLSqStP8lD/SkoOWYu11ak9n tfr+UYkUz33nC8dMf1iUONOlixkwFDI1FfmT3uDzQcFdqL9GKlfsERBbxNcFrrfKu2jd1OhO+QZ2 wQNjGTRALEZFEpepcfJXfBCLD8YA0sX4msOMvUfXXYPrE4DY6A/QR6jJihm+mgmoCd2xIh+X69Qt Azzz9EKQd9t3TFxam/HLlWVtnmjuqe7xB1gSXsxDwB6LMFi0QzxZeyTeptIZw2Ch+XofTCALDUTs SfX/Us+8rkNUSQpJFkJBzxL38ga625QTWprvdpFyydzDKLbbIWY6a7hg4ZWdqbxBqJ2hrL068rJV 90/3FHduse2F3hHwtpnMrD5YOHS8Ou1DsBG+9+vac3d0PLR3pG/CtivJqXAcJctt5yvqpkfbqnso eyAWXPPjHTKmp02/0jutHbDvurJwBftV29/9akl4zaJ4mQvPeB7rpUFYhBz09kmVJayHG8xBqr7h e4UYZwRJo8Wkdi1P/GFg3UACUqNMbaKU6harXG6E+tgY7G4LbQgBGWZiBkgZ6HH2gDZfz2cxgR7f S0ZZOwuwvNzkrgfNkABsc0jBGtZHgEbemODqDpcDQYCwf4QUDUNZVTB8MhWWdxEMbRuhJ8dnq3Lj 0kdLYkSfVBk1JfsOo9jAAXxSY3qZb7FLRfnDtPoIRZykkZ1WK5T54Bj3WbLhTUkQ9Zn3b3Dqeya8 3m3EM9cbTMBngKds+8RXZFLpo0LC2xEKJxaHI/fsNgcsXf+YA3Urwz4Kyl58o+0pjgRJ7RjkPgFE /TvExmAYFX/56nxP2G9so7NRGvzWORRJQn5OBa1MGXdFi/8GdWPRjtsEzho8pK38Y5/v1ZvexByj NTE3cjmAe5psYbkq8c/cQiWIiuQKjNtxtw7FQvvp3mP31SwVxPmpoun6OohF7FMFo0VaEbplieE0 bZ1MI8HS+mfc5Uc/sDAPpTtTaZpPDD+pqwEiHtFTlsQm5dQwYkVcS1OdBEUkwWX/prMX/ITIDh8Q maDBJKYI5wKo4jmRSIXrMatvtKftAKVMwX1sm7gZy9XYqgi0TNTLAJWH6AMVONpLEJP9fgs9izRu qA07bL+UyzqIwd/7IlVYpq+kBnOsK+B4RBB5gwd/79a6B9OSXxqvmYj0N8DuBrTjuJT9vRdA4MwC 1SkPMqapk7LEz3PMtE9FPJzSSanSonmc3KYt11J6Ti/AkASdC+f0wzFKLnwq/yg9+ktkVib5W2aO 71gNsHdR5HtwGgILax+9YAepGJlOAr9vzMltiMi3mYjQUFs40Dpq/p2A5yoxhVMEltLq8Iswk/S7 4Ob9VsGaC5QbflDC3jnbW7Wwf4t97exFbFyev/x+rbYEruQR+eg6OJwCEwPLcIZw6x8QQ0Q9nnvp WYl1A+BL8F3eaTElNJz7gK3VHD4jEd2VUdyKi0wWGmZd2vMi5DaKy4eEI/CoLarRfINZgNkXvgPA wJHGAxZqeeGOOp3dxR/S4XNrhM8NVJ2hmfjGSgQISDNMAfwS0XIfmHGRKlOBNerPiWmSt93OAcpa QTOPL/oBDm71FxpDmi3aaMcy564PT/YNoKAqi5bvZpDbJQJYvUNBE9AZNmacMBLllht4MxQK4Nhe jvd/7ALVZIhz70BPwtpEgouyQ6VGJ8+7O/UmA22RtATTckPbOzmBXza7G7AZkCMkdLo6XOczxlFe dtgQ5jvR/3GOyH6vGD20WRW4wn8Mnv9M7GV2G+2MD9qLZQvS5+yvwUSLKbXRgUNc3X6ajMRBUZkH 4yDTXyPLWN4/ZFVu/k4WO64wUU3wl4mrGcr13/4CiCl1tC2bMPavEnIQEyfYSo0hAWkokMsTZWrD q0wbXQjNVwXOQtgQ9RCImsqGb3g0UQ4bVjLcseABjHxk2zMZCuCLvx4z+YKRx/ENhcwLtBahGwy0 nOXsvYBh+xrzC2CWseOSTWfECjoCpFI8JIwHIce3sFCCL8QluZk7PrqNniqbJgK/S0wKrGFspUcB itmijWds8/GtjcwxQ+L7aIW+3VNowSNEc5VkpqncbCUu7fne/3RA6TTUtPf/unyjQO+aayi7x8VT f1jSChy3Wmtp3/a+RnVqd5UztLK0fxCeGLLhEK5HNXrh5uo1gHalZ4gEzLFqVGx26A1lL3dH93CM 0bGNGayZcaA6xDz+oI44+cepu4bdhBjAjEKqi8880ORZnDrmTk3TvwiK+/ydqXSCHx7cNc+b4Hno yGxhmfzOuOVwGeUa/5Xz5OmvoIylJJam/v4H6fbRXm3pY/SApXQy3dFCQYHW+uFB+pWkH7l9mlRs 4ggodLkNq0yCF+jfvTLA88DbloK0gKWts9IXcLJPyIRJLK9BfZ2GWWo4It30xwTYQYYuR2Ts1pT5 LWassrpU5JNrEXaHXW4IQ3ENlvkuw51EPd+llrClvPqM0UbgdBqKqPe93JV9DBCb+Nc7PIn63P99 oQaeMFhhi+0mr+n8FJbB8pSD+XD8Aooopunxcu3LUT6DBHFK6gwGEuiYM0R8T0ELXXUiddYH05XB 5QGAhLn30kz151waq1j87XIKxzoM2uxArX2EBeO4fljd1hoU36D4sw3KSb4uNjylGvSZVfMulJaQ gYU6pnrY7Ar7RRcEFkt00ne+OzABpVKeXv8Ijti3xTLqa3dNMzToHIN2m3Pn57kEBOyqjxWYZWI/ N2us+pKuRcLTbO4AGSPN7lF0mVhFxf3C2SxEsSfNQLPH+8nCs6mg8kg8E4aKi68u9YFoOESEioyP BoN5xDLlFCORC3jnOWU4zjtugpuRXUURKYg4+7RFMRVUXLo6YSLazBsj9rHez2svlp7XVPY9Opk9 99kyoDDROXsxbjpUfT3bhuusivni6Cvzek1XB95QlEvx4bqdL65OlqVNaVxSq+8604YG1VLs9jVh Vb5GJpc87DssXw1S0bGUas0qpJGEde8Z5YHDwjkHbbVcTku/Hp0m0hsTAGCbLDvgsMut/QomGpVI vBjq/ix2Wn6F9b0OZTedK5cF0Q3zZ+vyh+PPY1EEcNeZfkVyesQCIUK63ho5j371r7NkKetDfoLK ghBtj/T0z0yUU719b+oAEWWcS6oIHkUuwSWwmIngpO4LzTuQxCl+Kujw7EELgVowb3M+52VTqwVL UPsXThLH1Be7KRmPLVP3XfsygYi+JrnGXiLn+zGn8Y9phqQ/E5SRrSLGXO4Qi2otA3X067Xnnhow nnh1jxwiXpgPzHMlV0sSmCq2CQDOyf/CxldgXm8RfraitF9qtBiL3OyXlzxr9H85AJUvF52WXAaV zDdaOl6ZRMKzq3ovi+2nV+BPmpsGtUzfS8cGXOlKr8WkIQVJOZmNTEM1QH9mRKSTTA4z6gxb4SBe WC00vjsZ/V/3TzkJtKFhSbExNb8nZNW2xt2W/OPysP93RNeg6PB0ZG7NJWnn/sEXdmfviwVq+FgU yS3JY8o+ooDNcdyuBeRFUmuloPSKZPLlVv1pWAnqaTpKgqQylDREHpKmeJLNQOP5/eR4qVu4IYwC 5xtV2FAKxKa8nyFwBTOj5Dsx4WfMOycKThZWXZgsxZ6N8anMUoEvM4OrHJoN2IonvxBQcprAyyHX LwkcmM5HNTIUZgof6IfTIsQ8f1/AiXT7n/Ew3kFrHYvEpNkvCu+45hfKEDaOknucMDEanrtDN2e0 JouQqLQ7eacPrM19803uBbZwYSf0onqsI/XLVTyCP01ouR+LQkiqxrWW0SvSqR9PvZpZp0oTVTo2 vNmuti1mbDKoTZHMm7hzrknD08UW5vtu8X781hOFYHjSGc7FrxyQbgWRrlX+VdOkUAA4Xg/HqTWd 2WBXDvfelPKOgpNGOZSHS+g5eRSfzbIsWXFr6/MOqwz1RqAVVAxUn8zF103A3h//xu3/rQ5rIbNj IOpTl2+3YhEjLsQA3OZV4098CUskxXUX158hlFvVPF0+CC6Kffx/hsVDfNQdQ8V16jL6EySOHQw1 pos6lFL6mBTyKd3JNC6DsQ8u+81k7WWIw6d/0/aZxm7OJo8VNk8Y/O9GG6J5+kIP1qHRsynz83sd jgl3wUJRiUD0roBBChI5+v3HzR36/80oJ7mNxSNas6Pr94CN2cFfQVj8Nv1yWPaFAk06qJxbGtX+ L32Ui15Cw7oBYGkGgUyzobbgFKmHvuSmXbdq6LBXurZSt6iKaU4DfnL+12KiCxyJywQGqCpkG+yU 3DuB5REX/9XZGPFSy7/P7eaZC8Vf/7ODLYRUEkdwOTKdGorfXtD2rYEfvVtWVGDeJn7sbXsFhOmV VwZ9JjX6zo5ftQTCKA0xC1y9+0FzRghwzLfnthWzqIDls7Z3Su/oiRpEkE/lkUPGuyJvVW7+b6k9 YDMecCZEIpXizs2eW1uuOpfCqxZB+75CQtpni2zk6c74BWtH/daHxsCl294AY1s8KZmHvZXZqlaF N98G/BvjeJs6P3rX6q++N1Mq4QAedTJ3zieMu5s0R7G4qEoggTdU811jes5pll52dsJskmNkBpUi xVBsej04/e+cfr2tE4WFvhWwdSUpZQejdVokyGMMy6oPn8dmqPDtKXroWA6jNCmGHm2RgBrNrshZ /NIkaesy0r7hlhOeA6idAsReN8KSAkyT4dtyJFsvLfV4973rspHD4kNmMpxPRb+H/H/s7KPuogt6 8EbiCRmzxejwvHTr3XvqpBvsUGVFlv6DSanU5gn+8Z5jh6/6xknBGCayBJ/iN37cz2bo9u62tf9f TWdSd8fSdODBKgerW+G807GoKoPvOuZ8oKCgXjjkdwH0Ho+xhAH2sfXKMlhxc1CBonv7wGbyEly2 j2xmS0JL9E4DzlPA53p3Nv1IdhJVK8D3azNbg9Pxl6iFRLrj235/LO5eBcERhXf8z5wQS3TiRUYh v9zIPp+Ox+Fts4uDk+6t4bSIQxdO/neaDEmskNEEP7KUTEQWE3Xue7PXrwr6fcDBvFf/kHQ9rSAg wCDRlkaPQNV+WyvfPXYMj89BMaeu+0V/QgBf1JKSPVZwuvQdarPpi1u+x1pK1mMQmSx9unsV76pH vD4TZPFKLsC9dxceBd3GfcgzI1+q0Z78iX9phXjT3IgqvvF04PX10e9PTp4gYqNVhlzQEgWq2DkJ XtTPai8ABs9fH6kPCIoquFJ0CUBT2vdvF76MChuEjzShCR7n7QP9qQW9TjRf4U6Jtpz/Hmit8GCv wg+E0I/Xt9mOiVk/WtciWX88NmJgJ83ojh9B4fkzOu2QioTxB2xkDA/EUA4MlR9Da4xuCqJ4FnWZ 7Ug3IviQ1MXXcqQ8Y0qIjpufet21zRzqQA5x96WfzhicBqMjpXQyfqZ/ZIs+8JjDpZyMFq4sIiiQ dtxrCyiDuzScjAS9GdJ8nPXT0EPi6hNQ8YR6a9uRvDjZLpmEV5NiRJzXNUJbSrfLrfT1Ez075NiA y7gB0dImuhHJX3sC0p/jReWwX1KnUuiaUsyTsd2W1Jjc9mo8iDecbJs4zAwGYCtf6RtbbnVPGIJS jGxB/6oSRBPRJ59+J7e5xFwq/hAD1XmjsYcol6DW8CKJbWJ2INZ0ek2pIkFHlqBgPwTu6dy2vLkc AyinJ2aJQ0eqXc7dKdBxMdGbGFZfWtAVYKJ73Nk0Hgx1wdqmpv4IDd+cRPtJV31xrXsacPqK9gpj /aMjuPwbljttT7m8w0nwVz1ORHj0QuQfnxXkOtVTQGx6si+0kewdtPbzzLEqWZRa+WQ0xgzwO0SL QUJmxn50sxTE043VN2J5n9cEGnEEvdwUhh1L66/5edKCOy9xu5C4EWD5M0v1xKwPeNP4acpaO798 06ZDVaLAVQHHsty9bVFWVZwkz26IJjnlrUR3MKcDyTcu7dDNfTqp7rP6scXTWQxkQA8LFi/5P0Qo rn32nBHID8XYy9aalIB4Cur1Eh8HKwNn+Fg0ydwfmDmGdQKJ1ftQDMzLSeAw2K3V1xnoasp3xD9E F07eJ/VyjdIiCwGvEd55Fd2hTdANIKMX5NKl7dPiSiIjHBR2eciqP8UbXf1o57PIudt0if5TNLad Y9Jg1MbUkgapB37q87LsUqWbwq4dDo0rmA+bppviZx1wjgQn3uhcdjJYc2zqBOeDKYHDmNfKNwQG Zfg6oqCTrnLLxqrdoYxwq1fWvqLZM+0we/GeBXGxuTiGY/qpmhnOgu2HNtFNwV397mH/yFnNxI/P Hx82UGPY8AT3asJoXuE+GOha9hOaxXroMo0JBWJMuwbSyex0kuq2sI95xwia73uXtLddAuxry2iX H3MU9AhQ2vGRVYjRoTDDYtbN+ZOaQWYaB6wnzE7UnOE1JNaXJnsd9/8OH7UzPWPPEiLsauInzBZX WaMpyEigsTscYi3R5Xi5pudzjPTWGKTFveUoiZosNBGL7mOpCtMDx8H8f8shmtjp/GGwSqAlomtx za9IALzd4I7vH+SqWU5ELoVnRRskN8FVyqE5O+qsBYS/KE+R4VUyuZDVrl6V0iqKPoGTP0kanUse lEg/rB1W+zqsXfXjvjxFYa7aPlgRmQCSvBXGNg+TbH5m4+/kCDJmovWP+4lSF0Kp/PeFkcyC8PSJ yGyeCV0QIXfA3tTMBac5t/6lF+ZQ4UVjqRD3FXIOlaXn+FLkkxEF0u72IGUMJm3qmNFm5PiX0zeT KTSGd4IqvLfjVPsEx/svj3Nl8mIRz8L8ramBlfuO2PC0U5nppgD+Z0LE+VXXkWYE8qSKlNck1M9t XK3zQoOBpY3CCWQ89oijFkOk+3jLyord3HZP3bQfd/hxSu56zQj93gjv+3YNjL4xtssIQtEd4YMq 38WOAMp/yhTExdXFNPqHMxTTrhdrxGby9Ygpxu+hQyR6cYAajoibx4juM6CxmZUGnr5JWs2VthJt JIDdZi/sha2MSzdUg6wc8whj7gyPQBmzz+OHXzCSTlm8IUUA5Mp+Q+/4Pm0TYIHsljC2S4WbhfVv /Xqt//otemX9OjTbG0AyoybHKMzBwsxmojheJCrxJ8eRMLdekl05puJSn69PkZ8fAIRGz1sNEkTp tHN5Hdps/xA7QviTSR7OyLrjuMt0fePZed8zKJeLfQvJwom/fq+P/4RCVOlN8/x6rxNnvLooW8wp Xky0W9DxatYlWciFLSwwPsLTmlpmGadck5cQ24I97PMhc7rQpXyYSZISg/ktvaMqjAdrZwz4T9no +afpkChpdothIX6hQB3MrQ/SFmm1EDj7Pc/+OA/kiWyPRI9o8IXaMjy2xtdLpWOJaquyc3FYFlwI CGEL7lHIMVPT0FNPTJCadt6yKn/NFY08kPi/7TGUgRdwQ2GDphso0yx0wYW+UD3uVD+UTqMrQA0m WuDP+8xHdIkZl7Msrk+oQtBJrbndFK/iVP/cxPaUE+1OlE7rKu5PaKzdQ/4HFIMAZpyu0PjhW31/ svd4Cmztk1049xFmuNAegssGmKeN6aX7saN1oe7fkVFZ7wuoUfQ1FxjeQKoLnZm4qS4nwPNjyKAk j2Jf6hN6w26fXdg88enxY9KwkbuFTUwpmf+mScWmwAeI2XnGNdV6xnbXY+wyCzh1i0XNFLFzjhHW fI+P1sfiO+akBC3zjFXEPJDqYTiSmZQtgMHymCwhc0C/IEhrl+CNAf/sM6RmgretPhQXY6guZcg6 It81aLzZT9NzRJHi8GA1FsZt9+cvtMPHA6UJW6MScHzwdJ/n+qiMb7iAz3OGhGUjSAgijJYyfuWM 6vga4U7zspt/pgU8AS5MfTyNpn2/Xv4N3HnsUvLnpJ5Ao0aoBgFNnbP4jeZb29DGquF01Levc/vt GG0ZrTM22KxsryuBMy3bmMkdGtNGnU06nv5qKyNTQsVv9MFjp/tEYdTEVRclQjUeklAp7vnBYHW6 0UNUGy041HcglBGu4qa70dCgg0JQyPwbGnNzEjFBKS7abAIvBmQNdYQLVVdzv8CRgohCLxn18l1W oDpnahsnC0xLcRpxGVbn4AOzYXvsB3fi8k8j/guhjEel96N5S7xVrsDnIrZFaHwToxyGK0E/PHg6 dF2zWQjfo+9WpIFsE5cOTbBvbioZqjTUvzEgdP3fTHU7wZDYQSFLU3JgYnCkiUPvvAVJU4F9AQQ3 zT2blJfLhhyxevADmdJVMWmpndeBsc11/pbYEjyGQTbUb6iztMu632Ta1B9BpVJzQeGmnBlrLmJP 8KyG6jfqSzNW3ibqtr/LPVV46NgwOkr4UYaz+GorRVimOagZ9IBlgR5bMhDgccVJrmOwle+c7zCO a8qxJQhWsgVDeLIDMzUZMG4lN+ggd20hwLle4GkZH+ON2Hoc2zO/2ADwNkIYxmBkpKFReayfa29a bI64MY8WKPLzcS5gussWaubVKaEAIMzh2oC05yjf/ZNAsfveJiNbHivKnS8DISGwaeTgFdJqruX0 7BsAJ4vXwh6AcxYK3hk06Gy5sN2VYH5NPP4Bd7tBy+xeRjX3fmnsyHBayf0i3SU3GxQM52H6pzP2 y8TdiX23xfJpQDKspUM7KbE+XXqGeNWTOOC5n9yjSyF1CMN17eD6+cJ3deEPJlQfzO+mZyGaR8Py /N0nvTDJ25vrEZTUiyggvFby1CnNG6rU7UTbn0+5L3LyhzhIVCJdJmF4lvofFfk7GSHcvWJxKuW1 GlxFbfa8dKvXzGPK9UREwWpvEl4gnUAecjXvv53DOcLlo4k0lROJkIpT8g+RCtczDHAeaBO6Iy3E sKEyOuW7qUbz7bFmZ7qBsXeG3YgfvuRHrQkp1y8D1N9ItAKtjtYBgQ5Uuz94DCiWPdZqhNkh3tm2 Fqq58HhH4mURWMFd030cSp7rFCvp4iq17GjJie29aRUVPiAwcYGj/WXdR6bKvxCNB5CRAId5iJuu Vp+SQl3CmU2HtFzUkQU881HOgXG3x25Id9TH9cYf8nlmE992Vw0pi0fxhOr+5ykgvOazixdolI6p EUJrzuUevJ3zgeahAtzCE3nFiIZViff6YoVAPuR5D6NZhDaJpYn8d8bYQBs/c8LNwVnnZJzVuVn7 TiIWCXypU/no8cdIsEfnBEvjgxSwKhP0rNbc7Hqt+DqTuuw/Bni0aktdJv99MO3o7vps//b9plnN 6yCyEDcExBDoPnyNU/oCePmkIE88E5DmQFiQZsFKGPGLBl5RYGgp8T+5je6IHz1sL6ci4U3H3SSI Ub3x6cVkBElB1iA7PUWh/tg4RnG3BgIRfPHEn6hyByWbglfltTszEWzhNGQ1kTRE+w5IdonaYyyc yPjuU32aYdaUwu6mRP/q8s//CEq97PAicu0qd9oRmFwaZMqukJE+XtnAjtOOTkkb142MTd1H+4+c jkydtApZ2n6mgmywq5QWdpsoDmKUO+8ixPJ7+D2N3RHnAshVXXJqwM+TYM+4m97uRyskAGY2GebO XLuUzAKbH3y+vUq2E67YYk+YMVOKmlONPYP5c/bTNJtycVTTkkLrO/PAHudRkeJGHBMwWG0cy9da oZzXAj1FQU8aBHkwoEZOxh3G+9GlcmVSllX9ITkCJYunSRGtM5DakM31OVTh08+mLkNq26O10fpr GA42P+q8lboCKS0e6SHivjL+ffeiz1ckMq3NRN5+HKpo8vX7x+1kc9lWL3Qqs6n+XfThdMsp8b/5 Vkg/4kWAINUEJR8fdGbQGFCU/GWeDZKzYKujmpShKMDUo42YBwhKLkkDCpbdWV3Wp4z5pJiJNsiG 5Km+e5fJxvx8+4/G7gqqs/1VFuy7Eb0/8ZLjxc1anqq1Abud6DM1eo/t2pbqKCd1na7q4DaXprs/ KeppKojUPBcLEbEZFKSKNB3bcVdl0ui7mBG065EBeUhUZZWjE/Xmva2HPSoQVwCzrDZ3oicUDrkY t/j8c1QERVn9+SHLL/8RayvsmqUjppzCyYrylx2Secg5Hf+f9306Z8UjQtyThYMxOjqRmZEpfUzy Jtc8noQEvskX1Uc8t7Ql7IbnzTfcpJxYM+NZj/+5MP4ntJXDdyDJuhZv9sZnrxLsJwS0USwEFT2M D3coNFCmq86pJa0/ncs3zExpyLGpsDcNphjFZ4UVP68G/BYQJk/Xs/xCk3Rwoqe9hXjfKUB1yMVU HsedT/PWJPBT9UYUGlvsjAwm8Z7FyB8lsS2teqkFR6pBHCS2jTctyBw5hT+FP87+kzth+j8tSOId 738P2tDHjolSogq9aEqtSpIIPy/37t4PkmW1ZKp6aMDACYCIX0m6wpAm8iv8wu64zXCYgiwxPrUS rLDvxL7AkiMq8HjS1NyIWNGvTD+3bLlQ8pXWJOseTAIdXFY1NR/lUUc6pCidp97PXZ3RsSogOwqS dPuXRCha9nerPJMWUDNGYWnRWf+qL0mg5wmgZlKtbqsumHYafohAcj/dO3mXMW1VNmOocCxxqT+l h3FHtTwDnC2rr49P95d/YwDLB3BaZ5DNA87T9+Q2CqOt747xw49xQgvKf5dMcteU4ko0ZAME8rJn zNtFhTkhaowFkqzagVGKZaWod7Vwg0c8x+8WtQg1CjhiD+ij0/YAYiKHfHxkkLTojqmIJ2FiUXpY 4Fml8YgGQH5/7Jw6lYkrHm/MBSM5nP2T6EWNyu3i3o1xsEES1ew2EAvEu4cbSDZjx7XclCge67vp GU58aXYvnTPQC7gN2i0hD3+6ssmn9QBw9EeRA0vQyWy19LYKbre1ErZKilyiqDfdkpkeqQ7YJahK 7SK4gh/j+iSauU84X6n76H1wQWnVa2PgIf47oJavFt1hTMqacVw+tMvAtiSJPCyZJz82lfk+P1AK kIzuyNmMYRmnp+iPBx9mfveP5jc6IA7L5sWjAzp76q+MmcxaQr9euE/i/p2vFwSsQxiqhgt96rmu dLxQx0zUk9cWwgJ/UrJGbywb1jdIMSLrcLdd45SgGRIEcdYJiATdW33glqJDmUjToSR7kDZCu7aE yc0pCo+gAZTotsabsODlDotnTjBh5Kt6FzOCKNwlaxrYp+hS8zxJEtr8q1GbDEgkMmwYv93KUQVK q2IDw5mmHx9q4++hna+Gnuw3K1YEakvAEdCjEaM1/vFS8ilE0BnoPRYgY3uSi6A41QzhwLsnvMFK BOukcnsVedgk0esi2hHdMJIErdxA5evg9/+ArcnG6+6hJeAWfh4fGQ1ruDdB4rnCxQ3/yDDYHZLe BmEwxzt/8kFr+ipVlp+NWeW8QS/0ONzdBVfqb76Eyst8EcV8onVM9azPSd71N/frZKaljnQDD484 EP7aRyZHldKhQ4SNMGcYBJH4lO0fDOxchB4mz5aIKHELiaMXe6oNnMCtGQ77cjnT6iyJWl4mOu2s +gOeWXuyJMGlzUjUD7Z04kEjJxLsNvBK82+ygM7kYnBrDU+8SZEAynLZLbpIqVVH9EHdgNcSyybz 2EiYvhf00zNPVVhMEeT9c1egXCtVX8rDx8jev11uo4ulm5kVW2pib32T1kL8BRCRL7gdBtehk8W6 bHihQQnsviR5UdPUgLD0wDO0mF2oIsD7JTJu2DG8is3QUvRXbj8xQ+i5IZYuuzhLHCKt85fyFz4C b99QiqBhqIgRk9jvPhPbTAsJP44WBeP4UsjGNoRa0y1Tcs0/rqf83xIXN0ZP2Xeg/26a/AnR/FzT LyiPTqVFrOc0fCqFVpFDLKbftQG7eA44d8DgyOX4/nMJ/857hJbd3v73C7aD/Nhku+N4VRKVjKC6 /exB6nyZSmHv3ABs+h6o000RW9D/+G5JcCW8UlHviP37iPfXD35ZjH1P+j5de5LDakv9Hefj4UYi +65IDziQSFPQArn0DHeEVBoeZlE4H2b/uqIty4V5GZK9LExPzRMgVNuzRJgQ78WusmhXFXW0fuFv oz/Csh2RRnd4aR8xqA5kQmAOZbFBRTXB0dG5ii5oA989iFbuGQOcZChtx/nWr93yk5Rncdrg3QYc 1RSmWyGVFeHFeHfgih8QNdS+8NGZP3mg3rHjanZUnAg/R6Vh9DExlvNytVze0eT2SHp/VPj/PsHq i1IWRQdbG0hfb55AgKERKr15ezl8+y5D0kYsGhUdNbU0HT1qoDGRbxuKw1F1/t1irEMog9HqldnI DRjeGSpGF3ID0nYwhfF+tApXaYzpm5t2IxYDMFuVD235YPk24pj5QHaC+V5KrFF8MbFfQTAVjv5K mZ75L2GyLkQzx5DNDqcbvkP3xSTp3TGeAqMYdiMR76PlejZ7sKEkGvi5SQoKYCTVLbIvYaMcjK48 wQ2eHIg4Y3wnlCGMvUjr4d+QIcpYnR1Q5PzrHRYNBKUwmHhXsvKmVKELsK2rj9CXUIjpumKZ1Ffo oMWxIeBc+qtC9gf6N9FDJ4oitG7x5EoILcLI/Y6VqxJ08hGWIwlN8KtoLhg1Q6NeZXOzwmcH6q5F G/oW+FoqkNWRodtiHmQinSDsysyxpBADfFuubSjtrIYKjsHFYEoTgid4tshXqML2YLQzR4YPyHTS 8f/5diExYbpv+lyvG0sWRVg3em5q0s0vsoaH8L7KNa6Zs27avWTG7vDeQsit3Aha9DPVW5ys0c29 iZ9qP/wPNenPDIAaeyCdbGyna/8Zbr3MgYDwInXAIkA5w8K1wsM170K8s4z6gMq6IhHUwk6b1Qqn qrH5jMGj4nggyq7wPoW4D1zqOYT9ujqH+PMFyyIX7SQwLd0YnPfavy6WCUXQ1RvI0DNKvj8dF/hg 3gi+SGbHxsSxdAc6wqKfz2jH9y5N0rt8PBuacFgeupxVqshfY56njrCM8WDAB/ERX692gLFOoIyw BsRLmypr3oVXRRbaA1VIH5/TN5+jP/ZEIHZYYX0papRlSxgladgcuvc9Gqby0E4hMGVMvW0uOZZr Anm3sUmb5ljazOjon8BK/k4NK+qCcw35Pw9ZEfzVxdwdoWSWCNzoGsmXI9exMV+lTbpA9xUMo3eG 94vrv7dcD1htVe5AjaXG2nfgqECJO97arndyjcvw8DI1MLf9jZvfbz9YVx8fYwxF6cfVIT3aTt9p 73EjHi15GTzD64N5Gg0czVd6raYFd+QaNLpDLPsVOQR8fPBGJK0L3NLYZaJEKTRyIu76oaO9MXU5 84tkMvqGgcOAdsFZztw1XQo0lPp0fe7vhNvW5XtwC+odVGFPgGHofvAPELSJhBfAJb2v52o5cjag a4DxTbMhxWV8oH1pGSOArTDFTlkY/ZMMybh8sO7vqJSDrvIQRoiIexDPbp84KxVrLIaMqUxHXy/b H4fdBcE2plZYXykRx7ZYeZEeRPaJXe1ZjYCOyojQu5o/qgaFIGAFIIBsWxQ9qxg0EQkPo+OvUU1o MiAdZo+Y88l4LHQc3OiDjodITPLW7W8Nr+I9MRwZaTJ4S0W6jkh1Kvwxf+owzjRYEOkYyjOf7orw XVRMUTyPJYsD8f5cheRP8aB7eaEH4gGIh5mF5gFZyKJA6gFjG/7N34kRrHoRIdKld8eu19291DMi O0PQ+C4DJCuN3KSTes87VEbiTod3xz1BgpiCpNcfjPzWWqP99rIvGFkrWiwGJlC+mmT0voy5G1Ft lLZC707hbO3PKSp7htsLiGlGDET+F+Jc+U3+V/Mn8qQxAU2gfnz3gKbSn26PA9lPJebkBolETTjs iVz5KXEh1Of6uIDzbpswvCaOsliC1PYFXy/x9lgzjTikbikDayGVS079oNFCvDcOEsTqkBA2DaWH oQbN/NpIXQd6mNrFb2xG/VpiaUfnBi42qHRdz37KjnkN3J3chGGAK+mNQKhQB5vtKHKIhpWUn19D ZJKxXK5duL1tz2lPMPMnlvT54jELlpAS4i+gpVF/s8X9ZAmMripG6c8XoHRGmXzrEY76qrUSeAAM n2EzcX4MHVrB5YXVEjQXkzbvAzrMvVJ23H6zlJ/gTZGUcbjGg1CVGkuLzTZfWrXO6s2pGtfkh7oR W+LB9kgzzekqZyfJUqTcZPujqMu8ZSWfe34yxrBMigLsUSmzODYyijeRKOLhENV8YH/AWAm51e3m kULxCQ5bKm4xEDhEHcYIONCT4FiebZ4f+tNge1WHuOzA+o/O92bIGofo4PiosLaw1u+hz/6yHg8L quhc0cjteJSFpTRCa8tkW+dTnlPWIdkJi/+4Oy/RV4jbhcSBK/vF7rbrIbP9QTww+GeFtnXMtTgx Zunt6MRxSg1WoPtWwSmzgGFE6XuAUMoAUMxwpz5ryIi7rOeyqni+3+jY37V6w8w3dxiQf4CUF6sy foZcl5ZsLr80wzhgWTxEdAG9I7E+OgJdihSsAjplJloE/QYeVrWVOJVimLbrYKSXpQamvah5Q7Ic 1SPKUcm9lGZmUmf87lWqcAOjutXgYZ80AlYRNQGfGrb9rtXx6e+G4VR5z8Vz3ipDRp8DFEZ1w39C yQH45gNT6N0nHJnWwuHN62hKlW2OdQjuazBEDgmnXPIFvbLGEvouES5ROWnCPcNDGlhVTSSN9ycO bCYK9ETxbfQwyhiIU0zz/dRO1x3cKX56KnGchN607+Oo96VtMA+gG5UM2ylKKOh4Y5DBvPezyC8K VGtAJBb9rvbDO+oy7TRzoNi4M6CQ72QsVSvWTIhUKCG8type1b3Ou5/2kUanRqHy9JAsGBIm0Ua5 PdkYcKZpVWuzkPU3auNXvDhNyjSWhtlUCNWveE2giq1ADxvxYGBq4xMoj12o1H/ayl/t4tkID2HG lqLh0kvefPJZNk+BzPstyf9zPNJpecoGU9FztgpAWIbT1RgOxoBiPnTljpnrHu3KMVfkbGwPdxV0 I1ocBUWX705I0CZDCfcHumVHgGLHMo+L2njV3uJCjRu1tyMKTjDvw1souN8hG1VmszLiu7tKcSas QcqrcC4m/VL/WaodVbTQ3xIwt8AFDwIq00VTvcN7a0qWXNwr1u0YYgUxXBvKKkI3k0hH4gtN+6Ou t4Mx5N7zLMeL2x1zAo1AnSgkkeSE6JkKn1WAjMwHoitqYpy15mw213Qe0pe3rrN4t/CxvHiKaC4P ThG5I6gn+muLXGIktgrdKH3tjrGJi5jLKJQCqAmQXiBIE/lPnEGC6Ft7cz49Z3csBSvYVyX1E3r7 MWS+r/r9NPs4vgBKUZ2AggbQN60X35wKv3ouzjID6b/GR71BW/HVDs3aVYKxfZgTQhmQAt/b84u5 K+qWjCvkqdH6heqtuoAmKs/RJSDNXM9U0soxhhRMJzeZXvBbYL9FaY6eetiG5YghkjPa5jbT0sZQ OFb7ZGNjAYl8FdPkteoIHx4gG7uO4Kw8EbF/hgBELjlTH0s4oiJehzF6kXG7CNyEbGXM6zqWrUuP NuBOv4DZS5mYxdp76dUYVqYpYcQB8BR58nDlzFBrLyPXEjgqLHOlJTU7GDljuwsV9cTxV0UIp+yi x9wYQXe7iAt+J6Fr3qP8Irznxr1QoLulOFpr6gbd//Ji/oskZFt7wn3iTqzaoHfi6sAxVaLIcJqR GCHYlf4tn6c4AqMhTXCRgQ9CzjhfLN6sjwcTqGKK0MD1AP2KzS/MHUOt40XIfnMTz/luM3B2ku2K UtFrVdVXMr0wwZJkfia0uT2ECmwtVHZPSpvuCCc0lZky4kdUfsj1/YoVOwXbFg4/RHNIlSMcJ2TS NBY30Symd1kpcG4+4Crk25pMxHLJFPFaiGr8rSB52ldMoS0QHFx4hkV/sHLG7PIzVJphFr9tRCx4 3MLeSjnc1h0PVUw5POM0Bs+kmBFoKMAy3kLexJKMHEvKKcZ4Dj2P2uFmx4zqrU4u73WYj9snaw9k mFNajc2usNs2a4B0M33dpr8SbpKU7apMPx3HUtZT9oCPVJZ4DRGvq4/Hrz7v1mj5/L7QCF1kvq5x hFv3sJpcJXpYXy0SDVravzpJuG8H0KdDTTvRAEAd2e/eQarInLzGLtxWJmxb3Nzy9F1BkjeON42t KAyzmrh/Dw3XHi5dRHzdwvZqhKrgcoaVraCuxmV1vIcFSN/5H1jneM4m/W3pnlh1fLSX0oOaX+gK cq3KJd5g646y9bJux3vYNaCiklF2zGtGy5bsFTl41IYli1+ejRHfpTP9EaN0AEl23OGUucs71tz2 pfcrhYtly/rLUnanYoJw7xBbmXLnYZueZASqN4SkCopVEhwtez/E2Crz/rK5sSg017GDnTn0OufB XEQr20ZtSN0TZDKoyY2ArHwXItCQufZy/VenrMS0DxhyjGgUCghx9eGYrSftSamzX6DNbFLv9MbF usIn6euNW6wRuYxHmaTdADJ4lPEgrZxnH3+pztL3axnxf8H/qJAtotBWbmZnH1xQ14OOJxCFl0Ms QGYqidN77ZZLxy0eRTPpDZcoRJPzpwhmDPNdrAX32cZqq8AU60ipyBTuXsFZEi3QnVjdHDu1zoeY 1HYGgKT3MUpaTZlBaVgmKAacdlKHiZAmbZ54EcWrUKCwWDRLjv4IJEVB7YcNl27EuEb2mkyxGOMD m5o34Y9KOM1GeymGapp2vCGl7UcUCaWv4zUeZioucfQx2/JgJE8i+gJx6wyevKKQXfuwcaHZ1gzq Cx9+qBT370Et9YabYQelfullklTCFEpT5RKkgchP+wJGqabedLKbOonPAH/NjUVqelrnUq5vGi8c J1xsms3XYuT3/5fzs6JTVnjpS793HKWAdsRzTm7aOH6RClYkuoT6c6wPkpVnBvrbKSvWUKJlgbjY w/AaJvzm5xoxR/ZFfsipSmccrIOO7vI0gxOt/v5GD6mfB96L/2HtRlGBFgiAioxueFLbU4WcN1eV cj5War6GihMwRANXszJMPxnqsmCLDG5Rn7RupNW+wlaxsUjzyOiLaZZMOMMS+k0djtbhmR4BTN82 NrAdruGBaAGE7viCzYgBeBZI2V/oaNjq83G904rQtHRtZcSQtBeYPmz2tpjnUsEZjrK+7dLPUeaC KGtEELPW8JKpb2FKCPJ5PvQo16djFaDckK26oZ+A0nINmjuOcRcJjokvCuq8qrZySgQvlyVZ3HCI 98JFqQQC4vFNBqr34PlJiQcdgS/sR1dUF4dvZyoRN/zVwEcO492IShJQ1fWs9GeZu4iWJwJpOreR /ei6e9CUlBpPQcjesfCFx3U+sqNauQw8GjW1tpD0gHAlLmncG2Y3FJ1Ng6sNI8cSW04qGCYdX20o fQq3i31VRGXtYpQDJSgTHYsxNNy+h1raqMWq7tMboBR8oUbkKJHyfRUycb883sJc7rcUKzLreCNc D7siWZn8ILC3ZssCNvB3go+Ry17ikdRLNF4eoBoAIh4PtdbLEHH/zB688EZ9gYmbAa5ohIr9P/2u GpEeTmstkmS68Hs8veclo9mVhF6IzelvGqj/kAXu2F0e8id1DJEq7BJpM16IZALbC0Gc5QLSWjJ8 eejghOAroLNGYz6wyn3r+gOQotmZfMGVjLpvxouLsH8CdhN/BCBIaopeIrGk4hXB8SrKzuujYt05 LyHxcn4ErIUAoQ54Kgwg62JWouq0plYtFzY8SYKMTuuAwoxrQCmHP57FYwOrSfeUe47ArG5dSXpW oe5SxafWwJfendzrMJGLzdUEfRTF50f5L3o9RJcJcABC/CWtSwQ0PaqTm0wDS51uivEKQE3jYrRu t5uuNgGsV9Bkr4X/20DCuPQgbXQG4B8RV5qlrbSe9nhuPCuREBnEYNGnTU4cxZLB3n59CuCdQC4T utoG1wC3CQ662V9R55YnxfgYn64Ymk9EYa8GIfDlc8eiAIrM12usgl6dsS7Tc1CUP/fly/RY4uRM 23bJuMvkfowv+2j8eCfh/UhKaA3H+rhziNoFNcz9Vx7RlcIG79gMbMcoNmoyvg5jU8kHvjVUpbuA oU88Qk+UKRyQrO+HeY2em0PV99INJMaQGFhmvQ7q33iPg73bK9ODbtxuBqsw8oTDes/hj+1zCpb5 Hav/Xa3TO2TsTxA+jmuK8GMea6qaOsDJgLYu29Ko6If6hgk2162mc0nv9hJkRwfv8Dz8rkQX2bU9 aJkv09jO0qXFRQPKNUbCsIiY3Ed3cO+mi4Sh407hQCF+CES8b0I+6+mqFV5HphB1T7ktXytuvtR+ MwbXVC+V+baiLh+Dbd0GymdCYVe6MWr2cbRpXbu0WgH8B5F7oFHMClBijZGMSuDUEIPDmbK2xjuK jjHp50J5BWUJa7ivNFZhLqeIbJsdG67O8a9RyHmiaNpmlmsCDzA0in+Xvx2CQfs+HLr+ZT6ClZkQ FNLjVlXmAIQxNHY8//84ZkfOq0sX5EJ+h/23b0HCLMFztmYZFSfEpbp1nAH0k8rgBY3fB+I4X5t7 JqUbHUULwUrSCYNdbN/V7apy+JVqHAYp81Bngzd5h3GgkZlCOdZg2UJaWxnwXsZpWz3ivv82Z2g7 xVFgSs9/22J5MB48etyEwc2LwVqPO7rDyOQAhNURYhW+KqAxfRMWP/FnRUrd9SCspI2uTYRwm+Il si564mzs4fSG+qYVDp+Jp5XfO7RNWvU3r9zrM5Jq4gyUh/FWMeMe0OQkcsvFLXwiUdSFIUjFBtNr H/A+avSKbdbFyGZns4kr6RoJtXX+WEeWadOX0d6/aoP8quer0CC4N9R7bR43UJWCkSzimGU4XPHZ lqrUteyMH2CbcGn/zoiU9F93te4SwbcQae7ND7ecqGvPi3HHbRwW0RFfLarCEM3b7N+w9gKIKDIA MKhhZK52OSGVlWabfTEWr9H5w4ZoU8aOpPlSoW76mmsx2PN8XyYJ3rARPxdBlnp4cEIxZQj7Av/E e3ic+Cj6b0F9GunWEiqkzsJV1ubM9dhSoJaiZanJ+FG6lzEQrJy4eRcZzsvfm8FVH7bgU5bUkzNB COYokS4L51FxGkjVV10HtqIyjZ9flBFeax8yg+k4dCwKedrTXDSiQ4xyfV6k3QSP3rzW2gsYLpoI kwlxF0iEHEPQgBYAky00iEjHNvcb7yZCXvNfI5cwIQIDg9Qv009Sc6W5KZXG45xgC72ubXSMJf0C GtXqj/VcYzjHmTucZXSX67nbB5qwTE7hBxFpv039zHq4S0vkRDp6Gi5IlgAw5sqG27SXO+Wvhbnv Ytdr+oMiaqGbMgxPftfifnPbYir4veXztHZ8rHAXYf4riHsmhDmhbDvEoguO5PwO4AaUSej03rl1 dgZtP1t8YKzItes8YJtWdC2JiIC2dAD1RjVsGNulBAoX2MNftTRW+xXtzlNbAw6iSmU4LO+7m3KX XOhtM1Z0rZzm7czvZviaazINaqMExSDngQVVzTajcSz4pECE5Nrs6WOSYSJJm9jc1cet3YRP3sXk r4qdPGXprdgm7d/eq7o5Lt5zwTibRWsm5qhY/br2NmbOaDP79oS2Aezwl2SzmthsDvqt5Uh8uxUi 89+VwQjFd/y+i0VZfMOP/yhXQMPj2MHVC+VaZJ/+msvsUseUfb7rwmMqo3Y/IA91IHs5/NtRQEGy +1gUXlXKXqLTcOSppLF/XpuLrSzXKqbaLQANrZo7WpuP1AC2UMQnvZW8AJ0sizDdfwZ/GbVsZHYJ n8z8+HSpuh4u/U8fJtF0c2jyLwvzFpR4HFzR5jACEtn3Ag5oPfcarnWr/gDJCubWW7ln4gXEC3Br wiGurWXHC2RGX/IUPupjaPxTcDePFwEKytOWpmocYK+pUYam/UPOh11DYTJDZJccFnGxvsU9Ot4h dAIV1EkEi/YlRfXYXLy7UtxS6FsbeKi6RWjXJdeRB70B5Xa58uKkUVD3+WBa6OeJ9EAlAEzrAHyE m0j0klCE7g2HTEts1b+rnZRsi0UKcM2lhl/ZeegfDGX1gPg/KUaVu7JIGx5u5zbTHNYtFNnAI0Gd iFIwCjyx5kOwe8mtGv4xf9ow6qfh10qtu5g2toWIREGn0uzxMt9gZXZuWwQYezc5jo072e6y3afj a8YVJFT9trAUV9xe8OOmMUDYOIz+NZCRpu6KB8dLVLX1cmpJesQMP8TFRv0kFBu0x2W1AAmK939v gJT7UDNo35k61BeO6i7N8sh9oHfTJO/TrN43UMPw96bwrlyREqBwgxyeXu66DfYlJzqk53J6y2fK UewKYdywo0xrXBRAh6kclUtYGNADKjf6KhdcwRPp+t6uXjmP8oFTLguIekYs+bl86Ir+IuduVCsc MLnPeBC8djf6Pu1rpw9y7TIl45cEnq37VklfjNLDIYFVAL6qfTA5Ccv8qEG/zPKIWwCVlRSmWWUx lNzAZjkNG82OOTs2hIYbv7+9BR8K3DG8qWUoIde1vf5Wfj8Fy3UawOUz1cjDfCawekzR5vPq5eja g2deSPj6niuoxFq0bR0eF03dXMBpJIBf5LfKdZlCprfRampV8WAm9lMUzCsQ9GAT8J8vbiQPL4Zv II5X864kFVbUAl9xA81i2tf5sz6c5q95MxFzTH3R29D5vl+xVL8oXkTp+MqseRvFtYkkAVIK8RGS KMbSyMTJnOQucsoAZEBJblADemhVL2eQAj4xdD3hUPLPzfHI3p1h8/vVbxVJrflKTm8aIVFzZ9U0 qu8dehTjujBpxhfdb3D0pRAW0b7/kfvbjFsT2/KpH5xublzOzmZLXSKNjpkMv2EbQe5RVqCLppn9 mAHpENh3AYO4Y+JnMdHioTgP3teOJsQYoPkUKaSa5mNtcFC76pSkLPUlP4SitdjXO63pSd9lWtAp NbP8PYtDHMIEs70Qyr/dd4KLKo5kUvJSy+yYvUlpUvlcVQk0CBlEAqpnUfqaDImJCWEF3jL9jQ3a baPME6LgDnsma4cZ99oiFXGm/5GC2aizJDal7Pn6saxHPFdAIsx83R9KW1pQ92pvfX3qxLHarkPh A9dNFEIfjOpy7pxjXjaPNSAJDXLdMgoFM+QwM8kCemGuXLWr7KnwyYsZkSc4Ra68GYDDz0G7lVoF 3NOMh0C2hHAeuy4BS7V6q6vDoDziW54HlPLtzDyUa+qPH9uBlXmoNT10sF/AX0kX/xR8o/ziej5p zPvHctH4A0KHQQ0pn1+ltB7gFNYwX13B0V7ixhhSYXDhchhYPCarK72qRL0UBGJ3blfI4/VN2lX0 /x7Jhgll4wxIpNKOSihY3giOxLFsQ0+KZaolKnvAeDgta51JFYhBeTTaKeEaBm3pa0i46lXBu4pv AEwxQ3rG04PkoaI1RJ+34IY/Z7XQWtnJSvhUcopvU6UPnRLXJgyi/zq+ZNHOgDq47KC2w5/6bDfI xb0UAIthltbWq6GVdaQdLo1T9Wau3SfoP4DeWCa1DoroKSu734VR/FKtFc/94sBKn7AfNV4dtIbz y+EqtQF8lTVJPdGxU5EmMl8zFJJJCu3uMBgwUVF34+d7Cyg4F4FDXBvZofv7wGNrIP2QuRuQ+shm 9HYJhFbwf+gDsuIkDGhQSCkh4rnJ8hN8JcSLVN7zgsxj6kSsw+k9FQnBTFkoxkrVYwyNop6Bh0bE +e4dyggE0U3kkMY7bo/StQREC04ZP5WNa0iq5HP0eJ5gzsxUbiPoqr04/yfe/PuQzS8lRU6BrzYu JeHh7meqT7Z8yU1hzlNT5tPKVtLhCKT2ENB5gUahel7Jxsx2n4hj2PuAoM19uFt0cT/FfVTw1Rhc D9AtLZx7vH8qj1mzjQBz4V9jZk+Hur/Z4rCRtyuSMBXqLhJN+q6+3kBFeCE+v9M7kMEEJ6PMei06 YKeSCgcGn5IDqtiQQPI+GzyvKgAWvUlJmBlcw01h8UrWNi1wrocVsiXryUmmcNdTA0W8Rsens6gm wQznbWdw0XVTuRHktxseZ4Rl6LdOND9E1hMhXGPEeT8IHWsvOtqBGiua3UJ7I8LB/nhNg+PPCTyA Qql7LOp9kArSL7Q9CDqDvuW+RFC7ycxJVs5vrtGZAqCnLhgvjauJJrMb9KtP5EOPSdmb7O0h2tov hcPYSWSn1pZ6L4/7ggTb193URCrHWkqx0KewUGeOFd/heiQX4MsG6PhppZxwKBJCswtRUHp1hn9u m9xGNFCzTKDiDo1RFj0c5JpYPLlbpqyQ++SINvA59M6acjU/XmcPmM/VLOAyNAckk+75aK5Ik6rY Yc+8ogSf2MDvXFZafU76H3PDr992CCZ3byrL20vfWsQX1KjL5wjXG1CwCdA2rJuMm0I34peY1A1V boc/mK1xgG+8/xzuSM5sSJMikyTyY5tZPPQt77LeMXe8A8SOI7cZJiSSl0luypB+RYjrpWElT7Xu qkM8oQRIIdI3Rfj8xOHK7GOcTNwMxbZ8X5MQ9BLkX/BWzlZsYm1b57NwRXFc/swHBEdVBh2ok3Lt 7GNopFpxsNw9a6cbBhRYgUqHqQgJ09w88b4BYafBcWu7j8kinYSbs21pnpi6yNJlShMV4pEjm49e yUOiPQLAU4/GexuctVAfwa49JT7s1Of2J030kb3yi+ATSRefpjm5/suucw/dj0G8H34ruHZJUEB5 X68uF46VjIqXhkUZu9RQHNNiO2j1IaWJUMqlLungh9UInPVH5BWpmcJccuxJQD2APFMZiAkpJ+OP PRNPaTyWL1fetpa0uvTSGpP52peEdUN1jatZYTkSt9l3gcmXVTdVc18Ag2oVs8sZ1kAL5tW+lfWl 6gLw3mlK9az957tAqf3gYAVGP6E/CXzzfIl1HB8BcGsTTKSIRB8ZI8A/yVSbtGES4gYfgDpV/Pzc mFh5DOwj+CFxQF8xEh6Hm3k+t07fuvHqwmgiKiMmFb6su+GLdvlPrw8PsYosF5LIKZ+QJN9NNRV/ lqgVle77zbJigt+x4YB53XGBRjx2xFr5eTkomgrZZTiAknAXls/XFrrqfXWVgJVIIGEjAE6KidhP csOwu7SFfVH0ihr1HJkruqkAQ4VQdgz8YoQzoYoNpKcQm8Il9+3cT7zE2d64dr7TinaC7VK9Mqqp gm3v94rcArN1hDagAel84SyDfcwPkEtDGDwai45kI7gYIGDGmQF9QbqE2nP23AMv1iwZkzYhdXrY HGAX+hekcj45T30hHlaWAkCQlba4JkWOJFPBZ5azXYKXCEHjAR+crKQxC7IS7OhyjvNaXYpg9PqF J2wgKAGr5Z9hIxMP9/oEG9rMyEgHtq4Ao+5SCO3G2uzWpTKIF4Tqnuzz9nN5tjr4Sg8fjgOoNjEW X4ZVVKcM3iEyFfEkiU8P+Np7mDYlLm7G0s48JmjxeHq2qOKLqBCt5EvVHCXZUKyqqlYxBtf/NK4l MwNe2L4CchaKL7sk12jiymUxWgPLwg1U/1sRzxo4pe6uNTDqIMMQ1ptZsfgUoR9pmhBAFdULOnOj RLYi2MW6MrLy9pWLawtxkRuDT2djWr/V27Apd0MOIHL68sZBjvqjusVB9mrhPRNW4XmXyIAWI2OX /zktas6sKrKEYOA58xVxr24niK0XpkCeTuPU7xWd7My6QXvKQQqFobBd4Rj3AgAroo50joLdqYjO VA0KsyT+bvSzJ8XsDDNVLcoUZoQOMv0IjXidfPdowyruA5Pv6hrWOvwl39nqEhsU9TB0cPqlqpSM fSD8Val8Jz7N4/Y51QZ1vLXDdCC/ulrp7Mu089CTNzxctZC8Vj47bR7GWQRx2of6keLbjkhi/8C3 B4JppgmO7aaAzZWlnuww7Ob0LTXR/uE3NkP+Mzloa4d2GEr6eovC43BlsUAkgdy9Cjd5ipGrBJpP kjTyTf+BeYapVOFKCJMO2vhKn8/A1ukthZjKs94Mrfu4ze/Ra7VFiVjZi1p1ymoZ5S5ApksAVdg0 Xe8p6U5qTuJU3gFaa2Nlzeckn0Mvka3IG5HlNhpTaGwZ4yoNv8tegrvt/1eWuNOky//b6qO6HopL pVO2n6RCe5FjryNck+lpEt/td7qdpPokA3t32Gyu3JC/zV5wCisQMecgy+Js+8MjZt0sfoJyv/Ov yvZ8u/2nMi36JA+VDwChe3svodFPdqaHy6RmJpVsMQZB6EQth6iaruoBGVRSw/gjpW03UlD7TkoD F9x+jvMzgnWjGbrjskh/Vbwg1zHW+aJdjgDQeeNe56pRbMyZjxbeQ3g1HYsbaY966wBqNSWqRXUR tLTKGLt1bdEsigwO7QeEP81k2HOaaD0zAzzfp0Dl/x429gumOUXVo6+JIUrIHLdjgTDoZjEnItzj 8DZbDuazJvuKL25E2KZo2YTvpZBNhduR25UbHbsp2O23e9C0GjHQwmjYUkO0PPhJTYDXRcJ8a5JK 05M5rMTmHRdVn9nrDAsoFSGegykMw1OCTAlUhN6PbtnsSetiBHCKud68D1fXGDyzfaU2gEgZKNnu zFrxd6QG8U/iBqflsRi9S1ggsL8t5Hrz3/sMw/4epfDyKt8TneTGlMGLQAEmGbFRlPr0EK/ZJuP9 M2CVReZsygu/FNMne67FTADXTxkMgofypXVd6UPHKmWAg2vEIbcyLipHBsfIMGUgk8/Hr8Z4EM3E N3F+OqbW+zIPkO5rVgcx0/EHfcmK3F8pI2qX6etYP4iGrvfj5/dfl/5GnlT1fj1OHjSHsh9u0Vxc yHdM9iLGRv4JmvAwWq64QDFX7RzLretUYY/ONPyNrPUSatt862CefuWrR4NCurZ30b7yRKau5k+u RI0bPtToB/vg45Ul+vmpx+PkOOggHXWaRSRb2m0jj1wvBfrVJ7fayh5ta1WS651vecq+yzwwdFOn MR4Myf0rjoZyJdItL3yStDj8dxdWkx1xG1PDWdpnhPPo8tWjMRIHn93sQ0Aqy/9Op2auMfkYVExG gX5jpKB2KokqgaCr3y0X38YAT7Hso4w8hTwSh4owsQaEWlkwIUCKE6cbJcDjBICleZJD8C4594Pu aJfhIwN6aoJBeDjDI2c/XL8AgMm1xHsmC9qyX6eFpji+x90wSXQVCvo2rrSjHZ2Pk2Er7WXnkMLo VMyHRWWkOndnfPWLnZEEuHGbkLX6X3H97nfufamE558LH5MBumWpvK9EswKn6LJqqkFjpyiJ4OCL q5PbHs/a+9oN4w10XixlZ4xkhVxWuP0PEHKMkPOQhpgfJOAeo+QvOdKs0lh0xA8U3cy8E7Xel999 13anDDKM+UG+3cYcSSVjBZ8xFt3jOcFEXEsQBmLz6wxxaHZnrxak7n5Lhp0fU1ueaOkP4iE/FmSS PQ9JiTTKn9ps+2N2AtNk7/ENfI549rmi/P22BS+muPR6/kKEmK9AxyfwMhW8RtbI4mpQYnlI/wcL 0kTBM7ZRTfCJoOglINZEmK0mRRFBKGcwalMgckU6eyI7E067RYYqx3bt5FDtUP0jjOiG4VHbOTJQ dxSfX0WSSuDu8DYyotsTWT5wwd3EkMDJBkygeoC87n8bLIZ+J/O7xzKvhuYE3ODUF7g5LC0mvmph hYKftmpp2i3rMBpY4l8vyHX1H42I3d4RYb2mc2ptGS0o59JFC2+pmZyzTwZoItm920zwqTzeBdEu KF4FdwbvgSgWEJsVJrK11avdeF0m1LjS0wkLv3BG2wkI7hCm7QglhR6WYyQ2yixnEJpIhSqhe86M YAB0iar2bLLwCBgijW2kDDRlCilKPjfB/rNn3l+fQyHhl/6ib8Biuo8gadQybU05OiwwtMQC9bv2 HgFPp3J7yRtevpqdNn/EzPBRMjweYX0BfAL/6QkjWrs/ijsXT5xboRunnpi9Xwf55jjQvNagX8zh Aw26NAqm5XP8+h0pBTSWh5vtKtFO0lPPPbFnxqd/FMcijUF0sykUH5RWeyacbzbCxf4qX99jZxZr Cy6XVReljcHEiVkNA2XOLyOfmzv6ToZbL70NigDKtiFN71x5sZiA57FGIzGe2Swteqpbdp0BHNsq PyYsg4p32avynoyXLLc8bRI2saU6CTMfmxGFUATwVMwfEtlUUj7Wgp5TBiqbFC62rHuUoX2DoL7T rsMqIsF35uH6BUmbvQBWRqypl+iCGziYm/QpOsVa6GFT9/DwtIilCrXRlCkPpDb10A1a+qe7HMd2 OFxdpBm2z3dQe/18YTEYtgWVkkOkcMh8qL3Fe/QJiBvztSlGh1yKRQxixxC1AocV/Ms7FZiY3L8d OxUoldZK0NJYSz+R/e1w5FkV+9rS/guor9WYDQ8Ksu/c5jr9a6xKTzsRDMyrtD/mkpRpDqe0FVQa 3X6ElJjwaauWBeU3E0vVqxXD1t9no6xJP8/WSLiKQJSD3R0v4LJXfx4a4h4x+REjOA4RbgqXga7o Bla8Gy3ndG3pwi1QPoj9ZIxYit5Fad0xjdNuEt/MY9mu+6ZXY5QR11dQGKaWveB6ukwMgRusXaCk Bh6x9qAa2/sdR7QiMsiMCsfyPDc7bF2x6EWWtzEKcYftVKmi5dUgpzkKjcWC3PJSeQ/ELW1g1Mnq +XhjJtDJYLdPBmjk4td/SwrjO9KkxLFs2xvb+Vz54U3KLTEgRo8I34240huNWkzkHXH31kXEFY8L yyxkRGNl67XxUBD4z8dc9DsGVaUVdb7fm/2qsuu0sCip0r+XSIT9cgZ7HkLT0rRvxGosdA5c9zoJ uOGPhez/fcfZGkvrf9TOkZ09DCMNXGghDisz8ApHChQ6wBkQ+PydtPLWarfjtm29+l2361rr6Cdj BiKHX2qPegpEdTImIzDWbssy5zJ/405GLrepLuI3FsqQTo9ZqCSFaCziMbR5WeC7FQkep1Id8/Ve qKTOEG3OIil5nI9bl5fjHEXsQwnfgkemQP5j10Nh58DSFGxn3d1JjiCOxwY3zMFOHgD4vC1WdLoU 6sNxObIJvDbC8kY5TvKxounDdDOZkSEV8215m6uja4mkbMHy/muo2cKR58C+dDObht0/Gn8ayIVp +7JzAxo+KXClCqWu02si6FkDF5N9ygSq5shu+/o/wEfZNzE2gfS3O8XrvWZyiWQqXGfcXvCFnbYY vFyElf5IJ+6tTzDB/2YhnoRGAKOq1Y/+B5/c1+N4WAQsT3B5O/0P2Do8USvMkRMR5nyWv/Re7asY 5HiikqVyoC32eiH884CFiByQuAM2kLLOyvNOINAGXY5G7lEFm8y7vx+lc0A9mibKI7XZ9Z2FRT+q 1cnGKKN45HD+nx5ay2xowefdlCkBwN6wD43q9goKuSlW3vNMUtSUvaBdhqDcjr24GsYpTbBSlpZS xGqmRe/NnIlMfI6YnqZWtjOjPdUTigRG3dK19QdO9dNvZhDfEYr9H/egTEQXgj3bUnW7FhH5slNI hF6Nfv4pE/xfLjqCwKicmr/Kwpx+7j4qva2hm1vP3zN55eWBOMjCFnn7DR9ttTBry5WzAcXgoP4B B0Og+K5QdACxyGs5ScfClAGdxlTKy+tShDa6b+lVLRO0vEkMIyiZOPwY2AV8qLeoAoMBcbcLsByD wU6WkThcN7wF16ohnCCxgtFSXM0qclGKKtZI95LK4rFWGl638Sc7pk8GicC8qgNeMuUtlu5Jt5Ux YiXBtBZ47cNQK9Om0ij6V/IaWHuxr20TN9p4xvPtX5e87XjM/ZZdsWstK0+CAxxoq+g5BtQZYBFN zJSUCZg1racmuPAgZ2kBlC1RRYarywOB1LuTpZzXLKastC/AvCLBJlLAUQ6ty9xr5tX8DnJhkLB6 IryOdZYoT5VseKVCYyleT5LP3I671U4uQzBMVwXTjTBM1swc1ryy2Jr948PGYFS15xqfR9/Fz8Og WJSwmdSesReA1thCwIQvxgvVmGJp8ya/GbY/dmNGnKz36FFWHwCsTr0gYro9RH+hZGO+XwqMFgJ9 R8A0HNo87FyCfWeBqZvCOX/7qa40CFa7OnJDTugAlYK1k6k1guw0FMGiDVuST553iPhMBoPbXccl kECmGCbmw9BdJzJ+3XPC0C6N8AIlXgB7K/J53dRojJRzUUcT7uDk/XZhoHwDmUv2gUKR3H30FDBO VBEqyptaKQ2Z35ozplM/qH7XNBnf0Pf4KaUBHh0YgDmKBKe0sqFwZWOkxl8KOfJFARIJwiMDuMGj 04oGSruBhSb8dwxub1aaQ1U5aBK2zd4lez2TAq3+r+PW0r2be/8m14RmtvS4B8hd5jYl1D/XvlD4 exrllQ57Zq4NTYAuayQx87NV8y8S8KdldCvj/WiLl+v9Oiz2PuiG9AIutBFwaiSMP9ssGGnlZZ/V 02PBd3iEPFxClQXFmZlmNWh9sonAn0yXokh4/h/2LPiEDetEk0A8m/sGh+2UaBldXK5bn2CD/Hv4 9W4hiOHGpQM7lMR39cf9eDgGFaLwjLRWsW0FbwPHzLuRUpM+pI2Z35FNchlapJiWE6XTY3XmbtXj o2eKwa9B8Te59Re/GCEwtX06aoUf/ixT4zPK1DAoj3Aa4DW7SRbhRVfjD8bloX3ng7aOc1PSL656 f536NrAS68I8WYmzhEnAqtFq4+/5pAvf7CoXmUi6suhSFKLod6yUCjuaQ79geQO6ahpkApOTTu0p m9USIkbH7MnOIAh4kkjoUc4pawNinYlDJqSrcGaCgFcqbDJZhL9gi7Da7tW9QwQNDjm0/DPWNtJf +gUBK2ReDDNx60w7mLSlov/+4bRbNLdiRXltMBXRkvxPs12+OlCSO+s0hqMH+Vb82NHSuGrH+X1v fEfdFe+XQEhN2Vqj4b5XZctIuBi7uRb+XMm0mBTdysNt7/D01EZf5CFot5VkZrkbxGCLywG6h/eY przI0a6WWUFn4/SW3PqNnxgxWtODjzoop1j1iCEgdWj+ydIhRO0nffK6gwEqb/ta6C9W5/YCkuYJ J3btgMBqGrgL/NkhdU1MA+iSA+TkocQ8jQFr1HzKV3aXihH/drOiDF6RAY+AL7b3KH+DciO53EUx Qls5ahI5vMUw1PdGR+Ks7OiVPta5CfMCzpt59g++LV9b6sR3ei/lcAlanA9uQJeRKRJ9/MTznoKn IggARmKUrwB7O3jAEHam+WGXQ8Up45JZiIlcacEVx8wH7MHJUWki6DxZrlUTylJOy3UPu25m9W8K VbJ0NAAttAtrBeRbdbBUj1GmNtg7LFPRlsE4D0MgXH2vwy/d5X+bo6PDMTVUCtPm7NmF+rjHRmDv 5IY3I2CpmHJkbriGcQU9M3Gnz+IRwtM0+mmkquaQb+yEoijEY0TB073sATr1sO1jlHKgzan0aygE 2KZ6I666USegOQ/7lniNQ7bCX9d/EcV2QJTRqfS0rTVhnRmbEoLjRcSLN4OTv4y4C7oUwVr+/om6 8cSYtlhYSpYFtG2XRwtEiHxXxo/BL3rW6V5bCnkNTMNpY8YQfAbJqKdT+qh9Y6Jh5IxCYLWpmWKj GnQaHwbjhygMqkcfcaPW2J9al9dzWORKLNIQgHHFoMoFXX33JBYGhf9WnM+RuV7X+b2SYbKlC4Vb UJYw1lSKyjFuOm7VS9JDhKOkrAIJ6ssr7KPSEGLYqRlFaISsajgwMWTHaGiz7Kia1SULb/T4F4NY mymmxqkoejHuX38l6CGFxQdhYuDwcLDi1E38O/PYseIqYMOioQpjRO0CqGgtOYZu5jfq3yGRyRYY aGw856eOYHVPGzuSVXm0GMHj84KoP19AsINf2uKk5HX0IqzKEhTQdDPUowFy3Z5Fnv8ieuqg33Rn WOAKDxkx5HivPAtDPh4GmjPehj/IkCTc1tjd2jd3xX65IDc1Phh0cIG7xR+22/6Ux/Sur/McycnB svqSeAeWdiU6eMF5W1TV5/FzjYUkLVqzBneiULyadONsDM63yvniwkK17sQeDEGHdnHzDeKy+YG3 88HPFDaTyeHbv9n4G2kZxDiDLZST+IPlVH+vKY4lW+d5ppr1VJ0mGV8cRILTmu2vexAnAhaiG3xY perEkg2wpV/Oocf6ev9LygIVO87g+45XpPp5gma9Lk1tF/X0536XwUTNrUj053rzwHQMTEe4hsP1 BNoXCDKtJ/6K3QjsZJnOwoz3cudttYpNZFJkfv9q0FolV+TWH9F2IdlZg8TJOlW8ermkCOr1R680 WHT+kKPkEnfnnB+caY+1kpRSkUTmPmbP1CbcCCIlWczXfHeIrLxDdfWfiCkKPZ7rhuAUZmECI9P8 52bGAKNU5HvBcB9JZg2K/9Xow4deBDy2AOZ/FoHK2arm935+7lDIIHYgm2kqemFWHgScNVxqaqRi 4glex/PSXAOr2xJlx/EcIKGyL8XscdmzSSvrz9Ghxyf2O/pXnQDi8UhEYGZ8hpHP+1Qcy6xudz7/ HJ5b6/oPnWbLauuhZBxNI/xXoCPx6UnbIPr9MrMupEATJ9a2n3sXyurg1TD8JUrdzJcyAMKePyGV 5AoO3fkk3Be5vFwn+k5Fot/g7Re0DaZ6tBz9d/Bb+xxvmsz1TIcf64cnUsyIIsn+4uBrockMrX4V hjRopedb+Ljr1l1iLWingcMClTo1qJDtA74DeAz+XeTbNssi2wh1crgv30RzkMEQnZXFinUsIREI nG+EdShzKphrkw/4Nwc92sm3gPrTHXylEVaD7U7kJeFrLSpushhiyrwL5ByLVNdVTIb7ZCapV6JU sxCW/sHIf2+uiQ2R6Vp9hLrYvQdk3+Nkef451Nt+2OJ1IpYRl9zyshkL/cPpiWmImlTAHg2apMlE JkYkowppbO/s4H9FCCz/0cUmb8T42NrDOfyRKtGn6+PNtuVis7cFLgan0pW/lwcKLt27O7uVCL4w dzy71Gt8CGTfaAsqSKovik1bLoGbTzrxmWVf1Bcg4jYwq4fzEuqObtpm8WlgM9LyvpxtDQLYWnuZ ApXO72ZB/+SjlOway7mKIyfURbOsE/Auc5XGnPMu1eeZe/Sm1YjYXi3Hh60dPWg805YzfeNCt0eW 59OU0KcfhLEI71+LPYXptdkoARkrBJBaagSTXMbqojEuVYBvULJsVlSQZvbYs5lH3DDqDRQ4DG5b QQiqIpQBUj9wFl/hivMcF3cWmbrcwH05VVBVFLWWMnQBkVruA8+/PAoxW+p//n1eU9LjNHbEvBYZ liv9CRIrT79sEUYn8Z5u3Xji2T+A0Xzmr2Fcl9dUeriB0pTtZNvpF3nTqpZ8e6LWTytVQOoq2F9G T6E7Na4e+rNvgztFNvOK6zGre1ViYESjgSAhKOrSM5BVhTxqDwZktAoS/aM92fOxeAM3AxlTsu5D pRjj3pHJGRvbWbWVKs8Erh1NIF7h7h3nHNuEk6+I96uZFOTughvCPgZPS2Vm8RHQe3MfOSEj6JD+ AS0ZdIQh53kqmIZyP9KppyqOCJRDhYVBuTKluuSQlESBpsG1mmvZKMMEtca3j2kkJ5oL+ObCZ6S0 0wmP3w/4PPNxKq059dVMjIoHwDN9ehGx19aJysCrv7q0AQ+Mj13sLLBez7sLIDeSkMmgNtx7yX5g ayLBrRH3NpSGyGZntXOfpdv7rKJhe2eNO73cJYTl2i9jsNoxnQGwQfM+lQZGDabOH0Jb+AkGTb8S +bT9Xse4jscmjww1F4gmBTtGgnaR/3pn9i2TD/jDwgBRxFLb7di8a8N54wiRZQE2lym/CWtimLWr oPYcKFE6A+8mDNMGMXvscZHNEsghrw5Rd8GS8pQi26DVjvZ5i9anaBTP7OCmJgR7s6y9+cQMCC5v WrsKSjaFtcQPu/gjVDFesJEXvHTspQaUqN7ugKdcc3VFuoT5tgBD7o1SiQrdxo7TGmwXafhUgDjM WsFHMpoVo6RQJW1swTExtgs7O2JIaTAr/QQrDl7BOKlYRXAkxAtpI+8nwL4S4HSatr0w1lrh3L3c IXJk63iKBZ4dq27WjJzZCVXZ+zt0UHfoRkLelvNF3Bx8Q+fQem/c8wwM0NFnsJodaRXZjvBwkCH0 HJxFZAQIl3g/46hdYKg/pbbdSYqrFoafQAJXS0Xcy2WpnMz+E66NbrZmhz28DxeEW+g7G3QnfC03 cpHhAAZqqplc/UysAlImf0KfcqNHnZNB0SDBmmq58JVmiLKM53PgjHqQQAyBHHCrp+PbVLPKB7lm UAzsABzT2svK/tXOH/+LrSQQSmRpuhdLYfZnmQseRIfNC77DIjVNHyESm0QE/3yNhMm/UL6RZylB /2w/Aird1qL965WGi1ktdQuoHLtlMBNZmpjmOK/LsfbVzMapVqYcsMeBZn3LE9gPmyZXZ+HNrqaY MRnRKe06Hz9gOvS6N0n1KzxKYWdZdZXIGcoGjrft06wUK+8T8eI1aI4NMXoLrYYrJRmEIigusSbN 7RNX+VDsy/hAx2WMKgz/cPFlSEJZstXFZ/4NEvEMlwlS+WZ5NREfU7KgvJ/ThlJNi5vJ0uV+8K5R bMiQLso4oiJ41QN1+tSPm56Imsp4fXA5ifyhPqpcQE1dVlyGVtnLfSPHGHhrB1dm38tMtZSiMU0V HGWG2SfqepTHXrVK6Y/72Ei4+gfodUVJS3PRvljWuZqysOV/51gBbSGTExCwBBOln+oH8SKG7/PS S032SvdeCR0Ua2bd/VI6agXCjNw/JKOuZDudsFNnCoClzJUDSqI5z3Vh/l0/qixiW/n6DpH1mHMg FjhxcyOGGo0UsbomZ58McQXxejk5/c5cacOSMTCb19ZiDy4sY7Rszln1o2UxylJqC5qCCA0YZi9L eP6SiO8peYuYug5w4AVKTMNr326fxHe+Bztry5on0GhsuQGNgmz8NWiLO2v3RycK2fRiVVfsn1AK 2plGzZjHQZ4tnhervzS3bTH2/fVeaWUteY2LQVdJR2ezYfCnvnj83Jd0FdGKVpI3pX9avHyTdTDA MCPwFS4xAjeGJaRWlUHljWa+6Rw08jNeoKGk59rpP/1VgzEv70iMxJaDiP8lvrcJh+ELU96K2bti hA65npxfVUNJibq761zgULWpofTRAILu6OgqXShZgc7uKpweOn4MR/vsXp/FgNdayhF1rlTC/01j GLC+oZAgkYgajz2CVsy9gzK87F/KHy1b2FFprDQe9ctcheUwabR3Rk2fi193BpI6xRXR7Cl57dA7 WUR9Pa+kM0tLTksVTP76yAS4EyzuHRiW8Jv3V9hZiy83cp17B0F/1Ctpcvw4BYFoH81yhtraqOpF qWcS6JO4emoZnNSPMKdRRJgavRwzHoR0t1QYeh3gGgyLVrCOpUmw9ia6x9qTrczjAuIAiDDgbWPv mieMq9pcHAyGkbAoeakvVY6tgEpSwbV48GNzNsAFoqHipOtLuFRt1Ra47no23g7uo70VP2grC6B3 eUyM44UGlp+KYQWHW5WVPwz42J9DCUX+LsbDnXCnkkcpsL8mE1N0Vhw+T2HfJPgNwbfjuUy0d+Ci QLzi5v/p3aJZTHOIQTi7gwTAWZUWdrOrH5UkgFzTHbVmH65RZBm91H74OX+Fu/HXI5ayKDFlPJVN aYf9XYf1eYtP5XXdM93i4xr+pP/8nyKD34WLGNYeA4lTfRVwA44ojhBolE4PZ1bKBL/L5L3Qnl0d QQh4JchKTFlVX0BDRkysCm8BiaMhcPkHIAHcbY6McIhnZYHLhjoZU1SVwTwIJ2booIG0RQxU8hNI Px9zUb1jMuVCFOa4GlDOGaexkvDwxz4TvEI6D31TIkzRpI9zOGQitgmGGXXKZclDQGIfkmvYQnK/ ebpWi4V9iJ5IayqKtzyMOqXTxpkX8IiH2Zu+h3wgW3964XdSfLdSxSG4dDmMPmfW9YutMCuU9sWT bjIoc5azACUs9/kX/TpD8E3Fu5xJP7l3bOyWoEbw9hWo9d+CBIHFtGPPndoLIFxg9Ts5UCPAbNfB KriS3Iy6b8URQv2wScpBypuLp31dZL4aHKpvQqR5xeAM1XpXHVhARpZKA486achHKK6aK9g1sWE8 dfo3UQADc8N4QDhqw2HpvON8m6Xap+k+KB7JJhhjP9Q2zu3xjLbvF6urw4qJFiPstNmgS6cHQajN u4Us8MSAaQ2eqIgSec2hEuGWpld7nD4w3YB/ZRP696Rg1uIhswM74O8W7Lu4rirQ8zZ8Q+2bdHOw YG8bd6G4oj1BakJm/J1BB+zuJIa9ADYbAqP74xaCI1YcsSeEV2wqcl4QCNn56ZYwH6ZO/Xqokltp yM7+2mulfSwIAOrDUEZaOXd9iyWyKQ38G4D4O8PyOiHZ8Eb9JMPasRSO7Vo//QwvFeN77LKSBQB+ cJ1nt9gYobwVZG99sMAjnc8zvc4uF84r/SudmYKC0CYgWzG1un/BCZZkXp9SSmvsgmHbwA9ngB+d Oux91tevki37j8IlblnUyasvt7TcCyr9X7Vnn/lonZaBItdqTCY5NlzAfVENgTA0lY6X7zY+1Jqt pOJsEVowUx28CIKj+KDPRrZnGrz1gtGm+29XHPrRXHGsdhLTroLvlWTqQzWrLB2GDm+RDJbqmrs0 ybZtcBG4fUFwUQkZ6YgO/LNooqOEjaB4hlUa9P2VyUlm+VNXM6rtnDZHvEdURMGTbBOhAvJwG3TD HR4qBa+yj6ug/cVxij5HDXplRhmuqfmKkL8pJSrmKAcB9RXLsrzOtdhvE8Pm4RjQEMZqDpD7SwYW fx0eCJBhDonfg5dfvF7VKSMMqUu+RIJnKxwjX9MPEOSqAOKvbY8ZjSToHaCsZjdTGsXSM4xgpc6H Ifmt+T+gSljsnRn85hBI2nKwLL0PueHq+OHb+sjUBtsdjAWJSHp4wafl3LQmfyW1wz8hDuCkAvVE F+JfPmcXzSppmpgVP9MwcKFPxomoeKvvoq6M1jpAN2t9hqxFmo1xGFW6drInv/TLk8YLTq9tnjqb PS6bJjeuAK/+MDeHdQA7+SZSpvYUgl0oP/vGyZRXZQqOxLsktG7FavnfSlppUdDtqhIVmP3UyKeR zJthXaQgXFyNw+MbqReI/RL7Rucb9nKoSFloOve6JWBZEqeIGpKRTFtwYQfVNq43s5M74TXhj3pH upvpdpUPcxhpWK7wEXz6Enc+4+aUNw7xVIC231YUHEvmwuSvPtDqXfpe0V680/6r450uX7em+foy 3baXqJTOEGsudJvXXF8WT+UKrju54/oJiq9Z5MycGqjqPAyhb7hziGw+oDsNdoFpPjElqWaXfIgO u5tMba2EGCb4QXD7iaUU/453+xxhNmsd143oOoVLd9Ymlq3N+V0w5g5/fdlaw0vZ6DrOWMlUrHW0 QKT1iAsdUERcdtLI5snTTmZbcs9NmXstv0HF6dxairYFv8GLfouXPVqe1wkBPnwxnN2dFyfONrHV 9AuvmZPG8+P0HkJzUH0mkneSgq9C/s20p0D/KrmjXYtnu2FJ05vs+Oamde+kGBGsh7cXGbQszWWh fhWOx6WBDN+lSyHLzxAq08j3mUTC4xGVO18M8qhjPB+XUXUSHNJxQrGqi7P7w5aZ/5un7NVID9um i22+qNbB8HX+z7s595hoLF4cCXourOyIQ+HQZ7VbhETUtML/tqHxOcO0kQ1R2vPrbgQRhWWp6zOG rXuM06jAMusiEx9zQ92ap7dthGcKZbqlyrir3hycC5xY84ZSZBsvYeofCL2mP/8NqkiQsT/I6eTF 4ZlDvMsSjdxexVdTNQTmCfVPMwoWu+FEXxIi+i5L+0/PkzVIH3s0Uzk5EebmFIv+G+c0KvO5ZeLm 41Jqt8Vhig9cf5eD7vuG7Wkh4eAd9dET62D7BGh3fP0h5yJZR1KwB/VEuQkYJpAt4fxI7IqE05B4 poXo1pgt7FVVRRi3eD/M6AQ1qMdwLF5ppt2P8iuZsZqjxFsDC6PBprDLbUW70eWtWjasg6FVlAgD KyJaHN1nDG7BcSL7yoo2gtBSS5YdiLBsCQPfmCT25QfYeN0PprtYKxskWjC6xsL28YGiDKVTYaqk bM71vcPb45pgsFyid+Cow7013w1j7zITdDnyb9Ngb4PsZdyeijLNuu7V9etey5WntTPN2FOrIKNr 079pzaTVb+wEahoZfSMrX4A0DbYn+pprFTErbuxMkh2t4kt5ii8JWstszln25f7VB9k7qFA9RzGw sLcv7dNFGu/vYfHFt/qd9VJtkWSLplJ1b8t3Tsx2ke2DHSgfjwPf1hYj6Sc0nVPn7XmP5NKisF8H HoW0rONTTMuIsYcEGaLX7PrgUv295WNDwysRKFhqGsEfLGl1vyrI/zI6FcRbvpfYt4+aPK8N+S4m ffHXinex5xur5O/aj1juez9yg43fmaqu3V32L9P6NJU1FiPuSNE9iUpvy9KaCOFw4TUqhSwIlaS3 P4tvalnqv19WqO0lPuNwy90cHw6MboJkEfDle6uFMq4mFDp7WE/gcGU5IMnYD/xokoIIN4c5dPEe zx+tI4S6668edbWvPXxPvqVlPNTVHGTsk/giypiKeVjXqcVdvv/if/hZ4JyIzKmbkX4q9Lztawiv xzkmwX1SRETKt1KyNXOKZKyacNEPKJzPcOxRAAPVtApWAPHd/XPGGO/WAZc+J7jXWDXLJVMeXfMi PNq9VebIqUFFsrLppYqqu5W+iDGfFbMVfv6tnVkmE/KP906lKqTZxDLyr1asu9ivM5jj1dOdv2+U f5tjvYc/xJlXXY9B4k97ygSBRti8Cz43x0D9m6hO7V1mn6PGC0qmQlBNR+owqzFxtgxRjpmcxC7v 5ginMly7L+glrmW9zntRIlPTuHqkVTB12so3DzYbbBoaSL8CWSIhZj7Xud26aEYDpd0lColFr/V+ IDSM6/P+uTPMxSJeNIDPszkHxr4zCyKjXMcbbaq3Me0HzbLp16PgwEdV4D2BnxnVxYmn2hYj1Pkk g2MoaiJgUrZ2Vlp5Yw9vCPdP/bUwX7TVSFLMV5v+Buv/chiyThQ9MGPyzb60dTENp4WRafFYcEoG VfpGRfsYnT7vrhzMpaMgJaxUX6pKxlNYvFleVBXt1FacDdKUpBkE1JMH939QXRrnV7CE8dyMoQVj U4DMOPtxR4irALX/R9vREXmxQjjBiTuRTa0Xda6FgiSm25mcPqBRLh904MG/HGXgjz1klqOebdi0 UyiJpWn8TGk7/ajwq8Cnci+HL6i+jvKRwtU580l+gdraAfzzQbjlFwfiBeUkENH4JIyssnChK1wt igQ4LuvJlQgdurYlmmT8wtyq1DydSfmSyZeUbdwcg/XmTubaam71/fbi0hCMcG0p7MLMS0nQ6GyF 7DuqsdFAOmsh1E/Gxx9YZITsd+gVd5+BqWJEDqQsSLQH6OIghF7HvHTMUcUeCMj2wY1UFJL1S9K4 nQU9DpXMe1d+8UPQTGL+niF5Bf2kgRzmVlHMusIJVLIajClqJXbXYej2xe/6OrunCVV7cbrxUl3S cmA0Gzvgpj+ydozEH5B6lWcI9cV8z6u7M7o1G/rsIjlggchq3PriNr8+WZVH75Etq1h3+38AP5kW yWbtwiMZxoSNFVcFD6ff5OKNkntI97vEsGG9OvGjfRAUp/QOCmbvysVKuMOLn6j/WxKi28JPHSdX 7VKPPQ9IDQAoKusn9mqEbE4w3Fx0VHvH6MBR5M4nEBk05grXjM5OIZLnu0Fj4gCN31OW2gCmTrFe rLF4A+HxW82Xk1QP9soJG1ruYhaYwJEslXvk57LaGcNlvtoD4BDDgAdrUTa8jVFJg77s01YjMxus k2WwjKhe1hQFNiDqQ9DEvucYnbTS+2djSq6DiSH7fGom6V1fjS1tdPkIo3g0TVanPHZHBpjfB6Jk cVy/yYBXKf9lo1+yMG3NHUs5w0seFdQYVy6rzuaXI23il3hpe9R4BUallBFCh8+xVQnFaKQinE2z on4hRpdCVz8+OeSYdwF8cJNAvtDTNiCulBEFQq6iaoIkRtMoatseap930gV8pKlMFZasWaKsTjg3 +smcKShpTa9vysid+fPPOYmMu9F8iEbQrHR9ceYbN+04y6M1xIaNY45p69JVKjvuEA+P/6Grxylg yP/dB1ePEoylgqsxA/JsU91j4f2u0jq+p6TzR2fRz7c2MOuu/ccwDaRINcv13aqWcBSxdlka+P/2 S4DTTWHKPaZUw1ZGqwTg80Z65H/N/lhc54xaPHEDD2jDk1gI0uBGITo6LVIPC/QcGKJ6nWj8x/8r 85DTZRUR8IruyYtrVt7qHvVSEEgyTA6MPf40Es5RRbVQ37jG7br37wZp7l4CESoPGO6oQp1GaQ1W Y6GHUrQL3qJZdtzzV5Nfp4szoSSLctk7KfLvnRV6uB8We0hwXV72wrP0xa2K9G/8bP8Q1cEKxokZ vbbdQhVZ+tcAGf1wzU/RKzbmCYwkYcnM90B+RLn3yDC/ce+usja6/bEcfN2WF49obqvUYbJTFf9f ErRFz/YaDbBu4TlKKjHtiuy4cLoHFuXcOoisPbxq4uXO4R7zyG0PsCQInrRgBH+nlqBgEzLV3RoG KHF27+YDGE3ftE5LzZ0cFx0BvdoTaEV03LkdApFXOLkhUhiw4Oq3OuiC44A8vy0Z7/LIc9k9OXFu 9b6EDKTenDDgmaMvt1smdlV6c1xYVizPzZ6MnkwVOpOUyEj8+c2SDe/V0JhIc5Q+TGvZWxnygbXL aVM2vrLGXy5fQqiR3YR1nLT1DNxRsBVLoMDeJV207XCvk8w/sW3bj3ENphvX4u0ERKk+ziACNiJv zeO59arsoG7OIUE/1yDZZ/4aTdw8MWXNapdsjx+4vyHva++aB/bfCN+zRpu01fH2Cgk7YJi1r567 Bh15VHADhGjXE3lxGrKBIIogtMKEAlt3Ah8XCfj2gsxU9e7/Mfh1uwqMwyRR5WReuL1QZdNcsmnO JQVSJQQpJr2vR5Z6BRaXqHpxUnSfREWhHRdHkT07xubT08QxufXiLm/n0jSpKlIjRxQddFqVKZVJ VB+SFdj8u4g9ldw2L2V7gCwBi1nt0D2bQEn8MzhjNrJ7WpCRfw8PK50kOW6Y+B5pAQfYYE1b3/JD xW8CsqQJ0zrXojG1XcemUj3pHZDuF25tC8SezC5DwOG9fj6fne3yUV7lxbzhAW1EGT2xVwVUq0d2 nXcSvCpZ00bf996nJhreJD5gFN49/0szmQpirgPEesji3Lge1TpJBYHcvKKUMryp5Ln8fNNJ3kvz ApbrxS5qq0A/s3yL3cmGwPKkQChbAk57YWnYKrvLDzTkAI/d/CkQNT90hC5T8wyGOD0gXLNWUPz1 whR/NSnJUnaNHjta+vLdTyMJKddwirSa10smcRm7kq6JTCsBEsfhipSkbflDtgcqwT8hHwjk26JH UtD6pohNXsRAxPDLffQQDCl3b9e1B75BS4okUeMBOC1U2sgOWkLISGdr7NyQ+LmiI5wxrIGZIuPR HM5p0JpsJVILUKh2kPlwy+JlhLWM+jVbqizfyCnW1+LmBzig3RzEWA0eQ79u2xQ/vWg2+nN/5stF HUIFSwc0K/kVRzrCMrUdTIHO6nrr6GxT94l1MkO8KPBakXdQqa/IJIoQl5c9xHOc7uBx4En3Q9H/ B6DqK5B+tKp+9FDigGBTnYcQuTlqiuY9Wruxbh1vet5nwdlD11BjFUrfPmi4V1sNYiZH0Vbo4OfD zolFiN9Tkwzz/EX6Facl7PAd4pu0WxGyv+fPO3mb39ey+D5g6G14CZVYuR4W0uY32jLnysEmJX+o m1VuUO+hj1aXnJ3LmkdnSH9fsgc5bPTBZPWWM3dO5KnPLZ/bcUkUS/8xZs5b2xmmAEK3Ixggach4 VKcfvabLrg9KxlKVTehMWf9rBvDTQzKOaQeDPbQs9LVua49SQcRSo+TKNof0fbeaaXDGUd1fXcs0 cGnAmc9+/VZUlRi6p+rVLHujAMJPk/8Ld074msAiwZ9UeREr1C6aPdraihB7ho/tRkwBJ8HsMmng 2QLfwE49SictbslMKzjg4GIDfwdXgxiShynHaW7Z2hEd6yP5thWEw45sOeUPdR+7ubBSE4i8DrhL hXaqcOIfYS3L1uHsh5aQI/2mFGRVtfovglJyPZzNNoY6LzSkTeVxs12bmndwMj+noJQBgP6ZWPYA J7+qlkKkTRX6U8Fduv0UO9MgngRWoUQgSrqqpa+O/IRxDKxHV94o8NkgTu4Bzy3UnI343Z8kWO6c eXqZfJeuYGCGH2JftraI3vGwHQQO+3K8k1RhChuv74eapg69MxumyTtTre4ocxeoRw9lqtJfRyON A5Phvh2WDBdkuE0TZmaN8HBH3VFhyQgwa2fSjZVKtfuzb4bIwzsbO0jFbeKiklPiAJ1QF1gFSvoP NibSvySvavMxY7blBOoUhp2z5UwiRR+n7z8fBHplMkXGrtiM5RRnAtPQU66Y4/P4cYbMTwpkNuu5 qGOyYv7D+1NH8/uNSQFzjRAwQF1uUbkOMSngV69GeIAT7nvpPDgjtkFA0b6zQNlSsmMEtCwQKb4u nbtVsGSBzBP8wiuKhwBCfrEeh0I+WUF29DbOnLs8JEhS0UHQlyy/CahGZXcRPb2gRPckUxnEnJPz BiD2bp9L2b4p9LH7bu33LuVZzP45VOdFdgnvxrCFEeCRGFJe2ZWI0hg8J95IzdheGzAm+1L6W5ff B7UmdMNKOEcKlizCMhAtXFY5pIYyiY2kZxTZCrE48VXstEt3Vjc6ntUii84suPSFB+vjgicFohsT V/m+X6MAlutrD0WuaBQcYrf789m8NTXswOSTTOeypH2lFQNvEQESOdT3vxsmM4TwJZarGAwUS4/4 HT9T2YwSZ74EC6CwJ2Y23eDVfpRXlaFB/5frPH/IsUOH/zTO5503hLwJuY5gRf3RHaRVCSCFJrg1 f5SCpngcrgjr1PuDkoWS744JTIXmEPfWA9Tr5hjHDPHKOOoqLaXF0vrZX9m6F5HOrD17WLy/Wtim Byl1jgA7czGYk4MjhN+F5Fbg45VTrpBJExBs4Kj4TDeNwWcCxff14ZwduXnelpUZWtjSi3GYRU2i IlZlZeWoo4+3gBC/8ABo5lBZJ+LoU/DYIns2i9kF8BTtR8TTOmys2EdoQqyDdJYeaayMl5yeCnDm ygZVA7hCsg+fREA5AiSa9dy1Q8j7mktFRrzUyg9YKIAL4t3oTUfbRsKtPG81vHYTYZWH3DvXnpo/ VA5W9yulH/dK6TliEPZ7ClWNtE8uoGJOwbdIubSKKbgHGEte46XJeM8nclYyvBxVJasDB199WX5o TojqgqliGb00rriD2MvsnaoDBtjL133oZiav9zxetGR8BCdw7PFj6fKCjU7pQ6GeTPSg0GYMNxRS mbHdDA/V+XTk6cVOC3RN42QQ2exJAd6uexWcZEsBGtISUss9J4FtcfvrZZsDpO/00+Iu9r0mW1yK AvYNupRuHIoNm2RZvDfjI8INuPxMTUFa1+T0aJEiaIN8MkdzhtG/t87fW6c8JFSJaY/TJ4Gd+vyz 5h5rALBJucdHabXY1MpTcYieZaxt/Jk0nFRWMKLO3QqVm2MzJTVnipDUBOPfDe9T8wXH/1D0L5Jq Flype+iy1j0fpr3V2fy/ckcPJc/Cd9tzA1JNOWsH+tTtkpqsLaQLcmXf3mGTPJpNPftViH3vTxcH q3Q1cao1b8rNk2oGsy8ey2DEWQLjLzJRF2zr25CkCxc36xdyoMxFCQQObXtMwVLedQ3Op7Ao0i2j ul4m7WQZpJPkndC0t40DtMyxPtpdcrZyLx7PBWbRewDTdMS6ngnxBOJCQgPf+5/fiwITulW9z0rs MITBYV0ebDrWCsG7gxYSEJdnJGg/7etUQRg0etR5aTIXPXuVeZa9Qge0Ewt9wpU3i4sj3L4TrKUt 98UJ4DyufOuKE4NTP/Y44WP3NttTtJ4N/Uf694271u/o1RuOVI+vWOLL8jN3uSYU4dYi19U2CVEi n7PYvnDmRTaZWPSzY1bzhb31vcQIPgboxddPQq5smfulh3rtTP+s4AcO3fZhuudp1sNIN3e6Trb3 pO6Xj4RYHZEjmxPGAC/IRkgbnm8UA/yyvgN7aeWezaryPehkX2gjHbFzxUFceTVP5BbB6kdHAVpv weW8PR07tKA8aJ6+wSig9WMUU+WWERsnkvhNGslW8SGn+J+R8klvL3CbllMI/3IJDKaRus2He4fT TtecLyNjjWSN701ITHLZbvcmiDwqCGkkw9gxte5STjmMVspWvJUIjkCry732ufeCuA4YrDlYDYjz 93cvitlWwXFzGbrzrJG1lawoVu+EwQDY11px67e50YyjEhKGI5sK2M+T+1CfKl3HYnzmp9YMmd66 25rrQ7CN67Oj5Hz0jn9kFa1Z0/rwK2CaghTShwUYy2GTinO5lqvjcCoYj1LvaSFZZRixzkK+yvA3 m7b4DJ94i+ER4QBkB8x8aI947eJUq6dHBiNKstkaBFnCxqduwaSW1beZrKAaMeYQNyKfkNVOfN67 TQ7wH3HeftpovURSPP/wMFJIpnvxIrSjvjxrQX4pYHxCKsNUlykNW1V/Wjf1yqIjlC2FM6cBrxh3 oFzJNVkGoWyp1ShohZ711BvXn50YuS1i/bLMY5X8klLMu+bU5DLPzzYUINAQCx89ZI+BgrgdauHr eDLf8UpN0+zjISkWbkifwOijKqTQQkqKUeENfF0FDlKH63Taca8d9mZalKED4BlBzVuzJDiN7/3Y Zu6gxq+40X0eTIEb0vhR1TZjbg+jyxNBcVHK87oRXQvl2EkLbifv1Qdlm0M7kQBI23FWkCR83iEg jOhFU7irxmothI0Jp/xYqQBHpfaZz0rQP5uDM0qz6OcMAtQQZ7GBj8EEDrbkourO/SfRmt99rDHf HcOqNBq2g+3DyqCRws4B9DZGurhEAi2VCHy0o9kvXDwu/q3VN+KOPsExTSW16Twrb8JwDV49d11l ynsuZBg3uon/KKpdytNtl54LCKav4ev1Jnph8oSt5AE6g7IgPoljfUpF8TmEL6EoTs6cSLDnB/b5 mlP5pFKe98fgUFx51Htq0/sbx1FXDncwdN5C7q6VtOSBr58EdvNHUtEqq3veNxth13I578euBzp5 /E7Sj6STfpayG2L6IFEGSiz0ORl7wrtCO3r2hspnG0SeXo4+KH3xgdWgHw6gg0yrEhwnKDvpPpTT Pxs5O6XZTKyTQ0JFW44N1HMe0ILhs2cE1pAzYZDeQ2vM8hAQgErXQR2hO68dXaa62zPapG8HACQa /y3mOGMNKZAVj9YjaN0PaV50gBJibIlquHKKfTSe9Zd3IoASUH8pKK2EciMHdvBGsjNFHx3EqXRc mDbXG05nYLL2q+cJJ3SB7HSKtHyDhLlJSaihpBpD47r5r++g4Dyxu3vGMjQuQsjI1zHOEh3BPoDw VtbkJX1EHsIfodWWbzF/q5GdWDLhAsf5Niu2YSO0wQrNtxeYCDE5hG81TT1SCx+vTbstfeUrLt+H IpVo3mEYAcU2K4jyr4FHYIFK2v1nsdoimmqXOnjmw2KH7S1CTAaxUbmTsDWy6tobWZ/oPWmNiILc 2y0UwOQHw+6vn82ovrOm53BTcrSf+1cLlCwvDyNUVFKtunrLXtzNpWp+jReE4ypfNGRSWWTUiAGt pU+R3oHI0qMsgi2G580FDJKVFjuxGeTG7uyuUfBdf0JFy/HYkz0Vh7LMlyDDWrH4rSbWzO+WPvof G0AfMOboHXLUnfvt/wTJwrX5eaXHBvGs6r/el0MaXGWn0DOiOKEaokmXl0DqyBITUUBoY72G1jcr 1E8a71wl4KrQSvpeCNjfjjVGXyCZw1XQmTyoQM2QR1pG9vyJVAPFUr553iJdkllOhuSEa7qPqu94 fbUj9ZBCWEuYUrVJqwZxDTwQN+3lQfNgD/YMaUAMjHGA0D5dAfM8Ev3UiL4ULMZG2wNg7zmlfik4 MhRUM5Al9oAOsmJz5e0ej4Gnc89Cqibwtdn1jkDNMQP9ZL+wQPqmWoC/mF0CNgBLxeB0u/9qSo12 9xJvTfPEfVdMLAX4OSK+0DX3pm8KyzXujOEzssEkDI4SFRvRB7JhtjMz0RpJ9p7TuxAfNMz9Xs/5 20hL4eKMKl7AWn4Jk96IEoBr+jnZoL7CW/IY+yy2EpnBgbPQSJMWCsKEpT3zghf6bK8TGvAuVV7R /b9SqvBuIvwJvqnTxlaFxGEZ+K0t1bNHv/exfOLHBqu1PFAwpeIUW8URkFQm9+WmHKsiuCJ7PJyX kZ+S4lT6N2mw8yIEldAkwaUPz5vD5zLutD9eB8O0gvo1zJ2LGYK9fbcLKDxSbv+ErsZXhui+kcDn VrKLrWmqmHVAH2+BDxp1u58g80rgSajJ4C5nWzQUpR9PZlYWDbyHyo3s+ku+40ORl4s+dU+UogJC DRq14II9TEs8yY6W6YTU+OweO5oabygP1ff7RWlQ6yQzC0xSmgg41vfo91FMKXqEqRp3h7u9Wp51 ldP2QzlTVEaRGpgkyR+QIUU0/+nN4EC/PAIX/k1RnHRB7sven4wZq2JeC/O8Llf/a+RKRqZbbxXl ERXhwc0cWh26301Z8XZbMZRqVRQUa8tYfqJTgNyqjPBxF5h2ucDcXBF1LypSGVr4Y7Qex0hw3RXu libJXyoSpLDipRBv+r7kEuy7j//O/u5jZvCxga4Vzy8MOXRffFYayPyRA+Mieu3Y8DDcoMHDIv9x HRWiVrB0kkjH9gCJwjbsd7bpQdVU/U55lWgx5LCimF6wmUAypKWhuNrTFtqaFOfW0aKhSMtlLAZo 4ryWXlDx074B1y7J5Yjrl7Z19cVaE2uKTEOkuleEmSQhcvG9py7FTQFc9TpkrEsGRCH/rL6GEkfP wFGxyh4lbQXYyb9oNqCiH56dtZvJG3002ozJwwNVybHU9hnFCeOGIt21OX/93LSjxG4mSe4eGtrl B0zVm9jPvZ806VVe5WmLXvMO2O2ondA23sfFuVQRhMe/Lfsx0VamQWbPwJWp/EQv2WZNnOXTybQe myTatAMXFRSGQZ2iBT71KaDEjfnbLWqdPkMvJF1TG1YO+FnVdVNKphET7rmRj8p1sThq7WqS981c zLEsQS3o3RhpWiPmkhxj13w/QqSwjjnnoC+6HLxwtfStYOJvQgvH1aBOC372WtoALXKDig24PWoD bdPRXd3I7gkgqftkiuiJvwZUO61Zs4Cy765BXDS4+lxB23HLiQlrVySSOSzvgvwDvj6RbUcTGo2o WrWp552oeNWgJZsmSsoQzspeciIg9p37A5f9nfPa4eilmHoZ9ErJqZZXfeA2Pz3vuIUKpfXguS21 y5oprFCyPnKVilHNhUpcwptyi7uA4IYwdRFUN6yGHKXCWaiIXesVt250wqBkbB3E1pLjqD226F9E PqTUzH/q0zbrHNoeYc3zTusx7nY67lCzjUYXKDob8lQiry7hf6DYC0vXvgejTN47k7Z1T1++GbLg 8IHCi+hD7KRWAj09rBjHCDJAJoFrm51lw4JZ3EUBYCEItsJtobr/9te6eTBk1nNoVcY2TQvy07VK 0347lZ9YmEDzpj6qlr4po+uoYrd8GMkptf8RVEAN21iyuW9VFXAyYLDP5RpjrK8K30AUtJYlbgY7 FMqDMZ1emAOVbsiXrsrsFnw5X4KhiLY3tCHzCUu91paZDMqUT832NhiVBAdP4yP86wBgimq/aUmk kRyHbf/SdpH+LKOOmpgmkBf+ipZXqJJCyCc0H8LxVbXtpjGP5TVQKlgEmjWkMqrLbyCAg9kPrRFq e+tnW9GHMl/2DiGncCvj2n9wf8WuHHb+RWbQTfCOfnXsJPwQgYldzfpwV+pYdqFyrgQMnI4Kd1Nh OWBhqC4sqaGLZTEYPymE00/GIm3QUFLLvoD3s1G9HTiX6oSoEnqnqrnag4/koAArx+YHopApp7s2 MlvbASnH5VrUehtYzz6mOsjhhcablGUyDZ2/+ZZrFxwMFZqQMa94tUTB2ZHqQmAWXTHpyvhk44lh Z+UrNYWhnAJXHZ9AUtP24HhS/fmzL9uFxOwdmNxfOgPkKoSdkd3CX+mc0c5WQ5ctuSYamETVi2Jg U5P4aYEjRSdMa5pSg4H7mbdOrd9Nc5BfLDASEUeQq47GGoJV0cKHsWM6pC53i72E4aY8PE6Nay/7 ckfUewHqQckW5DhV6VYUcuBZXKd0ddpdq3YCtzqQbMLUoQBAvd2JNd2ccvd9NF9ZBh3r5XTH5Qk2 JtQhUcRvVvnOta5o9LviY+xKKR+YSspOUtvDIriyMBl3Qy2ikDPglyVBDDab1CtI3kDqZ5pA67Aq rzewi4P7uwm5RrAyn4HXxSwYueosazePyYmcN3UJX01T5GzyCZvrsqyQwa/IARPQYcB9ipjlvxbu /TnyZtbaBf+mcV7Kw9AdPT1n2l8owDD8QcvBNAyodFNlF1Tl7w5JtNq2xmd0Av1nMkwieqr1AW56 cYO9VX2qgxyNQP2b+5uqAlwv863G3GI1ZsX8iLLvhEHR50jVcwuvvvH2FHBoHJ+6+Ecqp6JCXGN1 GeUBLL6y1ZplQ3mJXwB+YDlgKrefx/4Q5GgO8S1b847wC8kf/UbAWWZfkscC+bymTu9Kl6bPnLuf 25e4aQYzQzgXnnYdRyFBNGD2YUwrYaUUwM33S1Pkkuk5DKmsYggV4RxMNmI4NRaFTn+0ROqGXiLv zNaicvERKBZR5gCpliA0Ruq4HxFa39RfJZ5KvKebOH2wTovSfl3FHt1+tTG6tUKWOnZWNKME88AB 3FfHREJ7v1Sxrx5WCYLGfbsivs6U9IR6opSFaMBSX0LrbJKTG7NfoZfoU1w6ozLhm2n/vQBwCb7P 0TgkN8gsdcRdCPRZ7agZ6caIzA4uC3Mdwp7g4M2M5owso42onQX1PZEwU4ftRKJmbGid+uAt9fVP 2Axl3LkilkttOAQY0KGU9MLRNwwej0tI1TugIfgop08eh+YVSWKx3YM55gkIOX34Mh7p7Qn3GoZ/ oIpgGCix9B5bxT4ZzxdSCvKsNO+5Di/ID585dDKVlIA/1Q8Z6kPpvdG8kAlmwRZpORbnOkmS/rJi 97LU93+8rHavaljrc9FqARl/9p8Qoi9//0LYTrlkdB8CwNQSH+EHxANHWxfI7mF4UZALYj4ghrur 2Y260lWuBLJ70yIHowgHc8dMB9k0G6gj5wKN6Jb1U7eoSbqW8CJuByCG2pmjaCFG8hW5Bx/NOBaE pv/qEZpwMB4OxWvIkcwwdkDMnE79/eaqB/mrRLjnfJrjcKH0emgWPiYwk2BhSgazzgj1fM8nDHV6 6/RPq3UCUuZLeuYpqhMZtHWR/OyQqrbB+aa4Wq8Xn3ViNSND/fgvhRW+fKtAfytLqz0JQ/P/Oxau xiPemnHRtiYMMxgpdth5DlMVnv3I66SOYx5oVW0uHYlwBpr323KBD+XWAZJ7p+uPMsPtyXnLVdi9 mNqC8HMrjd1N+Vtb8jLJnIkfHWUTptIIybFYto7PnDzZemCCHH7Ygnrc1Aaz4oLgvg9Dm/aKV3MA MPEETQW+OQP6IrdOLiEvufpNCUeu1t3m9Vqi3xlhSw3lIU2QQXMU5exhE5dKTVVo6N14SNylOA7C Zp+p8H0v8Ki4QeyPWRQAHAiRM3Kvnbh67vTtZvRsMvU7iflcNyPGwqVcd+09l/99Qs+SAISxkwst I09L4gFM9vIJ/29AqOWKfO5K7q9RI8sC7QqcLnskqDnYQPCwTnoKDiN5jLfYGKWiFE+Eze0B4ktb ZhK6/+WEzf+PyQyYtrVuVTluXu1Sk2xeBKdOwWZqsRDD21c9UGiu4Nuqw90ig3PaKn/ZJnwoWX+4 hPSTyGkiVeNZgSXEWlvVOsnUuyL89WDQrTXjWqWe8vXE0McUGi26z6cSZ8tDOfraeEHtohpQYRFw QHJxcoNyx/jKjQKB9mYo467efKgVXH8v3AHrtJc6OyIt50eWrwsEYi6wufGAB2D3O3OT0lZezOje 5avxUe41ihq1s8Npzz2UYQHzX4D6NnBmoY0EqzMCDlU8ioEECo14bdpv4U8wpfiWPIXlXmf7NXFy gfu2Tm/nnA4qcA0/JiQvdBuApq/Lrj+dgjbBrnI5aZtLi/HLY5m4e/ukOmVze/Vhy2OjiiW69ey3 OXtI1Sfs8SmpBTaYJiXVYr9d0BugaTQKay/71EchW5A18nNaNu/GTBuMTiyHciHFT8rN02lUW9If pQJZxFt7QaRmGOmZ8B6hfYv+MeBFh9CtZa+VarlYAqhAfuoaUB/3CVOX27m6yWBxyFoLMwLCX3y5 zDu3dW4IMNIDNMGeC4NhqzEDmqiwzObCmbAO9XQVLafxMIHsC47cQ/eCg1xktJAtMP+/cOemplnd tAjWErdmafPuz9KsTpKa9MVUQpGJQxlThKKDYBV0lw+QompclBRtHBViEJVtdrblyoBNH7XjN8Xr Rtkc5bBSSJslLmZJINdhFmyZ5hPUCvapO7Wd55LMGm1iCVj7KqQU/nclXFhhUJ+HKLsOAMWUEbVO nkfnFjdadshZ2i9BCsM/5yzSImsyloQhkyEVgWKN96SVNlZCiI4KvBqyHYK1QL8vwL/4vRiTB3Zu h3IVws8/FFls28gTa567bljcz6IOdo2aziHaW7b5Urjs5cnlPOSQ5FAX9hnu5pNjD+P1GmyAzt67 tiWxJXBtw/IBL/pwSSXrfBlfPJ2WQiYQC9yvUdg/kDrPUCn7/+10AIXwtWY3aCKTFi0UGWpIyF2W HkkNvr4xGPKD5Vc0AWLfVB/dii3WOS+XHjUOAWxzCbcPpYpje/GNJl3IRfnDsCnR3RbIQMuYboM/ DUSoo1SzSKRLmwFGFPOXIgslkn4NdpAbyiryXkLbnN74nY2aYexniBP1ANPTsa3U5IMA5Zuh9vBB JWX2TgaYPGUlhrzz7woCgycJc95Lj6rXIyhIaL17P7ZAOQvo7GCk0qs2y85XyuQwl1IHpYcru0Fr ajyCJIrAbEKasbiO9U/CnNMsHUhJbEL7lGoMwHrfJmaTZmpxCVpKkSGK5A++w6Px7EVCb+V14ZAE iHQ1sOdSimRzFpitrA8uyDwE3QvWK46Wj33BOPpAZosxWk9vnu0dEdJUnuJmNv71hbvq71CwoF4g c05HqE6YyrYHAqWQoN9STjnFH0Ai+YsbFCnEyCBnHuYs4iinoLvk6UI+4ad/B8LOzx8koGFmwG3r 2Dt4ZQwEwzmjmSUptlpK3okwvoNDQK2OCRVW8cAZw4/+m1upaKBRUHbD6oFz8ZzQC/Crx9DZQMBu WzWfj87e7iXSAnnEbA7Kdj4H6wfYfjMithUAp9kX4VvTa+oNOAE2Cu65CWMM0eZuKIWmlq/cTjZR 8uwttDNjvSu6VdVK1OiHCoqe+k3Khj/v6KE4MLY9BY6A4pHgLoLIoxoZhZTpM2JGccqxwF9HLeZj ilCVlmeDAdaZPpVZ20YxYsbMz8vxTbj2YHBZlV4kWXELZjYhIvcnjNZm0/Y31mOjHYL5D2eRaGfF gadbsOtxeEUrpXkfQM149hAp1QO1RukHbbmXwJ7ObTC363bWkq95TKtiu+hpIE1NCUnynuhQITpi nA9cXGv163CXUIXklBMr3OeEGN1JOGonKJjrJJLXIrcwlzYDeBJT5wHnBzT9k0qU8wBn4PbFJk1V ZtheuI9vrsVJ2gChTOYM0TvGQgxpRnH+rReiIgYzJYOZXBs88HgPMB8M5ubOU/9L/z+1qR+OUS7u rU0baEp9aGpvqeWP/ZTeoWUHJhRfqPpe0O0rxCD0VSjhzan//K+LlUA4LHd9KhkWyq8X+kEVdGj6 B9uKuw9dan7OCtavVPi6lxzdYtWPrzkKYF7e+APErkB8rEaxdbfIuPs9Dgg5Az+YYX8tlMy0XdoY lVKPC2SXfAkC3XrKz5z8vhn46JfAr4KM0CAitqnsU8e5wccoDDp0CHX89NAynoRjkE+S+vo3pdcc W6ZWF0O2fmdYmiw+ZtNGp0qh88CMm2EsaBPSp67QxDI8v8nf4qB96Dv9iGR3QFjHgVwvU+tro6QZ niJpazUuGg8UddPvvopnM2DuYmiCUJBsmmqQVuZQyRuwVGwSrkIbCR6nGGaJIUbkYQO9YE9Bz6Aw I2PA0crkbslMsAAlbllkmu3TV4rWG1BL/trS9+3Y55GM1CqT3bE0bSzUsYNL4aNrJy5OBYIslsJ9 5NRlzquvg79UHUkSU5kclWs4bbagM4XssIIdzQHMt/Bb+H6VjhNsBm9yMkeCRu4gODRL3biSEkR1 Ev0cm7nHZafudxq3koadP6rUnAPS/QVOof8/d1n62W4bH5FS1havdg2+8SV+XgNQbzT45PCxdMB8 zKHfzoHYKU5Qkl9N3KJjviNLVF1enGA1/bKBLYuwPUtn6vpUVZs+QSlCAfRbvAajPN4DVYd9jUtx wFNkmXiSXsdoVveoCMqz2wizA0GTztJ86FAfRmSzFmAKQB+XAIU01m5kL6l7RwLYhmeQCbDwypNr ZSRHC/90EhvlcN+/IgeZP2tApdDenMCBlLx2H2jCZuDLtdoc3zpoyNc0aPLxP9jGPGkmjmQw4bMy SyZwCsrPK8t2yUwHW+Q50BT/6UgVNrGbjirs8sBixz8/3zPrhBA8qIAyYeSp6cqypGXWKP7s+HjV RpelA99H6BYWlBw7OQNv5Koaa31nrT5jM352msYB/4q2xP3WwZcmIbzYCKMqPR/yukbLPXEaRR04 QLH44tVbVlO+y1+P3Sv7a1ozlufMlID3IW2oVEP7DeXXC/ATyAWwyWriMPFm4R6NkObVwgrZmT95 nzw2/UHEz9bgeFVSzLUTF/wAvb2k0IOcAXeitfMD4oi6y0OKcQtSizcSNo226uYtrXpNNTLS0qMZ Ecc7Rayc6eh9lQvOsEjffJFhC5yP4JMEAepdNyOZSvLX3weBEJJyBJUaDN1/9wrqEvpRzIz4HtzC dEQmTiz2ae9EL3UyNOtp7WaYGwI/NXKpUO+6WdEctw/O8ly6ra1BNgMli9gaK5qETNMsr4sSyc7a fWMOS6bG4V+8bp9mIIsUlmgNIPceexYhw6zJrIoCotsHr6fsL3zRH0MN+OaHZ9tjywnnIPOXRRTZ d1tmLISswWP0BWjcU4Bxtdn2NFz5d8IvvjA1PTGcT7Pp4yrm0Ua+suiCBcVXhG55TSzp5saHoIEt KlYjiWqZnRK1VOc5YIZTJd/UnTrgkqsIfXNIa9p9erhHLEl2VfFep9TlRzR0MLKC4f/PULHu17sM +AMxx/0i7mCDM9PI15L3ypHLIKo1BEPCIkvKXzkF0cAA43Ub0OR8zHr1eoo+B7ntKlD4lPBvqknB hB5fqy/eYDLENMqHov2Tqh6b7j8YSuUV7CfTTptvOlwS5yWHl+aoY5o+ReMNT8K+glg8ticP9Co6 NITdpzl6VH05PYJhf3YB5FNEI4gIhSAOBBdQFKuCLuBGXbATCOWqGJYeXyf9I7bmLhIbTxBadh22 oQNoARq5/7Z1LzzjP4KHV59xZiko9gIQ0DR1TXHpsWqUKSz8yZsRVb6cyHZ0csihAFfR+7dscGrp lv8aT50BlsAo6T9VpIOGjx+14gdCTEjNqVuEweo7K3bKShy/m1jwcB0py5V6ySVHY9yZGouQ7vGa E1peA/Ihc9p+1Kp02uYNVxXz5L7Ixr4AYUQNboSfp/8VOcCD+FOlXXPz0PjIvgZUAfCV7CHgMico T2ndQrr/JSWQBZsWbSCW6JTRkYJPIGO38M0tbpRPaj1xWnhlE+geBWjbwJ3K/jAgLZxrSRwHYNFt mir9ufA1MxUnbuTtMFvjU+5EMwyfOQMfKQxB4iEFK76q2lSuQoWcoVM6fUNaHLpaGZy6nMxWYI+g Ri16xLSaDvW/yEgtAZKRYDYVv4XS2of2hQcpG6LO/x4hdyRfGu4RPGDqL1FsJvly2fbUgqmVGoCy HEiaS8E6zruIrx5KCXNjRn21XCDtiHdtgdeR1FKzRN4reei6AbpLG/l0rOvkrWzYug794dT7MUCb psssYy2AoCSyRkXHdySmD74a2Jjk0pJcSDMydInMIn1tmmNErNz7Lq1e0gokDR+INFcQnr3ggUFm Ema0/ccUKW4yiJyOyRdBziFzSkNMVVOz7PACXpoXPxDz4vCT9JXQJ82vkcWoSM4UtXRRkSUIjN3s RSTGZGxrRC/OK7jcBsumwHEvv7tR2P/SKtaoN2OytWJOu3nB+2vxoXm9MKCrV0NupOJlDiKlAJi5 ikrv8eSc/lsNzOrvosY6YlX2LJHpWWnjrtQXZQawYMGIyfHxLLY246PLFnw32vvHKdaNf1b4uOxJ Fj/z+Gsav5YqukWFIFl3EYm2NTYZ3rybI2jq6JBiiP/bTbNl9oYcUR75tV6uFAbXoWElEfBmYeh6 XspnAuTcOufx0wS3L7D2O3ao3noeDOgyh4jW24NUOcrV3EVY9x2UsDG5JfIjU0KPFJibYhVnvUYA imc7Xz6uy8ib4hI/xnfsEyGuJNbypaDRXJ23Z/cfNsWy9JO2kpPrtRPuns9sf/XGdl8FZlfaQJdV VupYiKbnwvDobuZxfepc4Q+9kpiQDdYmZzBshkZfpOGiR8JbZlr5ofLO9fffYi01c5jfAvtxnWMr Y8b3frIyAgLGj8ZuWGsu3hSgnZIVtpi3KB/uHn9W02vth6YkSFTqeOveRuMbVyRoIknOKSljV9RD niT/N3jCzpmsecwY50Qknj8DjdMEhIqcPJVzfzq7fUUne5WS5uM+nlWV2qR2PEcZUCu5a5N2mCD3 0MqZO+k+3vMkK3kQohhto3OpZAZLb4y8THGTj90mmcpvq+UFxCfRqVKEqlhCOwRycX2cdm/NZyum qN4mHXzGMUrMQwgf7RSNQLCj6dApfiVVcDVKckgkPRTbqyLtKumKirletC7Zzif94PgsgXpxW8bc 05maKZqPAUd4aoVAHA+tSRa2PMHnEOGmKpicV0emTVgHpEs2FJ1OPVNqX0/ZG/iBXxBnKsIKPNx5 zjJAoL2y5tsTO0vtk0J+k8PnsdA8gfvW1YTKsCMfDvQ6++ccViaVqNaZqmC8Z+rLdc3ykb0H6ZPz G1aKNj9wSRDRFWTPpmpJjKe3nlBw8zoIeKhE5TGKVylkhf7n37QiUS7YJOz3Rm84pU+Qolhi21OQ sFebdslDnIlglRuC9RBlbuTuW+Rz06yJ4koSNXI2XKy/h9NQ0R8+6CAXwFEqVXO4/ypAmSAhDUeV cq8pp9J+tcqFnQ0BQDA1PxWkqHDsUHbGvjLnhqfKIwobx1mvHETRGsMhXkEPoXjsFi42T2xz3Sx/ ZqMswK9vIp4MIC6JzT9EYhSc7tEB6muX/14MdNdu79KX5W14xiAR/cyKP2yScIgo5040WS3Qep3R p95K2vbUKWmzsQQajj0WeHO0ZpBMV8mBxNUyhv7P7b6i+903aB/P6ZyaY9ZKUXX9beDWfsR+ByJg UEe2jGxFbxauYpWCziQp6ttKgc3gr68yaqF+8lmTJsbQZnAOCZCy5g/BgP0R7CAW6V31oF+jUJG9 yzi4yBeNmCiaq8Oh3p4osiWCTc9PWqJoY2d2qJkFEC5aPyWNU9QcfB7+Bm3JsLHuTUa6qDppUSQz Zy5cPUWO0IQOhsO3la/6Nl7H5FpF+00tDwOqHu3IZB/T1xobUqCYQ/UolBZ6NTFej1zgIkCuu3+D oO1p3VlxTuylJf010hjA5XEtQvHQXPVp0R3AatBvZbMZYRoz/UWX88a20c4pjpzKQ6hVQ8gkVDAO wkLN28ry891efpGvxU9f7CKAzF6d+zsA3oP6ttQbJo6j6i3tgy1JcCTE+BVlivQXlh4ohmf9YcH7 INMqo3CRIlnRS3zQnBY9oK1JBQX8HYT8VK6Wg228K6Av6c/qSGL3gSq+RgTCOIS9VgM3WscvcVyT GKdxPxwRaNrDnpZ6AcZkmOpmVYSylBsMjCfS/jSMpUa2y3Udvvf3pNNqoPzOFjnKwkZS2JUPSjGv qXwIqNKPbOEyUxiUtFpp0tBMNu/ulwEsLdu1WT082zQJSu/l2ggdkW8Kpk7w/OhWLawQlxct+DbE 7dJgiCXNn31MOP8ov87BwmV7l7mi35e68h9ovsY5AzMzJXJlVkmwV+RrJhDP7vKxh1A2b8mxVFFl a1Y3G+uSax0BpLZAM9tSk9Tr2NYlfOR9kCiVJOaAKjbvFPP3bZ4iNj+HRVz0Jt3NNHQD2BZrBRuM 1JJ7Pn/tGPganp4EBn1/bWPnPpamzeVZ0WkGoryTGfhSt6IFd6bO7ya8FGspkazRUnHE9NaFKliq s7jDjT2xwKIaibxROwu3hD7WYp20MpbvipzWDYoBlB+l5BZ8clNeFCveaFs2InmL7Ai/ZckV4G4b KYkQ8x0qB1KCDavau2IZ/SummCSxbMHFE+NfxgxmIUk5DBpuKPruOyTPalyg0AdMGXx/FmFOMPmF nVy7gY+nb4Hfd1+CI87IbYQYR44WluzRsozT6c7tcN4pTrjG1g5+s9R9SUNjU/sgHHSLMJEdXQem QPQ19YweB7u4bCtsP2e7ayf+C3vuIFTAkC7JkEjMd1eppz1agDbRaWmGXl7nO/Vpnp/7cxvBda5j BeCHM0sPw+Ea5nhRTN2fNBZ5ZURiqBmVpsV2jvdb6JhSBiOSdjG5BZV9JxL/QLTvjMDCqUKUAGNR FkJw0fL4d8wj2WULhy/axLazMm1tuHRbD6pOuGEcKRboQ1nue7LnkTIHmo5wOXrUIzIAsuDQ/81w 7jCWvdOqMzZdLA4rWG9/Zy+LIO5yvuBct5R+1obREnJFsdcrqKbnBeH820BgUz3yNW5tNKd9g0B3 cZIJsY3k51eBak8PgMi1JJXnFnEGlgZhTrdkHJ33oDRWBL+7ywzjJl67Cb6Blb2X/RF/MAPciivw q3fEx7Kb3aWR0HQUSE3mYkeFeBfBnu2Wjmb0/uRHewgN8/ljuSanh62ClnghvDGqPrTWBCbyytaZ 7vOj/SW09IMU+Yp3kf6sqGIQxXjR/NQ2IHkH+3sa5Ae5DyY+4H6cGGGjpn+6GvL1a71CePXw48pt 1GAog0GTCDm/PlzIkzaHARIE4VI3KL5DeJu3jOIhZrO6q6r1TzJtwc60F2SCnkntiHmElcSLRuWW BhA1ggx3HKQzpj7WZ2z3PgeS4zN4rSKR9aMwMwGJNnqj6iA7LPdeZXj/1UkNq+Oz5lykGJPdCFoO YmcE0IcV8bQAksrdjRvVIslkjNfWMjdKpMTGtAZgxf9uJdU9RoFab4YREd/9CzqF1ZMLOeqsOrgn eVp7bKqUN6jQCIgUrJJ+zfSEv9BZOj+iy6wM6092OB0ocGpfHCHApGjCrQ4Rx0+z6e8VZAjsnX25 jra1IoFJqC7Tko4DIMWvnIVAPXsI+th+eFTTCXYpXes/f6qGLOezTRIhvfwDRV19RLtQDKfLe8K/ jZtX0ztoILkY5Oazw4wyTrtBf3jXIKXc3N8NZH9RHdOo74oTsEdgmPy7pRyeARlQKN0lxeR5QLnp /TDhCxHXHsVO57GEDzwNPFy9Juw4PcXsNe4GYyfcFQ6BjYf3C4scpSLEJjUJw8/1WkENpoadl9Xw 2+2kVHGEP9MSWFO9JLKJY/Ed2ZzcIRDjYfXSQ8XlEf7oReJws54bMqU+Yv7HdLIl/kzxmra6/f5V uGR4OiU45rHvDOZ183MgZ09rywAhcDgWGLFfl5JxlBhG27r3CvfOtjJNTNFX0zG5VYN/XqTeLlw7 hp6NT9qvS5FlpIFNbtlKxKQZgH8Czk/cKO+T3UoD06MrWAcBqbAEOcfZ2TzqyD9NB1dk8VGWgRJo mRCq+6tRphvpI3FcadKMV90eVyWIspo32aaeElgsMh5gIQqJdV7q4zEJC0iy0x3zklfCjNnsJWnr RUGJe+AhAZB/vSBn+FeBegenhmrfjpHnte89yKMLi54vlwhcVbMgAvTonUNLYVzJzKuEy5m8N2Sz wV4n1BHZRm/bdGUwVXzfAfzzbRNisw4poAVSvWZQys3mwZSKlNDbPLunJjFmnR+HxJcSWOrqO0JF 6drPXiuL6o3SAQHGdWDXyO4muLpLdcV7vTuvS3ZmAvszS03tEu4fujeq8zx85D7QROY3q0bGXsM0 qwULWBjzjH9lYkjxfbobmym0Lg7O2HZpTHfSp3+9uTxi44lfstZkX8LXbryqmzCFa2+qJP9mL5gw pyxRfwOJITJb//TDLmmI7oe+zS/QcKO8aRpc0ahfnEdZk+1IWnJEHE1qGyECa1K3e9oAAILRnbgT SX1kDQDX7416uMPy3TMYBWaByFX6vOz1Q9XfKmBXC/vfcKyaPIRETdG+bsaGnOjQB6pOJ6bgrEPx w5/THvv2MGYvAKwtm6l4MGx4Z5dZyDCP7o41dh0VBcjcZ+sDVEmC/3Hj+VoOBf4ZRlQ5xGv2UKQi /X8CdnAuch9f54l78BJ91zj7b51CuNRnR2Q3TVn/d5d5sNLTaXMXHAVXU/rf9c93Xvok/ZHJDXgj oAWuV5V5W13S8toi5UfqH8sca+u9sU2JfxOwksjkN6gKJhamnNv4huzO3IGeOaA98oSLBB0ph7LC 4JSW60VEmnJ8aK8xAOM6P7EPJQuTEA6NIAONDtUld/VmzmE1UjZDRM+p456LxmpOyqHeOOVOOXWY J1WEafeJKN5EtTnxLCOTvqyD0bbQDBM5Uq4F41mLf6syDZujcMTYWSebxXvaBmwgEDObpG83TBD0 Vumgpay+97+6XgVTVdshhH4q+Q9fwY1T0fvm9fy4yimM94iJxtIHGbmuz5y+QhzSNaKVw6dwrALT BocASzIBX8FRvofuPlcyzt7JFVuANqF8Gxml4nixai3GuOmhqBfRp8F6al5q/81S+S+fBGTvUNyE QkE0EHONuh9jxJcJQ5DnfLwXndhDYJkzxXy3ZbO3FcJxa5BQ57zOqpKIjftvJB1sH/QEYECBrxqp nOjCK5M6LlOhD1v+kx/0LUUaMdAqiVMeyO4SyeNRC2yh46wCeJVgP5iAchp6diV6XOXxoUU7yuLT 7kihP6HvhABreeD+VvbBBNESzr240SYjVkJcptQSuvHFr2y4xiamu7jZMIc4HGNseBfBcEaibXN7 SJBOGUV4EGO4f/ma1oY7VTndn0lW2MpOMRndvBTt9gccsFLn72zwPz+d8CdLpHZhJiSW5Cbsu/vT Ll6I6LzYpmln3DH0rVXUdY0/MIqrEaLpAvSRVH37o2pG0A6FDi/b0EaX5NvB7j/TIxeTCBTyCcbZ uzH2gFwXCmwGzit7Q+5DodEgExZf7uQEzE6fKu1pqDNX661SfR1weUx4xaZktYN5o0504Qwj52wR jlhb5ABoE9puNcieETirljS2+sCoVpq7w/o2evz560Dp5N54LVcN6gqQ7zaX3+WoFU1IKWI0hd/6 X+O1GKZ6fDf4Gr4D4BCXKt5jIEa4AKIqt55u5iNINR+mcFhJ97oVb3A47vJNnsvoJTZkyo4GxNGV wCsUEZJhHP54F5ZVNq4jDc9OPQZAwM4q3bMQiTuK5s7UttULYOdmWsdPvR5k/dGpJp2iOqIm70XR MLZ4gvC8aD3CehOTBq/k2Dui49ZEKXHqsGcgwX29wCepLZwHPv6v4xgzSjXGOhjZlZ1s1YPxX5og hcE9yQrbI6dqHhDWbTmqrQGaDvHwcQa6q57IXU428RIrUdQEMmQlw1+6un1YyyGusvQi79MnRHos 1LUozOM4Swqpcossdr/UXAPSfgoCBIfJR7pCbyIkJl30kd67uforHws5lwwIoQb9Zk3PkoVpk7u1 yWom0GgaBiTfYSzgKU2RKC2flulqLfjUnJJlDHeYDoo/JPXXST4AO0eegXknnY41R7bo7PUqeSvc jAEbY9j0byMhjg01ZSppyakZ/fVp+o3pzTjHmLcaljaNz7+5vY8gSFNj9GU7uM/WqHEaG0v6IOBF tLFDEsyHacjUyq+Lc92UvZXHEyoCjFnlsMya2VNKilmsFU9ACzRgvcNteoa06Z/iTj3CYTY+fsI3 L6Gy8h04+gMbxMFz/ZBpFZWqWkqsoKVmp1b1zHRmvMzda+AzZYJ4IHZuNeCFmjGNSlDAK1NZtEla 7HvDi7Yl3Q+muxrLtrDXiPrwT4Vrsc3xucDOCyY5QppEMOb/DLr8TbXpaeat6Z90v1czfirH6Bh5 oPCQ/BXapd/5cYMiIZ9WBgLdNTk/U2D+nl9aMu+yjNQU6DdaRUxSK5AmYL/jZwy+AbinoKfRqI12 AfM6U4KkZwE+B+hCArgw1fcMp66mrxkstASJEL3Om9uTGIoufi1Hy8WP2+vJRjOv3F69GN3i+fja nYdqgXcWqzezrYkEBGHayAFbkH8xvNnH5F5tXNNrh5NZlD/vWHRyXy4YjypPVbpGbqK7vD1wd8q7 EdmHacWzaAT2U1BbL8NYnSYUuQsIGeN3GxSNvm1oHzJba9/t3cIpvfiF7jU1cnY167HIMBoypaSW TcKYcIisD6m6e91/p+988c9VgVFIc4zxZR9KK4gfgmwopYIRZ2wqdD9SSzFaABt+HeqECCM03DwI aLgCRYvztdxo9gRza7A/iwnh//Hk7CeScgKGcycvl+3eZrEHwFQx1TRRXMRj1vHcHq+IUK3pJXX/ lnqBtet79WxE+Arso+XVK4Reyci430pqvhnYGkR2b0kaVUcgSIaSv59Gq3ToR2qYNdAMBhbHiwER qorxMZKxO9u09LrQwVNJCgzDA0i33KkqqAG+gzTu4XwRXLKA4mEI16pyIjcf/HvyjiskK6BohI3P 9XSP+6t79Vbl4o8hh2QIA/oaC1L+AHqCG+sapDZ2wKuKQfQSIfymc+Pf0KTOWCZbH/EAsii6vLU0 v87wOpSEKA52jOPq8ie1f1vrBbqZOhgmOS0aEaDNysN/Mm3WVQMtuvFNTStHbFmvOHvk4ai2BO4i TdIXMH3DUW1ltk7CPCBRBZtuWQVdLIXIfyuYAH56YPeauYNeD1IjwtZrjS1Ga2aVya7567rFq0O/ eINPY5hkWo+V6fZopcIJJl2SRco4F8v3fBmCmRyjrdJXo2kNGJcst+7xleYdY//aApTF1EuCXiVZ FcdIRzAAdFV+5x15QFvI1JLWoqASmETW6KoVSVS10JgXWu/EZLk+NbgIJPPWE6WfrpVY6d+crCOs AUZuVKTpK+olYzj6F9vHApv2JXHxVhlsYzbukAUtxGAe2AvCvjLRRr6G3dAQeMqDxyomB9oKN84Q VvwwWm003uu5kZiY8bKkoAGuPqw4jDGz+x+yCC2UtqdO1EJMo3vDPfVwiTjnoQ0iyD99Tm+N4gET VDh1IQBDL7CdXCNHQZFeFUU39wdsWn7+n9QYlVGxTgZdE+zZBUvGTzOZXGog323SMb+aMNjKwyvx NKWTyuEhOyo3r79j2C5BUs7gtvCn+DmnPIckLT5MymzpTuXXigXCSnq7NY8F9SEnRoRfhUdwc9Rn hZQ5nM2tjGbcSSrZ2wi73yBwvwjzuRhqrCj+Hx86ISOZGfuS3jlVzcGWHTZ7qWULNdPceTK/bpXV 9Amfk8z4pD6RXNIu0BbeDl2hakD2I9Yegy82Yt/uayYfh1iWalQxdz4Gn4aiKq5LlG0SNtG3Kv48 yvVp/3RBem2j1jIV2rUUrWsovBSCcw8sSU+8L3H/HrybLr5X4Tqkotqx1Td5/axjcO90npOfXYlY Vy8/MwD+zLSTQWeUPHbwJwZDD5EkydVuWexO6uBJkJg6/NHqv3JsNDWotjlLKcTNPzROxo6cDp9r Z5UFbzyJXQCoW/KesNfPyyKy2oNaBp23N83668sqv+DeBUWnvWYQnaOoB3XSn19SgTQOkeCxtvi5 9t78F5+/p+By7nOl8TqBWA/iV4eDEVg0aDEBWUxZQGDvSOv4lu0Li58OYDSRm0eXN2AWpJ+umcFh tXAc7hj+C9UK3Ytx8HeUeJrw7KMfuvApZhOI7i2OAbAYqAIF0TSIZNRmiSAKLCFt9zeaIrVGsN91 v0dkf52Q7PLdkUsKHlqTWoPtWBZct1/THUI9zFDscdvUq3tVbBz08rGYXyChAilLtbsvOSeIXRQ8 0QtZFHhLLFgflTtWM9cKbIOhQJyNa/7JtdKC42SSwUjqtlq9N1i4LxNyi+rWCVV8jeQ0nH0AioLk uaNfKHa6mAXkoieDaeakShglcutdmX5EiUphVlnhyeQB3R578YwdvQ/qnbxMU7OyUCCQU5gewhAC 18S4ofpASxtglKT71BTKTzIhipGBzAx2HLFTo7aQpJsfcfGR5mr5waaPrN1wp+iBKInHu3/WPoHI VK8PAoYLVpJgJdAjSzk3JzLWybp1UljTArheRnLkuUu4OCVTJx79To0BqhM+2TYKeVoKUOSSUALs nRSCr97F6GlimDRkvTCzLaBdf+ZG5OyaHOVWe+f6BpO+PU3UGEwLbf5popBQcgdlWw4sCDDFyf47 mAkS9Sac/GFIEq9EIhzk6WggffBHScIYCwwMpox/53olrm9CMbvdgmX5R5cKKLWhaAThT/GNJRk4 tWWNBdbQzMxYwnxr2RimG1AFMpOS2aG56305N7Ny87a644Wnepb8NlpQd4borVIqEHWhzkGso41W SCJ5/gs1Oe0KaSCFiozaBEiCpYyFI+k3T+m6v3pGdSDzRW5eh52TUoRkLmuKbs8rnt7rpIe7uY81 xSWk+mm8OLzDN8UIozMeh7JmWoC0YmkmdYHtUkgoDj6Uqmdo0Lhux0ZL75peX/NnM9hY0+G0BMO+ 0p+LVlJjXQqUTfqPa9YmPWQcWi5Xqkwlhbn+F02YVqvKX8do2zUpK0gZN0XWSgB8byAa5PUe4YOm aYl4XpiaWJZtJfhYrQ1PXqvMT1dMf5/TNcSNQVPSYmlrQYdervjzQnPexb/3pU/BNW2kQXIk9M05 Dzsq5MgZ12Xp+tAx6Tnif9WJsNex+YhDAlS56Pqm8iTxr/9mPDjwr4z4kn/MGWnN+F0naFO0BFhw fMc3n3SiTHC3FZ2OGhzZtMAx7Gku7dZX9zUFATyVONza4D134LJY/yC0PsWZOjgsX40in4rzUzrx jM0sGVlfuQR6cMckcZ4EirqLZxnlQySFKMXiyRogZqzLhpL+D2IijuevTejVp897D//Fgs+JjF2c Dq94VID+XcfddAH/zbR1ONFCWrNXNHCNMpBKyFftDzbyTUTtF6xkMeyFXKdxmQTPjAmJ7DBhQ0nv ZsUYoGeTuG3LU+AXUtqv4rQzVpd6oCtsyhgPTT1e8Y1TV/+ZoEaoG5NxTUe5od3XkENBv92INns4 noe/jHeE3ATVgCXHXOeFbUEccR7G7SZ1Iw/5Or1/EtXjg3LPiUWVgXfq7y/TtHxI/LNuYgd4ygVt wHHcoplp4K2p2P3bgYC7aQocM6WfdWb02nJgLKqp0lCttb30/xew9UIKWDt2s+OhnML5WbpjJBE2 4oqXvMmm31FjC6X4STxqhoI/+Ff4U4PE1iaeBBUeElu6k2IYbejI5BLetk7JTu03rDFNQfM1JugU 2R3qXnuJzFrJ4cJThps9vytaKW/JrXMUadKVOIXd1M7bZ0cDxRVHZpXuquKEa1jqUX0JiJHOk1Da WPi94fWndn3L1N+xT4XOkNBnqAlolSIskm5vG4J03tmbxI3dQE3j+vuDtFmf6daj/eUUEUETBdO0 k+UCFBqzjnFD/mUarSMZl+MjwvMBHdixrq3V25xtaGJuVwvBT/9n+E6Uaj73rzUPdZCWsAw/l5sD bXZjC4BLDHN/w8FUwel5uNsLan5/+buxBjSTB+1IBSd/PUEENCdWqmH48j/a/8f6cGJHRcmcZZma gSpXnLVCol5IJQIbo1FL6EvKFaK38ttMwdelZwQv6Dd5ZdivLz+SjmoS9Bhvoh7qRywVzz6odWNs Qvr44Cs0BTfG7l8CqBqgSN2GWoq1S/cTJi5W/j3fa5WDPuEK1wRUlOAIk3k8LpGlU294nZKEimG3 wynM/vi2ZP8oK2Ai7hBbBeJnOrq1v3wKvxE5n+IPId2BKcHPM1OqQwLD9XjjHcjQSUDIn28ajUs5 bLLTxLvWqgnL3ISqsrWRNgpjU9s6vkG1gXdKH3x0iVyW6dWndCUy587uPaS1cICoZ0nPxbGVjWPf nWZLJoF8mrw1bJDG8XZ+JBIABNU6QLsntUd9zSeuyK47ODsxZ6eB5Cz2QCgMq85SzcDi0trPn0pm kXLRR0LWgf6jTSw1LIUiIKsAcXMcZ8csef/heW5G19QQSagFz6lM6ef31m3dHGWyoAr4KxdWoc0s h8KyRKBkS8Yi97L8OTHkk93meOcgKn6grgtD1i9FJ4R8++uCXcG9acKylfsux93rZ57QRc9E7iYd 3dDno/fEM0zwXtiQv0oG1GGJD/ivPkbvwuz7ygM1tAF589C3CDrfYFrN4SLts5kak3s+1N9viRJd tkI5RS729SVuSMDxFOtAya54DMWUWOI2xyZKu5p9gZgcaBJ+3o1u+9KyT3HvDI0/cSg6HQ69i6Qq tArZpdgnOXRcs/5gpa4NhfeiZVwIgSLsZanQc+SX8SUuTXGWqhnU8qKudZupcnqIZj23OzxeKfGo ZXypPCqTpL4yWCa37M6AMA4kpGh/MyLzD3JDzYdyVUqD+eguyqI4nD5R8sd44wbeRbpZ8uI+mDw8 L8XaV4OIFiHiBPK7cxmW2WC2GhlxuSF6shEI/P7L2YZI5Greyif1clxJHFpvG2Ufwzak8qVUtO6/ fPtPABFVBkBOfE4cCgpCNB4JC3QcLSyPk/8XojxrmHJndTy3RUSdRj95Mbts0ALefs+Fq8ZwTVqE 50O/zowYcChY/GgLXT0d0q334Ikrdz+0OoU7MijHBD7KwQKa4KGNMmNNAeRJoIJjtvUX04G2XRId XxrETKGyNcngKkd1Cek2XYBmytgERRibk6/yyuEvMEa5qELRO+QH2FpQ3Tt2WbBKuWUIFmif0N3a RaI5aipdbqsw6x9kmSw/rUbjY3UF+j9ijAM93K087VxS/P5GdHUx4UFuuyOO7uAuzYbMJkPF7gSH ijKOrNT2wHb+1LTmzX4CgoD0FaIcGYz3x6BOuvtexteq6VaKb4neoQiiDXT5kCkyJpI+a0t6XyDV 4VcJTwNQIHVs6mpU1YDhMH2QQDdf54dZDhk+A2N1ksJGTfJr1Qf9F9Ca5bsHwXCRR6ZwV7OTr5dt /m4A9ndlUWpCT5ohKNRPy1ZnlGhmrcv6nAWeK2HgewQ2wY+xNKcSqipqm0OJfmh2GftpNqTHkLaK UShKSkDkMIb39pB0J2FUKXQZLIRLGnGsjpwCzwwuSKsL3aMnpl87gmWCHO450oUu41ZD9IKTwqs9 jKO2Vq6JgFq+49YZ7PVzwbARMnswtrOy/lPTG92TKh2GMPhd5D38ydiIZyF43d/EHs2+dOcvfXfJ fXYavMEeQGaj3TN2V15NEQDMr5fkZj0YmLYNStff2SK2Gxhr7B2Iyw1yJNMpc8bVt8hwVPxbBK03 iPTgcVx1nVsQzBYRiUVAYvEoXVuHixtnxttWEe5OQz0TGd5t1KrblwXf1dG2c7YhLoViRBnApWrr Hk+cIwp8q+dbIFtDakTPOM3ni0mbUOwfPdVyavJhw3fyUCQfK3iUjkp/o+wYuhFer8zIU2Bf47hn ZDGojxXkNgH+DSNWQw+MtKrtCtx4+atuaoMX2r7ncWhNIoTBZ/7WX5vsGTGcfijFmNecMPBXv2aD jJ1nwaPg3CbgoK9t7exNXIImUNri+RHkTsr/XyXbAz3pbq0vT07D+y7nZRlyV0uA0l+sdR/JzIAs 1u2AIXOYuJXazzUSK6HIOn0qOXbZc7vkmiH4jPzkNgA9I03x/xKz+RI+7viZl0IeT677jc2zWV7r Oq6BPgXLH95aJmrpNaRN18DrtNonK2157BKQP0cx1vxne6+9ypOK95b8M4Dp0M2MN617t4bCYLYR /KKv+SyEMLpzdDkEBmwaJJchehaFMxwyUFUvs3Kkk02LwVYhbYfbvqOgkSiaT+rBwd+4hQ8sQpgq vqmkZYwoWWPf2Ns/E2DGLnU1dASP7XChimaQzTiVcg4tFe67OPALF2zPt7VpIKEVaA+ORFVkMTo8 hxx0IB2wE5rE2ovE0K0iF5wUqexR7LSaxi36bUieuxm9SpI5pa2SaVpS3BMYjlLKR93cIPobvPJT F7wM3HlNY3tLSHLk3JFszONoNefyXa8ywgZsNNms543cbr4EyjUPZC3Ng7ZKcULHrsaI6sKjTQET KoLwPV9tDc1rR/ztzp1W3rCfifjKl3QRlzWYgyMh1UaZZLH1QvM1SxxwwH1atbOSQrzGjsJ4NenF ezUQvq9XMGnSC4pNi3K8FlDRjd2PExnW52VeEKusKYDM5feYWmBqCbbIkPvgTFhQD5wZ/GXGNlmj 9RtZWuK+IOMm78cWf3CbNLjntIKipI0oSPM9v3+jUKwODkcFQ1YpFCResz9soxzENpDJmRqls5if HMWQZSwxX7pyUnBN0BDvG06mbCPtstjp7ODkhLari+pRSWCOaCh7V61Filn7zDaIgotT+FCZAeMC igAslBTuBdilWaONg+iFn/ouVGr/Y+Yjeqwj12VOqgIdw1uRMhYAkthOKzCf9KeIlyhRzyEAQKha qV6bgcRviMFZ292fUG+YbzYXwwvWwkuybr7xpNIenRWpwZiF0Zx2yAGSJJva68lRFbnYYL9e/sqR Gmxv/uWQcfUEQpHd+9fee4qiCBZn6fopAKHJiX35L7vwvJV5tlu9XCRhw6FT6IuWUOEC7Bu1gJE7 PR3txrGQfHc3YR7H6VmIBnfu1rMBVaQ4p+rN32VYVhuAQI/ivtvfEVX99jAZ6C0X8XXQPjI8qs8t Q1b/qLFDuCOHGCnt7F8mR9bNq1UiaegfkbVw+syMpqkyu9axKihjNRZuCPiwiBMdj+SXSReWBFI8 rWew59mJnAaQs73l5mBPvqDHrGb8GpEpl7mnaw1ghmuccZ4afNS1wapBu4tRUdI7vpfsWUzjtAS/ 9HrHkxBGbTB5xeWZF4XykTiIAo3gQ6QI7ovp4CG3nEzurpySSXoN73eVI+NuqlnLkoMREiHx3gFm p+nr1win9Oh+uAEPDZMWDi5yve7v0gKRjFzgKdQ6py3hd0fBW1kQqI16i0Bj5zwEqXAttEsPtWo0 9bZeGfJFK9v6WWBIbghNSungQeiXTXWKtH3Xrs4sAgykBXg/Nyd1FJRaSnN7ZtgsDVbpn+s+/3Jf oAgJik+/06mzWRR3mutrbVZcxzA9cO2cz3VQtcH6B6uTcAnwXII0ieapEAxIscgXDlZzvX462eaz 1MWPH8yrkXaEprtg1WtGjA6SlnYSxxYW54T2Bm4NDWhxsJWtdcZdpVkXeuQF/BsYGRwViQc5/nrO BGrX0Ov3NlWJTvXrWVkxqY01GjinOp1kQq0Irt87XH3jKjFDljW7QsAw3+Ri/Ut6YRUiCYk6+qiu +clzyuMQZXuUErgEWC0xq7lPcaQvvbVlafL6fGoLLUqeN1YCBFgGV7tjl7GTuGhlQZDKKg2porc6 23iJb7PcEf2M0nlvC9GuQDgBGH34QXlJgwLZH6rYlfLEgcL3SAoKZQd+tVD5JtUqQwXQKvx+RlYY 3gLjBhSv9mqpbkDz2EJv3fduJqsG+cfU03DOva2eSG68XPL1WLxWm/NmrOwOL4lMNhhDoWXdR+EV XIySqGrHaM1iKxxtmM1MAVI4qZ82ITJOBo+VDN0Pc1oqD8VOcCYcWT0AULwYfYdNqQiMlNMKThM1 AUGaVdNDACC+DvaYU2rAI/iklyIIX/JYaJSbtNfe8eXaimZbc510Pk3odMrZveXBa2pLltQW9jAc ASUBuZj7BVNwHqDHEXlUjGKZOsKNrpn2QDHQnVriT+ip4gjCKR29s53cmHS7rtemDhpSuispQthG E7Abdcg3SkeeozNYuQ1hD3JYnaOm0L1U/xV9ik326+HORxBrnrMI2eifLYBU1RD3NWMnlxr5h7iE HzjyqdZYX+Ur04k5S0NtVjatMqUOhNZaFDELs4talQfuSbcpVlI/KWaEy97NRBttD+Y+yTKo7oO6 DUFuzD2LulhS17SUACFBdXrpIfWBlpEl+7nlWCtyMIWBia+HmWAwKQnWIi3qCWG65cGzrbXgB8dM EvzFt4R1jaGl4wIDIIqhy0mpsraQaWANwCO1/gViV8IL5ycWf5HO/D5bjH4VxVGvZ0xKGCca63/H wftzUD4yZvw2kdQdulwa+lEl3+wedSVYY06R2uQ+dlnRjW6WpZje0gZK9G+WdhYi9WK9SJZqT4Na xai6OL/2TW5R2MRo27PRqReYQjh3gV5do4t7gOmVOISn1TEF+jz10d0p8dKUWEMJbeAjNUA63x6h aNXL6SmnKmF1uZvUsbfYqgDM8/6N+o++ydwSGG7809kty8wQhQ5BELTEW3FJ9lcnoYn5da6XdKkn huJU9qTHbND3UQb1Bx4VE2G4P3NaDiCRE+wNPXljPfsJAzaHmgwCAmZeJComd0RfO2EjNKXFloA0 Mt/SYt8oV+K6RrTu0rhrI+1tXATAD/RCO51XB5xV8eQ37Izy855ZXgKjGLIVuJ7b6Gz5jbvRCKT6 EBNFrIqOgozGhj5Zpgfc7ORX2nrvh0b4QU9FF08paQb4OMyYmz2B/KwYSHQyFgoPacJKAN1X1UUz tAFIXjNCBG6PAgKKBxm0GROq3IWVlHTTOj19V+rY5Vm0BnoLvUq9NsMtsjcpsHVecjN+3kvWu3So mDz+JgbvNOQKPtGFCXDuFDx8jHIrRnn52idCgOvyaMMCQDD6fjdwD/lQbus7jJ/cNEEYYHuEcUwX 5+YnqAa4vatqWoO3j6RV9hlsAz2dVCyVqlQ7TIIic182XM0ONp3sFVtq8oONhw8GeX///6mGn1va RNEjq27KdGmH5GbviNcBnFeYzIDPal3u58DL/SveMPXVXNSOkiKfZhFVXyumSnahFkoahJB+7lEI TePebF4Q1dOkzGmJ6DyYiz2wdFCiS3uvBJqTJT6bPQW8COtPkOGjEh8d0tmZklgdxkYpb4iNlftv mecuoVq1STd9C4UTFJ3tbnLfl07P5raTltCCqfrYxTq2M8hTGCJtvpGUIzGzkBYEeVCAmvyvYyjs YqPwOORccPtaUPiWcB2eUOSPsOj3kOQFhk7nhV8NAZU2VUyglzfUzXE+kSQgI7G8sVAvmm/MDzeW nyfqiTnHW5RQbku8iDfnkG24175vDrq5Lo/PQb4Ig974U7Ils9cgpCAS3dG2YM4XEohCLzDJtksF FSlAD7OJAQuJbzDnTuVb4HwIMyfygNCtXfjzZk2A4O6yKFZdrkiPEzw9G90YzqEOlmiVqE6Sv9YC 5iYCsxSqmEMd38ysymojCx85LFaFwXzXGnhyXaI8nNm67v7updAWEt5DNvN4um/J8hQlpa1gY/Vp kgCjiOC2cksOTTbpTBeWAgf37C6pVxuWzeBRIPiyeWzo2QAEMYaFbdgVC+8LIupq2DOezLf/ulXj X80jbvD74U8RfX20Kug0XVjDAeNQ3DndbO2XNoKWV13t+mR9oU3aGukYcAjJuSmwncVjL+akIYZ6 WFpsl6J3xYkKMWs6T72hA57cRszAK2GF2DoSr3jkLcYujsJ4AEZzoAmIOy9BASEpDMiv0BBkPfh7 RrIgyFqz/laQ1Ot44q8dBqYg3AGFcb54q51n52LRyeMHNoZZOqsOQL4BCZ3N1cUNASJtdunC4zYw g1wc2ZKB6vPihyDWx+Oy+HQ4S2YR3udgJpSLKnN/QGcpkZdGdLnHmwg6WhT1oYChWix+UdXVfqr0 Iz0IWc/hKyEbZmiQ5Ade2l4jtsDyGgwmPXVrMFeW83sp6XlnCcAr7xV3hemfKfmOTJxPCdsRb9P6 7aIXxDbQ7h19RLb/m5pusFTAr2TWgzF6tJydXO5S8XTrL0lBkoPqQc0S3P6+jjFJOvYfbhVNmhS8 9V7KtdN6Qlx8Rl7mCPJsQIj5N8XlzLMH3XeRBSC2YHHnVdjRkTxMqfPTtzOTCCx9/Ak49i2zEkS9 yjt/yjo51s3W0PVnFbYVgKbMokC71ORFSak7IEtdxS7lQFO2GVgat/OvAg7TePxG7C8c1+EGguWi CamdeDtLA2UZwKGJPkx86jsSEByqlbt8beFHX4/24HvowZyDk428swBd9qwx7YzaPi09W1oUVEYC UAYKhRXGhlNY+K+GmuUkhG6FIl3+z4Xoly1tQ+0+a5p9p/aRFVNuw+LEHL2ET5h0ZcIceVuR1opm i7R+mD+yNZWLh+abRvw5hExo9lCbw13psB/gYuFNiflyUHbmzloA331woAXFmWKxKKGAkyOcYL4G 4phI4BFdvHoAoTrdky4dyz1sSmcZvPWgTzO7JutBMvbZo0jIRtmHA2rzTg68y6/rEbOviyg4WIrg duZwx1G+0WRiqElhkl0wFgS2NWMhiTQB7qflaLQ/A8qH/ZB9ULr/lKuJ26aAqzv8/mO4J5yNU8y3 FeFPRpH5VLjTWjSU+cREgXqg73YVQrFvCoWF29unRSKqxRp3EfP076QkTwRGF6huPuFkYFbhiLSG 5hukBhYbPst8NqhzqiIryIDKsApFn8hVVdxXKr4Drqs1LI+Bi5sTJKC2uzx/MaxgTObG8JrWAtot QnVy1HqTmvRqFCn48a+2nSpDZ+b9noXmtozsFOXOYeS9kLK2Ms4iYDNnIe4oc1s4yBP0skxgnXfy /wlynl4CWKO5F/dApehAZGEKnHupZKWkvXYFLP3pWXwLUVhU2H7w/DvS9HuhyYHFoVtgYPeCjciI au57VIpW2YSXAaowCdd4owEPK4OwccV2nAd1VUcMB0ZQhLqFEDh0vJs7v5V11oBVisU8fpiAsbC/ 5rdw7ETY+/4kesPBJGiMMv9tl2eyaW/EFkKfmcCM+/VVQBcw96Lb4YFeg7hpxZUm6dSfGSjGaM1N E8hqyXUrIXxQWhoNisQxBY2mhiAGOQdsybm5fzPONINhMOCSTdbhFKNSLaFMzRaQA462e8klDGCG nPcnO3xjFihHm0TsZ47h0E34qfe3YoRmFQtO9DETtKgiYMn0N7c5ZH9fjqfQuwu6ipQ45vOI+86s fQsrN1C6/SFm79yuKHDNV1lPqiLCrkhsQtJzUYIbQouAYZcsAmw5MZB04yc6fVq5hA9ZLBw4cqzl C+vQxCJ7HqRYqEBgMyAaAo30EJjLWt9lLlo0I7HxJNeXlVxsBty6BnqBP0xax2SF1wimwnT1DSPk F8PlGwBeJnMshZH8u81MDXENwC/0LhH1CyUUhAeoooSXN3hthye5VlKanHiuBja6KUCaX/TIAGb7 h3kwvllUMFKqLm6Tf2UjD3jk71iNvp9MXavDhgY854qXO8+3rEvtNZgcAo+sh/emEVv+8LY61Fem FGliywWERsJuzz74FgZNtfuRhXv8v8rSdDrhC65nynnKWqrF3/3ZSgwMnbGWpnxLYKgzkVPosIPO YOp+pMBDVSzMNYm9PmarHlB5BGNywpuwN0lHbFcXeOVR1Yb9UIDpGrMiEgsPUV12XZSN2AagSFKf oSqGoni1WaUKFx3+F+Kq/JdYs0YMdFegPbie5YXox+Xkf5auusqE88ekY+iT50KF/5UhF0R2t9xt /Mr20icfLbJIq61VxRduaeEFtnKDQImWOUQiVgJmXi44XvczmjTPVjpNmKCiUSI51udF6o/6tY/8 3De5XJKxHa1DDjwVamMrLDfGsCXAkb35eM94CptjCa5hGLHvciYrV490gGWAWS18++ojXxHw7bRR 98r0GEBSIwBwo1IJ/9YaIutqUJUuhmTgBfEcQibA9wwIqATk/SFnRA9kcptSyMLDMhB9cn/c31MU B7r9fb0OoZO3cS2iCl9/wuc8NIW68UsrYZizk2UzYviU+F2xR4XHFpoV5aWfVfb7rodOxIwbprNx Rw+6aZIKJ4mRrqn0UQyxIwOKnig0ahP/VsRJlqB6LG+UKtfoE/aHiWPxMMhpu26NQTqc7dmAIo6V 5XJHrKrJ7qITjmnKC0YsjdUig5322W+iaVtFcGdS15uvmpSk9pAGO2z+q1UCsx1rLPHc6IC+WSah EZwVCyAKa3Q5n+mBkezwNdFpW8XDzAf48TBGKWaVBuP+fCpkmneO8PnLYTW54vrLFromyMPc7lhA +vuojKam6Db4Pohne2P84/iXir0MAdl6BiomMNUD3RL87cAKwVaYXs92W33EjAUrJx10oaLwtjb9 XDWYPrXRV2TywJzKDWB5pgVv8m6Tx1r9IbpCoxLK3AlyUb2Nrnr9rrpPfp6S+VhgJlq173AupHk0 uduMaKxeHhwzTvRhRzgjY0N7t/vgydpc9fC0Vfq48BgVUUPU5vNHqMA7edqraru5YDmsTqC4y+R6 LxLx6hqejL7Ws2zmMS5zLrJZJ7rJh60OX+frFE2yyhKQBaFhisXJFagqyFT03fKWRRtF2EMpZTZM OsuWgWwDaw4Jw4Z0gCgGk9ce+FDARP3cklsMetACM08tah18RfDnSIrI4xpe+mbinA8lnL5u12zZ +4aRFTT4Nlm17A84mnLf7qRVRZT9g+V3FKRbMNL7IcBQy04iNJOxPSusOY2dt0uh1ytjUKfAvEEF 4qCJQQcpNv5ALFuGsbTRXh4whuuFXvkmZXnvCDIU/+9HFX7PDJ2XiAYMkoG6giIcpVZghsWN4Lsx djkE0hGyr4/E6m0R+Bmy2ebnRL0Xy5XHCz7iS33W2LlrDwX34FJJq9gS7cHa/uESVrLQrABt548n kgLWtshwlO1iE62l2LXihtDf1xdf56YZMwpKiXaEhide4p+XoZ3RWjQVpUvmWxBsU2YTV0AFOHpp oMLiDrgc50Xp8R8RjIkcMn4j3dnpH+TdqeAal1PKZnoxv7dZrDYxDgIvrZnOayqkWOEBvtNdEffV 95nduVmWBk5yeHFvkwMkLg77SO7ZF6J5WdChNxvffRGmb+L0dRYykrWw9ozD+j1VvIj2RDqz9LgD ivD60WNOVGgHBAgMSc/ao87tK+XC3CThHpzRQSu9Sa+rJhboAwBCdr6RLKbMQqDZHZaBm1Ke9JXt V4Uf7InHDX+DOP9F0djr+Qa9BZ2ZkIW0A8LklYxmzJDcRY9csqO9KpxgBY9/qpZIMhKg+XI4GWkL RsQoqwvounnSIgxbjWgbg/iABIbI0/Unmlh+Cp5XVWQyh8PnlLghGX9tr38/bWB0rU0MdIco3WEo rHCDByjrRZuhdcPVdekYv+Btc3V4lFFiYy+AppWKxS2RmaBYo4w0QU3IcT4XVnwiMoRqdSmTgyjw lopF2xZJ9CJZh4G2UJfOQj7xySvvp+joUq+OLx1ULC7Q7zPV7iBzrV1kHcGdleImDlhBrQPGTTnj /htuYYYrfJT5RDhj/dM/HkYlbVlx4QnDFrARJFxU1jQsiBHbLvAMNxmJcOarDYCF3iSIO+bpEjxt TuFoyS13xW4N5Ogf5ek8GQs2KR4Zy6It+fWFyYJCHSRL3dygQBH7sVMGzLXeQ0PmSYC9474OLYFX kqtTI7trYdcJJTSBC0Q9j5GFcfA5NKoRAr1DmEUjmh6/P653ySwXzkrUCpJK4ad3nQfBsg7Pj+/j fIJ4W5BelivZhsfPq/eBxqPTt2Otwn6d4x7qH86Mi2rnBxgFf68+E0+R5pidkVZ4VpH83mz4M3XE A7IDDn1Aeae35gawsNtpTvUiMdSCTZ7vZyLZAfZOtCJFaJL6hXeD2YAXm399Yl0kvlDSKfxTRJLw +mEkGiV3zv0J+/m4D59kPGaU3YNQKuV4JLkUlwbYN893gQTVUSZy/sD8k6ntYEDLeJnoXbtxMluO N1MTYomWRjr8Y+gOuiJwhyHmN4ZMPsxzhfOoAALUp5uj23xxFPCxtPbhp6PRNp+oJOgWpop6jcrS ZTC0EiGy2BVwQvtD4TzRxXVFx3GJD7UovEXX6PnXOR27+8VSWmSSgd/SiaSw7Ru4Z/gghK524+LT m/dq7hFEi4GCR/sKIrzl+Z7oIMxgtr2tYUG7E4GmaGTXvjVZpB53p/6JEMN55gbf2PN4eh+vwvFa H3GECQdAQfSuuKIuZX4WbuJTYBvQT8RbBGII4I5xXMLsjmJPyyGZqaFhe44c1m8+q3xsHyKIDzPg jUCBVoyxJu6zIH/ij7L9ecaPaJ9QyOjPeViyFxXfM4wmFs1RHyEr8/bhm5WnaPo6sgueo4I+DUxb Lfk5j9fq67UIy2S9zUvpyiq/e4q0f2LtwX387vdJIhJeF/HIqnQX9LWZuTCbk6PvAT55jVvOhueW wfoiiF1kF7wBr3zvIkvhVF/R9d1GvtbyOqMIHbd1/m9wQoFwEeVkAzH0vbV4wnMX91drLD4Dgw6S RtNy9A252ABdwe4HL8rGh5rmkXRB7uD1Y2wuXcSXYbr1zJ1TUrthqQHmC0M7Vw5d03nzM4r7IvNp qYtRfCW9wQxGK8W50ugVSp+C/Qn05PC7saEdS2lFEGV9M6RJo7AiHubq/yayYouFRDT4Qi49z1F+ 8PxiCDYFr7YljhAxDQPO1YqqUCFN+nXTQoUTaXU+Aftk8ZQqf0uEFpyj1XzFqlu+9Pe2u1g/4j9+ /7y7To2J22tL20rDtpA4V4Bu4/mWzShk0/Ox7V9pA1+kwR2ZFV9JIY4Ip4cZfnSESbR3TZauxzPP MHsRSKwcU1jxNZIadEoMv6zflNjm3EzNpoCBC385FmauMjiRLppBvyvgeFd+gfbO3IzGbBF3Cdz/ ujZlZUuGO++lzYWkF4DO71fzsJLaiuY79ID9QnuaALEQ3qti493jyx7xeRLHHHnaIEEkk15FKHKu rwZyrPyg69B341eRnx0/dDqYZg9/f5iByUvRwg4yc/FwzxBzQFvKArGci3CCccXaT90e7G88JEQ2 SINzzqzJsLjnVbeIu0T5/BGQUpXPTFELvUjdRMjG6AVDuS930lHfmZKP6HiUKo2nTGGvVNSW9LrC nhO5KirFyNERlommNZk4WRwVkaoVW6tOG4tj4Y3tVitgoXhhQplrxzeHlzwIw7AaW4Cn7sGW+O0T Bx9TOSwJNcY1uMjvzo0t5UqrbDA2zkDknI9AaOm9sVyZIahrbwIneZxkyHv0HOPv2i0DNPklSMzj fFL5hAD6dfMXaxuThhIk8NkK52J9yGLwOASCDLx9WYscXHMOWSa1wpPGqTaKWa4U0BNcvNgqByev GGdVXuUlHoXI4BygYC8tA1uctF2dmPIQFz8NLCKvBBvIuuKtTfdb+1ljJTTvwhEbQtzOrQ8uGdSW kwZIj2HGHdbG/GDvBPffUYcPYIyVPkkghpwIVF4iI1rWRs8ZevOOc7wRFlSzzSOcg3Dli5nqiujX 28TmOpEdxx07KXEZXlpb+wAfvGxBTvAQX+N9h04wfFVBsOE6Of1oOaLh/4f39KF6/Yks+uKqBbLK UoY0mShD8gGMZgkQ2Z6iPPBO+9WFJ0x0Lf98ynnCEQ6X+b5C+0+I4JL7PQtoXFc6mR9yv2ne4mNe +Gn4mKXUluLVeDaCTB+cTPCW/Ma3VoLMsiw3aIF5mjO9MsfvC72b7os3Mh+iWuWcfTa5thz59V61 CNitqFfNjQwpnS1NVrhmY8f3oYoe6ZJHdXdh0onf9BEMSl/0oNhG7GHdcAZyaRSY2seFq8wA7KH1 751+wPMUh3ui/ZBMKrc7q3SDSAA5VDFQ15jNhblNhGA2oV05rjQgChHZoK0foojtcJ2D2a2vnUX1 YJ8jO1ClPUZx80i0KAtGdwtNS8WIDusFTE1Y6+L7WiV8r8GdH/2jRoh7iXEJQ8N/srgRp2mmb3zT 6Z+wtHR4ywJIlN4sVQqUU3EqggRQ6u5zKhm/kMXoNNEauo6IqzUC6RLZe8OfLlIeXRcBIMg7Xjck uIL2GPqC+cpHzBk2ueAKNyfRyXHVLVXqQZvQUn3lz3AENb1+iPAc8DdNJT4gl8YmK++YaPsYZ3gC CxQeKYh0jgpZz7PKQZw67VzAUa9YNj9qj25x948YlvS+8SIMd4nIfSw8HMV2TG4bBFyMuatW7cM/ 1wWGUk5YhCbC05Jvei55tVsW0sQaE6+F/j86S4V55+5oAozpWQv+HpSgj582EZ2qNNEX5ly7Emxb tmR7/Y4ABJwcknY0cBoM3JM0nWF2oByQOvxrc4n74d1qrh9YOvJhF8H/H20kte8W7qBU+RTRdRoT WYMsnecbfOAUK9PIRGekUHC/pbavKXK299GkQ0TmA9zI0JRlc6SmHdBuSmuYUb6yqEQFUkpHkftY 5y1EDCx4t/3MV3Ke79hPLJx9YPDogGc//NrVkqWDBHDJPRuPWle/HtDkOVzR5A/dpJOsAvS3nwc5 qcyn9u47gOy+Z84rLwHvjSI9NoOyzktmeg6P+7cAO8bshXP+uhEOvFAZatw/3UUjscqCaHPLRoJa YMt1cMm+Y2aNIW4EBquzWAp0IscrorJ0c3Q+vldEoWtbOnqpmuSNSXbzF05ig5imvo0oWXV50Rc1 KoE0NnBMNnM7dUtdRW8829u3XzKK/9NfrkHI3s4hzQKM5WxRNDy88zF7jS6pqt+QTmWiZe7VEXUM aKqM7BSNy5C+ygX+INy2Urkd+sKPVsG1btwvSfY5vb118Yg5uJmc9utqLzXSyctZG/DpZcCmf1FI UdAJ0Ks7WMKLZ77pWTTnlTOsOBCXywMuEFsSiEcAjlMcBkTC1t95ZJtOZMXv6UE1vuqQ7oz31h+c qGI4IsavSVaDWTvoInuPeYUsSr56XxScTFGCBJqDfeIu7dnXxd4fcFO4o2bagOa/+JF7FVvTw4yD rygAFc4GI3D5pfg4hCyktQtv2d82FjVh8kMfzGQ4OQ1VDQMhQvIRMMaH0lSRK3V0tPlH9WPde0lu qEyh6uwPMM91sKlKF/D3srgM1bk0NIVjXdWU/1ZQM++MXigMG28PoZSPKatZq/nlQHmQg4lH7K0q 5qy2kVxhzqJvmXvecg0hzrJXROjkjyN290mauUVmkSVEJkNyj2S1Y5CUUZ07VM3V3onl1EY7caJM zCm1VS0TT+SbaXKUwEweJNDUogO4X7LJlWvHS9HV1WpBWpxZy/MBrOzg42spJDz7a7jwiRyr42l+ rQzLN0D00X/hWNvrDBttGuF8+A0vPPFMwbFQA30R15lNgDN3uSNCAwWSZG+ycCOIv4Kwpqk0Vxw/ KEseJZzpJf1oEjfIQfi9ABk46+fILSTo3UX5V5cgaBv0Vfth9RtLcbxiibJdS3GGs2TcDYfkofId nBeIapfUxECq4V4zaYvjzCOsp2VNGK94CxKS1YKFQLsGPtrcjvyXSijawGJ+JIaL9OHteIvQe9ty 9wkRbQS5154sFOdLDTKD0+IMuNI7+3vcev+k7Od8PDWV3W6bkq4C7MwNDBYH9Wyw9UbhaF6FIRsm mneM8SSnK5JLkufy7vD18ju+FvhOirYO7USF2jurXhV7gJpP6fdR+AyDnTNlvJhErDsZQ8C9Al8W BosbOYbI0UrQoR6jJK7zcNH9+xCCjoV9egkNZZZwrSdD6GVQtqWo0i7PWl6ENQdxNqAT2D5yvG7x i+FUYHNRzHtuYMSDm53Yp5aTY9ejarXioxyRsvRj7nX1ydM1PD6Gq9GgEWInqR6Yg46gjn0SuVvw 06fE9zGevu7N7DNyQL9j63Voy3O3dQqE+LTQaARTlXq6rglRxdXAmb4mpS4hC68w+qke2rXGSlKT Xam3DulVc+mWy6xkMOyyXPjpusNauhW1FEC6d6hZSPJ1AUVgG/WeaWTOo/MmVqGzJNRlxyTJ82fw eu9fkI5QHz9Qpqh3o6hs654hLCbdrV5S6Cn4NfpJpPGmUdvcfeiVqFSx9yHwLdAJvQQUNf2ywqUo Otat/caU1eJlVK6/MfsIzu0dixykFCqxGWnYI77VxYwevjvEnRTJO1NlRJ3EBovLD4wcQrViKx4Z frcz07gAErpStztuK1mFYmHMbO+W2rdxpn3rKR+Xud7HMTEcOQvayFSv+roH1sbRhO0f7/mCbC33 ezwhCF+XWwRLyAw0+6EXpP+xLO2Sm7skPclD0QRTKhO39T0NACPAlkKeIKmJTas8x/EjEQHRgId8 uiFvKLiaFY85wEZy2IXpuZ32TqHDpgRP0EPCuoztrosrdlDSwhCZBtjM+S8Z8osjhjQe0WFBteDY aErGPP8ZqX1njYH7yvWlzM9VDaepWt9eogOXhQAYKKkrA3kAFFOKH9Os9szYqX2l9siWNgmzLBex DJbC5hsH3qtRFne2cBGLndFgJ22rkk5QQowxTwDaSCSTL/YCxPI4bjZbqc3ixrKqYGEXBsSYTWUO 31IRD5EB82ejtYF3USFLHidbu2oNCXJoPLM5OrL6Ctpi62G8jWgkqkcIlLUG4n7OxCngPs+M0Xl4 Jma0SOMq6FZV4OpMLfqZPsUTkSI5YeNnyv6pEZrbqvP/Mg1/NugkbzhnQuyk7X5aPoMPGToxWs1V QRGSnAc2ZIrIZhPV58/L7hxq1w6GpGqODrmQMZmTVlAI1h1iBDscc8eMOBqqXkLw0xf5BUgEK2nY gZTZDjLy1CuOIBYz05taqLAuBTFt1EuXxCVdAZoWPv5ikftHcXlj7m5pDy0eQ8P9pSN3PcHlJ9GD K5J9zH8bAPuXMvG/McfhgsSl2c4MdtLxdmfp0wc79A/ZvT6s50sKnXxGjRuDSQGo3Yi2zdkyi62f 9hRbicM5IBgcs2VdPTpdDpP73uHDWbTl51hv+1uuzC1G0qt18gALeSKmhnWZcNNP6fyNj7ZiOan7 2agRe5/Hnn/MBSrl5PWHy3CPHpdxAinrj0NKUF+w8PIQph+s9fa9uO8RF1WnIt7GNc+bS0WpOaIW Khd0K/8hEifmSBcEWXUEqiC7/mJMgAOfxd6rMC6ZLjpP2i/NGMn+38wS6O308PW4UzgKZqeY1Kh7 ue33MwWrQ+yp9FrL5aN2Zkqa3SDnC4N7EOvTuDggwot0abOUlLcpcPe6k97/fWCGY1WUJHDt0idh syk5p/kotWoRV9dpU5TEyxD/gbPulAnYfoPY/Rh03IA6b6IJetIDtvZxWGrDFtO+ayi1TG/vWy5y AvLjbgZl3Cq3MEKs3kGr1hEETHKfgEVy6ppB9xjjV4xZsEgMGFdR1SaU+T59XR9I/17s+e9CMIhu lzx+JkiO7X2YJdLCIBFQaNvd8Y9nNlf/FgmQjSaTvCDlSh258oCp5BmT8LfpAKuMN/3ngMlCnyJW gXysWmiS6Ev0npkFMLqSHnpp+ptEBSCaLO1gtsc35brNTbzgHJzDZvOzkbWCxrGtozk1pnRSyymD fnNUwGyUNlmLc84nW2z1xAsfNrIt3OXwS9SHX0YEsM0r5ybzl9SFO4t3eMPdL2DHF6kRWgvv9liM CMcpo7Pyf0uk32cE0rEFRzvvNVxCV8FjuQQ4TaS3aqxU0DCmiPFsb5QRFniRyjzBpz3epF/CcBl7 CKUuqhZkc96ijW08QjXCAbu9P6k9OT5eA0CXD3L2VjuXZMIw2A85SiPRBQ0vTUPgfGCzoUpLbD76 MnchwZ3b2pfUqjeGLBDd6WVrzL/dNStPyNs7K0ye4dyTZIQrK577KuUSa3EB7l/LnSXF/8SX5q29 0CbknQa5ozFIu3Hy7lqkSe9mseBzaPY92Cafhz5acZPUc50ZeVC4iuZ75+2b5cX+76nbXKXkPIN7 Uls8AU4eIpp+hIHZpdGnFCcSKYljSlQIzmnUPNJq1i03OaaChXH6vHJa6BRqEk17Qnbzz8pvb0xa qSbgKQi2GxCZ6OpMNw9WrlZKdeQdwa2HF7IjqkA3dRTD4JcI7eOXZIsDfl0837Ybtr3lzkKMzpHr rHQdPsA67sdghwK6p+TeHQk2JjEaoJnOKb2VWg1ieg/XGZi6q9DkauHEcNSQ8Pk9QiNVTFb/cge2 DsUHAGiQrRsDcyZfrd1H4lr6hi8WrVuqz3ZI5Rtv2nmnlN7wrVcbh6UizFp0zOJ07rD5AQxJS3xU rmvVC6XjRlH9fdGmm5coyzxXPss3k2tYkhfMB1V3MwqvmmjQkgwFjF34A1NFVRaI/8kea/y0EjBR g3cRl1bXuxEd7+AA85Zlf1EJpcPZtQTzNpX19/JA+v++pQw//SnX187kcuk5GiNOsaAUIy5Y262c l3/a7TAhrdwzTGdhZEvplnfKVsn4hbM5sTYus+NcRlsdSIO2+iTx3oxrVidlRWGceO5uJtr5dVTA OtB79ATGofyRiJMvYddmgE6+IVOCBorpfM6GRmPX8NJ3eBuuUnHDLdSoErEULLXgX2DE7iXIYdw5 /1dHSUtM0yrMstJuuy8yYo95d6IAV9HQ7agY28YphuK8Z6t+Ub0Es1T1noCQNrAVO9GUZjfN5Caa 1PbkJwbthZLqrH9mRR7tLmHh+dFgJ1uNJ1vRDVZBOc8puO9LNFOMA4AEwEByuw7rscpjG+7iAXWb jPJKd1jtPl4pb8bjeHIaZ481Aeqd7YqNmkVEQrJqw0z/ZAFFmaM42c3I2ejKmy9DY32kpDWnytjO GGT3tdHpkT6x707XcYBpoQFRvAoOFgWn6te1EIsiEj/CjAE8a/e+wzlPbPHG4il2VyBMLNo2CTe+ km8L7i4ZL3oYYX2M9SFnDdMbRh6K71Me3f3aXcRTaXkj1Tvs66pkBtTDcYq6Wc4E9cCClooNMa+d 5m0fro3i9sVsFq1DLjnojIllM/aZ8xQHad2JuaZnoSJM4S/q9sBSOGaCs7/Oe8bCycVSksw9AhMi CnmE4lghGO63Ovllbh9WtRYwDNu26S9VNWx7p2C++K2Ns3l0lMPdjt3aaH8HVRxAMzF7UL6oievf /WkCzmoCTGhS/Rob8XMjpvLPDx0eBk4krofIqPvBZ7yLh9x4XF6+fksllK5OO001NLyF8vEAQBIE ReVWWbzHBeOE2uTUhxS1WXWCwSjef/xboREHl8TKHq/XdMkaFBpD7zIY5EroaalKdJY/WuO1md7W z/E81oXj1ZmyIyiUqe+DxE03gKAbM1/U3Re111o0hIVpxoCT5sjPrBwZJjotvBoXDGbF+L9o97+V E25ab+S+k7uT1/cEdizJkOB6ffwLdypAoD71W5af+4vzDeSP4oxz/GnGOa045s+ZIdBn6fkfYW1p 4FYAvQ5Fd7r07kj1z1G2Mnd+zSSkuwbvKZMU1ISw5ZTUiaoIbmWijmNdKjcFKa0e1ZZIbXN1rbqB LmtUEsa6DsVeCZqrXr2yf3UWhOgrWS83JOT6Lq9nu4URBPlWlGL3CBKAYcWcMrHiKMFwhfkwAYhz W0lP+4glHlZXT5m/Z/vu1c1ZO5wYtv+pdKje22HIoqTCXS/nxgrgpHu72ceX3gzEJZcy3bDXxKaG NsfDezfyzVUTBLi9Ac0vt42Xwspy8oZ2B4UJlv83mK4HaK/jCsYFTn5nuey2EKG09k24+TFuvqvh 8AoH4xROi+D/pQtTL25TUlu8tLqoMx44Cq9PoB28aq9k4x7i310mQb7F5eYBMa0KXrE+MP1Afxhc 9MzoZayLP86PT5SHwlOh4tcx3vK3QMxF5iLC0Ktnl2j9TbGQqYUfb+IZ48AalTWLSoSpukefH2v7 3AJDBFWP7qjOclkFu/uJSEpt9V5fla8jTymZs4w26+hA2McWdwsghOsu77QBz7OeomBqcFYfMPpU 25OINZk2zKGQrukc9b3lhN0I0O/9v/UJbmEQ4vxqRNc6ya9Owooy3uJoCVPprwV+Rr169obRBkFm Qfkc4NVExxRH3OeYo/7CgMcOHzVR+CS964j9NfyHfj+Ae6MmIXbVAFvVd2jMpvo3IH/6PjzaBElA gbTrwmEo4BH8Ya6xW46Yhi43mRgDwaJkjGSNYdcdienpK5LMHSXMQtNiFqQ0rqNbSCgaXqjhy55+ AH2L8BYEw6i917PwfC0pvg7IzTdZfh/wmodBawmE0Ijf8WWkbKuq1Q5GM3kFewhrGwu1KJXjyZgo V+UR3VMxqL5Eol4qVbxpI+4HfEpZZca4XxEVer5cQ3XHkNFz5gp6jlHmSt73zFTDLaho9s2//RGb 4PDAeSBH/4INUMzunSVrZFlx8PAlaaEUaVLUFiXa+MhpuYyP9urTO8439RdZRVeqAgnsEK/jfOUm RqO07fQRRP5ZYN509/dTW3juPdfOEiTkVp4IOjW8SxfFr6Vjwi1YPxmHp/zAElsWPY6XOcpAyVTd v8bPdKLYZmWh+iiv+/dP0h+BVhs3bbu5egpO5VQJ7ayPqYiZmrJ0YAXSWc+xkWOdaxiW1/iDMpZO 2oQ5BKV460G48MnNu1vXFqVGPWx+3VY9K3Ye//Vby9l82TmgpzuKyUEXplREffqQOWrtnWUgQOcU 9CsW3OTg0NOV2HXtl3Ibg1JkLjpQBJLUbl0bJTCV0OPL0X8vST2HlrcuB9VWC2amNqFXEmZkt84T It2zHhhoq2p+16HFgdyx9Mk4E7l6nwLAwP6Pj3FNctfNpl+zKlhDq2oYYd1ChohQZPaJBigZmPDR h+ozu4G5O3506UFqWeoNFInTZsd0wYs4sa3EdEqK6Ou1NGsPzph/t1xizz74paxy6qoxgiOLftSa yhe6cxedQlesfdod23RzioxdMJ82M+kMaQFdYKQ1OModYwwY+EvDbkyaGWjzYKnpbMsYlmCY/fu8 9XDBKBOovD6DlPSxop8trE8EBcuu+dcobRQlBxc6dTNjJ5hswkM1b04AL/n9Um8ouhynQD+EUncC CW9UdGcQymQ6yp9q/TkNqnsWeNsnpoWppUEioe0H8evBYGwnqst/Ymc9gFkbf74GIWRU+BgvhVhY f8wCHes3ZvHPIy+84KwbjxuhyWRPudBTwp37W7DtiN1wEjAcbnGFK7BXg4kxzfxheZRESzKVBO9W iQ5B3RIVKiCb/CLnNkTW8EVetltGTkt6dZyGuOFgV4BUm/lcq0YSTcu/gUmXaOQIAlnbrmwT0YBl Tr4IEaZtwSKv8QPY0BUyCyr7Xa4OjLscYFw2jyKdfUTsgQUKmcz39iIQZrvI75Vh9AIvb3SMEJuk 6aAj6A9kFrPUKrLkr8fZS0Z9XE+wd213ygLaUbiKX0PF7ipwt5amJGuQ4RGh+/r1n1QF8qDPLAmL iBHgUD0R0pDNu4mVmYIeOc5+U6fRi7EFB3Am4R4cMYCSdD6ItyT0tj0jSW7xvW6CH5d1EuU+n4Us 0jB9gl8iuE7Epyy3fE9Wn2Cdmvpxl9nh8XmT24yDUtZi4mQbD6DWjdS7YK2m9o3TjjEADwKHcb8a vEftC8uxLFBBMVan4IUEXxwLOgFxhxETCOL3a/P1OGH954y26xR6nrvqFVcV8TeJkirBDsSka5qX GNjXLAmreT8b2FV9e5TCmYn6r367uFHOAH13Kb6R8Y1xneg6r6NLDL/rhaZkjh2r69diCert+Se+ 6+vrW5un4g0c9194Bh4q8F2gNKXdJ0Fl3sZj3RLCB8vs4Pix4zPHMMhyWRyImKsV9Y2kaLQGTn+E AAeyj1wIxAvhhkLEIqEwCI6cyo7wNSAZKOkgP7UaqqeADmpo/ttmg7+aHJ9CPJNBSoeOjKba8hji WyCRqsHyuSHuBoRIs+3X6HFfM8NPsQnDHRbg2y4BXsaHhI+ZU2DY7EFxHAhvQX2wg92x4h1PD7rQ u6KQ+x0bpMMhvZNp4eWnPtRIyGyOw+Uut2OYMcKuNoxgBcpygFDMcXqEiqJp6Iwb2FUK2dwB/BfO J04SFJNFiMpuJ8ARTEdF5UtVjmrBrAlX/Wi3SsSwe49DdxXE7OXzgs3NEOnXxiRWesnWOBOoJEKA FM06JHxm10/T0BoUdaIe5dbLKph21nyYFSWkPPqdjiIC5qyHRNAWPX8NGQCXFCjG0JYwRtCL3VKS XuHTG9G9IGMmqs3Bs84FiwreN+5xgEG7P8+BpjJLKoXebLfdDBediXi5QCBO3ng5JlhRXfqR4/W4 SdqE+2OW1h9sx+6AGO2Lo90PZYsHzo+05kF0iMnmbVxJwCFVIcuS5fKJXGcVggeWRlEEFjLax6MJ MstE86dtnHGPBwEMtBT4Eu/WWDcXzyNpHzObzPxdfzEYeml7hk+KikLYVRvDasNqtDH0KAShl0cx HYiJFq/uOiinhDjeTlUkuRngSSMcQCop5xFSAorTjyNV3zaA0w4fOecJgaVbpOBlTDdUZFbhUwrI Urcoen+257WljGhu/wDndFdpVlxvbkMGq+AJSmxjUH5a9MWLf1IsCX3T+1NklvuxgynTV89pSCYN TWcqNxcgWZzhmQuS4q0ZBBCuHZJs/5rT11t7zDNcb3Iu/jWKkBAbWbziuenenViFwiluLiD3eucP g8zNHkxe0R2x/XDksQlOYoqaYeBS6ADSK17OJB/GZtUC9yzZoM0DE6v+jX5n09lEvqUMSjZyu/tZ FL6paXUcyFzWe3eVVobM3IOK/pWHH2jFW9g9IMd5VY/wIagbVzE9YoWNYTWtx55bE12Eu7ZUUtXf Om0WrSWecr26peryubNFdqdePAFPwg939Q1FWfPpAvSq/seFXxpRdTeDJjV6IM/IuP9CS0gfuHsz 4SXpiBQyDAZpUjn0SuuWbwh0xVBqUGJu85cBrmG7Ik2MwqSIU6stqfBAHdOU3vUNLvh34pIVj8b0 YrSyUQIceSpGtEvPP4Xpu+ZN/A4xNMG1C1+n34WjYiHM2GSoQ9FaUilc/Z1ZM8z5rPGwGw4l5LBO FuNJzu3Y70moUv+5jS64VfZTD1+Wcflf+cgRMvJ3Fx4hHepyHUYb2B8Q4qfPRpCdPhLHgkR38T6E p7muEWTsvTvKLcECHT2kywQKYOQI4FFhmx9N9Si/arLDR/c1Mep+L+jZRpED10zbj60mBQ4RxtAE XPGfzhCr8GJrXniaURtYHj0cquSxY077kTqYaISDrxJsU5doG5m8EZRvAdrU1kDo42wCHaJhF8va 7vQA6hgu367cKIQM55elwxYm8LL+tVNLcv74n3nSM8u3ZGnreo0wgZMj4vnhOYKuDz7+6rSHu27I foNA+9rAAILphZeYzVyX3UvkUEZuY4Vk03ZddyV/ellmqHaX+OUkTd3m2Tt1HuCb5xBq4ZYpug8y /xZk68PTxxs/KBrVY/gNyyiOaT9V3netlQ/fZb0ATXIhWhdd+ThnkLI25pQGYcFP60R0dXi5K+a1 r/8EtzjOY/ZzPoDWE7iwR6KpvZkkBAe/rHkDDomz55gcRdX+8bULI2ZeI+vvyi5PWgRF4PXcWFho dgMHvWGJdWcEi4+txSmAMb0KYTT4u4hnpZvhAyDPBJm7jqAgkPingQeaWD0eqPTABlmqHKUdwtwz xK9/DM4j1vxOS2mC25/OriG+2vY9jNnqAAVehTz4n6ZWoo/yb5scyOOftRTjMQIU56N3NX5ZigT7 k/PEJdRHoYgLgN65WaFLY2DMuWM65qUgPFjeolEqI2rTViY09J4SNjLUtGuDFTG7HnsMYFZrKjb8 GvnoMWxmiWZk1PYuxe4Hv+j3Oqw6SZ05nruHBnjESK4rD5EdApZM+MXyuDvYgjhNn5TddJFCN4ay rssbjw4BX1vc4NzfBVjbEvlcYzvO3cviO+r+seRlVvsVZckt6tHNphrD4/3TLnMkqnNXy+NE3tsf s3otYOJwyhrjRgVGnPhDzJ0eKHUlrsJTwTgJeCivcVMKeD4Tb6CgFuBGfb7a+nbeUA3wbLLQG+v7 F6yjcqIGOHOv9F7NdbA5jh6/maEc39cLP3HUC07j2DQawlinQRVuF89hN/Pl3GLNkbawcEVDH2HL 6HSHt95rELfJguh+x3r8HaEX4ZFRiPcweAJaM1xczzkN/2pLEuZjZGrKh2PVTv4OXC5sXLgXgGnt hxR1n6n7Lm/DGQi0HAxAzXmrwyWZgbruhKpVkzz0vx/lQllbM+v8Tn4QDM/d/EAdJAXRLM6PUL7p THRc/Q8RijZQGyOM6qPMTWectfvNmhxWMEFXsaZbt/Mti3t12GffCyfAKhuFEdCu6fwjPyegKxll NZFDmKHAJLVBd3+j+u8+Yc5E9TgRykLfuXbOPSQ7K/9EP1+9SbmdXisicxpmfBs8EKD/JlghNxx0 gDr2muu4Qq5F7fAOEZjDBZ26kuA9yBYSvtOpVNG06OKdoJ/ZCnLUz9Gsxm6E7AhXJJN2Eh/KmB3F lX8QWZYJ/LMXwdwTx07O9E+kBXft52wcUtLHkwtMlTBC6kTjtTWknw8BIgKMW16p/1ph2P6Z+qDF J40fSfpXuc/ABBMQvKXyZvl2Ww9lAWyKFB6ebcB7YSSbrOOf2KQiRs74AYK7NYm6f+rs8J5opwEY XnJM8UbqPTv43HGZ7y54lKC3U+RsmnPAztxJR3HEjpZQy0gLjG4aUuzhhktdww+hy6LzHaayBK9c tpiZ8dF7TABlBEzfeK6Lpw493zkk0VhHM/e/HMKYd1tmii3nzBMJ4S2ScvFGg9hHdZhjV/6yGtnD eo03Qb78LHs5wha0uvaw5TJkrLEV4+jPxB8zVvYdG6YrTPtrGcyE3i1d4UPkljIld4GNRZk8YCp4 Rt2ngt0aEdTieCfnzKq4nodPlBsxEBsFPKBf9q+wRVZ56ZOs2J8d832otkw0xHe5MZZt/P6JHVLS v9tOEgqw8cmN0lDaLwvAUi19Bl1TRTYh2QuYmiiLp1A0XbiujBwmUFt7UgR69FKN2l39ezEVxdiW lXH34D1dPOVYCxA+27+zdPOwSOROYYnef2g4yAi3KhsweqFroY5qtKEDGcatdIfWHH9ZFme1sveN ZiaUSBbH5Fe9HwgiaTEKlHJmlIL8noiV4JkgLIGjl9/qkG1U9G6Ibt+BJYzZ8CfrgagLR7gdP+Ta lwdHeUN1TS2axc6Bz0XLZcgxjGIiJme5KGQwAQisfewVX7+yPaOywngEsecaVT82LDUDvB2QEbW2 GJN3KHhhnemBllQt4ADqSNt6q7o+Ux835Uly6lQiGVqRvSLMMLGQ7B3Tnf08NIskK8Y6kRVC0hcz tt5Hz1yIz9aP2KbJ8ICPx5QSSZlhHllZzPcUUIC+9yEVRBfbCdqTV8iEdvDaZfSgZM3RR1GtIkT8 7NOsQI6OcWBsAHaKrBrH9QwDpAzgh/IwudxkbGAPCPvMC/GT5gVnCb/0sO6L4SnSTqPcK/kKOhNK WVsVuMTlWlLfx5aqwCFdwqqON3VEx5aCgyoTktZaM25fQHlysWGYRGYmlTuqVAAyMKoBmKR+8ASH ldeoEMZAxkY8z0E0F9aTIkNNtkcw7BVDja0+5/cHsKzNgNKbLvH9zjSEGvmnqm5UMTPsJIZgpPYt clqN1E+FTGgtnuhk2vaBpSM/Wr1fSUsG2VMaIIfFqBzR8ieluv01QZPTJF+9QuRu0XpCIL85rh1Z zkVYz6zQXWAh9hbyxCCFD7oUF9aUo9zgxzz31Evr5AGbTVxOqj1wpaR4wlkUmcDJf1EQbgBKw6lD PmZq5+sPPBb6JuJlPWqTqXQ+jyJTAc6N5xAQZYhI050TO4KS3km5mE9Ok1+UWyloOzXlGBXYok1G 2axo8lomKngh1wt2BsveQMMhQzbhgrZktVUZKQzgSQ1/XGFWqlhS6fQdE5uyXT2pCIam/fxa56qs hg8HYwNP0Icv/4HhXoJmIIUX/tLu61cCziluyCTGqlUWvOPJ+wSli+qKaVRFaP9nFlH/RDp4dW4+ CAh+Sz8SPlfYZl0wkGldvA/NIkYTq1wYuHQl0H4ofakeFWWA465z+tqYqUjqpy8EHThbJsJY4Cg/ rjchCsh7JO7Tx06AwyRL8erdoIfIfQiWr3BiyHA3r/vT2mvSpsr3NHHiFDiZ6uOSqprcyJaRqYE1 GXc2fLkvQGKUYKDSbB1uX/3RebM6Rx1IsMKzQDTn/r/zjV7FRbR8hzafjxtitTfZEY9bpZMCr0nA 9oWMXUVG+4/xQ3vW6aW93HLKBZ5nSZZbYzP+xbHLE/D9JTIgezb7K1bQ9PDHSd+Dr9dFjHsuzaD6 2FSwWr4dL+TRum1xktl7sllLwYzCHPVQV+wwhURQJ12OUACRlQVSPY6rjhqyrCLXZ3qHOnCWzd0h c5U5J6HPXqmA8dlBnrwc0dc4ylHBpFaezUTThlmarO7/oskaoqrer1J2kraV3I9lx47I0DuvyW/H fpnwDByUwq3nsFie7oZRJ5UeKtt+8NFnu76sd8avKLzXqYn+VrdTGt5e8B5TddRopEp/DVdrvQ+i mbGpCRHMXKhnAxQkaOLHLNgR2a3ROsgjbvrzYbpBXCqQKI2ZG0nWXUn6uDdOeBCVPFvgqLlWjYmg T/gO+TjWH1/nc3wm33bWWQPQHrFw1hjotYAU2ivzoCKXjtBagKK1ZIxi+f+HhZa4J+BhKbBykdPQ qOs1xVLDmXcTQH38Q55ZfsNhPWZHgFw63MbUErft4BH1qJtDzauV+zP3rQAF2ym7NzNQsYytd+UZ hEdtt4XhjcJ3VcGdzZyIlFwMchDk0J5+9t5iXwfTAOueiD9qzIZ7LGW1mUp8fL3mmOU22Jbmcqls gkMsCvDUtd1ClfKRU5wOYjDH0pO20BlzO4K7D/FXh57FUp1TZM7uzSui7uEtMcqdrNMgmdphCCJz IGOcGM3rJlZtwXnjkvtfDG/Fj7lmNahmoWGvAl3jizRWQlnWwv3heu52sT6hMRg+At17SpYWHhwA nXweFrGzvrwIEPh+jgpxN0Z6BvzT6l9Agd9sK7vKw/jJB5T8qaaHh+nmeDcYYwycVGJKGxKIgdJD qFDpTAM4LEKSgCmtMRgK1J+bNwf2MZOgnWZWsagxvlAxmUv8RQUpdBqm5jAGoUVJk7q/j9BmSgBb zb0ICYD5wOnzNLW4NAu9bFMG0Z/r4mRPQ6puEdjvJaXTKe447E7lgtIeyUQO6cesdYZIqJJVN1EH u5+dFOXGWZvUUowtkiLlCn+qnVYVyVxiBoLvE6mOyUpTF2UX4o2NHOuJtnsinktBPDGfqiHbD3nv CSjkBZl0HCBcyvcQIdUw0PiAaqN+aFZgTAB73H7UDs5SEKTHEmJUOR8V1mnBRltx1eoIm/v1/Uya J+JwhIS3fkA1D/L4DhH5H1dnMOV85K38Xqz6F2N7QAba+ysHRW+WihUl8jxdtKWF67gGTf9ne8BP dv0mgYkOnuHezbd6E/wg/rjUX3M9O4uLKaJfKXtNoK1rfKVZ2jYnP3+71m5Vx/39HVTH5d+g0j7s GQaX7LDgYTXhHdISchmcOdVfewWNztLuQjDZcdz15ta0OEHjvvq3orQ9ZBize1yYa9Sxma0ZTslX yGGocSEtzq9+HJQRfOv5WVZDbE8iqrmsZnNvYqdpkIU20/oWv3j0UKeENai6jO9yzlwqDqw8NHSj hbcHwlKn6Fx2bcBFRtjq4Hr4EhYH2cOYuAB1cW32NSH3sFZAsa1DOh0gUtwbKLL5SgynZ4KUAsWK UOGlKiaoCc2IGis95pUKpeN1S/1ahQR8j3GSNV/KquIQ8sgiuqbzu8/oxDuAoPBSymMCFD94FsWC hY7tvGZFmh/sSAshBY2vofIvtZTx6TY4vy9fddp6cii6CYPr9peoxPh8jAWtezPvk1mE0OCGSa4t esVqYVjVIltMacXgU39UUK1aR/kznUPPCXb9AN40OOlhgtqhbX2NfvO61F7Dvfj/OZy4hwtSRHlZ 4407PLzr1K4mFhaNnr72WyKjhpqIkjTWXtQLj2CwKbpeeOjV8x1tCnLn2xB50nb92Zq9iFHhZf82 dqhT3xLZKSPipy1EF0Q4ZAXG6Y7ekWlFORohAOui5yuckdkp2e1OE6yzpCAMJYtubggzl9PEsuUt 9xemJrF/LPdEjBLg0UD4eZ/fWnlx6hhsZBcXsU66a5NMu3VImE2G9ZSeJKEmu4l46InHpwuCgvmW AN0REyfzyE0v9GuLBj0WBNlnI6OWdbdZF2+vsNQsrWorcMnBfKI1vGF/QcaKDZXwSpT+u/tulSDI u2+kHRpHcx0s6dpfeaLdqRVz2mkoxbdjFe7Tb6bUJQCPVUTO+Wy3YBQezqtkMRn/i6M0XBRLXt7q 9LRK+TUwmR7Tg5N6Mgz47q+OohSgmDOXkmGEcxJFEEXyFlea3PaOMFyVq4Etqrh1AKIToy6khYyC 3WWWwEnvsBlCwwjkLt3k3rLLpLypEIVIbuu3PFilFW2vooh54pOypNP7Mn/RXhluol2WwsJ5/C4p vtUWN45hgEExIBf/EVeKxcaE+uZbwYef5T+QNoEgvAB1yg9FPJnTIUgKJuiIATTe5LutXmixPsNT gVSeexC2bnBrrrDBqkZ5gQKhziR4KeizoxeXDupamk8w8hqtLph6Gge01iy+uCG5yEyDOe+JCpqD Ybpm6Cr0FAoWm6+8iwDXIbDo2ZTYFOTpFvOl84yu3n5sZggyIqDSaV5sKwiHDSDxv+KDP18eEbRe DZsY22OyEXgTk2yB9JC1aGgl5ZJaEy3GUpRF0ees1oOSmti73rs5mxlm13wtdJWp3jhkqqN8f4dv Rd2y3Khps1FvoZLceTtqOIpTUg6PhOCmeVuIRlcTkWReT5sgBM57QVI7M0Gq+/23eysz+WmqY1iu yyOhJICd0uIAZ73UsIZ7pjnX0FhidbDjBkuQ2Dg6sbmC6tC4KOPo5mIbgfmZRj2Vs13fYzu5Jksc bW1y+Oc+XfJMNWRkM5uWwvYX9tajD4q7bz9ygwMajkIww7WqRhpugSw97XxcXrqWBXp41yqGmKCl HnIv5Ux38P5/NxvQ0ERcvmx0XvHTvexD+34DShUWRuOnweJmFJyPD397DZMRUb6vDkhu6BSsNuwT YEPY9YVqDwyV0UBHn79yU9y5LfN1AbKgF6AoPKpuyhZePeSeJM70yEdhxzzpo4oj54i22/CJs05/ GDGdGFMRNiaHjdjE0fXCgLpJpHBkFldRzU3EAMMfN4ds9fZ8hkkY1fixdTauIKCIMdnBTd3iNb4l ltdS1Z59utp4bLedxZs+bMyts94Q/nLiOVwresmudj53oNsKvZp3C017ax3j85lC42Xuy5rchba2 v1MwGME8fWEcz4Tg4OEoAVO0JZuNiT844ntcbaldIuaKVEo9mbNwq/HPxV2kr2ZmUcQtI5/IOWfU +5NIT9KCU+tXAFXJuCfBgWOYwpMn36yiJmSiMtlELZgTQpo8qS+CQo3rkYpZqbm9vT1cn21Ufr9p +iEO3ewF62+YCrRypRtsQEecoecp3lrayrDdc/a1t2esqxquMyIwkRpahVaWxEgwCtSkfXjQ+xO9 qC63u7DF/xE6bnaDXUi/Qa7BCW2Z3bJkfLFwE7wu1IFRqGlUPGnjt0GUMXyAlLIqLBwBNxYIR/+I g7kkV3TgmhRVAwrwxtNgdYMMkx6d3amgUFeCyj9yySb9bnihLSO2TPMQd/TycO3D8v7IkffBtDiN xT3PNtmrSCx4vXMLMVm80mxZlILblHorcsqCwn4NW0eYk3R2miGvY3jrsrRT45odR1yM99kaNd8D GzNI+YB4uwB7AnFRi97SqqXBhnNZ6PdeY9L3rbdxXCyybBCdEoKIGNBiWyqfX9L4O/9CbGA+SGVf VydlxUJaFjPZOjO/zRDd7LPDaeV1/tMQBv+sgZTDZDPEpdjz9DsmmQ54kmILtLwMuchFUcLmGrmR 4zme0JMNTZnNkBe4fIXS12LB0aJ04Db6B39HYceQ5gCOcVsi3i0CM5/Qo2YWqyOEMnTuSTQifcj9 GogdNvS8cd8eeWo5pJb3FCfRivnbBBS6Ic2+kfxM0vyEosIZgOnaC0YunKzhZXPWwJgXtp/1u99M YsLSTaiNMdDdtesn0iPUoH60/G8t6SvPOrP5xbqWL8QKQYuMBvq98G4ANEMBurXdGz3S78hwO6Pp Qun/4yy4QW2g6gJu14c/cb+Gcuh24AdRQassGWiYzGmmAojHMkya/1RMB7yBYEjyxyZffklQssPZ WuQD/uQuR1kY798S8ijY/DCW7zJxTPlGSommm+3Kw536X9Sc4ssENU2OUspEEkCbr6L+pufzDjyb j3oCwExkZX3SOWe8S9ubQYgXueyDMpnvg0Hwe2Fabjj8UhgJIT+5//hX961c/JvEm5EUk4dKl39s 64Z05lwyVK4u9leedk7qpPafzCUuChaz2thp6hV0mnEXaDKXmeDCmyOLwPSSxdmISJtNkWAOim8g rpqA8m82qLtQE4pM5roZ5MzfhYFCZHDx17h+YozQ1hBymG7Vi2g2kSL1ammmw4Wf6fENtFbJu0uM 5dIpy1I13BN9SVj3FQvLZobGDqYKCxhfzyCp9qIjMsrcToIEucL38kWwwRV5LPn0hfz3c1USXmit FoxlomD7WPSD+UmyLqHE14gwoP4hkACxv2H6ZP6PI3Gk8VqY27mD4AKrncensZ0LxW9Fju+UlexV Ari5C0YWGmjg5GS3eJEx08YNkBEktObk1UIPUXqJ858moQCN+a/8OMgsqNi/WT03i0Ji7UdV5Qvc mFB6tPHA9n2dOfx99GmSHSzkzJFRdLdBNFDEFjJz94W6htu1M6txk9PY+hxZoDxmpgtGsqlC/iMR +IN5aQdirEU2MRnw9c1uAoD3SSZWhbsSnBbbG9KELYxYET9s2B6iDw1n7xQLlzmZeYrDaurUcxuh TJrO2K1pM23dVK/aL6/8ILm4rSQATqlJnSVvIdGFMx0DG5W2W303CFSuufjoVY53ASRTD1EwKPv7 QYKIBMLz3brszIk45rWRvTfyB+kMrFOV/OjT1PpPZdIl87XegzanwQ3wOW6nVxAaI4rrpXJbHJRc 3aZ+0jJL0Y9Y+xSeDQgKFj0G7IdDJFxUQXu+KYG4TpwHLCn0aPSXwhuCAB/nnmwqYwsuGS+4M8+y FdH6vhbNsmf73kVwFmOg17xOjz+knV+u9oSgTLD2PoHpPiRhwXsU/W0/NNrzHE1kReE/nobZRd9/ 4aq8I2f735t/mHkiQNAs0pE2aiqOhC4BKpl2cWjqooFHg+0whW+bNuA2HfXcPxdR5MUMjxt3XGOh Iw3w0p7UgyGd1oRrE/zWrSJJO+FEZ0jwahf7OE7VPg83oeCLkCKjjZDpNdeVOTAyv1/LTttY45Im N8fJYjtdroM4L8uh5rHNKKMpwCl3fN2LrL1Kus7Qlb99G/IE4CjpIpUewSNBXS9Wdszj/zPlGU2J ar4/qVWirp0UXriCQhjjYcpaX1RZ40nZgbQAPWThWK+LqsDhCZwSzd844qD920X2gzeXjqwE0bLy UHQQcOvvtPTOu+PGiBr8ky9+caKTA8Trb+/sltsgVzw9PeySpVDbV97XVGTCpasF64zipH1jHL8n z0GbUimgjuYgUtU4JrM3eB9Rm58DOms6WQftEGuczun8D9QT1NXgJnB+VyfJ32PWUOHcB92C95Ar sdvm7d7nbPv3ndddL6G7LlAF8UlvfEEKO4tvXESPfCmjmaqWgJe4ookNUjNe23dKzdhZtyOA41+B z5eN3/XwXnn38jK5hdXiiIlMVN/Lwk9ypmXsSCsbyhGYaq9fd9gbKfHC77FptB8NE4ym00QA16uF nhN4A5PehCb6k10us8uvpbIFiG1S990HI6z1PASqi3uehxPn7AXgX4jByLnh1/CKwj7JoQTSKHw9 wHC9xISOwHHJ6oS/MDNvGMNSASH2O2M4EJOLxVkQE5iQ6D4eDWTW6bbnHm73pkZQuk1I1jCtmHwY Zl3p6uVtP5EVZnukYg6kPz/kLQhaAGJzFFOglB5bYLfJtfswPQ9j68OLbRoxibVYeqU/thRdG+tx 4xcp2e/lHyzWLo1jvJRCWJFDyYXKekxwi0PLXv5KCOejmZ8BHHU9OkN/0TpflrVCKmn77GNAPBT7 6f95eale2hFOwqIyuYGkXiR9EEs2l8oeYWH9yotYmiGvyDkAzMxKEFEgZv+uU2PVanzTqs+BpYYS ZKA7GY37rTIoi9TBDGsYsfYu3UHDMfGQNQ/cjaVh23YvcKnjAmEFdlnuQKYwqExFKaxc/A9Zmfup x7JsBQRzwj0H0z/+3klh0nQW41Oq4Z3JnZ2qTvOuT8s+3Ce53RdWrYqHdUcfJkYwqz31nAKyEeSm S70r561jI36+sa/XokOJAEzJTyCDrPXsoKRdkLTdu2UjfqwF6ZAt9PXpKUFS8ak0V/XRnE0ejbwu 2Y4JvppRynZUUrasShlpCGvsFpvD5VgCIANuwlW+jNDM7/4K2x9vv465TQP4WFp/USfGRsxf2PQk sEPkJJjm4tAEUp/qUMfsYMAgd6874wp5WA7DwNZCuxl6n3LVjcttBOWN7hbvE9iedkxBbrQQKuu9 kszsBRLL4O18wRyc2ijpHbIRluIVetwtxdl1QMjyuf5Yb9chL8wZECOqKTlMwW6uaK6Se02w31dn Z5p288DT6qGpJROIrvwoYN0GO/WdMOgTYG5sZtYdQ/jkLk6GoQV8yMnnNWGrn8Juedp7rtiHoqhL 6jpE6e6jcs8dgI554b+B8pJCub8tjmMn0Ton/sGpfp5ptRNR5gLeiJhr+rZbXRNqXYkfRN13L7Ps xmhZr21Ha7NaOMAzPJNRcHa80lLoOa9B7rYGJf04yaaoS5tfwQpRhcxTVFCh2zcZdOeuj4gbOtJ5 lP4b2/keg7Vj2RvcTqdp3f3bGaC0LATGTUUDTv4mrzjSPsICHJ5D/YJQvG3FCKpK4GQ/KkjPJqpY tHE+iwiISh7LLZpjda9YBAN3eAMqkvl2ejn9QB/R0Tx5jmnnKjkCuimwzZKcWIGyZzH6PmGO/BOH yQMY5nIi0OxAmspQyXecE+UrpvGE4kTowlXV5O1AMMZUrm9x7cjFrYC2sSO1vVl/32LdfG0CAHQq Wxr6ANjAWBcnZEVnfDv/h84VI38RgGCil8QfPn1qyEO543l0O/7bDS3qE4Hp19zNnyNO5t+JKj/C qjKIvkMiHJidndxaCzb3Ryc5zy2CY5ZXpJaItr4SJZbLIR+wiPiq0ZChv3KAUIwv4ICrHknb31bE ibW2MU14ZJ8IQ4ISFu9TDaaz7SRlehRHSvcKpn+N7ZQv+PgPSz+mGcKBFdFwS7Pv74JMpyv77Yy8 g9YA9DAAjjZyFYzqHcVNXXwMffg1OzgJolDQNIbuAd5baB2Njq6geRksiACjy23lvJLOaHlaJVHo hfgCYujNO8SQpDmxfxvXOTMxXJDw4GoLSHjq5QFc70o9sUFCMwW9+3MIHtdHtIj8hhahA/HJIsFK YOrHrIBQVChoV1D+bfQjoYGcZyJTg20k7uk421iGVq1U/N+ZMv2rwB/dUDJzfiA5vwi5H8yy7SXO aDSqwThabQwTcm8VH6TwpYdyjyxtHgiLzydHqJL4S7a5A3wwgTc6x1oF5rlCDLTjDQZuUhM2sm+H iIEelpWFkEEogQxQyf36ztNqOPz0YgMvRmrmG7rHFPESRLE/e0m9XiocKIIOIViprUE7UGCHzHxb ANEyv5CvUxlkZ9wLaMTyEATdqo4WgZBZ4J/qk0ozoj2EgBnkT+iOLdWIEMbys/jl5pM2Zef72UQ8 o/jaOsaPVEi3fs4eZxskGp0Oqrj39YMUi10mQ2mw9awXLdYtiLPWLzBJz2YTBWhz0TM3QNlCj3Pl AmpmICicOPJAJIjBS8Uo9FDQlpiqz0owSCxjPARWbbjifCwsQKGgYWARSJFaHCdjAtsfVm0B9NLK 2VzGOqTGWPp4pfyNB7zr0ZWgUViFn1CpcifJTxhgzl7Idr4LPCUdzZfR+4KXbsLz7PN7+ttLbS1w zrWOayR6ir31NUAsSIVAXpR1j7QbpgrV2H/WoUXhEPDSaVzLc+q4oquuy93+IETXjpCQyJWRh0x3 GO8W95VlEgcLbHCiIUslNXWUM90rD3yGvQGs3VRweSxlJWH2IUL1HJoxKNqYEVey3PvDFAuuwmRU Qb8cN2s1BUFR4qDMhypRG5NfVn/tcdX9RaTAw+Hyv/Svy9lR3P5feY7Wiy+YGJ+eS7v6F8RGng/3 +Csc9NKHO+DTE/vNJ5K1vgirB8Chai+CgmF0AqJD/iRh9qScXYWlvuW+Hx0bxJ+1gZ78x1Yap834 TANf5u2/6uPKYA0Ipa1B2oc4/8Hx9F30dW/87KoOLvg98akm2eMJ5jGm3V7ggXM+VlqxZ1dpbZ35 7P+D9nYdcXesTlfXdRXw37ZFHZ8t95Vph28LOFjUhC7S7Dl7KWGRxS/FNDXFlIHC93gnTnWjqmpF 8cE+pOi9L//cwa9gM8zszQtRQmfCf99J0hWiwqpHF6mUZ/CaPHR0BZ0DV8RKAP8yBtnIGDlfSiAT Hqfct2Z1m4Qbgx/LTCQHbBdQf1RizHfbJHLsut1s5q0RJsXiK+Jcb+jvXBondtzzQLPxYwzxGAuX jDk/LGs9QvR+heDARGQFXpmY9h5iMaAjJormCPoyYWMN6niGXTaZkz1gxq0GNWxj04fAqzYuhbPU UWs5EbfrmPmbF8ncMIDUha9zIu3HHs6Ypv2on12v9MYCosRfOB1LpmySnPyMaPFWQdDuXmRfl3vz wAk/vMnt6o99nD7UwvnmJ/leB64+4f36BAdFicw8n6xSkCwkqa6bWtO77Gg7nWVfEkI9Y+FLnmWO eql+WtCVvxy7u9vSj/JVDuBPa1otEEMtvXb9IQ9tokjyKIKLwuR4y1LP4ocOELIpPJT+KU6JS3Bh dph7MoggIr0OpjuUSMyqPmo9grHBqKBAhDGJKylgsw2d46OF6vmc/s1q+OyersY2Jfsml/OjF6gj 39Idmo4S8gvDzrA4wR0uirXW+cNu2GiWTuGtfUirvKWtK4p+Rz0ozj7besQ/yQE3Eof50iI0fiNu PQXpxg9Vt654QPfxxY70gnsG4qAd7GYymGppMqqyEdtqySYA2pxsPjkGidZqcljDcea5REmF30Qa vMC5/Qs2mvj0TlCwXLft5LzhnnBYXzoHCv1FLMq+RJhfK4cSE2UrYaOllQ82og7JSIW+QV9c1DeX LxrsEMyOzpEHez/0iix9zTDkExYO79TxMoql4mB2ce2vScD5SEndtrl0d/p1tvFhGodXiiCr08nZ Jnv+BpAfKrVNugj5C5uwEiiAZ+JIzVW81qT+7f4Zv21D63Y9bonXzZXgp7m3U187oP+fGEYeNfB7 9RtY27evgjcCrAdSOFhTQaz+FFUOobR/tu61DY5/43Dt5VM2ZbHdBvb9JK1RILGikRLHUuACXedM +w35wRXwmfvPFnZOnZe7OmICZqdhvPKDGEemJqFsih72/OZIM0CmZWR0rNrHzbUuUtojtYz7+Ztu GMJ7URRXXplR9z6bRwBtenK4IRdDlM7BrC5i0JoFKR4UXWAEUUt9e1SifV0cy3dLM5151j7Mijkk Qxo3LirCZNe4oU2QV2eJf3ijJap3B18BwCOdknao5cXX2W0Ri5nmbJJwwpV0kcL6/G/c2TN7/Kv+ AaCAHkMJ5KAzeuUtAWPEpl7xi4ijnNyHNDDV5+u+WbUqUqCwFowziIqhCFN9i8IvcVqqIxs7351Y O26RtulrmPvffMf/wEvDit54ej9sT/ATptSsEBqzatSbd85G/7eS4e6LzlHh+bze4TNDthzuOrVM 5vzYcDzla2W5M05OOO9vlAr8XzoriiQhRGtKmMmNIEnawAIgl40BVGSv4VLGspVOoItz/awgxjHl vG9NyOKBARCRa1UTieU7ALD11yICguvSdWWct2eqdIMi+jx9g8d/A83SuOIZkOJ1sKTsAe15p1dn wBLC759+ak/zNuXzK9pK6Ext93gH6yP33FrQUT+xFSt/AqmMurDHyPXxk/bGwRKvpWZN4/IU6mdb lbw2uX2lJU1z1vNLZ8AHC8SLSSSMOql+ra5Oro/7SjQW9xvwTAMA+0vJmFhtqUceqd/MhWWJUcSI Fc0ihLH5rqTMgeQSefqvRyPhc6DskUZHpjUPPQgpNdmPPkIE6OSU/6ixXRf9U0DqyRwkVQaXvMo2 Bp3dbou0kQ4AKEcUrNjWp0NFJJFoTzlKfHapAnp69/oUp4zMonadFnG0g+EcN1cskYjIyI1k6XOi mq20zesMxTHWaN814WFMn2PQgg8CBJiszJ9A1hBAth9OqUbMcqBY0LcLIHdyK9tP2LnQrFQObBds Y3qIn/MUqm3F6mtTqP/a0wFFA/alBc/hhjvkmxXJZcFv+TgnsHOvujPgF7JA3gU+O2XJI1X/09my k5H31Vqc8DyR6B/SX5Zt9XAhw0Jzbn8pBiOca1xXT87f+mf4CWIx4GVH3MK8oZCgg9hG4gM4+kt3 nZWF6UPNb9aZrAmF1XhdK/6AMEkfLInjH+5OPItzk/uTwHsCm/KLQkXVlOOjySsWTZI0lSwxtILj 27Cvt2Y0B85Pl1YJD3raT4lj9sWfMwkfCJsfDG3QmwOM5ZF5MOevGp+gheydsoEpvMUGH17TmGps JZuUBDSraa+fXNzbwXESSPgQZWFCec6p9vI15brYl71JBBFwRMmeZ91IETjoi/P8Df45QuweYJkV hZP3PsjJwn5yEPxvAztLCSWNJ1lN/Tog+qmSALjQdFp3EeQhlNXfGGtdhq6QinGy5VIKMxVQvsFG XLM016u5htottbHGNBC+9rfGLdhStfjF7qgSNWjZi1SmMUhGiJ1AaT3hSvCRIzg3t9R4JQ14ktPZ Qh57XNcrJcXmjzz6SPy/yd15ZNUzeA1qK+G52eNqy58BbJGLHiZ/VGGbimtVWIXDWPjgUrEwDytD oMDfx1gFQW6DLyZcv60/0M9/D0eS7tmeHCUXHkAkNObgVz9VUONVd5ZgEbEJBUeyABFv8BtHWFnI uHqJ3RIXKkFUj/bnGChb//vQS5EvFL8qDF7WIUaRAY9xgLQKlIkPnsEoEw7+YE2vVV6OP38Jx9ME O+FDqHwgEEFOHvq0r1pBvVXEM3kfdlbEj5tvWZsUpdbVu8xZR5GpG+iWtU0AShTEUDpoFE/sM25N TuHy5YosJKEwJUXXLTz7P/3FMbaOlZw/oH02OHt/taaSsSztbTj43TYn+ZfnP5sRow0bPHYYe8eP iOzCh9txXO9Fzd+psanldmJRi8jsdgpXTBzAuuRDneUayGXNUh5ayEC1X2rBbsKiHeuIgqn/CCxR SzuM7vVRXec0oxIOBM6SewPnGPS1TpfahHU1XwWNe7mBsHLJzuPtDPaJ/Wpk8iTVd7vqDWuFohik GbYAgHrvOhi8p+gpLi55UZ1JM7n9u/WzR+4ZmHSqdgE7vbYELh4yQyyXcWj82NA2h9CAEntJe4eF Hu1KVH103t0CV6rsrbLyLJVnOnCluL15YOhGhWN9aZ3gjRvTfKB4yzEzbfdiWRN8+sSyyCvIlzoK DZQT4Bq9V4nJiPKHr5DmOtWDMQc+EnUW3EhdRSZyl/Itu+GbLlQ3fwTEpjiNen7WzWQQnJcuGADj +huFKck0y5BA7b5cUmJ/eMh/nckF4dIbKQDFAhqMB9xoU6mGf5P0xlAkZOiRJEUdhWpI64wTNPsb AOvVCVR7Fjcskntk3JXtbBn5NK8gJvXVwy/oFoeIuOpatj0wnUtvpODo0+jVW9lPaUqugkp40FKX UUDM8nYu86X7kZLoQV4xbzecd1ZWvNpH8+Zl6Ag+RI9gumj6pfXrbNZ6yD/rLtB+PxxtqU5pvdB0 6ge+qTosZEXFxfYoIE/GQnb8bz+Ty43dQmjbTeI0hT9vqFB5bemRchh6Q3zBZWTlgHmULYRznYO9 kLuS/cReloVS9NiDkq6xwnTofeJE7AR4HbMOmxvhBBeqHu4+i1t84En8+2XenMDHmvXFHgIpl/z0 r6VALBNmULBO5SofNkexLhmIQILACAXaNSLHcxHx3MHBd7eD9fLn43rARH/N2awzj9WrQtWPhcRT jlD/s23oTxYnt4GpDTQt8EdUZN8kXB2VLgJdQQKi84VhpkLUplrAtXoHBeVXyOqkWCnJHxuQQ7Fj z0JBf1WV5TxinExXNzmayzkd4ao1rkUyOGp0dI4YeVQp8esp6LjHHuOa8rcFnEYowgfMOQlz/+NE UTc5ftrO9xpgxXBsuZSMQcURZnOJxrrKQo7tn6Q01Kv/OeFcPXasjyhestrFhAHFZetjKpsxTtzZ sFvMgaHLO33wnIMmBTC7g564hEduAsFG/6kcvBCSNsdGdMU86PBcmcL0bVAwX0UUXhK3amAJ6Mhc pA5N9XCZ5fkpiE/0Qz5CLYVS+dDtkm6Y4W3NDOrYdmf3LJSAU/B+29Rsd4F7ekBHqCf2ojLRszw3 e51WnHcmn0/rWweH2YGxMoIPTvKV4zwnqG2nhOvSUZrRCpnUtg7g+961/W1dExOhph4foeJaWsFS A1j29vQbXmJtyJjb2EZIEDzyqxzLjSn+5DX8ZLYppgJPaYE40AgIDKW8IYcFrMM4UC/C+2J8M1cN x+y4cJVmD4ekUMqNU00vWNlK743z3Xqum4o3ElDwornaOHLKijQRefT57Ke4vX7x71r9owxn45q9 v2UtmfRNMcDOodNFBeOFHEn3fIZbLyH1ZwEcTP4i8eirunvxCZZiMkx6l2e6uBBkgaTnu7xgtaI5 YHe8xtFIUSZzSPBDTRhOSQG+zhoSnrzS2tLPL4BTbE2V67HrG44tx+gQ4k1GH1m1C4caCjcg7LrC PE6DVoi1irQ2vcSi9pOvxcBv0XzjTKHp9PXIRj9JTNio8pRB6dHHRJqNP6dgUFC7a+MP5PI5A7o7 EdujrPM3uk2/pgb0CengtUM2q9qekDl0yRiDsNdnuhzmD6cq2A0H2UM4/PEAiDROsVUYX7M99Z15 Fxe+ZN/dOzDS067T9YYtBpS+vVx/Jb6AkKqk2mCfEJP19twFhmtdxAkFhhPQXD8C4wv+EBzJVCYm OqMFkPZEzGaeic7PWXZIDgibote16KqaJMa1ImmsBBv/q48neHmeI70CA9HJb1c1/+nAdUk3r5LF ADm6LMZ0dVQANbs3kdHgXuAe8i6F2gbQ9KWLYrO6gE5FwApWhWuyGYo3uEy94NUWdi80cm2B1klI Ht0AFBiLfTykarjAtitGHfEbUUqdtxCL5oJsxQeKjNUekq9sBkey7z0klZU1hsXf9YUBV99By9gT ijJH301fcYDbLJncGzN2xmJ5nt9EVRFxGTQAV0UmJwCLweG7y7gAOHpzKmWffCTDaSqsN2vZ2JN5 BVLFLjnoGTRfRC6lWEwtvpxpVR/WWXUwnCuOSlEFwcU7pBupeGFZj7n0Wf43rYkK7nRfX0UcGbsT I/iULl94pkXVNBKaj0xzjEvf/G88gFLT9cPAGpBrC+8cZvqg5tXl/rlrC2nVW5Kmj9qCC80EbQYi zlHkqUhsChefoDQI7xA3ZM0jsP8IxznhetZ9Ok/mRa5mXow7hc6gVT26awVvO3Z/lBYZmDe8TiC4 A1lX2O9XB1+CuxWMbdzQy8FxkjAo3VVqlDodyuQ3WKo2987L8ulgegaLfOfVLSuAG8uRzentNWUg KlSPO/IxDU/UtPoiHHCNJSe2Uw33eI/PM5t4EirIRuOzd4ghvYsTisNN83kelz8pL5xmC78HyLuT nxyJRODxXyC4Q+AaVL19YrPPqxLtsIlZXfxvNWfx+FRqsrosDnGqxPVA8bWYqrD5BAvsn0+juokr Uua3q4Tj8n0PiRUIFL2Uus4pw+hoZYmt9aJOYNAV5MSY7kh5Tu30j5NMljIb4b/m12IEm0GRBt2K 3FciJwb6zLE6nLNAvfFSmkWWFw8j5sjKStliKL01AnGxnKsYRju4fd5L0Zm+qQQUEGNBMckUAksA Sj+E1v1Co5Xjbc6XFnOdUxslw7upSiqlqIbjyuqRmi5K5vJlO+aV6kwLrptedK8vTRURGnw67Jtd DzqtKwZr5iPxW0OuCQvRBW8ytc8Ic+R0iwo05uhSG1u0xZT0hdUfkKkniEgJS1hNHU6ri7z+5TEr zcoiBWXPI0HEoSimtdN+9T4lN9VSsXr3E/tnZ33WpjjPeIweE26jK/auL2Sebyce78hp3oYolR+y 18NGWjoKta27fV9YVESQlughMQ7L6k+qrHzzDhXSErdmIfJomokTxKUE4hhq2bafhN6zMCHmoOLU R4LVIrmJeIq7FjqCMx6xRMfKha80q15mKxQkMeuTTYWev7qK85SqLh1jNlYg1DzRdf/43qtrNifY kBfKpu9U6tNLQeoxIaHO89hYh+bXBAOsiJxzWsvuiLcD9DRvDvUx9gGwEbZHn6BkXy9VNU/MzRwU taY6o7dYZZbjnlZBDk/wVnmbHV7ipc3x2ZViatC6QyZP2tKll3KthljyGpSzu6c+RpZ5iJjrNrvt lcwQlpd2gTcMqF6UZ1LaKsTATniyyBRUk0yAsbksM/1MyAA589N8OE0srJOwUW5lhMle4eXr1XR4 GBKFLuRCpUIWIPep19gH+RtlWdBnJHj1Lh4alWxsTEYonHyrs/Wh4viFYEMvcDZAXqQYr4G5GqDU 9FiwgCte5fBrVhRiwBncsc2feyaqLIs5ksA5II+xzQZHGzg33lfc/W9tkdNI8DtAJIGnOu285ps7 +4SVUww/bfTd46I8fgsUCtu+CCx1d6EINH5TLnjvvkoFTAe0xQT2PnJcMsylO0LvpQdRF/Ae/Iav zetNz/z2QPp1tqdqMakcghkbCkE6JG8cW+EBBnRXc87icC09kB0EkTxva19Z65jPjPUgMil8JfiX HnJAyRkIQ4c7FN480KkkQbpeIBBpIoAbZyRNxjMqcXBf945PNrkfWp8lbHx9tYQdCkUeqpD5TNoq gPCwlZiARnL9HmMwWbE244npikesv5hUlt2566iFVp16S3iJXI0JQW7oPfUcvEt5pzJJ46k5rfQ1 4MwhpOhfHlXKAGALnOkUkTryOxr98xMw37wcMff0pmrobkJTy8UV8Hxt66Sjq1F985BuTqJY952F DsTrNau40/axG6pcTgqVHbWQtQCWBLfC3Csh1r+z3A8sXTRKRebnUZpTImSa+yfm0PAnXqOG1ROj 1f7f1T9o+tEyGkyIPbWi07AxUf8ByPRiCq4h3URXBu4bUN5jWjvTW3TEfNBjPQt4EBZR3vd0GpqB g+HWYAKlNolLi5wpbelDCLSGZ+M2ApiduzIcX+FZgKUkoKeQOSbkU51+jjN6BVPNl01XGliiipCI ApHN9X0y0IFk2v8+vI1eYe2poAp2YggexPiOhmIdZmQh18OBJ1XpdTYQXpaTzvJ5WKAZeWfEdlJB KK4B/ZIbDfzO5K1/27cjmlCZhtx7f+ED6SqAPNX0X2CRH5qfdsJKuYNZ9bc8tRO6WGGS6Tu22PIF HtrWQaFitLwSXDd/M4+KeqJSprlZBn+foyn13bUzdwaM/qoFpw7L/+18G099sCKFDUNDx3H3b/8Z CncBSNcIH8PkEGffJtnitGxUIq/tQFsLbtabqW8tHQkBsBb/SD0kYy8jrJIrNNbNngNiH3IDymSI hTF88fGU+itGDgsXbuiQi1V+Ye1orict0GLV4FLGp2WUmoNXn0gcmiSn0xt1fd+y1ISut9YVnOrc q1UPhCZiAYzcaslF19FQx5gARyUfv2uB4AX2qg6Kfh+07nzYsEFmIaJIK00fqdxbujnliXlDedml CFIMTRvfCgYVAKf7yZVFHu9sGEfeSYRpN9MGB0V3hMy8ftgexKmMbLptEKO3kOLmELht9Ty2SvqI LJ4KEyGuvRMOwz9cI7nX8ivzxu/aY9QAPFLzMqn+hJY328ZcXiHRrx/TAoE6CbqPh20Acg7sa8lX 4xaZh6JRUAGG1WT1iUAZ68nSZt5QMMGmsGYS8m8RCeewWiPFCx/z+jUl4ETaY/xfB+b/Lsyhp6nd bFH9DJM32DzYYsOEJosXPzswVOni8DywBpbDH4oC5w2aStkMuFQ6BC9swFrg1QlxlXY8CdQUk0GJ jai4wQxw/jLSsUelhrfXKngmZ5eHaLx3EUC/r7Cv1ft2BOugdfGrP94hzpOMsWqalwiJDr9I/yrI J0f4kfyBzTYR8apa7rXMxi5Wb84hKBaeq5xjjsZfxi7mwuY75rn+Q75vCgfvRRtBeytvHDpTrX1K /3PL2iaa4JuzCnpygLhreqpnSf9ngIF04R/hM3HaRyfCfnPLlpUwJ7ybdb8I0YW1cJHLO4MDgpob 90rWafP0EkxfpXcDTEEoGR8P0zgJFPe1+QTpixUxOQo8J5WmPQnjOVLSPFouFFfo3R9QbkIp24oF K00a5XOVhC7synmRK1RrGvX+3tA+U4kmQGN2QrndCyAQSZNX1yd82q5JpfVDCtv0vm+Fguq1CJ+Y pHD2skMZQwkur0zT/+fHFAm0cb/gFALsnxuXEnLaahu/IvuKEljrHvHPWaMdAx2coW3JVHUM/ZRz OUwRwqXVnV+dsDzoTdmHhY0z1Q429Fql1k/MIcsu0D4MuqeRHMClpWEFWHamDEDMG8FsEQG/CNmt PEYwg70UqKZSB5DXUYXZVetn2HVMTlpS6G7BRqTTWmw+E/7mLnMB6zza+TFj4b/oRFsfgIFb8f0+ PvKwUM5yL4CwrXkqPg0AqnDZkt3WvRudE7mYr38dvycqgzq6bS8J7gkx2glBKU9LF4yCqraVCzRc Uyo4aqKIoNhUOVLkuiXc4CB6gnMnXWYEzk9phJV/fyW9BchNZyfCDjVFZzku8pFj94QhRn0d2e+F 9xPpCeQH1fPR7yZQTRGpJPvHbIlDL1+mH34SByhBWanu/aTcWsyaZtjK+KzqsNEA8QAtCzFq0mVP mEOvmmCS+WcWy+opU9SsJ9DI/V+rZ/VFCMG0jMFPPTCUvztryRydUU/qwi3TKKEKZwxr4qZf3gyC K+3pDVMcHc0dt6PwCnpE72jLxFZKjg4hVCEMgTvc7+yUln9oQkn8I5oDQ6Yj3FWZhyGTfwP/wG+r 9H7IK4Ia3dlxD98FILUEi8+V9qFHbJo6Wp4WGBbSx4bB0cRRYsZQA9VMCWWog195Y49g0xEcGdM4 +ngeWeJ1UzxB7WiqPpPkQV06EY/Kr6TmvLq2xCvKwO1iGUGfXUhhBDyrYbi9gRds+2sJSGnwemgn L0i4iLCPQzUEEkGjYQJEThb78uT9fdAvrWDGoDb2DvADUztjXMXnqziGa+3BBhhfnQP2cI0raRNW VsnpUw/BQ1jLOS9o3V1dNVDzLVPw0/XCO/RWmSf8h/5bdgsISz12L4oLdzRl9s+Np7gw35vUm4st ko3f+WKQFo4XHkvSmYflbfsjfj7CQpw5ru+z4dJAqTMJwNjeb/uQf3ItjlE8EA337L0CqqFbzAxo Fl2BGaq+fUh/UpFgNT2H8XalWPJEQn9T3GDhTxI728zEL4F2M0D1w3BiNNOwZWtZTB6DN5FAIXT/ ynUWUdO8bEd1fn/5LOe07tA66DwrWDX9H2Rh8AATGFxI6uI8E7/mRMJSjAVeW/+itYn4/5roVGNo Go1+fw5Ww8xlJuyvq2TniLT6pKFwZR1d4h0kScg/pVbsSFpTrpPEXCL3BFfTjtpClwmOm83qch5p YJFDff1YvmXwHq/ZFTeR1+JdjWBklavNPjPWxUy5OLwjR58abL7IWM/gTj7mfNt4AY1Uii/G44/X prxFPRT03IwfpcNl8UYgpUqyratm7z733JNzywZqXzrl3bPZRu5zBmYXy8uRyZvfany9K5l5YQyp N1gZMOpv7kwLA/wCT71TlYOz6EhJlPXBLVWmog5q73rPP1+D8f7LqiXoGYWMBRti3jboSeXQGNex ibocCyzblA336inq8Ry3AD0kYgmPLpuC9ZtuFn36/vngoOr9qWUJhbulguPZE/ESvHxt5UfkmubD LdhsO+jtwG4Tm9tns2kWQ2kRtAmDPDQ9vSZ07uyxGAs3zmRvRcYQaxUdcyUv81/61jsLlF24/4fY FcE9S0caFUcSfthgjkWveer0fOptO/xaD9uz0Mps3BknoVzjgBeTpJDdqLu2nLC1CvI69hBiE6w3 TwMhWcldByI8EQGfsa8zsP/E1FxOjkuZdxEe7uhaWq16SFttGNBSZW1VyOGLO5b5k2Yi5kwFMfAo Mo1natL/MqUraKuKfcmbC6msKyBeaqvn26Z0XZ50oNZrIM+r+1CUDDQ0pdBJJly6h4X7fMzCDwXZ s9QwxCarpjX/ty9eby5sssKbinDsvy7Dd44TZWeMsQ1yFqqLHoIjhNOx6dDYhiJSBLQKo9+ZEOCr Hl4RCtMBanYxA02mjXALrk4NV5p4w8Epg7nlbE/GvOMNN3CVsZMbMNQKMZBwAbUNLa8e6TzebDBi BILlrfjn5KgbEhvqLSBCOGND996A0/DpTe3qQldtQk1jT4BOZERaRLiZR9MQdo6A3umnz9CF2Uml YkQ09/w+duA4wCIkRrE/7y6QZ+r1yXUU0L6UKluaexESvXfYu+IoIxNuHNqjXaqOiYQ2KqpHzuHr 3uw4V5rEVcapgn/7qh7+3AO7HLXDmFaGKgNN+5S7Z7rGycxiD5hwhJcP9CsaLf6yu/JSGlGvctAl dICWHE3iVyPE/ELx5JGmcqvXuRPRfPzXk+QU+848iZDP3CV34NTnkbk0iWTaYqad4kBUEEs4PRYL 0FWhnv9mCjdch/TJJNqW/GIKCNJQwGWf2WgElm8EdN0AHeHuboEp5bIZ6Hz824tnWAflG6P6DC2T vAX8M1MU0tW0iR1X8HoccbKlTllQQxnYMM9oXE3eRAcdLlXCHUwhoZxC5vad2lH1pKFnv20V/XNQ OK3n8Oa/zSAo6Czl+bWz4b4pw4Ql1CK6vbqyMXJZ0ZF3UqzX4z7blviW/VVfW10CtrEYylHfoTNM PQeUWFWV8YMebWf5tvr0s1DPJUT9iBu3fn+RCWTdJI9JtwAKydhGn1FyIw33PPlLMwwWtPpZ4E9m cho4vS4SQi1TSRvKc0W+Yltxl5KVqO3Jv2+ccDTWKbbjT13ZhNmNpV1f+FGkfeprGZ5raWGb0Sm8 l5iMAb5AJJNqgmnos30bQx+hkFKveSCe9rPfIRqecTvaH6YUFbQm8hqby0P0KRvoheRaGSt2v7bO yP6TxLdRVN1XdW5xAcl7BuoKftTetgkqjrFulJj5JFGYMSWvuwHVj0gVrzgqu2e0gdHy8CYARgDU L3MkFKS6fPTdskQh7KNUc3w5RZguUr3H1ZH1CRpNaVhYP8/oBlMapRj6ohzbFr8yKqZaYM5xMVvR eaH7AFKu6TGHbZzE42/HP8M9iiIxsN+qJCb6AvIzrxQ6uBuWaaWyoleJzo9p78pbfeYv5asZ7wGX lGu7xwwMeakgoBhau+ZzzAHYY5Dwn1g07c3mZET4YCDck4L2nc2vT0yfniSIqROLeYYqiZbfjdvU 6QXece9FcZln+oVD76jremJvguAsi/qYrbHjCUiu3qsi7PncEIwzusvMAdRCVwZaR/gGnjABZ1N6 lulxE48NXB9Nv13q1QJQ1H8U0AdriTwTbfqMIqfa/XR3blwvbzF4T153T0AECWC3LdUhAXPo7YYz qoD5kpE4owqtx4CiFAJYlwRfwsJ9Rwv0Ke8g74ws+M7dMhmZpgcpN852AmOswNlO4l22nPkn7RAz mvAJMPptiLy+pgzj0CBBhNGilQwQApvlf8phpqle8uVHyAW+BYnO18L2QFOsjH88YRsMelYP9Kwe kUWxYrTht0QZemMFPGljfYumaDOGGAl86tjrBbDkE1py5wsRKnXjSPF4QVlQ2wqWMGPFmlDHxSyo Ic5GCTyFrLD+rFKYSz8/v5JBHTka5b+/xaaAMa83xtFtcwb1V9Klw6mSJwVK9H9JsJy2+R6o8EAU PjofUPieKqzTdE8Sgz7fKw1QbWECDbo0GlxzfH5lJwrjip/OJRzmcHZha9ZqaBvgjI7q5QLdWcs/ v+Med43uddxHOy0VbOqNTbd03XzDKYsDX7L6kZdAb6HpfcfRNz3vQykwyekZoO01JxlEBZ/N00fT wqh793RB2QN4nqupBwf+KpLgZlh+664e9unJVM+iujSIA4q69DZ1bG2gH7LPDHqmXR6HytKc08X1 rfquoqieZFQSZeoe5Wo27x5Jw//A/L12a5e4OE50aKJDmus+LBkncQigbN9NQlDMbBy4tMwnpQ+X CkPfD2ebM6L8ALj/VygL+EfShW631j10Kl1x5sWfFl6nipfY7WMLCsmH0AfHaj9w9lqTxqoaJDYe bE5Swim1MFXi/UPs0mGkSvdYgeSAgdi/GR6lkHGaS4wuzycLgUxhu157y61YDcW68KvTayAHNX7O TeC9rDUxe/ISxZ1uLrqK1H5YpbbizQf3CWyfR6a86PrKpe2a5hbWuIkoEcYDgemU89r2gbsjBt/h Qm8nYeoUbVMZZEUwMFBPeno3jyqyWclG1E8wj/rLsfuMDxtLY+6TUqKxOWjbASyVpJKwdMCYMT5N aH/CQc0O6zSP7l+KpcA9mGDEI5rwelJ/D7BDZNLgySlAPJFF4cUgrkUv+mA4zuhmnO3w0rG4JbXX TEAQSu1Bu8uqxL8GfwUllqn6VBZGR6muwxRh+1mxiq82BUjUuQre8Sddpvhe4a3nWZ2Rccwy9gz5 DMZsjvRYQ3Cu8jXt6A04A7Pke7uUlMf7zjXVQz+sKkKiK5dXow1goczGnPhQIv114Hl4b1Pcy8J5 hDeGP70NG2azEpMWV9R2dGs/Ry99I/Q/rMYLGBg/u+7rrjubsFwCZWXJnPCPUK9HDRrrQmi2loa0 2TfWU7gzukAs2RD5xw8worQTodwGBstnu9E+2rSITfhQcja65GXsR2XUMb2Qea6bKTxmDm4mg+3W faSjMHtzGtJkCaSLzCZIHGRnLYIk7ipUnh9aFJn9aoImEVuCEmaHkw/D2+8bZmPAHHrb9Fy4iDAQ INSwaxCw/TSo5a6qz05kTBYn2McPVX58bBhlscsMALdqVZG1DvwXYEA4JVuGuoq3Gupo/teOVmJ0 MAjbrOeUL+HVyZd1Hy17HLRv7YZj9JTfnVdtyRZ5ztfx3zFJm/PDLD//NmMdUfMinnTDYc7BwhZb u2aG9WgvS8vv1QDGz+Wcw3Cypw3yO7P6WJXp2hbF5iASiXX2yv72rkjoXrJym+UOPpGRT6Yffdmr Q+YwtJJJKT+Acma7yDpbsthZjoDEuVfy9T3b2036TMUzGE30SxBV9s28fF2rwG0ewsV92CLvnpNE eDbvVgUnQdtA43WmrEej34bCiw202JKPOXxjEK/wOr/KHkrsCHCqupTEPMhY6JQyH3vJXl1COp41 bnaqm7Q/sawr0kFHn9pscxBEXbiZnkvyfO6eez61TSYL/0/LxSi7O4p69jI9vFOQS90+KXRAcWmj l9BMxveGrc605/Z6D5KCtPEFmNCU9THeohwCHNgX6SL20jjzR6tGCgydk8b4eESpZRIGP4qRtb33 JbOzfG1ZmCXudqaOavNKocjRrMUydgAff75V6G3cGuDRw9oh6Tb6QEjCVlZ5HDwfk8iVNBmxlkcl S99+E71V+7HexNtwnXwhmQ9JjSAIkLJmuNNBOLDnTkpke2ArpoZBvMaV542leFPbaBvVoC/32qNK 9lgKmO2yQWAAXnRuN9YOWqnPswjg8ifrWejr6CBuxJi14E6DaCLn+So4FJ1OGr1fPnDDw5gziOg3 EG5zipNVk+hJszKsRMth2VhrG0zNcKzZcZ7Ho+33o41gG0J10gOlojFEu0YIYRs2i9W7aga0QXrG VybrthWZe5RZvMXreNq7qHfejK2JZFYP9rrvau/bR79G262MHJ5qK/J0XvcxwdbEc20WXZiISZVJ 84Z5QeFjV6u53pnMJtcfvj0tcHUmerib3ZXsC1dk8Mf9jyoDW1yYORO/rVpQCrk6Np0/w/37ahRp dtBGLnpKlQ6+9gJCw6DVV08AMcZAnAiYxXrhzxTXva9CjvhS6VeNYiG3ECnFHKP8adxyK9ksJM79 1aCSG0inciiJFHgYaYKKCeQnoR/IahKVgC3TPGWCyVl9xX0/d0A8ESNrSAhmoOOnhaCIp5MdvPbN 88SuyrWSaMdYO2l10P3Vn0FfK4vRmfWYKryyAqyySiswbYLd/2sQSwy5VtHgzqcZwC/HrYVaHque WEli1pgl8SFqSMd6l9RMmy/BIfrZ4dXw6CKoORCXUBhMBOYVoIGYVUzqOUXgjB/eZIVLPXVO0h8a PRqFd66765gl/jsHCKbTW7WZlmJ1x1RrHV1m19Zyws3aG3UFfw64ndgh/WH4xTM0A0Jpxrxw4R3R nsordCJF7M0TAL0eaJPxY940HIj5jk9SQWKN0KqmtB4KfZ7+uLLU+lq3PAVPONPjfmld3vS0yev4 MV/MHdVr+l1HB6DrZSFQ61KxIyDUcek926Oq6cnWbGrLXMvYMhUst34iTO4N9+Xu2bV2Sb7Ksr9X 1qAfKzTa4FAGeVVaa/9cKtk00YH5jpnl33RhKDzr/oNYWXh9HosC4v7b3nPa8hPyKaOXkxSt1sAX Re4hdmzFwHcJwmhn1y+NCkUVtBVGT8YXCBly0lL/CZ/YEUgvQhPs49iq/QILDLW4lYA/hvPE+DaP NOtOWVspq8RZCpmb/Opn2toYFSV2s3ZmUUF/ODXWcZ2Y8j+cvXYe3hbHOZ9GCTHNyI1meNDUvMwa dJXi64qW5iMMEKNmCZv+Qk0JdcWSnUdw3xr5TFCHHzbJo0l7aahcGhI792N5iCiXG0ybIXm8WAWb MC9woKioEyqO8L2fvyFNOIMdQpTc0Yw1zlLENuw9kkNSXhUU0/mrKIBer17y1+Wg2zeHDeODvqJg Cbo5o5P1TXqizvlfAHosT6ZinOtKR6BwtIQS/NLjSv/aVjD/NPAKYmSjOQUoew5fnKZrbrn00eEx b+cAwvqTg6lTaL+tRaN4zTO085VII1KRmCAlqyoU680MoODxLkgS6QVfcX/Zb1WOAGy97CqFBwPp k11aXrYAiCRdwcpysdTA6epvX9BGm6sjeYMpUF9pQ5lJ5dyKd5/n+fQ5S3eXmnb4eRgi2hUh5iyi H9OnKNBE4kdh9uSXCr9x4v3Z2+o5JnrPw4xOBVrvbEJkAOGPO8QX0eFz2FSYL9E35aoY1vTmFZHE MHDhN+XZp3ufASG3CWUjKFlONdJM1leM2fZXPkoyK+wP4GN2ygu8z+pzXC/sFNKkob4z12Zn9kUc nIp+tuZn321RtNqIh4LZ6+N1XqmDba7498vXii34ZS9ynVpkx3kPJiKhUFu8OenlYMNa/QwT3147 G7cmQd3AXoS+inGoF7FK20Y7lijb7LkUBoLHG/YN+Agm+K8Ejdg0Jk4qkWlVsjObjmqcUxSO9EgN 8BXvSrkIFuUpDjknuAJuPfdfrvwhQT9WLbFLdSzBq44n4JxvE+JaX9YYBrw2MacwvQYyGxzqOXWy VMdYG/ziQ72UObGlctcXLv8TCPqFyf/o9IJFIe6pnWpXi/vhZsEKje5ddDXblIamLPxuEdgxtB5g 3zhYwqChsSWK6QgKUTSf+BmOEFaddguJ0DKFMAipPaXzquq3JEAWymsUmLe7wTKwfFUw3/C69BFW xgjDtQPSz+873L7YRRAKsU8l3xCGrWM0o2o2K/DZx4PRYTLp1AUxytiLE9Q4EriGflwSUn0JIw6s G0f2eNdiBQ9ZCU/eYO6zPUQu7fbjOy3U2TlXxzp6s1zoI4R4AoJfeCQ2zGK88+2wy+90Rg4NO3Sg ZDEFAMPV/mwiSHUQIGh/XcjpqKRKBXAdJS8c5SgUf8Nfy051cxCz/kuKr8lkLDFBy0ZnRtWlhucC aXwUs9cdlm38yKTiJJ+Z8KMDuwCSsl0j5RAx61dmgc2VSGGA7OCZlcwE3GIGNcQ7sWCYAqEov+SF S6Ybkzyk1Tbf8dHaXBr5nGd/ZJyH7/aj3xD8/PNDQtxFMhHovKtendGNR1hGLA2RBdh7VXxDh+ln Q0OoHMMsj74iwIKV4p9p6qGkALO2V04lhNEX9VNRHOE+nwtTmGl6523NTcF1DByRlkF+dRNcbdNX XccvlYZttTo+bbNrHRSgvHszK3VJEfQkQCalGwxsqFP0gLpdtLI7L8inKF3lN7cZo4uiVg7egHZY 4CSl7iAHQlg8pOMjGT/ZYr3ysPTKlzXtKL1W7+rs+YSqCmLV/b7LySr6PcO8x9x/Bpi5hKMD9Eib F23Ig3ePDVjaSPMYkxiKBsSQcvpFepgx6hjTrZqNOS1SUKLX2z5L8wtneLOu9Qyh1xR0Ff2qJjww I2U8aFotatSfRM7+3UoFvC3eHQkQSLERjmMSdRVXEe4Bpyrx9RftoueYsNj2hB6A/ktAHwJl25+4 WJkR6V4Ziu5FnXzzCd50W/2Zt9Y/8bvr3zt7u4b/fdJy6sPH80mCR8nSwwieKN4BXIm43anoFbUh wYp79Ma5320wTFEUz1fceHNIL+2UH5x74ehLhOg2mMf8/+60xG2QkRe32hpr75VHoxmg4KmYhrjz 08ZjsfgC2XOEnyLWAYW9M1bXje7TEiLN+/n050xSsKyz52bM2+RpYC4vUn7qsC70oStEoOSoZQ4i g9u7tBQQf3Rz77CqszWC+iB1Ng4m/Z66ecEt6RO+pUHRLOpLN/I/KQGwrUuwSkWCMOf0LpLiY3CB 8YBIYyQgTM674tO6RKZZhPUgBN9KBy3spA8HFPI+wtSyQgZPM2YU4F+dOOtItJ2k1Pd8zBYLHlIH caY94psz2bJuhkpzLGt6ENogc0AyhuAlAhR+V8AY83JT1E8zvsQYlUHSTdlFQLgz9v+/2XRxt/zy mebaFKEUY01uraHMZeJXncUQ9NW6RWokSsW2oq2KeQroe9WuuLpSmtnw+n5AGM3Lf+iJsdiy1VSf Gz2f9PDIAyNnUJ3WavnJyzGzlJSeWPi8mL8O6I0k8i7+E6Ubbt501hUpXJv1NyMu+ajORUXq7hwv dOXcha/NkGQ0FJRJalmdbfVWX1AZiosqvX1+TfnVItxA6Og1638jfDMJuTYF5N7yQs+HhP57S47M dq3UHcucsngnBog8ZM4ckcrMxD4CuMc99Qd4fl8h6rxYzJO4XCynuf31JL7hP7ijtuet28WAURtR xII4X7oGR3H2iiEvLNZPjr/MZ4ZtwmQiULvdUBdkPTxGOsXh6VcBMBBUEGp+IGvirf4VAHFkZ0Ph ygpgvmHOfVY+OMhCnB369T8pS741ZXHXP26sHq1nwyNqyvTyocQkfN40enkhHCzrBiBfVOvGioFW 1MIJStpXQ6P6oxyImD28h+jPUJfLmkoUOs9pTNlQSnysTbkavEDhP7LuELPr5/qVQO1+FK7Fv3kB HbTC5i416/nhmViRukcwmRxt50sj3mjT2j46yjRCgx45C8Ukgp6UwjK5gaqlUd1oOBXH+ZqiiUrC hjdoG9nyxBmZ9UG1evXVJIvpCZKo/JdwRIAElxqagm4Z6p/BkkhK9DeyRZUqmbgEbaRsXjwrczCL G9TR7hqp5xXxwycjTnycH11DXQnVK8w+tcU8jWeh713vjke77kfoy7brN0eBzppDPuJWfLiZC/RG cJMkqMfXsHrLQpYS3/Dp3ySbZqAkeusKHuil2Wk+Aih4uvG0JTuSELTgebtLnbs1fSe9OSlX2YYn XEXbu9ibOlnvQbq77PVX/veXjFJaPW437Tq9DkSCUwDKLsThA5XormKV61A1XBb4T+lJjG6XzM7o zGF9Q2h1V2gbRX7N+uHjYODnqehWiZPYEGYaYy93P6WNKEi7c1jWmOgRF9t3RqYl9rr1HbjM0mGY 1KrcKjLhDha6+LMRkvx6XZFlfunggRbiOqa+4Jaw+T49DjjE8assSx9JzRVp/hyTMelBCylkCEkW TSFp8F7+KSlT5RkMW7jF4HJuGsbhluf0bFRT6w6ZjNGYJH0o2IpIcdxkGHExCMC+icHV90TpyEta O+xN8g+/LdDfGBv+annMnNvZ3+MGM23Hdmuo88TtTpIUZMXazwf8ihx2GJi8hwdgmxg8+NHCPmkE zUljSx8kZMmR/a0/a08L4LD18/SX+3pMfhiMEmyx8VeFJVzivqPbo2aiwDqlvg/4kIzmUzGi0i8K EX4H2yVpW8sGeouLOEk4m+K+jTCBJSbiVp64BZJDQtpSGrE/iM9vfpYtiF8IhsbgQNis8Sk8n8Fg nqm7NuqPJX0TbIS3CKBcm9+ApNeslvx1i6OsetOmzRxbtWi37xxtNJ8CqoFH2cIW5oY+CEFRvxWg 5jFLiFJUD8h1JKmqegB+3/1t+gXbpqImU38rsOwRb9394kWxlJ/16j5OXiBcQz3hJZPiOS4ck3st 3+5tpGdQLHTpugLNZMQg9c7QTjK/bwfTvgrQyKNp8f8jjLfI6uDRHR875XK4HvMCjoMwN7Tm8svL 5eKYU6jN8QUD35LpzqU26X50bjc8FatY7mgVMyBbUskXrXaHxjO7WNWncLHnXbrAIfPF179VDN8l vPR0RmqKasXWU33xAv/Wi1HZdy1nJXztMzdYkc1DbvC+cPgVH15zqKuhpwJSybRJhoFZJxlt19Uw dFZuql8b1/xG2IT2TV6hzotLzPElcN9vSfL7g3ACCv8NvuR0FxKvaH9nVYRpPggvaaQn8zVwV+OB 8wqsM+1B6XReijLG545HZVr9sUYiEKhIuotjCbIXRl80sYD3nwRCQPNhNSY4fzbH7TzS7ie580Qo cczm0KCTf6F5N1qQ580IvD7SiQKsbbbWaY9bb+pysQ8lt7qdy6VNuFIIeEWi+2Ph4ZCFKIgixjHu YJbQmURa5zhupVX9gjylBFh71602zhh6BunSjXuNUvT4D0FmTsP8MeSpMypMEWH3L51a5tFkR+v9 1GdLHb/fHfHwayQGHpZSq/gmL+TCuZUS8871zzC+eWTShLOb2gb5TNkj2AEu+WskoERdUy+vF4sq EselKxZQZAKNo5ePhT8AhCocHASL5Y139clGAq4RM5Aql9a0q3Wdw2+nN8WN1hn/a9k+AXgM76jP B6FmiXUMGF7ZNW1cbEdNFqtqeNjO1m9iDShXW0g9Fz7RCFHVZ2VwI5JMK4/pbjEw4WDocCh2unYI 9ffPjPYmjGSFWk5dyGMhXyBBocDEncXoutRb4inVTzKK1GmnFnqRErsl4DVa8fMeFfZmeFXXKhKE Gfa0PIU2T626cYmSzCSOFc9hho3GueHslzorSe7L4N1hpKsKZ/gLCLXQFCbLVV7H3uUmN9lwad7R x+RpswEjR6VQNK9Zd/BhBUWeKw1Aa00/QHbX3jPNGIJag+vj4uIVEcS5dZoWCAOAc++/rgu0cGEn nJoqRZc5dATQwFFXaFJZsFKAD8aLQYwnu8SInhEJxwZC8lm7CWD7EGSP7kb5+EDwLSbEQdC7ZniU FGmGJsCpXKp1oDch2G5BflX1Jo9HW/WMx1fm2k1H8qtexoksSUkFskppXwwX1TjoTxzmj2GXXoGj 5O/S8ygDnMKjNaZTIFaFyCZeA3F6veve/R33Z9qsjwHRNgqFAlNyF0tgCzT3jgWik/qMUVdgX+4G Vo8SKcpRsh57n1I6oqxseXdc/S5NRnW/mDfRZrYHhlkw03N2YkbGw2gOTGN1glKuYifY9KsFwvLa zeWUHYenP6KFvp4bJ/jpnXMIyRCI0TRmuJIihXiDRkExLYZBMaPtlrg3SochxR1tQvx/0N6MYaDl Zjbmg42duATIDvIL7gKcfJYmaPe1VrmcRtW6mhS75N7uOpG78wz+7YsbmxzwK5nPk7ioweD8yz1z labvmMDvOaPwMwbrYZZYdtsQFfVMMppUR48g9QmIJYj13e0+0Gtj7nAOvj1zxRlXyV4yqtqM0QrJ C7OyVaTO7vi8trBT6/nixDZIUREQZxPTmBf27G8EaNkyKHeMrpRiCiGOlRSi5qbi0glYVHtG82Vm S7WHUYeCqv18DQENDHD6kwEmFFn2eEAlHiG1TSVi7Q8HZBIeRdf/GWjVm+op83TcISWxt/jXf6DJ i0k/TED6FAv9hjXOnvCTcH1TOrcm/FFDhFPJVJpJ1IMt3K0XjV5lFboOimTDK+tDJeYtMcqJEsIt jXmB5QMh0ZQh+/VZWf5o+7dT+vRM6m1Dvdbeyb34PRfCAV6T8O2cAjOJcYIE5oGCf2DABARSab8U Cqv2nEjbZUK0h/aBAHbEhQTw/xJhZ9fXyTiyKJ64IUjv9R4++0+3RWro390LsuxbPgGpbOdDwBTj /sX+kfqqt4j5j/mS1LSo1JZqhHOpER94rLHrP1eXUoW1dWOPqOzdboKQ4Q6AFGp29D8uR3uT4JWv XOXYOgz8sP3Y2efVy8MZ9NOMhgRFu45+OsXTJUmIpoLM/4c+w/K+khPkjaDsuoCXDcJoxEiyHZCG XBj3hSZ9xoGJnAcuYd0ZiApK5XtLoQN2Lrc7cAvaalZ3gfJGl3QkAAOZh6vG2FRTZJ7v8RU54hlS nhj3q7xuHbfmbG/PQaDB8jBps/h3cY9VbnVL1YSsRY3rFViNfUi93BHc1TfcIDksyMzWLF1D+n/r swMM/QPliLoWeXSqAg4MtPMDyHw2jvFYVx58m1WhnlADezFc7gYGze/3MbREf9aYrUu+ahPRPmG3 O40G6YIM7wocujbNZqubh0j46LPupQaxp36Pjdgs+HLJgkFNAwPQE+3S72M2hAY3kf5ShyjpGqsT WPxcKcZqntvn0PGXgnxi91nt23ukMoW3FWxfiAOQlW7RgzWQviVsW51yuODoC4gwluef0OQd814h eOvMufic7q43ehwC3yZhEpJbAhFdJowp9sHSXFaSdVRzYGnIX9uEZAEhN3AJqeNkFsbSSWivmE6q 5XFo5nQFj7d3YHv76dFqjC/4nVk9YvJUUPGEJ3ShlxVLD+V611foEz6ZkNznDIVxWe+fmbv/XCPp 9PzfJh5FJEISAUA35IW2+qyHMWtxpIcwAgfl5HL45nAJMXmDbuiciFP1NEQ+T4LxA49XUKmqbsF+ yUVjicbXFuzBmYJ+Bg8KqBoHX+l4sjT4xhCaU6t53SerWaabguGToNtvtLl1Zlwn8zKtU/LoYoF9 4udZslTqbW1f8JNJAfXCxN3wLebh1XralSS2JOBj4DlfDrkNmlJexF8mHANZhpv0NOCtSbR7tfD7 f//RmJd/LN9w+FgyCNgbFSZweMCh31lBmTGiltu+zKHRDfjMQypwX27X0+T1D8ZXcCT+qDO8SwR2 y1CGb5oBER+zkaUxwqBKYf1xrGEbFsno9ZMNnRKlKks+ptETstN/dSrzL/Zijp7b6kCwHbiL1cpq 5tjtilAZub7456pF6uwzCD0DngLDJurgbtyUnh5uZDmSqHSR02INA3luR9yvpHIS/53hwDimgRzr nw3upFqeP3IWwPGwXnrR2l6U9udVJJ3wfoNAo0Pop6/NAeWckUA79mbDRYraZLJIH98yY+pDtvLJ uitcsrQEJbjDDVo4SVhwbsXwOc4w9iu+Kf0WVX3KOTxALIZZicbTEP5XSeScMRJlhsqWrh4W636a RGrHAeN43QBZMlhKNkoqTJUoxMmAUPfqa+oIe6/lBDWZrupkO8jZnfNkjtp4mPY2A7H9C70FQ8so qD9zRc5Ul1IXLsPnvVNcAZDJNdZrISFassqNH9ZTMNjvMf8kV5uScOm3NjByETnv5Rn30hmeTOXN +hY+nrA5Fc5xtV9vbtcyPhd0WMB7y0Spkb30Fyi6CCdQci9Gre54DHKNQuO2k9vmtXfOGrDW1v5s zccf1Yy4wJOdIV5e3mcxHkPGkAVPjkmFgY8Gbsu2bjKo8jT0pbih6cOVnN3Pmd6kB/h4GBeHZhpT ZOFwAUvintgcboqQ7NKNerd14pLH5Pwd5hCaSv7QVvYP9sSXDXZZs4MKbAyqVrKrDrrbwFBpY+g5 trI9DgLS20SFWy5PYlRmMrUSXOblYWAIQazICdRw10g6o9hP80iXgM0nQex/OPSECEog05Xc87DB 1uytH4ydiEpXDipiPS1xOokfeLLuLNSF2CqqL78e/sKEwPrJLBmAiJIQadjBllA/tgHdCyfRMc8K dU5294glVq4yDRlYTxBS1jY6iZzJkuCBLU/g/W+oc0yfj1X8b2H3FoJNa8qpBjy+71r47ELXQ7lx WJxCqHl9sFoZjY4NjY2eNu1w2CrD2VsOabJzYPf0Nuv0xxJq5H5T0qs/sBGt3bqP6YShG/Hp9DTR PtifhvbJO7300Ua+whWtrvttcIPz13OZysRS4dU5BKx50AUdLQBAjw+7j3kT0C2FY4mcFplW6N/K tcQaWqyhzkT7GsRqS3IVaxf+ZIVDPAIi+mc6YbzduryBr979gNyJKgRQW6C5gsldlTc/okxH+Io4 vf2l7hzLu7M3D9L7CjqDRJa34c0GHZ3z0imnrlUSJmewxj2NKzUece5L0HzNW9X86a/DVdodGBDS Gj1yUbQcaC+7W7wGWRl/gkFlC3fbYUzv7TM2d7dg3XxHRIVPd9IJoya/uAHPVxhhrNb7gObysAIH 7GXcbdH2H/Z3uUZnZpYAAbR28W0av4D/f2jlGC3Fh/urZFyITDOfEvdkHcsWmtATuiIEKEdxEEWF H7W3XfZ+hY28T5Y4V+TSzwnU1jpUdSeUUL0LKL79Ra8Uj/9SOpJyWfpF6pOMoQSjNyhOl+bYfswt 0yKvw3aybl53JXs/L2n14ECZ86CAFgMCyzhXGuosKfsvD/Jw2O1/ovgre247uAdfDb4VsUPVfgTN UV96bLoV4ZOi9mt8F1A8E3GmCtEEsINwbFtnZeZBcUzbjRiVhEIvUAWbEITd9VSuAd6oRcvTobEM NWgqZlGvhT+S+3f38WY0ZweOKx0p4n8gj+XtMUNZwClT6rydcXjcfIFSGK4m7836gTTA0e+ey7ZA WuGpleHMHzLMyCSBQAbUEk/OE6NMvrVBqB+yGDuCRzAaAKQVtcA2ElOgEnjhyBT3nK3dJGENc+AM ZQ4qDgbgRgaCjwTK28Aee1CGh1DL8Xjx3zbkReCBLSFeugZC3ypN5hyD6uPMl/KZyni9Ed5wdnCY RIigc8Ks6f4Fh2/5BIkqqvPOqNudHQYXUSUp1/2wyID+dSVkeYun3Z1PjG9NeJ+iA8M+Y+hX8ISm tgdr+Iuy1FYsw2tsw0lOsnz6ZSaIh+92eD55qEtGvE02FtmIzbFjtdZwMHmeDCd6uMZnQn2ruTB6 nPTwqm2jJmaeVSMVgkIZftvZYYJZ307t2M5vozOgsI0Zc/bebCX3G0n41WW4IunsoOxuC7WwHYUf LXIVmnjC4TSbx2yBMx9HczKPwWEdXsoe8fXj7+2g+3mgeQe3cR1XPzRb5YntmOH7s9eJZK9b2FlB r6I5TwsrwwxQYXimFlqYZewNiiokU1ak+jyLIXa5HqgPirNq8va4dH168dC8uu59tfzJdM1NUUxX rTCap3J8ouGzqLC2WXUcun1VIFStHHfF6b1/NfdP3eMb58xF2dU23nHEl/Rpq9HoOtNSruB4mxUG GfgQdwfWqp5IgZAhk+Mn8YhfVtt+71ulMOy+lMQ65g3ons1a9/FRkQCQtI/j67b8BlHQTdBqHr2u fc3VWv0gQ1/b+szd4n3UhsFrE23z6+SsqRGWqKW8ZLXVMfSA7/bxNscYIgOP9sDpY/UcyndpWrX+ IzT/idkSt2ygf+X8eWeid3FLUxKWhdD2WsKUl1vd5QhzRUZgOu9rU9qi6vXmEBZJxcQj7eNfRq3N 6wnbrBx5kNlTMilvU2H6Uiq7roer/yX/v2YcmPYY/btHfq/Q4EejMDcFljShs/lbsmr1uGp5nYZP DqxhD5p49Kkj5TMJ/kHye6u/gHCxBtnkDIPb4SOiW8KLh7vmMvTpnSHNWiEcdVCFe+76OBPNEVTt oVf65bF99OoHGfdsJEc/OuFuihAu644LtY+P4Lg6ey+DCq/K4QdS9rjdHsaz9eGtOxVlliiO/ida IKgC1KoUIeQJCP6hXlGr73pAZQGGHKqPlx42qA/OzkefPjzVdoE2IPdHQ4ddJ2WX/e+ZByf98S64 RGm+BWicMUBMxkzr3gR+IEfrHEEqbEAzqX1ymDjl6p7rUd6L6QJ/mC+Y/oq1iu+wgVb9oFZRR+AP YvfjDQWGxiq+EmBdulYlnE1vYWZR4jJk/cLO+gWQD4yta+aQqL8CiJr+vU3/NrrBmEiIzb/X2fYf BVZyDegZwEDdV5YrPYPAf03A+cTsKwBcSZB2MoRYh+ZNwd4hfYVfX900m1CxmgAa5G+7Y68dYPlO Q0WJr/kEGkIeu2JkIvEJdPnK/GxSQGlehaNgwzO3y8V7iXRt7DB80bIBpHSXSEHtzBV1Q9xFnEer 1Ji+g27K/j78oY4+molaoHC/Tk85Hg3FLUSmRthlkuZkiKajfOelT+dmVKHRTxcPT1NdP9HImtxz woCOdwx5hBaV65vTY02shrUB731bhDA06x3/s/xawi9sakx66PtC9IHWeHxyxYV+1rjm7NmgT0Dp IiCvSMxw2iPX7CwoTjQmKyjYIk9LWeHXufjoxTEdbfeSk/IACptp7SbVD7lxH58Q0QSfLTKoViXQ hA69+MSc9ZfbTN7bdg3bi1C62N+vMJrvjppaQvjXu2kmSuErnE7/du4/kpLPXc6F99To+7dUrX72 kwHfkU7tKbDDRNaqJImAJpNEbpj+2DTjFNwTOJXmwczqOrAimYF6MbDCx6PPW5GMKjIu4Il3rw3a 8At03FcXA26sE/gaIMhEm6VcDBIBdO8u8qaYbbzNhyJBB3Ld9jtWeSrNjtKC0+rGmBgoPD1RxNBT hlzc1rIDk1Du1iNet9OT8RntnCqrX+DoZrFFGn5SXKFOZNw2zECAHSVqTdq0gumR59oVtCMd0V2t gDP1hy2PR6Ov5wIMkD7WI28S92glvrpNb45gll5AbA80GXrTbTT0V5iMCnGMAO7dSEPuHaGOQSR7 wBt7ybUCxznF+S8XNCv7Zxuqz+HlUFlKp4nrgiiTuBy3P6u4VOFHkRhJIGSJYFu4TQlBY6svqgQd JyBHeNNLfnxl2wBh5UMdbXDEjh/lgR2c4s2j/bxR4KD3HY6rYDluG2IfmRIhkAFxPdTV8Jx09OmC tKKqUo1y8UVC4ygLeD/AroaWfVEZ9Ldt84xXZz0EsEDrXlmbNE8lj/G/QcdSukehwMKSCp56frkf cx8aLpqbggqXd8cIBjZFxJt8GSRGJtpwW+5WAP1GwqOiw9oi12Zn8pV5wcUfCwP6nA7BwgNmcx6o iyj5XVgCzsWo5KntkY0VvjI0MWJWTzqr0bDkwfscaW0q8Au4vJxCSX+MdLhz4G1MrrC4iCzC5EyM psGjWRnDiIirXlnpbz2b3iDGYQJk77dAXPEMiIgRNUpUXB8YvRXQMjhYBOpIieiU7Ralu8WFEsex /DvwGXMaldzgpAOD7raHsZpgAQCKqMcqi11lK+ABsEc/XGbMhDHKWY6yOoYn3MYxCkaNAPo3TF32 ILZq+vzQhPIOoeec072gXZjaF2JErY85p/xuKcR86FnczX0B64qgNFQCCpjkhWYtWwe3fUQT9xZu tGRm+xUPwotBmUxThnjC8/h+LFHMmFYa1mAH+2SP/RbjsXPlSXSjOX0Tdtj+u+JI37gb8RxHxM3X DzEnPOnH+dlmihDgwZNS6wgRmq53vw59OZtcUanL2UcbNmzA6uFm4lfl2qTyDBXJquCiwjSedbcB 4byc1/YC2OSYfp4epmnX46/rgycf7kSQ9s4NNkF3ombwWDEMpfRWhkJrRgHe6cEncovhEcHB2EDF czmswWxlO5OtsgZzWBeGEmLi4nic8baJU8lB4N95iWuBLko8V64bnFv53eKigfQc6slwOKcwSDOk gOSpIBqvuJyZpTGWVDuunywmmUj1hQV0cNmc9PbexFowSBVevu4b7mq3jpeaivTN/+y8EccZ8I4N qA68Aq/DAiWvjkncF5M+0GtlvAtuCo8OYlpmr+19wsDxssLLxDlkU0KAGkbsVrfi4yOW/q2Al1AY kinTmZ1HN94mioucSV0nLfaXgKVKJgOw7ycWWHR56nSfOHSwkl7B5dMgnx9RgYdw8F9qjop+TBS3 B/3b/2nTNsSqdbSCpUtjohqfjcFOteJdDEscEgsbXgscRxsHCzsyIdfUfLfX5PEA6Ac7BGewtchl nrRikf+H1j83PBatF27zPd6qDYo/bIB/OIm4nT74aGikRw2/9Q6F/CJDf7B7yJ6QVd+U+HTK4yWb Uw4XZk0pG+wKWVkKdXS8Lb4FcHKgKi4MbiKbagAd3T40YUoCoYnrufnmTXatTdJqYZ6fLbD4giRO LgpdDA99Wqc1H+LFlCdmITF4jCChoKkBq4xWKdORunLwZ0L/CC5mho0K7feujgpW5nsq5XtLqeln eHugmqBaPeqVUHSr55xyhZl1W0e7rKGGDwR7wAfyW7ckdJvtLtdy15C+/rjRoM1RFSwaVsQALgib a3zTCpI5sAEOToVQ9AmC+ss8159GxgLMQK86ph6d7DfzC6ZF9VBukB6C4nV9Y4YLAQrXkomY7jCL dLA2LG972v1KeSopW9eGZPpStAz/rfjqlojNlwSTl3K+Jcq9mENh41yl+HWYtET1IIgQmPZmEizs 1eB61goeF3wgXQlMSIDOylOkwdoWuozwah7/7yDIo0Rvm9Nv0zsMPJnHk2hoXOnXdNpDJNHfqrxs Fg6JADmPcT3XKwS8BTzrU6m8tVzECz1+6evrQEPLN4ohzzUVWKimgI79nKbwCs0UPUhE9uDOqYoC R/ztHpne1n7KtEyqOJvj7BHW5dkB6x8+M5+cJlHTXt26Hben4C5zuYR0Wehd27u7V6ubTQMsXxmG +f04SVNsqeJLTHXTZBWT4f9jeLo1Xupe8H+VqhfUiK0TbViSy5JU6IuiHb78gTVM0WFDz22m2/Iq matyWrbxncld7QKV8wWK6hK4tHMLjnKJvh//VcySXzQzBlKwXDefq+Ij872WWE9Gusbuhw6kXBqU L5ytq0qIFrgfZ5xLDRk2+NkGqHbhG7xIt/NDc5KsLHimr0LcQBSQbKNCHjr/Zgziw+u7gL0jA1a5 XStnksanjPfcJDSAswmjuOsl6OvELXNE2iz6xahTQdFOiI5UJjVQaRUfEtimFkTacO+vfzxBN1iq dLWhBB2rmi+a283gIQgIQVRSoGdFcbE0seQHlviB+jW5I83hcQvRwH6b6FQHfFnD57ahluJE7NFI /yuiybSX+d1KrzXhaV+DEIrZVqlecJKSc23sI+0wnn28C8mzUR0DlGcP7z3F1rHDR0OpJX0KmcOx d80NVE9bBIFdEz8x6tMHKoMJOZVSqM/gCth+AqKYl6V5ZEwXm7XNg+REmV8i6SgkXp9H4h7PFATB cDndEQSahucJF34nRh7BT9GI2hmuckKp+yTVO3llbqFPmqnm4GRlawvPJPZ7kIhIimJ8G57mQiGN ja46hVF/CCwbz4SBLefTQy4sZcPTi2IOh7LRlgBp4BYbVAi/YLbdLYRqy1/y+txJY8alQNgbZtme XHMKNTlDdl8/ejDqpO0Ai3xQbz95LB6TM2eDRN/N9HxpAEUF1n0xQbqSV2wUUO1sxdRIAK/Pd5+d Ff8mLzu0kUi9FuS+izXE0cul3nxp2u/joYs5aJzsjPrxVtXbBetdF3F+DCNMMoO8Vloc/4mPU07E vTyOw1zXeAb6zPPjv2BO3+BVfrhLs0NDlNPCC4FwGCVyWhHook/+Uj959VImzCVg4bdEXWKomfHH 6/8Mxc0bMx6JHdux4gK1VbC90Gg93Svg8T6HdBJA+dY3L2DyB0CePukn+Xby3PTkebyUBRw1KQS5 90Hw8MGwKuy43BdBHX0DDE7FnnfOsEdio7p1MjWHJDzt1SFM9FVaQjn9yNngrhXlkpkQXdMV9h4U 2+YtrOGHytMpyMg82huYFEnjCdgcb5mUrEmth0UgU3gvKgMli96UwvnLX+REbCVzooKD1grntSbh 273dHQvw0bHIpX4rw4j2NP3iHs392plvxB7PbMxYbEJPrIYWtL92aaZlD1AsDyi4uQS5RFUAuPPa cPINQT39J0Y34CSiOeYEIGDfcspq2j5M430dIiyZVomVd0qW1w1qpCWWOK3shH4a4sJtQ3hc46dK P5DOopJU09qESb38XcF8Af5hnWH2R7N40pAJEf9PujEEPhx69jM8hk9Cqm5fbYXVM5w82HCCuQ0D xfZfS66dZCKyrjoDVpjG5acbNE5Xld9u90BqjuOjcFElXbq2cdydD/oYz3YPUjFkfe0Bm04Wu2xQ Fr7WgSdM3+PbHeLLTQquHiGFX6deAifxkO4yvxBSCGKB4/XdSw9TqUcrwLD3onFmzyvEN+LS0T2S chks3MD7ZxyVszWRp9v6Y4QtooXsqM3he8N7uwoZ+j3lCePPOBjeDRLDUW7KMibd2nPPZ5p1doGY +8g/teHn4aYz3KGPE0DBxIw8lA4ZmbWOfXKRwK+Hv1cXui6u1JvBg4EJvuuKNYRXEMcOcY4AubSy d0+KUXRIIiKUHLw1Sn5Ef3GimfybI9JKzpPcLQsPy+tKo33QQmZt0qIw9Va+JCyYhDiBMnmTwUw6 LOriCCsiE1vr6itIX54RUkdKFnckMGgW05jnaoOvU6lYJB77PyaoM5UWUrLyEj0KvEcN/BDsSeAY +i0rUyfaxMpTgbfYl02GLz7UHRxZpXpkEMbMtTBjpHw34e+dcEbpBiAAp1GVczEVUD+qu1akxryD FQ16y01UNH/00MF4fSXrMb07rlRJjgUriWjhjlm5xMWtvGTeigXCHtv0EXiXqmlEoQvshte0Vyq2 I8HlhQehAjDArFCO8HwHL9BH9377jEBfMXKhvWHQ/szDHz9KARYJ7/KOqVmAvqmrsSTTRRdo8vvg 9a4E4bEmV5EtSaAFG+7LgdEbDrZVViIJedQCzVKus8MU5ezD/+AjayCg63PIj3jbvpy8NZWWv6Ze AAFbfgJq3SHop8R94noCLba0ShXL7yxaAmii0juqrHfopEcBnH3r3gS5/HTsmopGbdsZtY80TOXU MfBby073CO/eER/unMCzKGqK727vCTuqpGtmMFrePzfilt9v+MlmL3gGMQB2ijh3s145bUsUaMsg ju0cKJ6e6sb+Kxqrm/WRUu2c6iYedFSyBTN+HAhcbKqCDyViGbyyQTjOWLgTgR+eQVpRZAIyRgSF zr0oTCUk+B9/D2w3UKsCAS8DCGLRDPw0HHZ7jTMnh451sdKl3gK6wRUkAto129Z7dSEdXiNwI+SI QbGnUqh2P4agq50ecGonXlenolXSH4loSD9DcWjdTciCZMaap3jP4RdLgy565AOBNbh1bpMc7qne OjxkxaRjL1/d+wIp+sKX6776L1LpjmYt2O8piVKrtGG1o7Ijrmxd7HWKG15DTthos1pQmz8b9ht2 KTC4mj+RMU7T3YIp9n/GwRrdHgw6Xq6gmV4/XBQF3CN3R112mu6gZRcAbATZDw1n7G/2wt8pj0bC YC6nXoMO4lHY5mhvBFf6KvafpqfFsPgyuHgTs4HohP//gMG1Vm7xDQQ0jB1LzPnSgkE6fBXnxiDl 7bmmD6MBxUZRm+2sv4KOn1arVyhdE3dSQ7ccDgn/a4LS7BJdGntfK9oC/cKJqBNxgF4eJZqJ3VCj kSlQXfRFp9cnGsnszIkQUqEGu9bujKVbQ1wNXTVwOALL6rsZk7n72XRmW2H8UoDN914LzUIPJVgE zw0DOD3xW04aV08UqBScwTfcvJ0RC7jpmd0gWTKTdtsF5CELDfUz8tfnRIjhaGh7IuujtwhElgj0 OBrnJRyMRdo+sxcMS5J5sKjwjO6CZL96PrCln+U7oX235jc0czWdP9ruKJnFnd+lFXz85dtlF4x+ wvjwiexdIEpv01l7C75Naew3wruLmPZBRMRs97TL9K90BrmreqsLSV24KF9umosYReqLRIYU13Dm U7r8NhhnJpGxa8WfxtxHuVMKrKxqOp9aHS7caWd4CJpYR8lylI9CULQFPP7FF422frQ1rJIumGCL IT9AjzGTw9BXYJFWl6lpy5lcGsE0zKfWzY+B2kp4y4zjWdVeYX9b6yAD0EEL0i11pKGRD1kjKH6R 8XXrzUwC9+Myk2eCb5tgB1c6NqAYn98V5a2/hH3uCLSQj6/vGWUgsKkqUHBtdYVV4LaK+qKwrBUf tZNGPkQSkGeK2yGUzqhwqNNAthWsic7V60islEwB69LJWTt7omcugQbKP0FV6c636eq9pKDZT7Vj zFft1+Ef1hIYsGzxCzeqlAM7Gy1BlaiaqJmeUeC3MlV6T/LabCJgvLoLqhnFbkKcZT2S8KXiZZCc HEwf3ZJ6SFSp4HnR8fxJpczVPA0lHj/fw/1etYC7a8aqQsV66iwYBJI/RoSG17F2ckIRvSF9OeXJ l/iuM4eIhlCK3BVXQh/6LBdaxjgU0bSIR7RBFIqiJwUQ6Gx+GbyL4z0sTG7fyqmiVdSutYQISP54 s8vO/xbLOi133aDXJGfUBmck8tJ+DOeEZfgMW/Em0XZKoiv7g+B7QdgG5IPQs6LLE+Nd6CFaqw+A ZpvftMd5vWXCPvomsVr6EksQfTO3a8w/8dNPL6wwe19J4cQjm//zZTVj+Sv77+X6O3AW4BlNgGgE 8D986tPxC5QUzQtrhioBCbcZXynIghnpsvtn1W2fmUMPI4EyZoB2oVAzuxFPsv+VuAQ9+0oG2Ngc tonrDNObRm81IYeK3WirFgzzyWus7+CMCGKV4j3BB8TMda4B5E+Tm8JWOb5YZ5YT6A4HrdD2rec0 LMW6d6s6DSd5Qlxago4i18dziBK9aBjWi7WSz/RgZlz5oaUqlFMLhOXEveZsQvN4D8Pp+J0pTe6Z /dzfqJ3ugmwHCjqoGEI7a02J52E6zxR+wUivJMi+ulqet/IclVZov5f+nW4tRGNX9ERaCvswVmB7 o5HC7BLTNMTiuM4VTyeGaWkKNJ6KoPU3jP1ZagaPO7TCYbNpa2Ucm0d/jhyZf+a/Zf6VJSH7xVQw eXzF3EEIH5RKrvC+xxK66+o+na+VSiBc2sS/lcelMFnH7M59GcKJox7PvelaApplYGmu1HhYuQPh V7ntMkAq+iHAZzSoDP0H+oJ8/aS+Rl/WJI+QliqPl4ryYsBgqJ4YIRrCrT3yeG77cb+tqH3ZphFl X013DgdFVf9Onbt7YGbe1xJ6OB2oIwVFF03m+Dlu2EPUAWYPxDqsQviyvvgJMkvRjVf4/F1F9brk Kaqzr0kf1zx+WZ4HgN20U5NfnGDJXX0ElnZEcQNtquKh4vVtca6ZK2hM8/2niRhRo+q6DEGVdicR f/R70OTW1F17C+COVw8HvZZDy1NrjyNZN78q+MliX+IDGaVmXpIOohyKJJ0IEvO3NR8ypNi7/5Mu 8hB9z4z8hcjuNI0H2C0lt85iZQr+0z6/xbX6cWKPMDwg3OmFTLKsTcRAb6j5Yb/Vghr/Kcl7iIRk ghPCA8YZIAtc9QJ3Q7Wp5Oho3IijXl58MGPgeSGc5fTYpUmzraQC6WH4l/A2XqYsUnxxJv/Q6Eun T6nmxz7VRnm+qqeYjMIqoC5aJT8x8EA2V0d87E99+35s3+cg2qgDjdf7DjqC0pLjAx4ZmpAR3V0V TB6CpqQ1ib14cQc44hehjJtnsh6b3X+AkEV6tnx/hHQCYQyKrRl+4GMr7ZDXoGxp99oj/Smea1VR y6QlifWzExTwediQ5bw6cFWon1aJxYkzBjP4F9OpX+bWh0f2H5vG4lvomfq6aL3fs0KO69+Ahn/V WJvjShX4j14va3qyMokHSO+pZD0gnug5JxIX17RBb858vippxAu3S4sq0Dv5ukqqdDOGwxcXVIL8 E4aX0B5hbvQCQXsuhLDm3nxKx8rS4RY2PEtr1O3vjXpXmT0Njlh/aWAkT0ixQjgcLiiwLlS0RMNz N+h36df00ecRfQnIj699ivEr2okPSoybj2Uek4Vvts/RzqFQIm8Xx2IBlxMpRCm5x/f342jh4flc DUQYvDA/BPZJxv9w5FMfarFSGpGEfy/1E+iwfwo/gBEM0Ja+Dsb40xRKp5BH0wPhrKU41BF49WkW DdeXIsZh8mgYUqOQOPdKtIJF0KbLwyau7/3+GBSYWY6lR3UcHpQUouqX785TF5gzi42Pl6VK1S2/ jcxlN+DF/0LtHurq6vjnHmYPZd9hwsCT0eG6CnDWSXKGp2KkJOKHG6VKYxFXtNfYWusUK/TXLAEj Hs1BV7y/01bO+fQ7+mYzMyblymC4Cx93hdK43dUizfum4SyHFzO2iz+vSOZcSj3yjZ7X7olGWqQS ovCnW6uMmt4BBLm9RF6WzWiv4ooWSUOiObzXpB1c44z21J5ZEZYLzGbUaDjCjpnA8P2cmzb/SgLM 9Z3ni7AHilgR5tOPa0WT4il1G1Fm3CuOPbHn7aSNj3fVkIzAkzuHUofcf7O+xN8q3sHJ/N2x1y18 AFc1zgyil0PqlUa8Uktk9xwKcRjke4RtmQdRvx3SDDOrF63M4w/fAB2ahPOKxJmpnO6z6tV/Ummd BX3NQFJM7eik/aemxWqKTQgF8g3O3WCATU3jKz9ca9NQEEdlNG105IUMaHR7zgpt3p4Goxz+poN3 G7sP0KtfU0dNGuwhxsNIFT+6ggtZEAifIRWwqyZfj3uruv8HaxEGYSiY4UpiRxiYhA8wUoJCxqIp 8VIzIuje/7rlZIFlK6uHMMzLGbRo7Awi8A836pINVt5+W9A+S8HFj8Niid+aRM8+cAsoT9aSpcBE dImXdD41I6D6mBVLktMV/SvI2dPsBvX/zbMqJJzuj+YEJcp17IaxB+nwXjI4O08yYpXtd9zL6AJt J9mBg/UELr2SKRPC/OfoAne4H97XpsLeYN42FugjOgx+H6lavijJ4v9XbskQ44GuJ1vBK3aIH68+ RmeLfhjkDnTI1nvhN22ZY9K7K33Nau/jFn5qtey11AExvUxsEaZ8t4EeK12jC9owu+X5k9hmGRHt ff+fWsON2CSa6EXJa6CMt+qVjFRlGuDLqu79IhLHcu9VgVU4bAYAK4k3GtcaEWoM5kP4MOJGBXtm WsM4ek9E+4K3XJGkxjfzKEY+zgXLikylv6rKJ5uLBSF7udE/jbL6hUGr65jfhM+9IvZOSC4u3zBd 9vF0vCordUJ57LjjQvNadgsXy9IJyXF5bfOgyEFc6f6C1Wks8l403dxJ525+I9mW4xQ2rnwNGS9z dkmlQO/6rmSV7QKoPQXOk+o8wyYESQNN+SA7/hp+803etparNJMNhG5MPO8tEVDF/nq6t4rs/5Q7 AXc3jChE08mbdfJx6Ls3lY9pMzlU4+1W45H/A+ZvFFqhUjWCTs55HjTg7jHYek4pcsFfDfG/vwfN 47LEkoBkY8ZqovqSiQYc0NG8oSvMp6U6fzAjflUm5Bp60Ic4b9HbaiN7szzY/oVn0J4P/iBctiE1 i0seCXahQTknNZeFY7N6Shm0CK9QIwkak3bGtMsiySpyshscQ65JoGuIfDekZIfHx2VfCfgb1oWy ikC1SBowqBPHtLzhwsMdJd2ZV7biLskNtZXI/X2PbUTKgtp7ovS+A7BxWyNAnPk+Svifc4sp0Q1s AKPeXypklQEsu25GWnBSGzfjvUeF0FMXPFBmZBtyQOVOtvSMprUNyVNy6XcvDjzQf7irCBD8blQY yWcBe5A5KNupxx3sYu3PylKx0mQnLEq+N+ux9JGO5Vhd5RJjaHEoqsuLXlKecSeT6ICupwYedFFa fcVrH8/bA1ChNtHokhSKywQNpjTXucfeUy7+mLguDwhV/B+LLKUKcjA1iLc7XflMeKtmxCAv5V9k qefx04M2S02v8jfApx/U3cqC6WLffJahtdN05wSegNGDrQjMNzgbSoLt518V8SwGugFWjjd4XBIY TamWq/dUj6490nHuzqfYbHIEzusoz6m6RzIAwPExTtIkbi8gO6SmLf22TNw/AACur2TPdqPr9pb5 W/G1URApurxFVdBrk3C8Rr1/jdZ8Y5L7RmocxiVT9lMr58h6NuypAu7KdPMWRguB7msALgN3GqXw Nvj4KbTl6rCZbUfsFqmUlncp4qRp43W9z+ikOI3sKPKbaGfkSmDmJk0Twr01GStepUfY+im0B3cI 0gpf0eTCzWrjckrvZk9x21t+fXWM4ffMnTo2VF6yJxgfvilm7QfMqd6IeQtiRykADHvIw+jYh8ry OxT1OXsB3LQ0CBQWRAfwnvZgZQy6Mw8bHzKX/3mejCXIXvZLDQmrihSDzdNK9iUkA2FeDUqUzcl4 kK/oPpg+te2Pd0czIH0H2X7/3Re3EcUyKa/Hnu/UhyTC4gLBSOab2vrY+9+Y4fE2JczIh4a1B2e4 QtaTPbnjqx2OyqYdIDwsKrhhNi2fxfjeA/UUVJ8AeuiEhndabZH6guegeN9Lp8ErY5L6i2AOYuOi sbqYek5YN2vdqLeoAyczhjvhlKPwYApZnR5ii/L23daMd1rxKm6mILhkkuWguWAXiKhLSkJzyRtN ZXZvWWL7B/RsnVAmsISWBnwe4v60RU7eJDx7aD8lHRJyFZLlpHkN6rZNhnCfCEO+d8iyDj9CRr0B dksdls/eZ5XPltDdt58vZPcT5Ig4pvhkPoy3D7WroO8a53TXv89IYwOhJsK4ejsCJkMGsRzGBurU Xm0h7ZmcVdAm+xH9OBznpf1Lcz22Tu1HtLOJgFTqrAuM5RXukRNq90C/BXhbchvir2pp7SIktxGF K1BpCOtITFLvdUteepyLCT4uiCQX+kU86weSi9gAq/UhJQuMP6tJDlljtLA2HXMk3HbQqBQeu+Mt h2Scf5QlN43E/9HPWtJ2g6qhHL1Nya9uF9/+mP179588c0zVrF4/WBKj63ARtxTndP9vIgOEi/W7 P3yR+xUQAlNYyXhbhhRwcePs8yA3SZyqhQr4rAcjSddbUE2zc0CqVPrPEeNREcLjCQUwQVNMJP9s 94Br4xmCmnZiR3R81kgDO2rCznEXusLp1ef32HaXZZzCMJu5C4xKFiNb3FynPn+h1b4i2Ogr7VaD SlTSq5Y3bV7kG6OIbXInQs2v0xzJEED0EBieQLnC8Le8BeFz0on9o+N03qL7IEd1XDyPD9Sv21ZS U/xWM9mC5L7GZQ65Bivdewk2++wC1VbOpkXqVTPjyvYKpyqg1/Pt/wnUgHYXHcesAQfJQXzy4vw6 Zz6iNTrYsIR8o9uwRiVQoN9eZVdvx25fHVtjYyV56IxQSWYHBYONjqrr6dwFM3pXVsMrMY3Q2Gmh 4nESUPOzR6F/QyvRmpYy6FOwpbRd5yuLkn+8TREmeJD7fkleD7XH72eX3ZHqYRoX6eCedjIejPgO mu4oYyozaRXBrN4vzNQJMxswRp3CMplH154v3Mq1Gtg4WRQaFT9ryzcIMNJBwp5M+wOKTvGpBqDs UQ6DBInTNhItCELEs4S3hgizgX+sQFpV0wj4opXgT4UdN9k0aI/HkukjjLKZSG/JRxQjnaIc/+T+ SYfF+C5lIsMBnSLsGXgujLK0Io2uq1GqkJamSftb6r3UsO2YXTVf1pwh0wDa7dMthCp5Kmbwx7Mq HGZaoVDFL43iMYiwE1gDDTsMszJTArI8giXkkr4W+Dwcb80DxDEDa9wrXeL3zLZwlsmtoMUypI21 fCyN0UhlZfwnk2ymXJxD2mDV/njLl34+xP2VQ1YNQtOGMLjlxoXrQlMyqiFjGJT1O5yrNq+bLoNN zxTd+AS120l5GUXwjV+IXanvxAz1Nx1uVz0dWShJvynOfH+3ELKStN/OQ3XY2WE12LV+I7nraU/A u7UUf8+AmII6txT6mt3P5BdMeaidi7cekFhTCl7uFSkDkGHV+3FWZIOxA35VA4033XyGGIbAsNc+ +t5XUtEwlE325fQJPRuQ5Kq3HQOQI2ToFVIT/7FkSurfpzxoArIby/uIINf6Vqtid1TQ5VM8khvk kICapBGCJPTxntOQJd8xvp0IxWneOl6t9UjTzQCljQ4JqvBGOxjJYDDsRAKcepY0EPTFcqSa7Mjg 305AOJwQOq3yQGZQb+V4zFHaRcrl/mhaOfKVObGSCqooMS7nnds9Ky7FbznZQkHGIj93n9eYeMMj IiSYIF/3g4not/vMeQnHCznVFOC8+c0vwx5hRURIwKUT3GidcmNPzCUVa7wgbYMpWCR9GiV023/t EZEmrmUyBBdOL5pTv0TxjX84S+PnzTkcY5qNPmjKd1hKIMWE93GOzjBrX6yfmWtL+vV3QRtA2n0Y wX+PqzYZuqVMqaU5NzQ4xeuC8++VGLoeMtkB34yFYr0ya7GGtpnJN5nLPxlvKMIzAvO9eg0qRVw1 avJwkCzuCb2V43eFVGgaLyHE4AtQv2/wsKt9YEOeO4zVk39vL3xRIiztzF9lHnvDqaA39ZRITIna lDgMNG8Kl0Z2/Rt+gM3rIDF/h4ihA7npVQy+IAAi33jbRMZ0PWFqAn2adt39RWZFbWnc89P8F6UI RLdIJguEOVTV6DqPcVZmeog63g4UF14h7QV8uKy/N8WesETIpLW/PQc84zRXYC/IDewCEVNbkBkl Sj8EVxE7Nsd093r7JEJQRiLoi79XkNRG02gsABrUYjLkPGC55+pJIxF816qAZVHH98Taa8bcYv/V BQiCpmhYDz2vY4ULFXzk4UsMS93CtAztT8M8VlwV36X95b6Id6vJrUCS4mu0a8SVMcBbZW6VngZ1 ADdw0F67DMKmkAD+ANQsfwKymtEbSgwxgqmn+4KvRD3iIXepfcAIZSu7rpkhKkH6vaBM1s1hR2D5 UbBoYoMh/il15IulWmtKSkUV+AMnwoYBcZbXI5nFU7Z7f+RPuHLCXUFQFBBD5qgyb7t4H7cBrZ7Q E65VV+Lk/leFHvp4xlZCCCHnse626SjVaF9YwudAZRGPzrlw0JcFan4e8Z69xN9amVC77TadH8Iy NsPGvkuJsJ2vkR1TVfbDvTiQBCQJ1QyGhvFanCu/bd3tsz6CK86UW1+OVsNkrJtpNXbdZjnujDb/ YkfUNfGNZWKbWZHvpbx6Zi99YRDnPONqJkf/VpvYh+qgIeOqDB31OKL4BF/eEWMxVtd12TOHUbyK AcWlOrzWXjt/sgdH+o50BQEy0CwvbLzg7yif6yF7Oe1WkHLpEpvDncnnCuT7VbKIzvxORfj6dIpF xTqiJgtW/yDtxk1TxMea1ueXnJgycupMPL7tlMjP5Elk5l9AHPNqPe03LeuZ+y7amHIuuSxkuouH GpzVOz1haQY1Zub9c7cOrkJew+HtiiUYT1yPGB6Fml+EtEczYexkQ7TM0rNCfMz2VRuuhN8pgnsT pVUW9Sd24tYD3JZAKQwpztCHSB+14yJy/USxr7c/AqUW1pDwHutCx2vyUdIEGEhXTvfVx3dMSPJR 4SBsVI6jnZXKjUKDshzLk5lvGwZFyWsr7YMTUuaaVVK7p13ceJOYTzbCijWZaBTWir5Ckuei8FOH MDg4XwRVhhnzCO/1N83oUvXpna/1pFqli3ojqVui8TvHqe5OMrqXtu5Cv2xHuQ/sFW6JVxz8gUyi g4FkKwdQXe9XaV5rRyFZdz4+bhevQYsNMPsfsz5+DTL1P97PdojRYgMxQvVG+EAP5mPTZONRTTJq ukj8wkfPoHtmEV9CdJQ9xittlHGP/jzlf0hrf4o66wIeMfBKbalHmXPXg2twbTv4SRGsMt5o4XXg G9VS502WCgKWkReK91lsB+hBJkUyPGV0DzOF+DkgqD6KxgFTM+WX3qRcreaH1pjTI+91nQbfX67s 4Y3zGnYWvv2Jtvbdms1oIZATvw/c7lk0uzScUPBpWBJo87HYTK5Zs5XzsDesz04Ty3FEAsksxDPu zlneELuer3o3Re3X3gCQbRoUSLGZ+wfySM8T39dtl6cHc5abPVEpb9kSZM+qMUyARkJJYYzJcgEU jxoeMyW3WZbpasjxQbJl/0tVWBn4w+2BuTIKhSeukkcH4Bn+37k0m2ZIvL/GZyNMuDsOtVFau/gn GPs6xYUr/RRBcC4U/YT9dWiZeO5Ksi/HFPqlsFP99LY3NBrxaF47UdwcWSXS+OSgnDBTwdjljg7z 62qO/JngqL+Y8MPY6/Isi3Oxr3vIfbfh3ee84R9PvNe15xLS0eDVXLsWqfzrEeD3FOlsYPiIHWfQ OzP11A1q4xj2wpRGOS31gHxI5VM2p+kOtFUhJu0u2F7119SF2g79VsrFzUIvh/aZdByVj7nz/svV 8xIOIsXxsdwLHJZ8TlgTwwVqQXrdIR77OTiw3E4On5yHv3GMsidQzLq+G8E/yuGofFTI6wlWLXWI 1WhrIv3rbhFtifrnZYXFCgZsLR6++rBasuCwEFR4w7Slhml0lmAFS76cXiPlbG0wxxaYXkAbqkNd aR6tF3JLWfRRfneObzynnQta18cB+9Uw2+vLJPfZQL4Rqsl1Vt4qukkoPEQBxQjTAprgrKA4urYG +d+RA82Erh0oy3cR8tn/Y62L9WTKkknbxSJw355NjNvpT+iBLckmIr1qy4xNiu7O9KxUvWj0Q41s Cqh2xFroeeeIsMPLQSmjGd85Y0NkOm4jnJVDQ2SEeO3OWY6HlHruuEi9NzGGcHZc/f2CNHBMuBqr 4UTUheuE0hbxkCCwhEvInj8UfEHNjed/o3o5+Euf8LqzZaLWyarhqOf1Zdc695Lzp6uWrj3T0D16 sslFDz8BykhAEJ71k7P5w44Xq/DPk134fYYvJhoa46vt3+nOdSJQ6WjDkcR98hHf+OPr/tHAYQ2/ gShi+jzS2K8f7xd1iA/ZOxfnX2EQw+Xh0e5e+yc+d+bdzgIG56K8WZ1DP9PSl00BF2REUaRdRQN4 eHBuNR/MkXFpL4MvquMF/J3jAgUQP41VSFMHmMiz/EA5skQvstYeI/aX9miD5CKJVc1pWzv42gm9 7sE9HyvGPpmdB1Bi675Ng6GabnU8iZb+WLzGjsAo4ob3dJ5tW4GgCMMi5w7HFSu06MWgGLzU+T+E bdhpYj+xg06CM4YGVrEyy23wWbzAgLOAeSjqK2yTYkzx9kpHZje8z6/ZPxOKN4I7yGYPbXWHiZaF 5+CNVBALddenKrxFK1W7w4CA6r3XgGVW8bdjl/c3cxz1/kG5BpZl1NttOTuPUTjBhirOX4ysYlO9 GZrWXe/j+Dq0358ifFzpzPyUsNa6Va9NJmtrVFci8SbWjT/Sr0kJuaxsCFyW2wtVmoNUK2V2QD63 x/enNQk8HJSK7DNrCgJaH3ClXUy3DVQbwO4rT8HU2O3LTi1FcGskWbbASD8y3CnpbJl7tb8TrW6m I67IAx5lSOPK6MfpDmxb3yM1ICrWKNMGlIdnTvE104iHAeAwX9Vx5G7+dulkMBKP4r+vaNwkmNAH 6ddib1hLAqt7JD7GaHfKIoyk9Fqmt9bEh2MVg3InxFtmSQdGsfV+cxQpUqQo0vOIDT53y/1pkfmH UR6MMYX4Zk9MiHob7CCh4+QVlSS+Jd+MUaEYfaDn6WnA1bowUq/09+4pMNy51Q4UM2hMNcpsHGJh TxwKEBabQx0afewYPxRx8YW0JsRpGhcPKrKkLR0loltru5kpzzPTti1ET+fPvXyiXY3pt/eTB8ef I6A7ManMpdxuHxskcYqwEvpcFCpda1da3DSgchFsmIg6xh5jPEuJhPrESe8qyE8RjalGXGWBsmAR DSiyjwFxjSVBcvFHrV0T7Sp48/7PnVGhi4rQ4QElDDOPWs20inpYXGwIyf6BoE2BviTjfE9D9izj fGhSig9aM1VzMIbqAjQTaHgjPrSP5lTDuNAhejj7+CsfEObqGFPXvLAjvD/ow2/PIjAe0TNhXdTN Yq1MG4HAu7YoPemtJUQgE2xApKaQ3UG9yTekc/yqgnQgt+LiPzJZmwxuJCY/ZEjdTozkW80UvI5Q qjjCzR3bz2/8WjWrU1KbtNxf0eCDrCQwwhrLwHrgE22Q3vOtgvzqr/Qi5t7S8ebZhpMSkC6rAvIB 4qDKT0O3L40nYJoF5MdKyFQPWvVoaLi8r9eRj4P9TDkbdV8RF4sIfiT+4Lx67WhugoHQAXJV7Elt /bTAUSVTH2Zk1rE1ZHH9yaEiVPAZGHG9RbrUgDVSmU9ncN2sjEhjkv9I1YaVmDXcrH2vRgOHkKFV itJ6NA1YhlbRG1Qd1RNzwW0oW8U/Nwh1Lrn/Cr8ewYpzf0BgPHo3MjdctsIvTJa1TXsm+y7MVUOY XL9F3iuPyTnaGtr7pB905fXXlGryUrKjIomc9dtd2Xo1Ot+T8Qiu8sF8tpRMjbpR6Cq0UYw6Z7Cf 2YIAD8O7KZJfEv9rI3k4n6t56/ef4ZFu52jd/57Hh1tAKcCPY7lhkd5yj3MAM2P1XntdSCbBBU6D SyuKDdi0nxShXxNa2E6SxIznuBt+jFmoqIt4beLMj6H7WH8LsI2iPK4QpV6hBiNbV9FrIh9p9JVf TyfIgv7JUFVh2C6YBI/Ca2r3Kt/FUm5d8ZhdA5vHTfj7LcNASNOm+UThujjyqL2GtfDu/485m9LM cN/5K6yklmcggVUgMoB5kn0I518x1P5cJDMfT+KCa031qhsTQf/JaiVXyRgMn1EOHKuvDcDOI+wP Ndb5xtlo+v4g+gTUanLxXmh+kMF1vhTvzP/1qFeqssCjmqGIQw0DPY20fTBo/FiFU7yfwAQMCh1v HcWwucIPoC4DM+gknPvqzzFUFtdil3kg/gkqX15FGcWpIxncBzq9F0aOtDeKbT0Hfn7laDij3U3M ntceidEf33PTtczar2l87baaMcESJkvgH2UaYcsdyA9uk8wrFRNa0wpmDxMEZzsJVc5KYimjHJdv xA93MdHneiB+Io4rpndAy5U+RMORTb0y9zRVKq6HDJEOVNxw8aq+XExqPgdnyvqhPK/KJVWmjd14 JyAQX7RvvH8GAT3DYFBjQIeZ4jTAxEtl8UUmTtJwNb9ihHZ3onoyBhdxhvJwdSuWoDN9LufkzHex iuzAz72TjIfkVO9FQWc/XE3y7R9Xd4PIkKM3wrMjXjtt8Zjf19zEb51PKy0uxyaUidyYkl8fx59l qRkYWa3p78bcJffhToUnT0khX8Hk5HloZaDSlFN+F4G7y5hcGevxu6Zo43lCfc9HKp1Ecjz5LqbW 33xAJqsju92Wp+NmjI65TKs2WsuIAfFoBhH/ei/IuHV1gnMkXigNwDYa/eqoT1YA0sZ4PfsYDlev Cxr/dmPqFXgbIXZUCN9vm5batC8xCMK2vdri7zX6/FYHms1eMlgGY8rGPDQ0jAyf5LsGLdmmPbQ9 j7OFtPWhKzrFf+G893TsSBrSLSUPe9+QX0jtUdxDlDt5fLNVjaDPpFEnX5Xx7mGu17IUQX1Koarz v5SXFwSC7M28KLY5/3G3okTuBr+C5LO4NSz/Q+pTveh8sJRu6zLYQdjFpspQoi9nnRrgjWKahOd5 jVrxr6KLzS+3MF16NygROXeYwUY9ufwsWH0C5zK9p5WyZlNUC7iGNviY8FoUZGzdHW+jkEtBEY/V 01ma5cThHWOZSzwLHNtD5weuxEaO7q11dMZudu6waqbfLO/SBIg263Umn+d24+P5saUNrliF4w9C ZQwCfUO7FfRiD3232NJhNn5R7Dz6YL8fOxmSnQ4iz38+2a9VeX187APNZvqbFs4nyH7uqZGyyH7W 1sQyNrg/PDCqP4OwRf0HJWM5EhadfSGa8aOgsD5X83l9kQbNydJxnRy7STeNsA6sLlRBdZYBAoWr 0DK1KrJHLBWacTDnYmAaJO4BUrt/8DS+2L11Ksz1iGAbET7IeCHPw3+raiD+wk71iOGkKorifIK7 fzLJP0HzQ/54rhWQIUto01BjshJfJ0AVDeEG37rSo9FHXky5zLL63/LOUHQSmc6hekUpXDu3Jz30 ICmqbyaCBTUouXoBWcSD8rXs3H6U8uYvaAjsEZMIc9KIhtWy9S4ad5/HkWQG/4XSmJSZlflCZCEo qNdE86CSUuj9yXLS8KOAWjiZlz9FY8UvGOoiXJkDZCjEzWkpXTC8TJ84soa8VbzH509KhnZaLLz8 puDCrbm8ZUfd17bi/XzfOYf3JOpzQID1qb+0wr2gKZjvaM1Q0vXbwQRH3sftbuoOnDgWZLwgn225 MtlZn6lzkpujx6j3v4A3TmOyptjEk8dIsHj1J3BbmbqO4oiO0eZCUrBu5U3iYpN07ee1S5ipePl4 XbOlN3d7TCa/+PFwdSr3OSuNoPK8tDAuikT3UHIkjPQ2x7V3VROO9KhCaQGD3dU7mfZgn9XM2/X8 v2E3zgJORDqdQR5GiQc5NQB/BrQTvHXUH4TinlPMTZPTJW8hwqzDE7J5vVuo3X/q3hRtBwPB3JZU 9fwpZ+GcgxIL75Up/h2x96C+CP9LXJmg97U2QJPjnFFfq14SPg8o98yz90bHFv9Yfenrs7zgV8Fd /dW0hMxsKcm1MJKQn+qW2vtckDsOyLrvKLfARMpQ+7geMx7aQTPCGL5rlK+FRBANzQ3BK2t0nv2h SjZwMQ8CF+rrKHusjXnBYpz65Z0cINrSvEsnpZ5Zc+rEX12hvoKsFNs7H/8sWt/hTbRcun1JFkit /x5C95V0Y0HXLN5poPwhv4aAVKw3W/F2+Iye8dpM9LMqjpQQcldE3tqydYbgcUg2a74loL0l7DRj K8i16ULk6o2QZMdgyIuxg30FHa5Z5mBKdrMPoQ1o754ya4e3MsxxNJKC4sbxvPGtiz+tp46+nb+O HjMCrSz0iBUX2D3GBQL05D/ZcChFnJLjuovBVjEBDVvxSo3zi1TaWj+Wgh3U8iweTtWN33sAvain D/fnrp5OKC46OXyO6VaFLu+fzao/1wWR3FU7YTOJJVIJ8trCiUaZZyyr1lJ08s+iMiKafvjL6JEC JTTFooxsN8W3xcnCO1AbyoUiMHA/wGmdUeoNdhhdEXbcgo7dtfnrTIyYf89es5C3Npt2Cqh6W0hI hhvCd/EVS9/uC1GiHOJFcx1KbuOpBTDVaeEgA7IKrcXY/6mWroSYtywngDYNLxAEywjkzwxML/Ga EB4wlZ7yhjWUbudnHNI6i7SbaMY10Z1S9ZWOw8K52Kgd5vLt9fsd+/eNREvRJX725zVE9DIUo269 UxKWVqwv9ismsaasLsILKJV/74xeRkHtN6Nru2Hkp3cgHHr3ewl/uyPHForUEx69knU1FMEHRHKn R7p1FKmmt+pa4Wb7Q4XufXo3+bXd+wPrMIqsyZD0Bg8CHHUyXmXBzBlTAI43/qY6TpwumIlq34BJ Rf0KVXxUeRPOmeBxEkMJhyHs80OCQ3Fki7NtSDwFhpzZ963LaguRkUPHd4vl2DDQx8x9hBuVVF4N UN6NG2nhTg8U+KH9ttUUV4La/qxJmfEcrq4mQqO4SvnPzTEVYli+GV9pejn9kc5L3ZzaUJDqCfXg HTy2KNLj5lDVQlTzMvEwepbsX4bk5InYIoR12SkAfLbfd1ai6ZXurkx8B/DlOvbNcoXq6zPtjYcO lUxQWyG4ynpR38UT0lWKXItYaVngQdljj09vrifcdZrJCwFyKY98JqB1rnc8lJwMI9c3j0TEPLjH qI+nJu+BLL7S/ZBW+8P8JkCcEYH0FlSwKSAQn25GNnTKqvzLqHIynMqcdU7VVohG17fZR2m+1r8x tx6pKzPTb1DClP+cki79pVx4eCB/4aOqHG0jH3J4n8vqZbEmSL9TWfrAX4qtwwNWDgs8dbP2psam 8uf8EZGY7qrVcQBx143R3pAjvls01dVMg3d1Qt8J7JYKW5JCxLPF29ZSv5rwm24uK7CtecTS1aaF wbmCS9YGAVZPUI9sDBe6Z3VZtImRnr8/fZowEZype3iKaQhW3mU4izAHKOEOE/QQYtktEs94P0KL hETMs52QnqZLxV52J4A0mBqNKNDAYZIdm0BebahHUUklNhPHUb6Tfq1MG7PVpMMXtMhNLrVNbjWH FXJ5sPWc9ON8BdZq2NUaZKcxqUxAExAG3yvuK4CfQrLBnKR64QXDRazZETJTK9tgtvNzMm/36N9M 2J3Kd3fschJgUFwETCfWabs0YjBZz2H/FmCirC5Bju2phgKTILs7QJPi2tPjuj1yhp1vvXoQ3AV5 4Dw2tWaP3iSRPWb9X69RGmkxvcJn/CiF/50SBwfbfGDx9D7GVgpRHCbY++uT230GedR/p3H2k+51 ef1A/c2C1HmBkLh+FIlf/I/VzEX9sR/YiKmiUawymD4QPOia8ulvM09l0ni8PujIOBWpVTniBbWO CQCumOgmOEgI9SxMT/sWkcmNX6dn/0f7CGRdjTIKNGyITGaTW/qeUvSh+s0TE6bbv8Ekb7Lqd5FK VGh4wnR5gkZSbxPowR6VRUECjoU4lp8v6nekXnKEfzV/TOQc7/48of8xB6f1/MIaFtNq2QXX6sty FCLQmyMpjPQM0Gu+1J9B0p7T7Sg+XSjgTI4fkPrS1jmgDn+5pniCnLwEFLMLw9DvFbdRL/b63KNu P8SNgS3X7rhXE9/cmvsBKZc/METkx7oprgO9YgsBZ+9qmRcXjzyEpD22PBFa7lulLVAMWAfA7KtK EDqbQAWlQwwSE+1oR/dAVud/EdIrIguqzRR7OUS5B/mbryMUTKjYPcu2+7VSg7EuRo7c+UxLM33I vs+w1FshNqwVYZQQqLyyE8FQiijaWAvHKsn0EdZb3rV8auM0sWjjYH4HUHOCQT6jkXcSnofM5GHZ hEZnOGdmcj8vTmNIqA0g+ACWeYrS2ui7oWxUsI+BjSpN+LqNH7zT6XN2Y9ZvRKtYdXBNjvdALIXt 6Jv5mUFPGD7lBsMQFsHXWGZDbTPu5ww2JyeKbWIIklmefam6EBJU6VV1d6XM9oGV9FHQrwbbdF/f Ux5fiX+CezOxKL+aNEMxM0ikJi7MIQvB7yAFtb8T6rcB34lZ6PA0zH8bJYyhNl8YGlMGdG55yxkK yYxrLFIPEtehzMeYS33FzgQ2thZPT2hSkPd+ePwh1OaX9MUXcEJ/0ZJcgRhmPwut2FJuQWou5l17 ktFjphqUKpL5oz8z+3pExTgS6ShVBee3+yczLl58FBAMJOR0WBk28kC+0leL6X8gYItuNWdmiqW+ QYf/8C00rj3FOQaypxUbQm1QCmg8lRmaxbySPEdx/3ivD/Ypf22hOqMceV34SmymcH+gqAWb/u5D 48sXNvsJ/mzCd/lRsbPHWkQfZTg/MJN/rlZe2GOocMEq1u/edp4/WHS8r9Jh9iu6wuLB5kHKz+3+ bfyuGmhgzWaDT10DVCCd6DxCeSScUVSAx7KsIIRQH3JufGz0wnPQ8jdKQ6PvKwztqlsqkFc4HTuN VO+rbgErLRWulzGJN6Ku/WYielhFPmTtdLCDdEDQxy8E3Sc6whccMdhs31pXbnsdygY/b3htHzT5 ub3RRadXqKINujwEl1rqjWmaUPNGNIL0zEF59EAkCAH38i0SocD0MrV2eAedFK5x22fNHly52TPu 9pbKFUof/GasMWZwp/jkbK8m25G6U5v3kqG0D2Z0fjgMTWSEoslfmw1KE6l2QMla1hFinqTepfOF Q/u+aSAPeZs09FgniDp9MuydKMHUfETySkBwy8kCS7Yuao2Kp3U7qFKGRAxVIS1mxZNudypC2MNB gbV7PlRDFL9o3Jw4nmxsWSRYB8jFnd1dVEvfLpHVjSZ/i+wjAxB7YKfnaAVNf9odrBlFyiEzkmd/ 7tmoAcYJPuQo/WnS27fvE+m2bujqMHjo1L+YUZ1ZAhBkVEugJ4Ekr3E6Lx4VykIOSoxB38OyEd77 jUhzvWtGgY80EjaCOKqhToJyp9KcmUdTEKPfozL2zb9SY+afYAG3M2DmYM8A68dxH1C92BJFNtnm d/RwMOGEK6UAF9jfHEAHKrHNMXmKMEPCXKTfmLBxEV51ML3ZgxjEyEhsNLiutjyr0D0L8QeGKqLm RTMq1kccTkECnzek/Ibt6G46P8L29IBwOk/DNF+ivcPrZ33nHXysCZaX+OWwsjF2o1rkVdzpaKVr XGN3ZlY1zbLJJGdpuk/3LD60xj26GjQQxGFRiL3ZwAHNAa2+h8uPirl7Bt3R69M/aWR4PxbDlcvg 2wnpe9o/ou64a595GkmmPyn1/6B12mrZnVN22qaRQj9anmdrvWCpjdF1gedoFNu7NcWHEGDycgld QYVZGqgedFt6D2HXcofHcFNMG/DzG49MobgKhZSjnTIom/u6Vxf2iikLmRJUSBNivyxm021sLwnn Nd092zp/bGnWLm3sxCvr4UDv7MTIdjYVtfn4B17gWWivQDGwmeqkjGs8iV6Jn1eQY5SGTl+FUNSM 00nvbbpZb8aRWOh+PMsk249uGeClDCDLdfTKxptNvmxHfk1LZkHHpVrrHRzsN1yAWJkoDoHqqgIX ck/SJgEgFzXlgFui4HFOES/2/KSVPYNel6kNSXZZ5iXK2n7vrrC7ftHptJZogK/NZwQMPOkDpSeb /m3JNNGO8Pa/bTVvIXu0useilrxkuR0Erz4UhfHw7cGU4dSx3MtjEcVIyCWAOgzLwhvSfNYPyLbu N7R+iEZHyM6omUAepsidp5V7urGVFIMUnotoN1H+hfxNOBA6SbsE0AfVq4Xhh/ameJk78l5jl1r2 IBo3gr5Bk/hbbtYFkBd6S0NpWBISPfUifr1vjJjUCaWMz3n19h6Q4jjCr3st887uwQANU8KQSWwa de3Yi7VjgHtQ52ob+NlztkWw2hR+A9W1tKP4aXj6FSE+TmVDzUrDYHY8LcSZUX3zb0MQDIMlnGp7 EiwuV4Cu8+lFrWYhETTguI27xVIv2P1IqQCwFHe1f8ma8vqN7AeNJpK2QugfVAtAeNHUFQKiMoT3 P5eLTIeq+kz/NNk29FffjKX63oEMSVfatp0XXzwS6m2P94ANoQFKGEDZV9q/FmpmthMbWiVfV16z vFiFaqideqwd+AR8sfgEsbSIyRpgYDmnFCaV4eafSnsnOjFwPhkHL4cxwkG0EbzE59jILQgzXKvd TeMmmPkBc7xKl4w5ZpVCO+newDRx4owO0P5w6zhKW4+/dvM4m2cyYNB9j8p6BSN/ZULmNT2zS6Ro uVvbkIO48wWAqj2kQ61/AITCpcj9uFDa/DW69XHHR+fIqpXUG0SUQk9G816iFxP4HrY/GL23E/Sh uOnSs8D5BXrXMk4mRuVqZMgvY21sex3X9pkeC7B93BIxNYFWh5kwMkDDCqDLWvjdIrZN1LcxwuiD DzZuEk/44SdobtB0cMo+GT/AmifHow4PsfjEFjRWTvmdX0Bh/UelXXQD0PT1aLZjFmy3R2JXzTpm g84PQ/DfzMIef34APVsJIZHlr05teVAFNMoiAHoYRGZKrfdRGFbcs4imWMhl7r5/deiuYFiy4pL2 JkNuUrERGbrHPiLW5oW12C9Px4egqOWxXmD6XwBlZJNP/JqLqkqirSNZF8/yXRfqN5RuHwAT/wsv gTM+EfJgRMSevTFR36uOa8MGhUrBqHM4UStp6FSwJLltIZ0qZpoHI7Z3Lj458mQcZF3d3Gvl+yWw 8CcQd8g7uVTvdT2VynRPOrpOnwocZ5pv1ZMv68XC+acJQ5VyE79UBM1GS/AdXNYIiu0MVPSWkJ26 X/FIFiy2D1KfTcUxjNmNJe79GqER3kY00ZLy3DKlEHo3+qxiqcCJFw0aufQKaDkxrLTTGP5FanNg TWrBmnbct1zpaWDpk+vNH3EfAyM7gnbSof7MwxTSVmvxmJMpzyZdgwMKel12bKa7psCBDDRfgkc5 sOIJ3rqQqV3Nyisuf+B56ZY3zhU7gB9xlqip2jkJuONvpbiiL5ciGW4uWMWZcnhp00LkoisKVti6 dKV1FjGfN7UQ2+CflMYgUplxU+rnOcZ8bj9m4ytpqQ2rLVZ234jl0Qp5aIfRbTqpICr5ZFwEAWKR NVWQWp9ytyh/QnY/3Wu8RKbFqcaWCn9OQE5mlZv8NvbkYLolzgr/Kq/H0kRf9WlUsyk1Ra7qgp9c UZ1LAoUotE4kfwh3hdsO2a92SB5WKlqbIEmZ0idVlS9/w1NhinAYMIL0Unq+C8xWFYX6+OwsbuDh 0A4tT57VW5WDBF6leUY2NLuYPPYTqxrkmSP2Yn2XNggO4WozWrwonC3c5qDz2dOK+aWC+hzkbHu+ VyXuosduU/WZjvoxOUJMzAcTultdPYKHEtqtojaRhwjQ5/Sd2RE9lF35VO+PzQyXpG+FNCKDWCDi 9L+RX06hOLUUBK9t4M+fPGR73W1SuqVhcoUKsprhMeSFPhzTM4dqq7CUIsDzKuDf1YY3HpOI8o5x bRZYXu6tNScNla5WmdDJYoErkWha5OjEZ1pDrof0uW7jPhv+cDzLJ+C+uwK/8/5fg7552pGyLiem LHz4AU0SMrLwhqeNbfGMz8dOXQQ2hVFER5nHVZK2pYoLX1q163jHo3QotKytmPLcdyar7VP/I6/o LX+wKhkgcK5vRYVUDLb4J21jYccFn3yJGDygrFE0pm81A3JTicHE44NXQqQTPmhAfEaZiHj2yysm nRsBDzzzKik9soM4/9hVU0tLC0ckLYj64AGIIZeSHg1hEdBYFQ1VJA0uZa7oXWaZmJtpRghBtAJS kJzLICkHdGCFwFCzO+jUDXyLqqpUaFyQhwAhgOMiSBjVUFtUK5xZ43hA4pR8aMeIb0B/rBvbvYFp ussyhIXLhjNalLlrFYOKOddETOK5I4sg7285u/3OKsKUHMYHd2U+6beRBNpwp06voCQT7gXx2uA3 OjNFFckV9cxWVi93luEa1pMKHGAtzgp+1UGXEVFNyrWCmo6t/SIZ3Nj89o7VNv4OFyW6x7wXDNML Fj6Vad4smBj+s3SlSe/GJ/btItLe9Sz+xWYmQk80Oj6YpE0MNovUqROyHPbKCr3tGJ8tlPbgdJZT QQkVaVM+9CIpJXjEHsWr62J1BtZXoqnJk33o/VHMyNqqBKOOVcqW66HgopR4fn3XKlNxjNlQvYkS UQmMSMhC5+Y7JP29HmINbsIo2MmLOiy5/dMAF8+ms1Kfi29Dc+O1WCxfGM8hstHJeY0NgaY5mOIp pdYyijE3IURBc02a1HITu8LyRAM0qgqlWzNzHUkdQoF/Ssha7fhXnH3yT0FzfElqOUjjqjwA8VEi /N/Cl/AMzaCfJDYUqcVZyznJSXskt+cxcujoIGkuxaJLwyxKpPy4iwuMwOrUY1T6jRiQbrb5fstw fyIm2+Tlb/pAGKaGm1ts8l0WazImcnrBEO60Oo7P61aSIaKwe72zTqUU+RP3uZaCjoJHB3sFaBkV 6zrH+9zAoEg87dFmuddZSPUvHmQQg7xhXp6HMfi1wC3ug/Mpl7LvqC1hsySeTk2bXWWhuSBmAKRj pbOgPTTg2EXJ0tvXRPHOCixV/M7katLgsyJbpfNUnCcmiWVaDgR/BaMCZljmZk89RZoVJ7J0tWRc ABfuty0uo5KdxJ+ibIl4O3KUQqB33H3zQ36gW5sn6Rd8p/ToyCggggOJDzT6LWFrGNH4tKpHxXe0 Arvz92dD4jUdoTT+1vxKe3sJwvsRSArE/sPftqEDZxAnXTU+xQerUm8HJVVhZ2gBw1C/bGWtCTUU XDIeC44Am4hQ4gG+l9F5JajTsvVxr59efvIYs6y1MFyVkJwXmnJNMioKTVOAciDqYCOmhvmSfmTK ZEtHaNP1ZuRB85unMN8n2fASQLJny1YCLW/XbX6vYdarirTRa+tJIGul1j1bqjuOqTr13alCvZON xYlxfiRbqdSP6E2m5onaJeV27Umu2hp2imDJkNwfP1/lLWvcnNImBadanlyNe397y39NjjbdgbYm A9EsJvxMqQJip3IuDSWYyVC4OQxv7lXvLuSHPexFvQo3CAfFf+h2/zoYQqbsroJEBR+A+jPcsfco 5X/1nok85sCllDsrNKw4uXYNTWUSZ81Vl0zJtBDX1ET63xMLC69jaaDlfQ9QA59flaftce+MDbx9 pWgaXJV6de2zkjKehiG+/Y+sW9riRRfWPrCRVSumBZ59kyVeLWRvuAoHkt09rYjOkjaRA8+sHegR UclE4lRJVuRIrxtKItBMe5w7ppsqp7cSH6ZTysZug31gasGDHKJfumHxuO+x/q2F3xVvyoZXggXd Uj1oMnrGCZUKIPFUAZin3CpOz30BBxg/Exwp65QgFoVA04+LYpQLtyr6GBPbt7ZL9gpF8HbfKA7g aaOpkqjoVZZ+I9MaxVxQ0xvSTQtm4SPyS8wpyvrz+ffGVs+kSepT+G5ILXQ2nPMFc16U5pdv/L3B mJ2mo61jqS2C1Em8NWcBiN7EhLDq/WbqHhTwQ8WQfHPLqHhnywQkpcqlQpW4dzXD/s9BpuGAl+Et uiwWC8PxBeIFQJ5MgdTz6+6pN0sg8FSu9oANtIB79YD7nM5RC3OfIUa3/uS1Km7jHRhyMzCWTc2X WhJlB2/wDX4Rae53267EMzzdKoMqWOliIredQBjEqMZls0fdm0D1n/gwmXvw1qMk3NDoN06kd/2h 9XJoYyUWrTQwDNKcFBT3UoMhMKQpwOFyfzs51hlJJNepKV0NfQAUnYv5akvg6FHxPa/8s9b/M6Bs JMYibiuvh2ec3hOusHpKujuQPv6EMp0vzMpZPxpySsmEXeRb6vX7J/KUxMTQXgdIMP7s2UbhPRab odc2OynC8sCDQ7EDdrtXNxK4YapkIZzM18z2ZNr/uqfnTBzjKf1OyQSg9A4aFruWp44Chu6VHk12 d3uWrOwiqrOPAj2++r+iMNmRUe6g9l82jsrdE28Ufgt+HDkgTOGE1XMe4YJyBmeCKnZ4Kp1pwcfe 660NXjfth7xKZKcHlAWx7a4NPCYgXBUIYc2F0/se7uthb+LCi5d0EJ/02l2p59maVu0JY6ktJcwB XD9dOyZVdEMa/kJOnP1ZLYGGQQHxKS+Qss2KtyxBpPX5o/Fj6QzxkI8ekEnpN8iWy2qD7gFV02V/ t6nHTG2papVc9Wj2YuJ9LppLTW0A7x/cnlCRm+fAHmHnn4rjOd8bXg3YIXlmS6aeQA+zYejFXsc3 7Ha0aJJTWesGkC7jQiiKNWxxzwS/zCcsYpzmry0HagoNCpOAeOvGQH+IwxwQB2yyCzftNz32JOEM nA2XvceTNqhQXoFglDer+pG32a5RqYiDpCOxCeSfP5CpgSD1KEC7casv92/Fzf5NX2lRThhNljwW 6tgmtdUxVfmDVYOXtg+Hcc4rpAmdaOgj1/Nh+SDpAmC3LoeQJ8l7RuL2B4W6DPvXno60xhR2pACa Jr2M1cc1x8lxnbO4QWkb53FOvNB4X25vet8EhTjiCFVn6CQ5JWkm0jdsl2fRpw+0XJSnnHP1v650 rGpe0dhpVruK/k6q5AGW+uPRyAQlWUUDv+B8kTJQadQnqKYGtkvRe7cvz0gk6s73amI5oD+rBMEZ PJozQECNrQ3v/ilo7yLeFHxSibwsW9a5VpCUfj4XBHhkth7c/OnLq7bb5CiEz5kj8zbg9b5lYhv9 tQ8pyL1Gxwpc5tfO7b9QZobdBH2P6ONfL9+n7snQvrWcBAvtBktUgSvg1yxouy8kDvTAL80d10BL RVUMWXRcm333H0f+MGaEWYr+A5n1OMqo6imSMWjACgTwtoPjIvX4IELDkaKUqMCDIcqr+hBIutAU l2DxYL5RrIN7fY95WtYZ1TW4exPFhowNoXcsc9gfk/mKJY2f2I0JLhNm1L8aDLCyWDsrlmBOBGs3 /cyoJH/Hz0L6+MT8Jt5wQS4omInYIV6X+lxUgEG6wZ89HrhN2IlpYEGBCc4XI+iPtItT8UCC9ZBf h6IOaWeVCxOg8hx7ZRo6E4daiVIoTwjo/SG7rNitIF6TwcZa+JQOmsROSuXH1zJsQsBOEjbTx39Z +m7/xOVVW2JZX1DNr2kf/5IerhGjdhKmY3WtJlIAnyFUQQ0SuN4Q5IukvPnpyVy33SHGyWiUh4uq 9rTe6ONVwhf/r+K7kZ+Jvm/7ZYGzOlNcoVGH++27DAfs8V3iRLLAy7ZHAxQhk00yyUxzldRFd6s5 joL7cH5HboyS/DlU4eFPek0sKNm/Lg7nnOJp77aA5OhCUP/UhPZPrAyZfR3ZRs4jC2PVLZXfa9Wf 38YNrL+kAfH9MRi49/rgX9nxAO3E6z/rg+o7Z8LoSh7+Bj7y7MQMSWrk1qQ5ijTD7S4dCNYrSdel FaTt/h4ct8A9m06c7UVnpL+SZjWFroknrhxwYI//i0Ssm9ICvdsIZXlrZYkLjdBMkzhjvNAjKjhQ HfA7k7QmO3mwLlStuC13cZ0y7/eMFZyHLc+dM0PwT4q82GNdE8MLGCJIuGthYDv6JqdYwWlzYqHd vAzJD71MQDH3AacTun7Dl4/eTnhvkNcZKFf0EBjn+4df3BP0iZK/3UmLq0QpPkEWqlEa+qo4vGvt lTSoXGCAdcZUgr8wMal/cGxUFqG3cgR6kRDcS2c8gsyol5OlVzYPmw8LEr+RPaMhCDNGI5SlgeEH bP1Em1IAuhAOTeo4YmFeSGyI/JXE5+SexLDt3egos/jVkUueCMhlScavgyaZJ/JLqNsODTYkQHe0 3b1H65mWAgJScpm1EB3gqY6iRr4jaDTCPXJNdCvld0yuTiXS8+nuLslww3Ugiy4kyHdM7iOtBqCl Owc+ZE8FthT4/egqt9eo91mCfLpr4ENK5znFYnLjo0UzodhuaSiGkhsfNaa76z5FHRJwsU6aDS/h PLvPOAhoMZ+M0QQzGwkHTyxweBS42imd4GGpeQlmpWP+pteCSkV42/aZ9lFTo2TuUIy0DI2gyedi 4gWKyoBFkPaw2mp5yB+aa4+EQhEYwXoEb+mj21OZckEZ69rxDDEu7Urud+EbceS7yUPsunN9I/80 pHMM1NMFA0eXUFTw0H9vHtd6l2TsQ3sfpBf3ky60oXsJcwxKCbfCafW5Is+Ynu/7BexSBpZHD/oF 3nkg5/cOuOukt1FoDgmTf5f3tSswDOPO7gYxY7KZR8vlvqz6KYPtA8K5fKtAr2Jz3In21TWvI+Dw pT4gb3/0mawfQJGc6vakNPtXgB8+FMce+ZygG93iIBW4CECWS1Llsi03Nh655fVlDiWUBYXSe9Pi muK/3lDOb31+PeDPTiA9DYV/InIOoElLoAKNosAmOFmcoN3Ryth4Rosgg5wNMeKKVYZOnKbkCcsT +X9JQN+oreA/MBtI7r8AksQWdF7GadRqOmo8bB9Syw7/CwZZmsq4DukX3Wewws3ogQSvUOkHhQ7D MqaMt3kDb8vL8cordnPZKeDEpnRFS8O1dh7YBPn1zNnxoVHRmuKPoSSfBs7pP5/pid4JJcxTdI9A xTF5BxxXuGo1bt1VkAsVYkvDTIbgLf2deDAtwPMUDoOdHUpf67MenQyJohGQG1d1c8uO6IiQwxVi +qzG12l+lpIaaq7PF55//3xeHzcuJn0hzEYP1IiMRyXeTQi2zUDxpF6hGpfkLDkcqLqDcc84uqyL HtdFuxt3+incngxySjPOsuyK7r8jxf5QhUUgy82Gu4heiBq19Ujlzlj5UnV0rSUeIYiPmQNCqtaS 710f0VSd/bvIN5Fxrv68jv7uRHBOzlaJQ5/SZMeAMqsJytB+MYQjMCjxqcCxgxJEXSq6n8VlHg/m Fcsr7WB+90wjNhwphPE+LQKF2PwBrjvCfvsOz5/lLsC4Y4CPYEx3ca3iZncA+o8xFbC3ug7jwQub ixOsBC8NiRQ6Yb+bWUQkRcx9y/kT/kXJTQSdk3SaEbgg9ZgSmdXiW/Ll1IHNBJ9x/hT0SId3CITl N94p8KMURZ3AIxBCZ1yBAw1qTH2l2ikFcK8MhLvHpPnl/B+rMPBMFPYZRHYHqYZ9/Y4OJDp4IiJ3 YTMqbGi7kkLzF8TVZi/55u72pnZLp0rF8ZfizK1oBwMJduAX0AkbkOqhTi02mQN9/boaETXcsH3a wyt/Hot7FmJ02Fi38G/OQIeP2cMCcN/HW3d/7Tbw6JRoXEQIXv9EVIfVZ+CfvdnwqQqJ0n2bprnx 8AjMOyIsVvzEGF1j0FrCE3JlmEmoVv6z+iEnWXexyjva7rL0PEYBWP18JO35l2XygTbWByTxvqZN +fTZnIVze8VZZWLYuFzfmlTzKPDsopTtaO5whj9C9JiKujXxnVvFzPncsDj62lE9FExI1t+20NTG 7ATu90ep+j4fmBS/Ls+/Y43mYPvhKUKqKCRg+Cp1sDnCX2Quv6n+M5/+gBuyn/wNu8JwXF6xcyn0 PSy+ZdO0fkQAcSHAKJ3e2nXHfuypi4UJmSmwwDEM3d3qc65h+lk2IUMbKGZ1+Dz1QYGMuSTb/S/7 fkq22KgwKMUJR1fmO8IHT4Ms0mYmiQi/PpCNecjhZk863Ac34RYrKD7ui8x8Lfryhc+UT50bFF5t CGw3By4cdE7LJPGaRtSqG+dI2syaaAbWdJRecvaNxOsva5y2iBYr/ATp+lcMHtZe/YidgTpIVSk9 ZOcWgeLsjIrpv91bwh8iR0fp7HAGvCl8tWGsuu+75sgmLcnNpDBipgn5v3vtOPpM895z4fECoIZK +4tg/B+SFRzA5lrbryYanV8Po3OrktFVVpkqvCJY+Tpu5rCzpW3Vdgv5+GeYH/hnjAQWDzGRumH+ W1ps/oSLpAzse4PGYg2TxPJqclGuIqocYSUosvZEJpoCHPwPk7a+iYGcw+YMeNwxXYVUsGuaK0Qn CTSam7RW7Uc7WDzSoFHAxdi9/OvUuSQ11MSjxqEeD2s6i5WAO3ajK1LATkAFDse7Q4uh8E49azUp gbhAc0rD0eL+aavN9oSTZOnV6UkvlDNTnQ73EQgXLsFMz9TykP7PE8SrVKEyvO/CEDXRyXB4pkf8 raEBqNgtUNbAhNis+vzXPP/QvoTTlm51p3kPvzGM2SbLx4omF9dHu+PuaQb9YTSzIQk5DQgwzj88 bCIuYnkjPuNeOwvK+ADdC/6X8Jq+TLpTa8S1wu2sQfphFdzGbh3jfR2Abq/rGmpXOtzPiV7lHsv0 aW7fKF09osZomDkE0gI5ujlDGVU7u8NVYUwFHhnnO2zd9zwt5E/uMgM3Bg2i0GN9LXQ+lWPH/cgb FvXXhVSCP9XArSGmj1WPIuXqTXRdyZNaOOsXz15poBd1oAj1S6P2jJStnRR1+3cdnELYn0C4fVSU YO2G5laUclolXhSTedbTYnfRckd4GFl0ufDDljuXeLTFhYDebiDMwVylxq0XFzKhjySss1n/2AAF qC0awrxSWoF7QNmdZa37IW3UL5y52cdiPIwWW7wX0U6qSzXpxhNFfAnUDBpJPZimX1OvfxdffpPi 7ecZkYGwjgLwD6ABRxoJZw1l+3Z5CMWkd7O+ZZyV8yFqWZRwLsqlFSX9FHhnp9QKlddPu0XaYmOO yyso5OnzjJOrt7H8VZqnUfFsL8KUst2KXtcLMKpVQgzbURM6K16cVWmN75hKbK+TGWFaAFmjeQaD r06pKWlJjECPslwPss26JFGgARGf029ubBnKTuyUL0kHzh7ArJjC4SweYnsRO9XHV7SDbUMsNt/M lRkcMgfbaTluJba4Y4s4PsiF/5+MXJuoc4Xtf/lp9SQSOmElJMa0ucjGK4I9ujLay2ElVFDshQss PEGz1kPFjIoV8CHJjA4vUlm/3yVpMy02puhl7YVMv/fgaRa6jaRzQBfMRw7JICyu6TcKtXrwPW1E rSJbp7COo4WeRAHZPyDz2O4kTZhfrkYwLf31SXmGVBzszTEKjNrw9V44NjPvfmNmQGPjgFKewp50 cwLAQHf7QzWI5r0SqG1noGt+S2QJFr2GXerMYDugKZQ5CkA/08MUDL6YnfE6DVxjv4XvVTbKjfFl UOGP1AfKT40x3j978FitEXSNCaCim29F4YeOrsBLcsno5gEBl2KW0JnH+cjv13jzSmZ6T58HqCwU Vw/nxLSZKhkTzwFKi2u5+8zLueFi3p1hfu7mkBut5zOwIsOt8Zd5HWLW31lJUJDldxr/1JR6HCHd 1xQKKHGH5XwFPn6nPvOKgkxzd7oyz0D2qB0Ayywjw008xp89QaT1uZxRVyXakehXHx7WZSjhpuz7 9c0Md+BFAf0RlGf+jlwF2yajYn9K64xE25ytHYFnGfXuD2LpYPNHWISGQm9pY7o1XL/mbaAo1RYE E2LF9/6CqAvLpQsxcip9eULt5kvdFyVxVS+SqlAuhGqBQsA5OR8l29G30vKndB8jtdW3I3K5Xv4+ ME6Gf6WKbu41RugOBQKZodkelzETuotsr7vTA/oKvXJysYo9RLoIu/9T9kKiAA0g9RWkDMg5fuGC /yHGOhzNHXpF0UgWTA8PIg90mxNNQhO538ceaQ/B3mLPtIBsjxE1uCx3TjqVtXzNCK9VW5ynmrQi N1P3UJeK6JBUlOkv7/kICCCjtSWU0s0esXJcwY8llGbJ9XkiNvSdJ9VZjh/XgvQ5ku0i5NgV8Vwy SG6j4aJ0TgqvuSppl8/KJPHe0No0Oa5BlragiMSvzKVzSDvUgmihVa/D83M4FsfBl82sZaHoaB87 IzN2tDGxpmCNO3hXP6FO9nYHN1PRMR8bceECxi8i6VQY2K2c3P7Nyi58cGoa7vR4rurPS7QKWjz3 21Fb9CVuIi81rVTxBB3TwXhkoY9+T7rkDIHg2ONx1GZkD/35aTolySszLzB2ADPdEFlEH/ZTll4r nZZrRmoQDzXFewKehPYofW0AK49Cm7Q8p/2egvGI03j+kmARaQWqEDm2ioY1W1xEnz7yfti/1ok3 Dvbi5xhvA5R1Tzgo0OfEiAeV0/cqHpr4Ky5Dv0sHqlVLU41RFppGmgUvNUBKBb2oB1f4VafZE+w5 Kzspt/lqmuWdnGL9eWhpeGQRB52/8hddOLYNnJNR/8Y1gv6VU+ys5IRXVGCEVOnvOFF2AjMNMPq+ 53h98LzG5z4aBs4lv2IdhBHZeYlCsReieccMcwTTf1g4snAgSz3CGDlf0alxkhOigGOxyfEksSVJ vIlFZOagN/K9iJuORaOND2y26p3+7/n7NQ2QVhYZCgH27Jw7BLwmjtr5yInBPu370aKHQPFCNXvY 83Zyqhvx6AJTftOZD/7V+eGBUM5ZzB5j2X3m4UyDijidHXI7JwjABccy+VVztoznMnyi8u04Vhnp 5JVP9gYLIHWxIY3v389bjkTDrqUvv9y8UcKgOO/7zcxGP7YDOU7UyeU2wTJnsJ75VRqLYahx4e4S kRfdTemU78an48uqUNcVzwYstfVIbZYipIIKrL5rV6WnNjvYonQSwHdNs18QhPNqETAuhk6bm/ho B50MqclMigM+aoHLk/2IW7xnz1XyAEHaBrHLDuFPXFwFv/w1Jql51phsZOvTNdf7xGxpY7bgn1NQ rcQvsKjuurkZC6b8HVzaEprWsBcQXqoWnF5NxQHNtkZ0/F8W57c18cE3RGM94AI/Hca0zeYBxco6 /QD3K6mnN317JSWOKKrxZgRVKhrXaupjT6rnkKoQJjvZ69w3Kvpi4Xm4xR8LckW0YnUZdrIlNu6Q hu6OJkRPY26k+LZ/7+7r7+6dgEE5yluESY9rsvst98WTXQwCDEk8VIcNYYmKfqdgWsFEI9yCuoPT sHjCG6QEWsqjrIqbPNHoZ3JjE7y2ehGTT/H1ZC6+OAQ51+art2GmRWwl0UVGGh9iufqbyJLrulLs 2w2ps5MVV4OaVgWEGFbg1FdYcncKGTd7kT/fkUwlqcipmliW2MAqT9x+ScvcBhaXJ9ExAb8f6eTz ObCdw5wPaVaF4Cv9iicaOAPFtOaJJZZqTTRWm3ZU6vpUPj4KiejA6CGr2mhM9hNPZcqbZ5nrFxqH rZohR3K/R/ggSHc719n45ZcT/vRIDrWAUtRrUJI9P88yMv+JevvDtrN+HUqvzuPSD6sfOhlATc5d FlmSiHHModALQSwExRSgfD4hHaF1d6BKBV8tNp6mo7bM0Uqypo9GGZbrVicG7OMrTo1hwY9/omQd c6s8nxDmPZdLn/sr4EZ6QouRAJhir44lX+K2woCh5yu+4InQa1me7h5uwwh3bMZYb8PaLcw7YluN Z7u0OIsF9IP9LQe68yDE7YaGO0OuFy9AE+artyFu0v1X+1xkoUApwOnl+/zXN8hoULHaRaVID+/d 1r/CVDo+wJ2uC3yGg71QezK1L+gLL6VF86Q68CZ1SirS52iKxwfaZhdel6kU/3aKSY5AOQgBZf4q Mv+c7Dk9zovNLMIPX+F9EZn5IKxdpYBG3OAyAKoFwgpa6ZKzpPFG7ayeqlt3EWBLLq4T7MkK2sQK YeeW69ZXlZ4MbATWlUiJB16L3tndonS70fGu2ThPkZiOW7QWD7+wwhFn+Xb149zTIATACtDEfC/f e2eMUcm9U1ltpo+YpAFiZGBNsOQoS2jCdwwNGVDN5k0Vw4suqtwfWsBJVH81ycuxxzIRs6i6dYR1 ntp++R1liRSfjqGZiJdekY7OQ5RBmPSD2j1+xjuRvW0Pkh0PbaJzOuBWChlOonRfozr0gP4gvnWq 7PJQ9TQA977UzJhJTNETLs9D5xb7yi7LMBWUA9R2pzWUl0/VAluUDYST475kPPuzcn7fk0+tgCVq Zgx2tdnJAo7viKjqAUgploYg4RS5GFra0JPlzYnH2+QDLKpveHL/gWCH4eD2EgZJW2D7gxo8E/cL 52iCZE+OCYZroCWRb10/ERcuiDIQhB0VzfJC2O+PQhlTKh/R3zCI2mRfEawzH+x591MQ6jS5KYSd cTw+jm9umM7bA7GE5X3N1/M06R5IfD/pyu+CUfhOv1E7QYHB37mA9vZQ6ycDEj7PoigiOzxJQeON JPi0cujaWXAe6qWh1gLWUapmoTxB0npaIvQaYo7PWFu/HdbHnRXVzaEwky7/Y3kCaF+HqpwAAS9n 4WAJDDzCBwLdisJfr9vvpFI5alksIBPHGaw3KweTdFwhUSerwFaRgCE1Xr9MYqOSQ99s1W4D/WIw pHh54HjtJmXf6rzeltQGCzNw6C1IxVsDnCMr/EKEUIEMVQxuCSS8GPxqBcxobstRUK+O8qkwcZ6Z WitgCPWn/027k7VaT8QfjQDsXgXJbxIqhJCA9jQk4c11LYoNgpWIKEP178qxc/IgeDxSbs5Daue8 QhovdQuU7yA3PnntnqfsaTQqTcczd+qMJwaR/xZY6q1GwsafmbQHySEcauBLn5eggAMCWf4nAIfu HdJ3rdEeHvEkHGubnr5VKaRGYJPGK6by1tTCYJZxMuNHtktHwrHR2cQlhVT9IsVPpaoJE1PiKcBK 7sk/BR56B0N7eRDlktr/zFuDKfyqKis3PmH4GOjq+GTbRSbnXjDiG2EwcBE+6z8tU2DcLfWcpXnb HSByjALikZl6fLim3aMXIxl53izU8T2El1ncK24aTRwo6D1K4HEWMUfIs8krD5u83e+JxUHrx8nT zgaTSCyYDxpKgITNrhhg2aqyJVJeJIqMS5MG8c84Bc0BqKHoSK4PnxjzuLg/J1mZQsBK2/6t4s5H NO3EcF7zaX57HiKv1beh60ruwwsvwba3J2gMQxS/wvJuYiGos5/R1/wXR7BHsg7aHjw7Id52+xUH H6eNYmmhbBasneIO7MefNnZr7xjSc/OOq0gBNGxGeTV1qBcqWq1SAz+BWOtTcA3L5j6QqcK8CDay YAJEY2EzVVdnkZQ5O3AThf0I0NWgbOBpLL0/wAbL3nBUbpmPnZcfkNi2POp01o3+bjyKs5A7Npww U6GAOG+7Qs+nayqwgWqAGhf8NDLeSkiTVzxuJvMYTgvwoNQb7Uomb5ivskrhLNn0bYdlnO5CFl0a 4iwxE0s5d89tYuEnXM9QFfBQJpc5VkA4D/KKA5I1BdTHQa6n4xQafApxdl6jYMSGfI2G8jJUjNeB 0tPq9QooB9tlNWdzQLyQeP67BR8sCby60Vk2TqLydslY4hvp6yG6bzgSnhYJ/hSV+gcdR+XTjpc+ c0TASiFyrisanljrClc3bNGhoVzFNcANjgBMkaCJgr7FSWhk6rkA/OYJWOJSllkrqPbWy+t2NlPS V9lzj0n+gMk8tj0k9IBTa8Ad9iHSZQPnozKjQAZA1Nmbh0iiLTAKkAZsYvyAf8SzKDGyqwbvkQMt wg78E3aKTLiaXLKa2vLx24L0X/IFHnC5ziSaxWl5CgLR09xev09qc8jOQGyGIRxSZu7rUoPrRWuh Tx1XnHl+QXsd87ytFgHgcEynyt5SvB9sl4803HvtHMDWCv0PU+syAxjouoebIZAlVY/ttBusWFbE qSx4EiCxmfcTOGbqHm2y7pzZE0Rb10MXm4mF7TYvk5Cl5nXiJjCRg0L+rK+TNIPkGNEk7kdEcUTZ lKuZPZpTDEEdIXDb0kPgx0erfbCCBZTu4Gb5NImfcKVwM5KNdKomo99mizxXPe8XhQqSm7Vi9i2o wUA/gbtJU7HIyq4+4Z9rb96V4YrKKrBi/j46QP70PI5XzIt1aK3YFTqC2m+PjmeuAHp5uEvGAYSo LoILcKgZkOlZHBS4p06ZT5nsoXNPR2fiD7edxKcg2njTGjlSPIXNKvdncqq66uytEk/5v8a2PxHt Tyyox8yvp7lOvyCqrCXVZBom8ukQRYA/z3pOxiuL6ygtBZvPMhA6EZa1SUEje9NHX66f1Sb0Tu0K Nz3pASg9MJC0qDIkMOzNdbMLW5lXlx8OOXImRxYNWY8hxeCmLGw+OdV7A9kRICIejkeZD31mmmld oUq8oXTEHEuGc7tNdX1wEV9G40p8sGQ4Tu2gBnYWWoBtEI/6OUwS098F/o2OoPSw+dOjglb9Itja nKG+mnOxKeJrda2V5I3bo9B3Wcl/ZpHCGaaaXY2iu0IbKTvXhmfx40CwY+QufpiBJ60qVFL2caBY 70Opm0Dauqc4J2P+WZRG51K0lKlpO1EQlpS7Sul7369cByNbVUjR30IRQMqhNN7YoyMvTX3Hug61 UbSPM5RlUrCGQdBQ2IjxuFFeaDclLwwbuyCKyE8ykMTGWWdMPXjMLYOy8nF8WKQHchCnkbFE/hFe d/tEQUGezTc94o/E48AeKmYQQ3s43XrqHD7G+smhao8ueSCfVhzMCF+jF8QdaZ646kUIekFBI+du 6H5LsxfHI/IFqDWLedV/9jYfmvKcDJWcedGxXTA0J2kiwGIb1qf3RHcN/r2ehwxzhA9vc8VGDy2k JEZyvUA5C8Ams6bSBNr4PIF06BGIMOpRl/gd2PnkwHHzMXdQcdu3+pmvH5XhY+dE3vU8dk7gVu3r ahuqRjJu9LSpMPoaWvzWLMuy8N0hbeH3xrhJZCCbN9NfX6t4Genb5mFmJU77jHRa/HC+myPZn2qK R25QjOTO2TfFt0SYFR6XxB9dqd/x63Vm96nw76GLgHPh/Er5Crp0b05uNXRJq6p+YCdmPD1dU/+S iMDV5LKjyDUJUV90OcENkBr4fJzWetwWAjLAKEbFI65OZIK6ImXZY4hJaie3JaR9YQkOyCWL5U2E VCnyGorNMlqk6/uVQKyjvdLO6Ke88us6BaZW3zGP5M4DPpq3JKzXWfHO4do1ysHEpPVutNH6nD9y Rv0whp78HaqN0COXMAozi72rh4mT8nbPmzoPo+H0N8K6/NH7JLDk/cJYx95zyD2kXgvUd1P0AkFP eXIrbmjyGu8ReIuSFRV84q2qjtCd8mzmvITl4bwKoTXXfolWpYa+u6yNGKXsD28xvoBbScWCFWod qvzhGmIfhXls8W0R1xYY/N+WtYmsqCWMhvx8qq2+z7UJy4oURlRlkoKTu4J/f8yKIM9y0ai4aTgO N5vn8tM2vsbDo8EfQZ+VGogvW8GsymoXaA6QZ9ALV9WT44CBKdG59Hijy/wxp7EbcaSLVns4mcW7 y0ty/5uZaGuhp5PlgAc22UuGNOATybK6YJHcTmxAHQFy6GwPbgrVw/4r6L2i1U4t7DO8OqJaAD4X CnsYlsU8AtNAntlgrCQwWKRyNAzH95IFN7bPdN9rhqzgYv+TyX31a6GbC/3VM64KJzco+8GVH2Bc gCwYxxQRSkGqICSraTidaYXU+rsbJly4vexFRRMN0o+9qfaO5owspPD3Rh5kIwY0QDdQLs/iQbpJ jucVYIFP8lCc58MMr/BflbnsFCerlDT+XD2pBc7n7YE2TIzxXx0JioTMV9X/ `protect end_protected
library verilog; use verilog.vl_types.all; entity Bcd2digitAdder_vlg_vec_tst is end Bcd2digitAdder_vlg_vec_tst;
-- VHDL da Unidade de Controle da transmissão library ieee; use ieee.std_logic_1164.all; entity unidade_controle_modem_recepcao is port(clock : in std_logic; reset : in std_logic; liga : in std_logic; CD : in std_logic; RD : in std_logic; DTR : out std_logic; temDadoRecebido : out std_logic; saida : out std_logic_vector(3 downto 0)); -- controle de estados end unidade_controle_modem_recepcao; architecture unidade_controle of unidade_controle_modem_recepcao is type tipo_estado is (inicial, recepcao, final, desabilitado); signal estado : tipo_estado; begin process (clock, estado, reset) begin if liga = '0' then estado <= desabilitado; elsif reset = '1' then estado <= inicial; elsif (clock'event and clock = '1') then case estado is when inicial => -- Aguarda sinal de inicio if CD = '0' then -- Ativo em baixo estado <= recepcao; end if; when recepcao => -- Recebe o sinal do modem if CD = '1' then -- Ativo em baixo estado <= final; end if; when final => -- Aguarda desativação do sinal RD if RD = '1' then -- Ativo em baixo estado <= inicial; end if; when desabilitado => -- Circuito desabilitado if liga = '1' then estado <= inicial; end if; end case; end if; end process; process (estado) begin case estado is when inicial => DTR <= '0'; saida <= "0000"; temDadoRecebido <= '0'; when recepcao => DTR <= '0'; saida <= "0001"; temDadoRecebido <= '1'; when final => DTR <= '0'; saida <= "0010"; temDadoRecebido <= '0'; when desabilitado => DTR <= '1'; saida <= "1111"; temDadoRecebido <= '0'; end case; end process; end unidade_controle;
--! --! @file: example10_1.vhd --! @brief: writing values to a file --! @author: Antonio Gutierrez --! @date: 2013-11-27 --! --! -------------------------------------- use std.textio.all; -------------------------------------- entity write_to_file is end entity write_to_file; -------------------------------------- architecture circuit of write_to_file is constant period: time := 100 ns; signal clk: bit := '0'; file f: text open write_mode is "test_file.txt"; begin proc: process constant str1: string(1 to 2) := "t="; constant str2: string(1 to 3) := " i="; variable l: line; variable t: time := 0 ns; variable i: natural range 0 to 7 := 0; begin wait for period/2; clk <= '1'; t := period/2 + i * period; write(l, str1); write(l, t); write(l, str2); write(l, i); writeline(f, l); i := i + 1; wait for period/2; clk <= '0'; end process proc; end architecture circuit; --------------------------------------
-- ----------------------------------------------------------------------- -- -- Turbo Chameleon -- -- Multi purpose FPGA expansion for the Commodore 64 computer -- -- ----------------------------------------------------------------------- -- Copyright 2005-2021 by Peter Wendrich ([email protected]) -- http://www.syntiac.com/chameleon.html -- -- This source file is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published -- by the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This source file is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ----------------------------------------------------------------------- -- -- Part of the Gigatron emulator. -- TTL logic emulation. -- -- ----------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- ----------------------------------------------------------------------- entity gigatron_logic is port ( clk : in std_logic; reset : in std_logic; tick : in std_logic; rom_req : out std_logic; rom_a : out unsigned(15 downto 0); rom_q : in unsigned(15 downto 0); sram_we : out std_logic; sram_a : out unsigned(15 downto 0); sram_d : out unsigned(7 downto 0); sram_q : in unsigned(7 downto 0); inport : in unsigned(7 downto 0); outport : out unsigned(7 downto 0); xoutport : out unsigned(7 downto 0) ); end entity; -- ----------------------------------------------------------------------- architecture rtl of gigatron_logic is signal rom_req_reg : std_logic := '0'; signal sram_we_reg : std_logic := '0'; signal sram_a_reg : unsigned(sram_a'range) := (others => '0'); signal sram_d_reg : unsigned(sram_d'range) := (others => '0'); signal pc_reg : unsigned(15 downto 0) := (others => '0'); signal accu_reg : unsigned(7 downto 0) := (others => '0'); signal ir_reg : unsigned(7 downto 0) := (others => '0'); signal d_reg : unsigned(7 downto 0) := (others => '0'); signal x_reg : unsigned(7 downto 0) := (others => '0'); signal y_reg : unsigned(7 downto 0) := (others => '0'); signal out_reg : unsigned(7 downto 0) := (others => '0'); signal xout_reg : unsigned(7 downto 0) := (others => '0'); signal b_bus_reg : unsigned(7 downto 0) := (others => '0'); signal alu_reg : unsigned(7 downto 0) := (others => '0'); signal in_reg : unsigned(7 downto 0) := (others => '1'); signal flags_reg : unsigned(2 downto 0) := (others => '0'); begin rom_req <= rom_req_reg; rom_a <= pc_reg; sram_we <= sram_we_reg; sram_a <= sram_a_reg; sram_d <= sram_d_reg; outport <= out_reg; xoutport <= xout_reg; process(clk) begin if rising_edge(clk) then in_reg <= inport; end if; end process; process(clk) begin if rising_edge(clk) then sram_we_reg <= '0'; if tick = '1' then rom_req_reg <= not rom_req_reg; ir_reg <= rom_q(7 downto 0); d_reg <= rom_q(15 downto 8); if ir_reg(7 downto 5) = "110" then -- RAM write sram_we_reg <= '1'; end if; pc_reg <= pc_reg + 1; if ir_reg(7 downto 5) = "111" then -- Jump instruction if ir_reg(4 downto 2) = 0 then pc_reg <= y_reg & b_bus_reg; elsif (ir_reg(4 downto 2) and flags_reg) /= 0 then pc_reg(7 downto 0) <= b_bus_reg; end if; else -- Only update registers when not a jump instruction case ir_reg(4 downto 2) is when "100" => x_reg <= alu_reg; when "101" => y_reg <= alu_reg; when "110" => if ir_reg(7 downto 5) /= "110" then out_reg <= alu_reg; if (out_reg(6) = '0') and (alu_reg(6) = '1') then -- Rising edge on hsync, latch xout from accumulator xout_reg <= accu_reg; end if; end if; when "111" => if ir_reg(7 downto 5) /= "110" then out_reg <= alu_reg; if (out_reg(6) = '0') and (alu_reg(6) = '1') then -- Rising edge on hsync, latch xout from accumulator xout_reg <= accu_reg; end if; end if; x_reg <= x_reg + 1; when others => if ir_reg(7 downto 5) /= "110" then accu_reg <= alu_reg; end if; end case; end if; end if; case ir_reg(1 downto 0) is when "00" => b_bus_reg <= d_reg; when "01" => b_bus_reg <= sram_q; when "10" => b_bus_reg <= accu_reg; when others => b_bus_reg <= in_reg; end case; sram_a_reg <= X"00" & d_reg; if ir_reg(7 downto 5) /= "111" then case ir_reg(4 downto 2) is when "001" => sram_a_reg(7 downto 0) <= x_reg; when "010" => sram_a_reg(15 downto 8) <= y_reg; when "011" => sram_a_reg <= y_reg & x_reg; when "111" => sram_a_reg <= y_reg & x_reg; when others => null; end case; end if; sram_d_reg <= b_bus_reg; alu_reg <= b_bus_reg; case ir_reg(7 downto 5) is when "001" => alu_reg <= accu_reg and b_bus_reg; when "010" => alu_reg <= accu_reg or b_bus_reg; when "011" => alu_reg <= accu_reg xor b_bus_reg; when "100" => alu_reg <= accu_reg + b_bus_reg; when "101" => alu_reg <= accu_reg - b_bus_reg; when "110" => alu_reg <= accu_reg; when "111" => alu_reg <= 0 - accu_reg; when others => null; end case; -- Determine condition codes for branch instructions. -- Not really implemented as condition "flags" as such as it directly uses the accumulator status. if accu_reg = 0 then flags_reg <= "100"; else flags_reg <= "0" & accu_reg(7) & (not accu_reg(7)); end if; if reset = '1' then pc_reg <= (others => '0'); end if; end if; end process; end architecture;
entity proc5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of proc5 is procedure next_cycle(signal clk : in std_logic; n : in integer := 1) is begin for i in 1 to n loop wait until rising_edge(clk); wait for 1 ns; end loop; end procedure; procedure create_clock ( signal clk : inout std_logic; period : in delay_length; signal running : in boolean ) is begin if running then clk <= not clk after period / 2; end if; end procedure; signal running : boolean := true; signal clk : std_logic := '1'; begin process (clk, running) is begin create_clock(clk, 20 ns, running); end process; process is begin next_cycle(clk, 50); assert now = 1001 ns; running <= false; wait; end process; end architecture;
entity proc5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of proc5 is procedure next_cycle(signal clk : in std_logic; n : in integer := 1) is begin for i in 1 to n loop wait until rising_edge(clk); wait for 1 ns; end loop; end procedure; procedure create_clock ( signal clk : inout std_logic; period : in delay_length; signal running : in boolean ) is begin if running then clk <= not clk after period / 2; end if; end procedure; signal running : boolean := true; signal clk : std_logic := '1'; begin process (clk, running) is begin create_clock(clk, 20 ns, running); end process; process is begin next_cycle(clk, 50); assert now = 1001 ns; running <= false; wait; end process; end architecture;
entity proc5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of proc5 is procedure next_cycle(signal clk : in std_logic; n : in integer := 1) is begin for i in 1 to n loop wait until rising_edge(clk); wait for 1 ns; end loop; end procedure; procedure create_clock ( signal clk : inout std_logic; period : in delay_length; signal running : in boolean ) is begin if running then clk <= not clk after period / 2; end if; end procedure; signal running : boolean := true; signal clk : std_logic := '1'; begin process (clk, running) is begin create_clock(clk, 20 ns, running); end process; process is begin next_cycle(clk, 50); assert now = 1001 ns; running <= false; wait; end process; end architecture;
entity proc5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of proc5 is procedure next_cycle(signal clk : in std_logic; n : in integer := 1) is begin for i in 1 to n loop wait until rising_edge(clk); wait for 1 ns; end loop; end procedure; procedure create_clock ( signal clk : inout std_logic; period : in delay_length; signal running : in boolean ) is begin if running then clk <= not clk after period / 2; end if; end procedure; signal running : boolean := true; signal clk : std_logic := '1'; begin process (clk, running) is begin create_clock(clk, 20 ns, running); end process; process is begin next_cycle(clk, 50); assert now = 1001 ns; running <= false; wait; end process; end architecture;
entity proc5 is end entity; library ieee; use ieee.std_logic_1164.all; architecture test of proc5 is procedure next_cycle(signal clk : in std_logic; n : in integer := 1) is begin for i in 1 to n loop wait until rising_edge(clk); wait for 1 ns; end loop; end procedure; procedure create_clock ( signal clk : inout std_logic; period : in delay_length; signal running : in boolean ) is begin if running then clk <= not clk after period / 2; end if; end procedure; signal running : boolean := true; signal clk : std_logic := '1'; begin process (clk, running) is begin create_clock(clk, 20 ns, running); end process; process is begin next_cycle(clk, 50); assert now = 1001 ns; running <= false; wait; end process; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: spi_flash -- File: spi_flash.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: -- -- SPI flash simulation models. -- -- +--------------------------------------------------------+ -- | ftype | Memory device | -- +--------+-----------------------------------------------+ -- | 1 | SD card | -- +--------+-----------------------------------------------+ -- | 3 | Simple SPI | -- +--------+-----------------------------------------------+ -- | 4 | SPI memory device | -- +--------+-----------------------------------------------+ -- -- For ftype => 4, the memoffset generic can be used to specify an address -- offset that till be automatically be removed by the memory model. For -- instance, memoffset => 16#1000# and an access to 0x1000 will read the -- internal memory array at offset 0x0. This is a quick hack to support booting -- from SPIMCTRL that has an offset specified and not having to modify the -- SREC. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use std.textio.all; library grlib, gaisler; use grlib.stdlib.all; use grlib.stdio.all; --use gaisler.sim.all; entity spi_flash is generic ( ftype : integer := 0; -- Flash type debug : integer := 0; -- Debug output fname : string := "prom.srec"; -- File to read from readcmd : integer := 16#0B#; -- SPI memory device read command dummybyte : integer := 1; dualoutput : integer := 0; memoffset : integer := 0); -- Addr. offset automatically removed -- by Flash model port ( sck : in std_ulogic; di : inout std_logic; do : inout std_logic; csn : inout std_logic; -- Test control inputs sd_cmd_timeout : in std_ulogic := '0'; sd_data_timeout : in std_ulogic := '0' ); end spi_flash; architecture sim of spi_flash is -- Description: Simple, incomplete, model of SD card procedure simple_sd_model ( constant dbg : in integer; signal sck : in std_ulogic; signal di : in std_ulogic; signal do : out std_ulogic; signal csn : in std_ulogic; -- Test control inputs signal cmd_to : in std_ulogic; -- force command response timeout signal data_to : in std_ulogic) is -- force data token timeout type sd_state_type is (idle, wait_cmd55, wait_acmd41, wait_cmd16, wait_cmd17); type response_type is array (0 to 10) of std_logic_vector(7 downto 0); variable state : sd_state_type := idle; variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : response_type; variable resp_size : integer; variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(47 downto 0); variable index : integer; variable bcnt : integer; constant CMD0 : std_logic_vector(5 downto 0) := "000000"; constant CMD16 : std_logic_vector(5 downto 0) := "010000"; constant CMD17 : std_logic_vector(5 downto 0) := "010001"; constant CMD55 : std_logic_vector(5 downto 0) := "110111"; constant ACMD41 : std_logic_vector(5 downto 0) := "101001"; constant R1 : std_logic_vector(7 downto 0) := X"00"; constant DATA_TOKEN : std_logic_vector(7 downto 0) := X"FE"; constant DATA_ERR_TOKEN : std_logic_vector(7 downto 0) := X"01"; begin -- simple_sd_model loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); -- Receive data do <= '1'; while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then -- Received a byte command := command(39 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received byte: " & tost(indata)); end if; if (command(47 downto 46) = "01" and command(7 downto 0) = X"95") then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then case state is when idle => if command(45 downto 40) = CMD0 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD0"); end if; if cmd_to = '0' then state := wait_cmd55; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd55 => if command(45 downto 40) = CMD55 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD55"); end if; state := wait_acmd41; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_acmd41 => if command(45 downto 40) = ACMD41 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD41"); end if; if cmd_to = '0' then state := wait_cmd16; else state := idle; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd16 => if command(45 downto 40) = CMD16 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD16"); Print(time'image(now) & ": simple_sd_model: BLOCKLEN set to " & tost(conv_integer(command(39 downto 8)))); end if; state := wait_cmd17; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd17 => if command(45 downto 40) = CMD17 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD17"); Print(time'image(now) & ": simple_sd_model: Read from address " & tost(conv_integer(command(39 downto 8)))); end if; response(0) := R1; response(1) := (others => '1'); response(2) := (others => '1'); response(3) := DATA_TOKEN; -- Data response is address response(4) := command(39 downto 32); response(5) := command(31 downto 24); response(6) := command(23 downto 16); response(7) := command(15 downto 8); if data_to = '1' then resp_size := 1; else resp_size := 8; end if; respond := not cmd_to; elsif command(45 downto 40) = CMD0 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD0"); end if; if cmd_to = '0' then state := wait_cmd55; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; end case; received_command := '0'; end if; if respond = '1' then bcnt := 0; while resp_size > bcnt loop if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: Responding with " & tost(response(bcnt))); end if; index := 0; while index < 8 loop wait until falling_edge(sck); do <= response(bcnt)(7); response(bcnt)(7 downto 1) := response(bcnt)(6 downto 0); index := index + 1; end loop; bcnt := bcnt + 1; end loop; respond := '0'; wait until rising_edge(sck); else do <= '1'; end if; end loop; end simple_sd_model; -- purpose: Simple, incomplete, model of SPI Flash device procedure simple_spi_flash_model ( constant dbg : in integer; constant readcmd : in integer; constant dummybyte : in boolean; constant dualoutput : in boolean; signal sck : in std_ulogic; signal di : inout std_ulogic; signal do : out std_ulogic; signal csn : in std_ulogic) is constant readinst : std_logic_vector(7 downto 0) := conv_std_logic_vector(readcmd, 8); variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : std_logic_vector(31 downto 0); variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(39 downto 0); variable index : integer; begin -- simple_spi_flash_model di <= 'Z'; do <= 'Z'; loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then command := command(31 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": simple_spi_flash_model: received byte: " & tost(indata)); end if; if ((dummybyte and command(39 downto 32) = readinst) or (not dummybyte and command(31 downto 24) = readinst)) then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then response := (others => '0'); if dummybyte then response(23 downto 0) := command(31 downto 8); else response(23 downto 0) := command(23 downto 0); end if; index := 31 - conv_integer(response(1 downto 0)) * 8; response(1 downto 0) := (others => '0'); while csn = '0' loop while index >= 0 and csn = '0' loop wait until falling_edge(sck) or csn = '1'; if dualoutput then do <= response(index); di <= response(index-1); index := index - 2; else do <= response(index); index := index - 1; end if; end loop; index := 31; response := response + 4; end loop; if dualoutput then di <= 'Z'; end if; received_command := '0'; else do <= '1'; end if; end loop; end simple_spi_flash_model; -- purpose: SPI memory device that reads input from prom.srec procedure spi_memory_model ( constant dbg : in integer; constant readcmd : in integer; constant dummybyte : in boolean; constant dualoutput : in boolean; signal sck : in std_ulogic; signal di : inout std_ulogic; signal do : inout std_ulogic; signal csn : in std_ulogic) is constant readinst : std_logic_vector(7 downto 0) := conv_std_logic_vector(readcmd, 8); variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : std_logic_vector(31 downto 0); variable address : std_logic_vector(23 downto 0); variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(39 downto 0); variable index : integer; file fload : text open read_mode is fname; variable fline : line; variable fchar : character; variable rtype : std_logic_vector(3 downto 0); variable raddr : std_logic_vector(31 downto 0); variable rlen : std_logic_vector(7 downto 0); variable rdata : std_logic_vector(0 to 127); variable wordaddr : integer; type mem_type is array (0 to 8388607) of std_logic_vector(31 downto 0); variable mem : mem_type := (others => (others => '1')); begin -- spi_memory_model di <= 'Z'; do <= 'Z'; -- Load memory data from file while not endfile(fload) loop readline(fload, fline); read(fline, fchar); if fchar /= 'S' or fchar /= 's' then hread(fline, rtype); hread(fline, rlen); raddr := (others => '0'); case rtype is when "0001" => hread(fline, raddr(15 downto 0)); when "0010" => hread(fline, raddr(23 downto 0)); when "0011" => hread(fline, raddr); raddr(31 downto 24) := (others => '0'); when others => next; end case; hread(fline, rdata); for i in 0 to 3 loop mem(conv_integer(raddr(31 downto 2)+i)) := rdata(i*32 to i*32+31); end loop; end if; end loop; loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then command := command(31 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: received byte: " & tost(indata)); end if; if ((dummybyte and command(39 downto 32) = readinst) or (not dummybyte and command(31 downto 24) = readinst)) then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then response := (others => '0'); if dummybyte then address := command(31 downto 8); else address := command(23 downto 0); end if; if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: received address: " & tost(address)); if memoffset /= 0 then Print(time'image(now) & ": spi_memory_model: address after removed offset " & tost(address-memoffset)); end if; end if; if memoffset /= 0 then address := address - memoffset; end if; index := 31 - conv_integer(address(1 downto 0)) * 8; while csn = '0' loop response := mem(conv_integer(address(23 downto 2))); if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: responding with data: " & tost(response(index downto 0))); end if; while index >= 0 and csn = '0' loop wait until falling_edge(sck) or csn = '1'; if dualoutput then do <= response(index); di <= response(index-1); index := index - 2; else do <= response(index); index := index - 1; end if; end loop; index := 31; address := address + 4; end loop; if dualoutput then di <= 'Z'; end if; do <= 'Z'; received_command := '0'; else do <= 'Z'; end if; end loop; end spi_memory_model; signal vdd : std_ulogic := '1'; signal gnd : std_ulogic := '0'; begin -- sim -- ftype0: if ftype = 0 generate -- csn <= 'Z'; -- di <= 'Z'; -- flash0 : s25fl064a -- generic map (tdevice_PU => 1 us, -- TimingChecksOn => true, -- MsgOn => debug = 1, -- UserPreLoad => true) -- port map (SCK => sck, SI => di, CSNeg => csn, HOLDNeg => vdd, -- WNeg => vdd, SO => do); -- end generate ftype0; ftype1: if ftype = 1 generate csn <= 'H'; di <= 'Z'; simple_sd_model(debug, sck, di, do, csn, sd_cmd_timeout, sd_data_timeout); end generate ftype1; -- ftype2: if ftype = 2 generate -- csn <= 'Z'; -- di <= 'Z'; -- flash0 : m25p80 -- generic map (TimingChecksOn => false, -- MsgOn => debug = 1, -- UserPreLoad => true) -- port map (C => sck, D => di, SNeg => csn, HOLDNeg => vdd, -- WNeg => vdd, Q => do); -- end generate ftype2; ftype3: if ftype = 3 generate csn <= 'Z'; simple_spi_flash_model ( dbg => debug, readcmd => readcmd, dummybyte => dummybyte /= 0, dualoutput => dualoutput /= 0, sck => sck, di => di, do => do, csn => csn); end generate ftype3; ftype4: if ftype = 4 generate spi_memory_model ( dbg => debug, readcmd => readcmd, dummybyte => dummybyte /= 0, dualoutput => dualoutput /= 0, sck => sck, di => di, do => do, csn => csn); csn <= 'Z'; end generate ftype4; notsupported: if ftype > 4 generate assert false report "spi_flash: no model" severity failure; end generate notsupported; end sim;
library verilog; use verilog.vl_types.all; entity Forward is port( RegDst : in vl_logic_vector(1 downto 0); Rt_From_ID_EX : in vl_logic_vector(4 downto 0); Rs_From_ID_EX : in vl_logic_vector(4 downto 0); Rd_From_EX_MEM : in vl_logic_vector(4 downto 0); RegWrite_From_EX_MEM: in vl_logic_vector(3 downto 0); Rd_From_MEM_WB : in vl_logic_vector(4 downto 0); RegWrite_From_MEM_WB: in vl_logic_vector(3 downto 0); Rs_EX_Forward : out vl_logic_vector(1 downto 0); Rt_EX_Forward : out vl_logic_vector(1 downto 0); Rt_From_IF_ID : in vl_logic_vector(4 downto 0); Rs_From_IF_ID : in vl_logic_vector(4 downto 0); RegRead : in vl_logic_vector(1 downto 0); Rs_LoudUse_Forward: out vl_logic; Rt_LoudUse_Forward: out vl_logic ); end Forward;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity alu_tb is end alu_tb; architecture alu_test of alu_tb is component alu is port ( clk : in std_logic; reset : in std_logic; opcode : in opcode_t; opa : in word_t; opb : in word_t; result : out word_t ); end component; signal clk : std_logic; signal reset : std_logic; signal opa: word_t; signal opb: word_t; signal result: word_t; signal opcode: opcode_t; begin alu1: alu port map(clk, reset, opcode, opa, opb, result); CLKGEN: process begin clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end process CLKGEN; TEST: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin -- ldi opcode <= "000000"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = opb report "ldi: load failed - 1"; icwait(5); opcode <= "000111"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = opb report "ldi: load failed - 2"; icwait(5); --jmpl opcode <= "001101"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "jmpl: jmpl not ignored"; icwait(5); --brez opcode <= "001110"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brez: brez not ignored - 1"; icwait(5); --brnez opcode <= "001111"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brnez: brnez not ignored - 2"; icwait(5); --brezi opcode <= "010000"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brezi: brezi not ignored"; icwait(5); --brnezi opcode <= "010100"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brnezi: brnezi not ignored"; icwait(5); --addi opcode <="011000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "addi: (+) + (+), (12 + 11 != 23)"; icwait(5); --addi opcode <="011001"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "addi: (-) + (+), (-12 + 11 != -1)"; icwait(5); --addi opcode <="011010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "addi: (+) + (-), (12 + -11 != 1)"; icwait(5); --addi opcode <="011011"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "addi: (-) + (-), (-12 + -11 != -23)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(132,word_t'length) report "muli: (+) * (+), (12 * 11 != 132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-132,word_t'length) report "muli: (-) * (+), (-12 * 11 != -132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-132,word_t'length) report "muli: (+) * (-), (12 * -11 != -132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(132,word_t'length) report "muli: (-) * (-), (-12 * -11 != 132)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "add: (+) + (+), (12 + 11 != 23)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "add: (-) + (+), (-12 + 11 != -1)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "add: (+) + (-), (12 + -11 != 1)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "add: (-) + (-), (-12 + -11 != -23)"; icwait(5); --addc opcode <= "100000"; opa <= std_logic_vector(to_unsigned(2**16-1,word_t'length)); opb <= std_logic_vector(to_unsigned(2,word_t'length)); icwait(1); assert unsigned(result) = to_unsigned(1,word_t'length) report "add: (+) + (+), (FFFF + 2 != 0001,c=1)"; opcode <= "100001"; opa <= std_logic_vector(to_unsigned(0,word_t'length)); opb <= std_logic_vector(to_unsigned(2,word_t'length)); icwait(1); assert unsigned(result) = to_unsigned(3,word_t'length) report "addc: (+) + (+), (0 + 2 + c(=1) != 3)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "sub: (+) - (+), (12 - 11 != 1)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "sub: (-) - (+), (-12 - 11 != -23)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "sub: (+) - (-), (12 - -11 != 23)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "sub: (-) - (-), (-12 - -11 != -1)"; icwait(5); --subc opcode <= "100010"; opa <= std_logic_vector(to_signed(1,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert signed(result) = to_signed(-2,word_t'length) report "subc: (+) - (+), (1 - 3 != -2,c=1)"; opcode <= "100011"; opa <= std_logic_vector(to_signed(6,word_t'length)); opb <= std_logic_vector(to_signed(2,word_t'length)); icwait(1); assert signed(result) = to_signed(3,word_t'length) report "subc: (+) - (+), (6 - 2 - c(=1) != 3)"; icwait(5); --mul opcode <= "100100"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0001" report "mul: -1 * -1 != 1"; icwait(5); --mulu opcode <= "100101"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0001" report "mulu: 65535 * 65535 != 4294836225 (FFFF * FFFF != FFFE0001)"; icwait(5); --mulh opcode <= "100110"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "mulh: -1 * -1 != 1"; icwait(5); --mulhu opcode <= "100111"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"FFFE" report "mulhu: 65535 * 65535 != 4294836225 (FFFF * FFFF != FFFE0001)"; icwait(5); --or opcode <= "101000"; opa <= x"FF00"; opb <= x"00FF"; icwait(1); assert result = x"FFFF" report "or: FF00 or 00FF != FFFF"; icwait(5); --and opcode <= "101001"; opa <= x"FF00"; opb <= x"00FF"; icwait(1); assert result = x"0000" report "and: FF00 and 00FF != 0000"; icwait(5); --xor opcode <= "101010"; opa <= x"F0F0"; opb <= x"FF00"; icwait(1); assert result = x"0FF0" report "or: F0F0 or FF00 != 0FF0"; icwait(5); --not opcode <= "101011"; opb <= x"F0F0"; icwait(1); assert result = x"0F0F" report "not: not F0F0 != 0F0F"; icwait(5); --neg opcode <= "101100"; opb <= x"00FF"; icwait(1); assert result = x"FF01" report "neg: (+) -> (-), 255 !-> -255"; icwait(5); --neg opcode <= "101100"; opb <= x"FF01"; icwait(1); assert result = x"00FF" report "neg: (-) -> (+), -255 !-> +255"; icwait(5); --asr opcode <= "101101"; opb <= x"9999"; icwait(1); assert result = x"CCCC" report "asr: 1 shift, 1001100110011001 !=> 1100110011001100"; icwait(5); --asr opcode <= "101101"; opb <= x"6666"; icwait(1); assert result = x"3333" report "asr: 0 shift, 0110011001100110 !=> 0011001100110011"; icwait(5); --lsl opcode <= "101110"; opb <= x"F0F0"; icwait(1); assert result = x"E1E0" report "lsl: 0 shift, 1111000011110000 !=> 1110000111100000"; icwait(5); --lsr opcode <= "101111"; opb <= x"F0F0"; icwait(1); assert result = x"7878" report "lsr: 0 shift, 1111000011110000 !=> 0111100001111000"; icwait(5); --lsli opcode <= "110000"; opa <= x"0001"; opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0008" report "lsli: shift right about 3, 0001 !=> 0008"; icwait(5); --lsri opcode <= "110001"; opa <= x"8000"; opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"1000" report "asri: shift right about 3, 8000 !=> 1000"; icwait(5); --scb opcode <= "110010"; opa <= x"FFFF"; opb <= std_logic_vector(to_unsigned(0,word_t'length)); icwait(1); assert result = x"FFFE" report "scb: clear bit 0 error"; icwait(5); --scb opcode <= "110010"; opa <= x"FFFE"; opb <= std_logic_vector(to_unsigned(16,word_t'length)); icwait(1); assert result = x"FFFF" report "scb: set bit 0 error"; icwait(5); --roti -- 0 = links opcode <= "110011"; opa <= x"0001"; opb <= std_logic_vector(to_unsigned(17,word_t'length)); icwait(1); assert result = x"8000" report "roti: roll 1 bit right, 0001 !=> 8000"; icwait(5); --roti opcode <= "110011"; opa <= x"8000"; opb <= std_logic_vector(to_unsigned(1,word_t'length)); icwait(1); assert result = x"0001" report "roti: roll 1 bit left, 0001 !=> 8000"; icwait(5); --cmpv out of isa --opcode <= "110100" --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: 3 < 3"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(4,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: 4 !< 3"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: 3 !< 4"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(-3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: -3 < -4"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(-4,word_t'length)); opb <= std_logic_vector(to_signed(-3,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: -4 !< -3"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: 3 !< 4"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(4,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmpltu: 4 < 3"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmpltu: 3 < 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: 3 !<= 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: 3 !<= 4"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(4,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplte: 4 <= 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(-3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: -3 !<= 4"; icwait(5); --cmplteu opcode <= "111000"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplteu: 3 !<= 4"; icwait(5); --cmplteu opcode <= "111000"; opa <= std_logic_vector(to_unsigned(4,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplteu: 4 <= 3"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmpe: 3 != 3"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0000" report "cmpe: 3 = 4"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmpe: 3 = -4"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmpei: 3 != 3"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0000" report "cmpei: 3 = 4"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmpei: 3 = -4"; icwait(5); --mov opcode <= "111011"; opb <= x"FFFF"; icwait(1); assert result = x"FFFF" report "mov: FFFF !=> FFFF"; icwait(5); --ld opcode <= "111100"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "ld: load not ignored"; icwait(5); --ldb opcode <= "111101"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "ld: load not ignored"; icwait(5); --st opcode <= "111110"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "st: store not ignored"; icwait(5); --stb opcode <= "111111"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "st: store not ignored"; assert false report "sim finish" SEVERITY failure; end process TEST; end alu_test;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PSR is Port ( NZVC : in STD_LOGIC_VECTOR (3 downto 0); Rst : in STD_LOGIC; clk : in STD_LOGIC; Ncwp : in STD_LOGIC_VECTOR (4 downto 0); Carry : out STD_LOGIC; Cwp : out STD_LOGIC_VECTOR (4 downto 0); icc : out STD_LOGIC_VECTOR (3 downto 0) ); end PSR; architecture Behavioral of PSR is begin process(clk, Rst, NZVC, Ncwp) begin if (rising_edge(clk)) then if (Rst = '1') then Carry <= '0'; Cwp <= (others => '0'); icc <= (others => '0'); else Carry <=NZVC(0); icc <= NZVC; Cwp <= Ncwp; end if; end if; end process; end Behavioral;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: can -- File: can.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: CAN component declartions ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; package can is component can_mod generic (memtech : integer := DEFMEMTECH; syncrst : integer := 0; ft : integer := 0); port ( reset : in std_logic; clk : in std_logic; cs : in std_logic; we : in std_logic; addr : in std_logic_vector(7 downto 0); data_in : in std_logic_vector(7 downto 0); data_out: out std_logic_vector(7 downto 0); irq : out std_logic; rxi : in std_logic; txo : out std_logic; testen : in std_logic); end component; component can_oc generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; syncrst : integer := 0; ft : integer := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic; can_txo : out std_logic ); end component; component can_mc generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; ncores : integer range 1 to 8 := 1; sepirq : integer range 0 to 1 := 0; syncrst : integer range 0 to 2 := 0; ft : integer range 0 to 1 := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic_vector(0 to 7); can_txo : out std_logic_vector(0 to 7) ); end component; component can_rd generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; syncrst : integer := 0; dmap : integer := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic_vector(1 downto 0); can_txo : out std_logic_vector(1 downto 0) ); end component; component canmux port( sel : in std_logic; canrx : out std_logic; cantx : in std_logic; canrxv : in std_logic_vector(0 to 1); cantxv : out std_logic_vector(0 to 1) ); end component; ----------------------------------------------------------------------------- -- interface type declarations for can controller ----------------------------------------------------------------------------- type can_in_type is record rx: std_logic_vector(1 downto 0); -- receive lines end record; type can_out_type is record tx: std_logic_vector(1 downto 0); -- transmit lines en: std_logic_vector(1 downto 0); -- transmit enables end record; ----------------------------------------------------------------------------- -- component declaration for grcan controller ----------------------------------------------------------------------------- component grcan is generic ( hindex: integer := 0; pindex: integer := 0; paddr: integer := 0; pmask: integer := 16#ffc#; pirq: integer := 1; -- index of first irq singleirq: integer := 0; -- single irq output txchannels: integer range 1 to 1 := 1; -- 1 to 1 channels rxchannels: integer range 1 to 1 := 1; -- 1 to 1 channels ptrwidth: integer range 16 to 16 := 16);-- 16 to 64k messages -- 2k to 8M bits port ( rstn: in std_ulogic; clk: in std_ulogic; apbi: in apb_slv_in_type; apbo: out apb_slv_out_type; ahbi: in ahb_mst_in_type; ahbo: out ahb_mst_out_type; cani: in can_in_type; cano: out can_out_type); end component; ----------------------------------------------------------------------------- -- component declaration for grhcan controller ----------------------------------------------------------------------------- component grhcan is generic ( hindex: integer := 0; pindex: integer := 0; paddr: integer := 0; pmask: integer := 16#ffc#; pirq: integer := 1; -- index of first irq txchannels: integer range 1 to 1 := 1; -- 1 to 16 channels rxchannels: integer range 1 to 1 := 1; -- 1 to 16 channels ptrwidth: integer range 16 to 16 := 16; -- 16 to 64k messages -- 2k to 8 m bits singleirq: Integer := 0; -- single irq output version: Integer := 0); -- 0=516, 1=524 port ( rstn: in std_ulogic; clk: in std_ulogic; apbi: in apb_slv_in_type; apbo: out apb_slv_out_type; ahbi: in ahb_mst_in_type; ahbo: out ahb_mst_out_type; cani: in can_in_type; cano: out can_out_type); end component; end;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016 -- Date : Sat Jan 21 14:33:05 2017 -- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mul8_16_sim_netlist.vhdl -- Design : mul8_16 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xcku035-fbva676-3-e -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA I7rHN/CieA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5 Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo OP1PSFj5jpodG+LwXm4= `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF /kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3 251QPjQoZCw3A7W9PDc= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4 udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7 rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61 /ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2 hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg== `protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50 ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block dTtVa2qlb0hIvJNQz3s+Ql4Aii2RULIDKNSKZspKF5c79y0se97W+2IOlc9SaTY3SU4tJaJV+VN0 VVySEheQAl7kCERPBZQ5+rmg+pmnlXHH+k6O/aRMqI/8KUWNmy/eAquap+zHHIfbHs3n5q8r1RmM 4/9VQlJvmsI/Tt995RyCIiJhyviLKN2BLdyjjV4TYgg7B++7xjvEMTu52s2F90dV7vbGGycxRMMk TJR9ZMLe82rz77l1iAo1CogbIe9XJVYpWSDKqjHXRHCnRSOYXx4zxtlO3lJAz9f55v9RQmjPi48J FTYnrgqw/RSEJacootSYLGuZKfNyQTzZJNoLYQ== `protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block C74JwX+0DPpmwGcxppH37Xk8AI7YIh+SfuHwDplZ81SMzK5G5US51n3UCvi4aqb0mF7Pvq4X0X7b gAxoSh1vFmnBsWHi4PFtvcHLWtROSa+24QjllntNj/wkiOwPeJMKzRvB52CJBnUk5qs681zw5ovA A1VVvWcBNbp7mvp4jhQ647ADSwJuFx3+PTwW/Z2XxT7Mnepqcj/yN0FLtAYKHzCCHx+77zEE9vOT JqM/klOljAjL2Un+rFX2cdH1gW7djBWLDolIN0pZTSFM29YMgYIfvW+pGdD5txhR0tbAElqKS330 v3uO806BCP1RTjNV3sG2LvsBfLjolIBIeQwsVg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 203520) `protect data_block jWxb/07osdbG4/ZzbgqYlvpgOULqX0WkwMuBZKw05Y7DahObRfK60eixtwIl/+y60+jTNIj0Bx9G Dul9pXyb9cwJzJxrRZuLJRRbwlgvnsa1qoFPA2K0HpOd226rzbTZL6dIHPwu0y4UMNB4jzN2VpLl lKi3prVmu62X400UnULacGHRLBiiCjmy4tXlkLKn8jF4qogxrdKsqkWMxeTe5zMdCHl1KI2ZLrfK 9FqQMvGcNfOCnAPCyRAfwZvQX+0J1NeboQUTCeQGdm7RenebZobL06jNbr3Q2A0MiZNTrW67FNmL SN2/SAutMIye97Po2bAOXOzR2Z+USsuW+01r5X7HABNUZ9DlHOVMVUrDnaJ8DttOHi2JB7ti9xTV YxBd+G6U8phZMKkz6OmGQezzDU87sG6eN7Xy54aNH4Tx9sAc4FXQsMmycq+gKAucJqlpZNd8XweX 5j05fqPvtP/pW5Tl2UmVmZAx3CnfjhZIlirnfrJTYOIUvHzG9/fT5cZfvx0TF/bDYgVZ0kpoiYnR g8KMXL2ROVz59nf2TUVhoRt5SK6R3gQf2QMw/DENik9Nzy+XzxjEdCtvPRyX109VS4ixf8HnP9Vi 25apQPWa8SyppaJWAkrWaI9neSOE46M8ZLaBBi6P11/1NAV9SZC++ou8igWIoKXCVJrOS/K9ziFE ye8Pqs5KO05NVZ6DeewOCykZyFjbDXh+B6/95y5jbjqpwOsJ5LAVRnpoJ2/Ke2NzTFZ8XhybCpCu WQsGnLPp5URF444WpsP5WPJNLDH4jBTqtLmLgdGqxX3ExF1Ok/z9/EUYRmtVhmxpm51Z2EWOrR+B lOMuDYaJ5PcGMIvDyHUCITAIonI8mzSRaZHNvQ4bHymA4gfsLUE2hRcA5fYF5ry+YOUDrhDJP7wn 06BRb2Uwv2YGwPU84kiIO/RpGsZ0pQVQs7aRN4cDY56Fg4Xi1qVPyNNWDD5/TtG4a3enHJIjU3EO tX++lVHlxXwVkH/mncpvz/VGSjM919jrbtZOyr9e9zOuzAuC8+TnbT78pd6KbBw+Sr4kVA4Zl37U Q98yQ7Usy9uumdQtx+Og0Ms1DWn8ggYKDKflbdlA6m03YKQcDcw8bmlR9iO03PflVFI7kihPVJoe 1rC1sumen78vF7sX4obYNOfZQc0KGSunCgUiJ6dyr3MH3plztyAi1je/PLymdI8kMwCgxnp4Iidy Jt9ZhS9layv/EYyq1zXuvFBr9gJq+V8qkphpnOaYl1+zFL568px1PxyER6w/INelms+0PdKFIBAj O+JEpWYDPLGN3+T/rLRM7RQNZRW/GtUhB8800Qy6uIlYTclSaH7WxFG0SK06XCPggzs1+1NcX216 5vZ6hgfnL/cL/ZJucsGPQLvpjafJMS6DYQhZnz9m59NhZLSq5+y1BX/zQfP02lWB8DwhNFELlSz/ Zl6ZyAaBr7uazziFOhrWsyD0YTInbCjv+lICDtu0D/uhfTd9w1oiloeF7y2EO52TXoVpRghLkHaB z+xIEEBaRygUV4RZJ6lgNE5/6cUEmAYi44pq9yKXZARVC71EBqZgM4lJUzPpSz1/2yUWPnEvSRmZ ZsnOCMbEzNX598P+iBdpYQXPtXqIyKJeYFFCn5ScNwBBDx+E78Au1VFhkW+Aqcqu7Wg5s60l7Itw Uuvg1Hwx3br6ZTAjyiBuObitvLQLghs9wW3HdLaQbBY/dFiONNDfc+tcSeis1jKyD8V5Rr3gbcub ZSbzZyZbpGiomyEANh7h5v2zEPe/EC57x8y4DOUOthFlYjZdjP822KSNylcERaOMvAg6MADEeMyC Dj/EUIbT8WB+SDsn9mamg/Ka5KX3dTXdCSd/tHYzdoN43ueXVX8YCu9H9wMIUtWkpozHR820MkMw ekyERFxItQi73U7LjuCAI2hLwmYdIe6+hK1teLGtLTse2XM4LBVu2YYXU7f8WAIFB+5dxomjWdtj 13WzxlztnV/D+2ZpBiqhvxKM8Zhr5e8SqfdZrsEX8ekIg4gSJjBJRDC4FMhjUqTwyneaJbcbBjfu 6lPpcFsvr1sjAoNthakc6eP0n0+4azma4+48n9DSAdy1VWjXD9hy6nQbnBYk8wA2XCn6Fe4u/9DS SOXvflNp1vIhdHkRCQ78S0aa77PUGN05yHpMfxIrwyovJNbmHIsGLV2252WNr3Fqj0E3C5RRMWAt FKCahR7DU0QHl83QcaIufx45RhdIwurkX5dI44ZSP6+anHrpx5Hg720fEwpwS6EZfXLhShEWMG25 2wSf1pgyP/kUGqALbPgF390lsPVJk/wY2jQTYGwr7fvAHED+6e2E8f3iWfGuVNiQQkR5N3SQK5HO S1D9mzrgBoXuX+YLfHXGhfKInbqjYw/WEznUmMD2wnPHJMgxs4WkNyrezZB/wL+qbveCIINLeOCO OxGyNelQh4ct3Ziw6H+8VlcYgjB53CevR1sGTvPaRmJ9KcRL2LYZ6P32FPr+0O2fAMHwxZUe53E4 6zLw0vfDiZnIpa0+H0gJ6791YY0Zu2bYGIVFbbmr6m1dlRKqOiPnmx9x7UmT/MX0tboe/5qFcC+X teFi9gFM9OEkPTro9YGYiX3Kx/7lxPu5fHZy5N3LYyMH6NkgdpLQLu/qJaNx5QP8M2PkkYEn6Q3k rEUtsoNxOxOTOFJZunjd17dR0New/jxYijslAb6VqxeWvu7OTql/21biumikdvCT3QCQb6rB/Uk2 MlNsqwLzEjd1SgdEuEqrnp8liUhKNS0JhL11RrEPpRUND+3FhNIgPpKbOI8z5pHCD6jC9GuC6JiL cHgEc99lHXbJPcJ92EDy1IOiJmYj3eNscfen5IOmgtBjjYhBpevsUV6Bcsxmym9E225NB7QyXqVi 7Y4nSiRXkgtmJ+fmS4+se89V14BkJ8F1SIEC+W3loLRdCdZ1Z40Rmc/bJmx7VoigMk8V9AF0r3/A y5Br1WBcnfGZ+gLJs2/eindpAWuVPm7vz6Tlvslfonotls7AGtf/3tjDgX8s4H9t2rXknF31i5NB vroJlFgRO6nuk5o0qVSPB3qBTaYQ2jQrOs4lNceyN1uu1TmS4O5Yrt5C2KaNn4VZ7/ThEh4AugFy Y+0id60nQaH5uYwsUlkNcGvzqaUjpQg+NvppqTTFdXeJnDPetAuRQ/Lf3rkSr0Iwa3Z+UfvPQFq7 OiDzhWV5ia+AR4ibE+GulZ9B/2E3FwWx/DlxsWsaRJ/ZwaP/byoae+GveEMThxIE9VNdKD4eA+tP FcCEGInXF7himDT+hNGM4abDjDdLpF8Thp0JB1gHaygcYXTvrxoFMM7K8L+edFqVkZEBQInMrSsh Fn49AYrnRY7GrctEu9hl84pE5g3jD2HQtCz5A0YiPiSYDzTCVUSODFmy82mRZW4IogyCsnY314TN XXC1HIGu+eBiK4iQhBsOoqf0qb+VO7dqTUr68R4cvYn1rN1MtbrilVLQ3yrydpCMvvD6ILCGKUMj ON/T0D5IdMEX5/ruZpyQAmUJaQQ7zwmgz7KZoYoMEedGfjiQRYj5dhvrBIuHQyOErzb4YKuLIo0n 72rpeE0DibS2X/FkAFQS+rsmlUzRI6mXfe5CrE0l1bh9aWsXJNDgzP7rqunB599TjAJCg2oSdoxC ktw25gQxw9G07nYuB5Y0SOW7ErGZlyGJgbg4x2tDXsRQBu/cZIGvm7oNypdO+rHmp6bhNuwZkiZU NkogZ0vqxhJ/hJBWS/FIO+tKbznq4+Duiy0VTjacg2AGh13NoZ5U/ZInJ5uotZutLjqetaQvJ3RI St1fzVHJ+6IBxPc9Ikrt6w3yTJuBn99805GIrEiDVPuNEXo7OQ5udDuFA9V4Ut/dQOz+T+J9afDK jG/ErWcs4fqUPdrdJ3EBvj+YgjlXe+p774tcSVTf1sBN3gyim07g+1F/puIQDBMjuQO/4X0fd3EI M99JZWDEJreNXa/twdy2Md/omcgebmf9qmCkzjS6xZfN2E8kxgKkuxjRqhbBQGmqIJn2VVY3y6oI xv6Y9MdQ4oiMw/W0LHBrHMq+uZA4mc+USkS5/vmnfyXmZh2PpVMjy2j3g2dXwLtb+XOcHHFKDrdo tk+wnMS9iJabnTkc0S3xBlrDmZPA+RCw9/zLv3NUhuL73JAQglgUyTcMSHQXICNsKpa/UtuMGJoN 2GqW88kt+zLljjGSwW56VSBbXhAKsi3hnGjwVlYFe+vNI3HF87wuKc6GhadV2QO8NH2YPoOPvvhJ wxvwn8vRE2Zz8bxapVs3FBPkLRPZev6hmUr+I+hHjlmKbJUsTuOJJ63TP7Q8Hji2Mg0DKbffYkMb 0l+Oc6cl1XG/ugFOeaUJMOtFsAGkuhtSZTlQZXYZ9J5J8MIhuhXIkdIDqcnyEECRoQMMl+IpZDV/ pUoWD1x3J8ZLmQhGiSSuVFrfIOpLn4qeCTVcbD9l3lL/mP0x2VNGKNvfYZcC53jtPIGrSng2SG3+ 0feYNJPUgaa6uDOgv63z+TRDa8szyxCHl8FvEfFnWKApKPndQRrM7T/kRUpCooA/LymWGPjD/gvS hg6KfU/Lqwe9XgibJB81jjQa04US8c7Uac+Zk7cdycIijPOf3QknI81dwveAt+lpPNsP7FrCMBgz mbvQHQ8KdE0qccgNFZMktpyqMzeusv37O1gk9d2YmqkJ90rKTemywL/u8EliaqOnEEQtVEsLHpvO M0drRQ1qRu8MuQgowbXXKH8VGfZWgclfhspUYNXQu63ZDYErdtQe9eFjwpPr7SbuyB9MFt/nK1sD Zz4bdKOK+jdYoeV5Dr99wiM6+u9ixl9iRiPVdrUz0zNl5+QiBwKYhkC11PLFJbKNobH7rd3BaJ/f YGGJrzolBsnIjHN8CZo/+JA0jNCreC3DeJEJ4LThEZa2oYc8DsipQoEaOt4GS/2vfL18K/88YvkW TA8HZYthuj1y2V+QTjo1yd/RNfHt6ebN4O/WNY0VrEFYvWkEKnlA8AfrA0P2nuqPG/55iyw5iW9k OB7r8cbFSoWiqxrdZKpY08RQKkhnEchoKE7/srD62QAwFeBjl97XmG3YItxuz4FAPGL6+Yf+Zf2j 0gwo/Rd9bIDjAyykloNySjWsHXDH+AxjpXhZUGVLSdmJuECW6kXS+cXvFCP4rnNSeRJ+pG/uAa7R t/16nfgBeYE2iNeKBRf4ELJhVJq+QDAzI/XQmO7Ev3A1NMQaKwk09HkjOkCJ8ANiuMrpO8j9HvLl OnfEHSjKo7KkiaTz+BMkuVp2qIJWhcLS2XHmcy9VhUJB2nh4sTd0otk3mIKl8vss1dt4MqnaVtBj TE/errHviQYbLvG5CnSjwP45BhoQBh0DO/OTs32Jo7H+LvcWLswPZjhUT7PRS7PWt2217xrLRQGQ PboOTvMPebYPlzSbjByp7uo1AdWXU2lPIM/u6voxRa9LotRvpAOxYRMKQAWLdtsAsnsevQ+5K/tz rdHNw8N67tVSja/kNz/ykzYBEfTEdzKPTERRFW0hqVQKQe8xA5Da6OKK6TecE69aPB7Qm1ToFVan UapXf6hmDyuLl1bDth8lQ9gBylV8a3Tgtv3T/1DgbktG06PSFicSh2Ky4EDLM0sL8JMvXUGvOS2+ BUJOgZ/M8Y/I0r/VBkf4Fs3WfCj9R+lIngQPuMdMv7EJONnm5dqIETK7X37QvWuWRH14N/gHZIzp HfbUC0sz9MAUbXtJ8kOsE4xHOX5+M7YsF0whd7JzFNoxq4PzpPkAOy2PyvRStvShlgqCYQbHB13U jp+mpe58aZksfBFQpY+IWMlEG1bSbq4w08KCge4j6aW8MwuCEinlCT3qXMOnj8AXyRtut6AtgMQp XtTunw7fwWDQzStPy8+PHOmzTB7phSXBdPCncW+7Ja6j0+7PG3IasdFIrzogdbHNEghtTgzGaQs1 wkIwjZibt7iaEvdCfR6rWmQ5AyWVatl0TvF6tDRWJM7E9/KgOr7JqDEd09nuUYt703sCMfz6veiW VCD4LeSGXXfxWOfBnZyYclAcyBOol8PuLhLzL53BIrCNYpjstWts3aIh6j/6ySP7CCnuNt/cMY9W A8o4t7MQ0VYCF+3Vjg+DM6nmn7byZo3Yh2m/F3CZjFPYq4K+q0kzMy2fDViK7jjqvYEVxKSH6FWK KbLldae+qFurGPkZg08anJv7+F0g1VBDnkLUmD+qmUHn6/e0+nJ2MxulHNxrPxtWSTCq+5GPwFMi d8cI0pg6gEXwOwgXI8i4eO5uK6YRYMDwZ3OMltL5H3Dgl9XMEVOe6PAQFV8RAbJhH4dL8lDwPOfg r0KqGiE3ulrL8dXj1VN8WoIKeQYZ+e43UVXIAnplYSloLJGr8UXmdbQ2BounhvMGnrpUXP2lOgkO e82wKf7k2t2m8LYmd1MmGm7ALLlssxLqYFnhfy2sbNvscGwM7llAiraiaXkJuKB7q/djgQj5xLPt +qHMJ7bpYGkvqtQKlKxCS5fTdEnJpR8pqrD10YroPIymGKZug5r5lvF30F5XjAxhRkVHkv6+eZLE Jp2X/+FrzTByT9KKyzFiFgYCBM/x3DveaMo46Jk97rYBZmgM0QKFu2CwhZBFAyRbsikG7KF3cIQc AFHcC7C/tp5xd7AelxninJqiAjb7M31fe8lefUjOJaQvtvESRnx1KgHE1LYmw403fMoPQU8oAqDG Ca+yaiicWPNtMLM1ZWdc1XF4T7lPGmzs0CTE51Kiqex50QSUl9yB+kg1AGaEjlRhbWegBB0m+VWC Sybykhjrud1ZG7QHYJD3e/4EoxBlf22bFdhW9qRZPdsoIzHSSGyeHpwigftQujhokCtFqSjPIeQR jrLP6SWhFJrZM0gH4B+aX4vY1oRIRNDvK58iCt251MqmTObkLOzZ9dpjFFUh9S80MUZEpXx9xG8A wyJW08VLjUTidHuoD7MoOeDTqpZ+1k2juyTMJLoN71N7vQKf1Qd0OS00uWgQYor1aSKA7x5t7dkc 6RoZZiL5iSEXWGMmaDRh8wWqAub6lrGdu1309lDYET6q/J9FuGZtm9vhmJh9V1NT6zUxZj1P4UZh Xu8N9emIn41MHTCRVn42RkrPtHwyoxntgNKAtt0wf2cMcnZMVNqEii2YRf/OyCeap8GJ9Wwut5fO 42gX6CuvEMLMQFqXnffG0fhRxxZa4fkQnnDYSDu+84GkxMY9nGmSuSmyZO+eGRZM9wUxqxU+A6w3 3GvLpao7itXn94B3FtuO8Npk6ZhWNGEUpipjKRJNFtyt4VBIjsU6FoLcRnJQUB6muRISN5ZEwwCl /r4v6Qc4V4iRSmOL7nEz1AQ/4H+T8w0bbN//tnXybOZrZGWCYZ1eWQ/+iC1Q+lbF/8Stb3xEfwU3 lhBAd6/jkjFvHgw+wZit85I+XtwWFZRjrsfQug2s0rD+2owNFk5Y5O+oiFv1Uj75aCcrTerpFzrr Xmdbyh4JN6DlXI6PaUKxoRsi8fx2/20DVod/mY4/hSozAt5ELVIpewwNBn0hL0GS5PY6st4OVOMY FKssIXR8rqL7bL25SvcBndwp0iVLd2U4tG2xRg9XeveL8Kv6komRRlwKaslJak8gBgu0XVdITk0g OkgBGFlxBTcjhMpi624bX1yB6nycI+2sYNdtQTV0MikBMuMkl7iAD5Gj68Eta2IQJ0CggCDMnU5e HHpOz9U82z9Cgm1+ct5b+yTdhGhGwV6PGqKLV16hYk1DOmOvF6C2/3sDvkPjlLp5z9I7zLmNgdki meWrvgbENPc5YyBjaqLnaQ1crABPVWex2T9wAJ12aLWwV4u99AIdGWPCUlAtleDxCplNJisjfz3V sMV4Otc4Aosxtc++l+qdDErxVcaAZfWBIOku5rUmxCKRsRM8ClVX10K9JFUv9E8xVhATnf3HRSRw 1RN6NWSYkT3UB+6cWKJ2fd+tpT6VxSA4OgDLkXxmPH002Zs637H3BxA+8cpamVHD//naD10GcKV2 p2W31gQiq4/ws4k3sR2hS1lCD90VBk6VEywMSbDY8xxyup6C46KjMDSTdr/q56hFmw1fjWIpXART 4PfU3jFmoV5/dVIBk7mBiLu4s2UvPGRD2651j+qfSkcLy10ZMu7RrBPYji6R+HIyPBVG/OHBHvMR P6Nt4l7mo2OrQoVQh2+eOts1ZZyT2GOm08jLrDM3RkJU/gRUsnIwrylcS0hdqXCyu2lGs06JVFrJ blYCpy6jxR4UlKZE4d+S/xIeh/7peW29BlODoQ0QhOVpD3ghy9HolQlMxu12H7tWR4QBww8u/Rnw +1y1wcGGajFr2xfxA3yJE7GmeD29QQQ8CermTfFAnKyeaZ7WnA0wrq1A4JgDClcb9M3yv2MNqtq+ 8zXHvol4yYYM95EabDC89kn5f62CtABfExjqddPV2nSNQW5J5w15+lDvGceqeXeyeBw44nBxlY7r OznK28IEslPIYA7eREwBXTxm+C6j7eaEzEJc+Q9bUM9EweHFBUhNmPOavTfsbRpMXlTTj9L2qKYV Q/nVVyjUWYLPiayB6Hs5q5oopS9YsB7VYBYoMzeGyrR5zwqKdPfkXEWfOM4+AaClahgaD3O8hBiQ S+EBUCcJE+E9mhxt6+7BzjSodhQmKczPkIthtjIsVXl75UZ1+Y+U/gGX2H/1lDc/kBsi4phqxlL+ m09Vde8F8LFFILneccwQzOzsB3JAXI5TGnqL9hMNDfSZNOqvcLOdDgoeUsOA5eKclxdSCEnn893F tTvvF8R7gEI+K06qUDmEOnbEb7ttueb37E5H5zHNplUEaH+4zk2f98V+4gNS4YDvyFQM1HWKa2kX S4uCKAQxgivn+y9xP22X3N/kUBHH2qfdVysqW0+/GSetgWBW55Pd9Fm207vdnH6d8p7W1oSPci64 zVk+ybqpyRDA2/NeXkd7MFca3EyifAhGXgjOOoFl5qoWy+8HfrhTLLkf/zeOmYBdfZ2jHYG3dJ8A zr/ctiCrjzWoEYJ10wzPAQnEJNUeBXfW6Uc8ivQa0wiIJ4ocS3wKDvJljU1n4SpCbGmF+JQCkdyH 7rpepdANxIzwerqYDjR9dEj6DPoaZWleUg9RE6DrQN62LNTUvhcOZ4tCfbFv8mzY20LERSPRC4qK j2GKgg0HE+gZjdWKFn+5K1kUIf0KIJSTz2K1ulSpriT6joWHGjQbAPytJtxB9RDdBaw34epbcjWT 6kT9H84r7sS6Z0DaMQofkDajdqLoVtguWhYX68omPVAie07OZeW5PgTX3eW7C4pdfUkSup0ux2uw 53FQbikI2M/OL+UgjxpYM7YFgSLviSdGPcqNjpsUEgeCxLDXT4G7Xbm15lGb7n/j9I3lwF7pYFbc TOFj6By2pvorCDEWhemg9qgNdDH0PgYTWmmQI0IXPdGkp7n3vtk7/CC/j8LeI9pbb5v73BXXWfBO dYB3kY6db4vVzSWP+CUBkSu8SzyyGDLtE97r1nd6pPK/P+ER+JB48nau7q2ZePWtAN+u/jBHGQo6 IIZDhWogyEDkdiioFmS0FCtMjq51TuMb57+p+MYUjCd+ajYYmsBuTqEvOsI4Gu/IB6fm6w7VfXRT 1NbMUG4sanvf/ohrh2U/JaAXdPLVkhOpBDhmJRMdNvASaxMbHfvKnew2gzoaJ6e8iBg/TNqLMLQi muzLPTvDPrA7rsLcoBqJ/fOV/xYWZDd9C6lY6GTnyVd2A1Gg5Zo7HWBiJJ9A/c7EeIOqvo5YKydA 9z4H45YPX6if/G1WK97CUnOIbGlbtw/RfSAKVLjof4+QPxcDOfyfKEKVeEjEWSOFafqJJjSthu/d IzE2loIekY/Tw1bFYgRvDyNM4Bsa1VgiDq5xDuE2lGOWVXXUtV8jWFrDzlTV1S1fAmWuJexbL2AY FnlBTDk4fX0jWrwv8kDYUz3TdasF1gofHF/vn+Yy+qkYGDXwDr4GwwVHNjZG+sVeBo3FqKZx1Tku NEPONmlTTTmwtgmrSEYgn4iGb3pzKP+ilykxQ+2uDETnwRMrxI/Ib46afcPQhOeYA4jKewX5D55s ZMypIdpY2LQ8kS2FavQ412orxfhfjevucrpPnMOkeasU2fY9zyzkKCngVksLOZtjpERUbZnyXljy WwSzMNONbUl2GTRQy8iHat+JbkFHOzjadO+7EJL8stwkEWrKbTldcaV8ljpLST/U4tX2ICy4tjkj xh5jXlJNlpl6ckrmWHs85Oboe+tti547n8O+JbkUA39qLEVpZR56bzPgmvweyHvNyTcLQVDJVTUh vxispssXGn6fsXNEkVAgs2jWdg2x9VJTGCyEHkOX+h3TsvnIMIoGBsphrJUQ70mMKE5x7Cg5F8Ru 4vtgjsYxTeVUQjPv1WvLfVBxBsgXX557iwlhoCn+C2msAcgxkIZ+6MEqQdkbGFT5IFjh8URPLZRf mMRnFI6LpspLjRMKLJlI8L9e0UTdceDDup3pbAM44nawax9SMYXa4loJNLdApoUiMJBznlEJ2VXC vISPVsiSjIB1VLXhYjnQKbTpMg/pnWUmbNcW2r7V/b4Ee8vIGhxTyZOOv0K4UG2iYcgpsLmzD6Jd NWpeS+jAA+faVNkqn3+t5jIjwfZ1H+LaTgWAI6uLBEtx349fH/YzuID31TAcF2Rv8JMkY6JQkVrJ MW8qwAJ0ZZ/S8ATWkx+FAy0sFXFvq3TO0YDdONFH96MX0NqESRbbPSILOn/clxJQcsyh1xndP351 dGQLutS7M/aEzqkc6LgThWE1ufO56UG+PKV64qHKCdXTcgb9nZlQXFYJ6c0Zn3YYwwrzKM94T3Xy SLUFs0erte0dE59K1sxRHG5O5PLOm6qBXHaR3B+U2G8Ex4NV7Xzhjlmw//BOTirSsjhVVvFW4YOW pudj24I3T1RBQiiVQ5J1iOzOmbikw42VyBy5R0HlYy+iMUgv9mTvbFmaX7v8u5hIr+SBz6Bvzhl0 USSagkE7cRlFP3YwLl9DMK07x/xB0IIABOuLLNDSfJS6ExLJTSyw9uW3fRK28xujtR9effKI8UTn 9qtvplY2AtpEh0dmqHqck+OYbh/OGRufBkUC63Zc2KaMLDbD2atJYjFCgi35hYfmHA9+QgfYIwhq sk1F2J63zfEmGNIbFcjcrKQo2EbdbZ4Hdmw9zH/Cx9TgHnzKvdZIjSfAlQnUXxIjXlLZdKhckbwO E0eSTjp46oNsJmE8pRuGEjs/DEjxCDPQuNjL9OKR5+nBfZAgIIy0xvLCRpvazhlRFmnq7E+rG/y2 pgI+9/ftT8/RC/RH5dphXlll62ytCxMTqKb5wly70gW4AoQpmbv2xkknjSqzIVa5J0VIwT5feBex MFjXcIBVEeVV7Vg8mhYBAftMU2cSwLLC/lGN4CdoR/5hd+96o/mVMa8dF1noQl7yjaKfReYs7KAC pbZ87vPkcwv6UcG53VlgqW84IQYSJIafxwlBuMXtWzjix+FbaheinpmfPNnqJzZVPHSgW5O9Vo8e /1EZ6vwoUaDVSQvgM+wMROoFWGx61R2GXAcQrIokXtblOJwKpNghlZv3fd1LW14tUUKXB0uYzw4M +q0kC7v4QoiQiwj0NiqKnFKEQPfl7NGe1PM452VZU7Kr8r6HUIWJ+AC4jGOpi10pCSnjfIumSMpc a4IZ36BJLySC69lEZGZdmHYW1i9/5ow0EFDw+qgqLCyAtkvvnP2SiG8whLvkqK8KHEWc4ny91RVw qxy7I0KKQjI5xNPcOrjIW3DJ92F+7v1dPsXADAj9Hkkmc4G/gSnn6fSqgtqhXqOFmAvj0zjRnL1B onEEa2ZooONML5EFtOuNP+4zWSgmmYfrUSW5k3F6m2WfOZIlVA3C90gyHvJJmeA7YW7/wz1V3z81 nPur9L9+so3vL+JksaQ0yUmV0XgA5Wb/pxSvamuMshIMNxA9lz2Yu9CRfn/EvPOIhX1wG1TVyQNg UfgjHPr4hQw9SoQgDFjjJHPGAIBzTMpbIW8vLHMThGJ4yfEebqcOtrePvqLLZPPZ/4F80hah8kXh HSxXoFHTeDXjx50sOWFgpL6nQ7TuTo9cQZx/3OTz/DormVL6xx052CZh+zanum+VMOVyakOduDth 1x9kRE5xI0lcksMvNv3VDkmYNnL3iA+XdCZKrjpEXbIx4N7vZMyyKlqUj/hjeO2Aso4IsrsH5Jfr U37nC4AnOVTwHyt1rFUYd3OCGjo0LLq3CU5zE8EEZDFl5sW6HWLIptsC0QdMMZy003Tur964qmau zbQLn/wsIqGHr9CtIk/sG3aYDLdmznzAbQ6eoqJmrlTyP7lLIryXPgL+fMd//eaVVZGrnYbF2WsT DJ1OhLxtKZUqcMqxx1XR/F4nRzGAymxbzSxhYbmv75EsJ8WFG2xWrudVVHUut7J8qr+yAk1YaNZJ oXVPmY+RP47CUa454PCzWODUd6VMUWyhaPPCbUj84BK5fXFKMo8p7yAhlqAYr2do/nNhbkpLp0+2 krUzzwZCXpn+PQylv593gpGzzu2pkEyN+dFBdL1J8D74GUMsU6JUKm8VTPdmQPsyzOoKsF41F7Ek sBfS+nAZE4dQsuF5GjeZ6Y8JeLBgGkTJCyH5YrGZtcm4Vq5L9b3JGO9W0oxp7AZ6IqrXqnTEriue B1JVQ+Ivjo3KLVdkPiS7NNabcWuc6bWjTx8AFtGqrlXXrXMYR9nYg4IHNRhYVelaPU+3p7/X8bI7 Xj0jS2a7J8+bhNrLMLHMmeFFCSrKAfpdCaoSJ6rImnCHTxSZi3o3J48vMafOxba72JhwMiDFg80K tA85WI+ZzIKgeLwz8wM4zHXQl3P2fU+pXZSZknnOCuEaeLoJpyfmEIw/TCLJjHcEeYhNXQEb0Nj9 E2FlBwcCjTzA6sm2/ualc9lw6f/hhwdaYg/gk4BB1PN5Fjx1wS1Q/Dk9NKQIDIoM8FOJN5yY6c2Y 2uedm71AqJX5SG6SJ1uMUkMnzqXaOpF2VTWznVWDhdj1heJRtAlGoWEUFNDh+uNfDEJrbu2Tcb76 Tk8LIcg5Bx9i2EEY8PFs48mlDudc6kjianPUdCo6oXnM2sgzdX287jTi7n4pz56dnyh6ptfQWyRu qkDUlCmWSYzNqzZP12H9slxkzr2CYDUJ3CTzia59NN3AGUVgD/rCB2XgQ2BqW3mayjZAclcr2q1g 6q6qWeW7phGKFA0FdrX/nGmCq8JQUgS5rNIFljekGMf66+Ht4WsVvyNzmiFVIOrs2Jx7w0x2KM9d W1sX5u+fmeb4rPFjs/yBlAj8rPGib8wxfEraQiBTwW8jj211xCisBe/GaFmNMh6CwELmOGNH+Rcb KNSpj6L5LIKaF3RmKowvq3FSvqecT32Swt/dHR5VcFlF+cfxSvlYyv7eV+WuUUex0jnxdM8ahMlM SqHiyPcx2tzqFrCbMxbFbIvPkRblJuzpK1fJZ2nq/HMANfHwwFy+67sBpegZSRHTEquWQK4DS0x3 0yUV4HJ4sj4/w4sos0FzYLVKrcwcP7aBEspS9HeW50AEtGZ8vpKcS9On641zZiSdDztb3042BY+s UqNeCp0sfm1qosdz6eAxxZOGO3CKYRxeEZoPFzWCM3OTsAtqkkzBrvVRTyx4nG4u/1wGQkZwY7qc NtltrI8iTkqgCKSWUUx3pWuyzgRC+XOpipsdNjKHBGQvT1f45rpchY2wjjEao655/rib/4Vo8AfG 2wdjPJHlm1RzWlQSnhQ9CPn2Phfe+CGU46BRaTEpp/CXK+O/EU0r7UnvyK0RgQzqmoP2Jc0nT8yW jYBB6DIidviYfAd+0d0+3TAZtVjwOtRTOEMm88EHyKKvGofljH0FdQzAUqIGwU9RKQR//tlZDwDC 3URMeKOzIdgRd8X3Tu34enCJ2P753TnrA3hPRJCG0h/8LM6hjP7YTz5WJR3+KoxHO8PYZyoH/L3k m1zXfKH56SdARK0yD3YLKAGX/Pqbw8ZW7LBZ18ImA2aDXp0c+o31OJsGPC2Vp3srlqhHSC2+nyVg /QjbIBOpWYF8cEJOgos4XnlsueYF2IFhPBt/Wz+RoSrMEfs8xF69DJfD9M/O6tTt0NQjvcIVUxzI X4lED9HK8/EV8hN0lECMa023GVweoaPqi88VtoKCEDCWnunUfLdaCvXIhf9ed8KxTHYr4nc/kxiY 9rkO/eS4rCCwq87I1oz9+9ZUrTwfW+5AGWXMi1XYUWQVekmh81gHEKzlYQ83vLBbVDQ/YeviA6Z0 dWpyObiMZE72V0ifSVfqAEP4NndoW5KR1kAqlk7ME7xtxLGKUsXy2kPIKELh8GmifiQS8BRi3Wp8 iqnppVJ23yi8XYjxyH3mQfm75+6A5+QN+ELz4tiWQx6694fY5Sh3Qw5ZdxY18g/VgPm0A3sdXRJo lJ11hkwlM73qeGa/eva4EAFTlyIl2P3uiYS4VGg6UW7ejeowwXN3smlKqzDLZKYoBpk9J4G1gUh0 y0LIEzIHzrMlzQFYxmlhaXjshmpmTUVxNDt6xnBxwaYhqH8WtG6n8HyC9Kf7svyUHvR15tlE2lRd FJabjRNcYlv+yD8hwKA4Dt4oLFRxK8t1rTtdryVPJdEyM0tsXNJMNn0yBEt8b4IK6jnimeUgknSz BYlldW1Y3nJ3aHEYKuKAt2YityIyiV/DDuDQ9NNgn2M58JdApWDzDYhD2uf+AzorFQWh/GvzejTO jfg2x1WRrP8HrTxE89eJiJetNJ0BnBt56olCzMyR42UWfpcbhTbRS2TIvEoFF9+QXyQk4JYqblrv YP7iJlgFtJiMjqDk3qPnYoyAmoGQaUQEfJKsUA0V68qJoiCR0UTC9x4boyLvY8H1Ovv/dj0n2iCf /pL/UcHR177lKYL2r30BS2HO0Vvso2H/m2f5mbZstE5gbBSqS98mXRYpaexeuPwuRrVKBTYKz9T/ TeZvfuGKy/YwOXfuCjw+AOThW2kQkRRS6N/PXmEFsotOPoN3aIuKYsbiwG2g3UZuoVjxa7HoTMHs YCJhVTNS957EkSPZZK6YL+c6tRRv+UjUDPf51eQaryjTf7zImQpwnf0O6NzP2TosxQylx7WijAt3 9KJpwfaWScxHvuEbwM2ikoxSxkAk2tAf34kMkvug5RyudzuJOtwT7NHNNm3ZwiQzxZNMKyBCOu8c tgvWS5QwATp9ui8rRoFpAqEqsVyyhJI4ePSkQhqw9kpbE+732i5+z4yEabSESFVa9zyqP0qylch5 31NqmOYhzxIpG3scE6P3dUUSrTaoPXK9JKQsYiyhtzJs0ar/C59sOYmA3aN5YHpJz7EyMVsiQ+uv rOoVkImXWqAZdfZb3JJgho4b1chZNDACsFZ58mEuMsyz19cc/NTBuTHK8no7Wh3Q2kk3PFgkFFym j3/KH3gLpY35OcmqxwVjdi2KQ3wTgnmb3IXlU3M/Q23cFnY4X3MptgR12LxuKYTCCF0snSmZUxUM GsaIbUkbmHQcYMSi4n1vhm1aUUHn8jbV4SiwUio1o3Y7TfcTnuKj7WcmQmsmx5/YVPcW9RbEvYHr u44jMmnP7kfU/eIThI9Bnpw8YAx37f1GKF4oEE+/XRmZHnm5OVguNSP6LkjOPwIPCfANb0qDSkyB isgjBWhbxoKJdrbGpbzZVr7DkrtTMCwCWF/PYI9HifB0BMQ8kZfYyJ/lJA35TiMwbjzSvCKqr8us zhITPjazy8ed6Toop0auv6QLcGaZD29tZBnunOM3n3cvKvPu+hlcCf5pd5iexF5Rj/633Ize7B5C sEaS7G2OC5jBuzyOnyzD4uGHa7bOsUIYUgxpSfmpNEycyfiwteXmBwTRTNqLE/vCiXq9KMr+Sm2e vjrMvp4+wPpn7LuB+P9l5T7P22588zgBb2IYDSEVn5NGUxU5jIZevo+wyWoRDZpy0tPFOIxfHARK W+QkIrpEcTt3gAZ68i9a/BCy3N2FViFCSom6kUKi9pUwJp43Z1tPwb7vLu6iPbU37T4ZsWTI62y8 ronAUCOMC2MjGdrcSigQp7me0UdWwmTbJtODhQ43sD76JwzIoEme+YbvIfEsAvHQ1zBmRaz49WLW OZGAvRmjttjB+9YKlVa3QRjTAwN9L4TX7oSEIn8H4u80ut3Tx2NAFWzWBITCdNwXGG1711EoyX/B o+Oa4V5QTOsRKfmfbHu3pbjyv9RiYZ+McUNG+UREHxHKplzT92cHumkSjbl8/hJ3yocl+XQ+tS/R NC27N5trbV00qwHNc4N+sx7Tc4dWZ8SbLP5ApICg/lJa8A1BAkFP2TxCl6D+VJ36bXW2EvNqplci 9Pl8stElu9fl0m4C4o86lXSNWVDsxMT6wJmCet8Sab8bX7gZP43cK08DMYPVYG3L4DvepWyB3M+7 icE2G52sxz4YZVQ8Q/Kb7o/nz1t7ipZux05Ifbj69VpKbyxbx6k2ejmYZvyH6+COPKno7PaPhghQ ayZjrd6BhJ6wabga/UgAmrqpGBbn8U7qLAnU3+a5J91aN+xTB5uExX7YO6cwjI/lhdNWeK8+Nxpp 8L8Cpse+u2tIkMf6aGRsEhmY3ib/8RGNU38DD/7RETGr0tRH9uUnH7jfO35JngKQuGfOoWxNaOsi gFpI+GUTzBE9C30Kpu85JEsBJLXTDNYwQL7JA4ohUgy8Q6uOZ+POkJImyhptU/CpLmi5ElFGzaC1 QID9UYCtOvOFwZ/f+Is5RjYonWX+M209Ugt++c99WfQZAqDgu/79YU54j7HHUkG2SDdwmNgkHERN 7HFRNwA5XKm036TIFoP0jK5yZ6g579ce+SLv6kqY+NsLt4u6UCR7rICFLkeF2M8qWp9vIM67iGKC 2zbh1PQnQBOIwsD3VsnceVd5TMuG7AfUfoYEZazyLUzor7s/l+qdOa8R4Vl7G/J/XCBJjUMmmHVf 7QUpnTcs3QzRbKvuUgrRRrg87iInUPYFvexWlctbi5yaS/8N49K786M+o71RDogQ7lL0tG4Lx8+u nNLHg8BybY2m20nfbPECunvBN/rEVWhH0Z3tcCDfIcbxJeeBsrzy5wQfa2oEJ0OVwJB/ggnHlWO0 TxOzPYzj793ciy8DIOw0al924h1Js0S93TMtRtwtD4sWj0BB6O5J4WEVBp467Ib5+RLXHA4nwDVP oNjmrAZEjfbiA/HVLACAXTqefj0dT29Wi/qIEdM21lZ9D44qo5aXcsPIHIyrdNZkt+xFGeBa9i7J 92nWdJMTzJKnd7v9mZuDOkuYbdDSqXVApnZOLdZJOx4KAq3hlTo0i81O4RSnlkb5a4omIC1X6cfR HPIMlt/z8DUs/fBSXinTgM5CuRY16BxiElkm4Go6IWueYvWeNLnmJq9p2qQeyYn0yeGPl+pjahrR kA8nPgHctian65/eQ9dTlX70MmgJibbvct/+p9RS48+/6kyWPKdAoF3zPrbg2BoYrT3oSx4obcfw OhSftX4eAKEr33ZIefamYmKHfDCNYaiCWgpbWiW1+bXm/G//eR1o4jb2DtV2YcOg48jCYhQgR5sk h6ME1zIxrSAII8Ia7aSNjN6N4UkX7cnnJ/HUX9I2JWIa0+bL04UIgVmhMohIc4gyaFmR7pWXtDct vmn6kKlB6ctRpNSCEJK/FT5xlxG/8OfdGeTXf6R+LXQOeTEAMdbS/n+7M+YC/he8uuuN1G5lCqm1 daPio9U3VADkefeeVwMohO6TE3mc7NCGdIQgMgAKSs3N5ZiJNH7vkx3DIgQOC5745k04uMcmVC6r 8V42l8yRRSavNt7HMuol2QdONoXxSB7FYesKz+qfOKg144rSi+7X8XYG/d6ExgnLqkbBGzHOGN6o gjgxsDI9XVoVST7/w4qtIl62GgOfZgpPur/HGuzm4/W7/T6y6mVZZ4hNbvtCmIE8zF//cMh5Qq4M nBMTl7a4VnVrPbJ6uvtWZW9/+fn1uPtt+eoMPLwMWe8Tq7WdviuaAopORclXUC4IIkpMO5OzypKN x9LNgVycrGWaGwIKaNn7hRI3p5/pdcwZVq/j9TcaRqkd5L8wXPUAAVuqEEsso4FQdAVPd98N8yZx dusuefvSGlNXJav2j4B/3+QuqhCN4f8k0zX0bezkZZrmxVZYZE9Wdo+/tGtq/AphflZCfEu2NseD eulPx79Ys9i9aGHQqolLGKP5dHwo7bfnAReEi/4wv+Bso+T2JNd83qNTkcBQysyvdpbWyXSadcxn VrBmHUmh4Ws06k1b0t4trUVn7iiha/2P80nuiyoddygHvWjHTbqgbOpMFggmyQ4L4KYtweDxyuvH Ds3dsRse/SRcR8nz63mB7tNi6pyKwqrkIZhMlfx+r3N7iYR08JzZ/FDBXYx+B07LhBVDU4wOXWtR zAFgqxxGi2Qp5Auy0jY5RbekDfxvX1DPmbGGTdtZdmDNJ1axzmta+LbbmbpxU9THlGdqNv+4v+jc fro/O1qzX8O6Zo//lqYVmgS243tOB2dB/nao/RRqkJGrpZ52APF3Cs2MWpQRk5ag/5dWqfZkrpo/ zPYPu5SkHz+zGxOjZ7iTGU3vqTZoqb8mosVQs7kpkONnvqWqBFTKd/JQmBx5q6vD0x6wXqX8yTDu dXYU7SZau+V9lRMgGh0yGONtLUGoCvGV4jlXcI25ZxxfGzyS3AbIHYejZ+7YfesPXmpeEut32p1a T3uImPea9qH8JnNf32igMBONGevbDv0LePk5FrS1pVsMvtZKBCA0Zr9RCT4wei5DG5B+gqEnbsbu sMg8GVm17K2MIIpIm/DCvc2mMM3iSM+FYI74xniwwRA/MHEAiRqgmh8N9NIi6Q/LuokzEPAzPuTJ C/kmS4jsS0VJzKONktQydu+8hL9ZJk4GLbQ7/1hbQKM/noZc0iu5yerDXPu0A66csu3/vHqjWrzA XlQT4FguJvlSjXXhqWrSWnoMzESg6EiVk7AN+M7TImIvjMSXfYeV/o8DmPAhVrd5uDx9XxAi6PRy q5Gp0H2/LnTED9Q8vt3sNVI8l6bJMy0MgcSflqZ96RhOBQYwGP75V6hDeJvc31fWZZ4yVCJioh0M 3yNXg/pW+fxDoGExyEslsvm8MffPDdl2F0b0RKzenC2AqkpI8lRHZdfAxrY1S1WlZ1wATMtFyl91 itAvZZrN0l1RXg9fhuL9w5HAnoIl2K2PHk/3QlKp7DUtxlpLWlM3yYSHedi+s95T+pDeXtXTVxWk JGyp3+1XI6pZq6EkKjYcaURZPcZ9fFLANO1iCyZwkHrN6TWjHmxgiyllINtwGLmwldwxCEcRfNBu vVwFW9O189qy3RbUvSI29GvnvzzYc0A4WPwzkIPB1JpAv9mJUJeeRYb6FbDllLT1lVout2DSt2JF 0C/l9wq4Eu8x23qWyfwSwnbklrypn6q7ZBc+Fe8LD4mmA+t83sy+X+FtuPS9MRd28Uj8yi0yEwI3 5tI35w12zbMUMeZu60VNSzxvCAMCHIwsmcoOYSOpqDVYNyPkamiSsh0WSBpaX9QAJ/Nax7sIzI89 U9GKEI0jbcyL0X9Fj+OeVlzrTgOGMbtWse99+EjFdTkgrPqyr/usAUaDZP5MctsIF2/pDDNABC0M rAw+u+Gj462Vdj4D8Gaw+EOg2pbRfrbUYqZzkDqFHcfJiuFjmJUWWpY05b8Mz6ULBGwTNRwFh5hu Iz2HQES7LAyn3l9nHiQn6ZSwpPlDIJ0ZuXbdQ6xxUY0dvbqh38xdlF9oN+f8F39U36Lqt8qnejnl s77sScQLC152S9RSJeZh1zmcCfLWA+/ha1j4eh/bf+AUrQT97LsWakdFxcw2lyw8Dmk98Gvjbp68 CrBUHjQyxA20V9PJJBSdzv7U2qRhVf42ToP62qzcL6gTgd5HN1xQiFzmZbcPWVLYY2lDkA4QAB+q xaOmqTz+mhbXgdEjongkj56AjArDh/0CQwUz5b/fHvc/eLVTbipcEb8w0JRcA4Vj2na66ELvGSsi CzoDQaKxdkjJwkrrPpz55J7TFR8aQ88QRdkgGZwX8Wsl5WQoc3Sw9lHE/WdvVz6o4ADA7zhFPCeY Zrj96/HKbDLTCp/BnaD3MK7cy+9F74Mj3udpO6o2ks/TRJSAt3HwMq1hJ9Omh1RvaqP+ZHFbtaqV Y9eW/XovzvskezRFRT+9w5wFJ0bl0JNppsNkqybTwK29yK98bIReBUe1EUMy5dN32WX0ccynLZlx +Az967KncKFNXO1LI+VEQNzoBpyHDOnfjukRzqnSknx7Snqq5OAcLD6jOEwzqYBne4KzKsEAiaXH lvzsjO6aarhVQPAGkbP53geiv0nHBvfNWdMiT/sM6cfvYiJTS5+SRR1Vnmwwjga0lJQAVOsJd8j8 rRGBfg8ClWxNTRV5YEQmAyOUL/N0FaVCw089Nmzvp1mK8rBr8XB+GeyNx1dKr8M1ctAovfbe70B8 BU7WE+cnMgSjAf+dRLhTAKK/3+Fmjly8Y08UQIh7tsT/YjAODVfJ9dahDnhiDE7kfjQUHnbjIxFK bmttiQ92i+gM8yJLf2sWL/e6p5lk354ycIzg6FzIxtxxQHxjTAK82MA73/EogT9QHG3D23NSo9mb DqFyHfX2IsNbVV2J6esDpqvJHgCZSaDNg7l67tFgYpqigTa8UDbd+R35ny/9F3YUuenHl87E86pe rzTbyQFe/c27PjmoPSy1eZE2HqoYn2c6NbFxswOISFGogMhDTi8SmTICA9DPHBt6jdRCi5W5C20z kSzOgUwHo6ZOETwGw4AKfu1lRZCgqhJsIohSf63icqsK5m+NyWpRT9sGhxtHzJ8YDilnDE3Gz9xN 72iPo0KDXftORqPOsRN9ChVdLUkkP/unfR3iYnRvTYsi/6ot5MgwKNKabbSG2twKENIcUOc8rdhz MzmUXNZ4GMY3RrjQw9z1PBjgFH8Q8PhD5iPULPSbdmsa7SAvBA6QM0SA9dA+XNQ6Gh0p8xmWxcFt 9yVXSQIMlZb5DhYbZoO+YLNWHSDrzbusrcbSpTo39wp2uyFYwXnPF6Sxj7wgyd4x8airubM+pAbT C6HU5HnPIGz7ftUgMBj0koNOIAeIuIoo0EvQRLdjSnjbHtU+e7JbkejYla4L2xK0xcFt6zGA/+nG SxxOq5h7iCV+rZuek/+pHuWUrRZb/SVNlVOVdbHMG6XFTFL3jneeJWJQcEUj9/UKYI3erQ1CwPq0 B1OtYDaer8YTJAmb2lZyCG2gs/mwNTryMHvnPN0lzagi+UI1rRXF1+TNK3IHoIu9i0SPo2BmcmGp T/UwMJv8GXFp8mkUsd2LSezOiKpB2jEEbR+wSCxn6zJxJMNXXh+fdc8Yszj1ITZn2FG/PEzVcE62 W9vJQveZ7ozQFg5aMgrE/EpEQZrgxrIWlDNkl0xZwbElmV2sK17j8PRRMQI95EIjSlvIdFvzeV5W KkvOzAWMWKEKpCLfTiIlvXwlFOhLj24WBzIjy0SCXesdfIAQld7TXtEY92H7na83BTuclAWSaYcj MLCk1lu1A+41KZw2Ky4enC6AGU5XYPjqDO8YNGCOdayqf14NVref5dmMu9DptgT002mjNHrKQW60 r4/uZHX7KBmRZ6f9gsduPCExTH+IqUBc925/caTdJhTSHqjPgHsUZysEjdbxd8Cfxr/8JPyhoMmJ ntbq59NG3VunRt5DQOCdiXZ+cW1EOAkTgQqjj1dnwr+5XMx9ic3K3Fmq2JP9XnIgkI8/DtWOF5u/ NC3jkXQhzEN0INwDsjv+MmhjTpbP/rDSswPK9tflykoOtmC3ix/ZhZW6JzqilWJEn5Xhvt57nQkK YHyKHQfhDLdT8/g9qswtqAgU2HYKT3vrPGhDdk8xxapwO6jD692qSKkgx92Mv1zt71V/aJZeHJJV Ut5xAlQKOUesnvtVl2L0HfuOUsHf14KvtiVSAT6zIrx/SvSI4yACr6jPFRzCsrF41hJNUOrGnPl0 K9LsysrnTIst+zAcB61tog7AcureYmb3jl43az4BTfE9XrfkwI2v9gDQdYwKiq8DBKFThMf2zoTS whST5JkCTrbEr23Q32NPQLMqZ4LBhgEwVTi6LQcpzixkH4qTt5LF3gOIEjjn7EaQER/na5g4wm0h TbPqt53qaN1pGAPH+Bfk7iJCou1IbXYPjFfo8VF3w3h0teavLvvjVe9tm8+cIdl+gdSLRYdU+Fxg 7MlIyA8LLtKPuOkLFBSQNz4EPI2mByYFrIjmfH3HiMnN4GJ9sP0nrQKcsOmpde8TCC4M2yNTA8Oa Pqr6twaAaLPHEY5f/ihEEXQzPDnXKKlq82lI5bVwtbDnVoGCa3hPQaHwHFMugJ080lr0qqJaHIML 1eDGBpWJlG82qN10oQcpcQ08FV4vPzJzANJmsf1uEfnV5aJSu04ZwNEI2MKtVg899so31w26lOX/ 0sisxsLwI9+AJR1pfOzce1g5+vOLff2DlrjbJuVAlZPYXGA69hPKqrXBlnW6KMPyG9K2MRU8hTy2 LzvQAjTuHtAw/yZFQyKnLhyhkdXQL/UGM/EWQJv0WfwMtGwcdVtDNr+kmcO/7sT4s99PaqwKiNNM VoEJNn51qRrw2z4O3UQ0xV/3bqiR+7E7TRUNVmj4A5u97qTzcScjnQzFo1Yuszqr0bgPgKvmhzsO mBScvgOX7u+fGw6mvwjH+CtiXl4AcVWFCpFf5gSMRmlIystWKjEK/mc81vjoSrmHkPHeKhSZZwQ1 qzHSNvcHxYb22zz017QYCL1GKdNVFOD4J4RF2aAxFgjt144Kvurmh0aV4vajfPoa6R0f+qL4iEqd JRwzp2WtmTJC9Gq27yoFfEKOCKfuXUn/WP5ZRNq65bEfPUJqdP74i3eA96idO/ZNEGByekOuEB3W Bp3MN2vQLkGrBPK/z6mwZP8DZLHOsKvZiGklY4JyqvtkIilc5JQF9skPDG/Ug0EPsGSAZF0mpA86 f++tyj9h/EzwvXIfYXCuu23JEKGUZSdxYAAYW5WeMUt+gu4TiMt9y7Ap+GDZJE0elNLy7dL3oQFa TixIODVqpe4GFglbLvxaWjPpThXPVlW2Pp4CUBona7ijPB4jSx6rArJBZ/mS3ikpxTGsyKL/tEPu 5YbJ3rzjTHHpNNR2LgQVVqIWxoY9HUpZb+Znl3ThdjlW1TEzqr5lo6CS95Aj2H/EE4YErL2Ta3A9 prT6hwD1tUa9MQeBfog230Kz2YeXWysBos7J4q8e1dVv+DBp1UTlqtcMbjAGw+r7U2Oku9r+AH8m I9Lh8O40fePMd7pt+vjJ02E6FjpoPaSFU11EwV98y+bj+ZPcOQYDk2bV1oFehBfjNBHL3k7z6+AW Hp4I4AxYiuD77mNR1CTfVldxOkIV/a2w3pUAOsPPp+/jxCPOtGZREWNKx+SHgzwxqi77eK28qZXu 9anMhHy3y2BOWVPS+7ZSVai6pAXUyY9fhQ+d1ftM8yakqHZBAIvDwIqgFHs4piVX7hV4UADF/jWs i2bOrVLqeISsDWVMB/JAdNSyvEzt407Ptlas+IJiCIu+ZgPvLp6fWo+ypuOHDi/099d/ut/AvnmP NtQjgo+7n+DzRo5BbCRZkt09ps4cxzNrRoNJPqOD5FQT6dcLjV0y7RczmyTTaoQRzZrJx24EFPQ7 fkC73D3dTuj3/TQNZwxDYsyXnoupgifZrISsdjdKHedbYlRm+8UjDdJqBsYbHJpnbpvNjewQZOgR M2eckKOJxhowoh4O+s8FT++SXjliR/NNgCrx4+Rnb+961PZIS4q4wpKJ+SZKqOqJCJdSGqCkUsnA jmzdUVTDMF4LJUDl06TSejcDHE0+tNGx7nab6NZDORetgiakNLt3nFRSSCNONm7k1x0D0Emt+m2N WJK3lv8VdyN1gL/0C8/XoC3S+noC5u/NDhCCOpR3LiIjtPS29oQk8xrin9/88B/Z6J6fb8ztIoVH lyEFjIQUHEBQBYJ9zMDe8g4UWPBAqVObq1ENoBFoaaCi46FxvaskyaQvJ0H6A/OT2YLg6ggCuVsf B5klkDjpcILFHpGwaPK5cF88ogquiyUjeEsQk7W09raeDezqeaotTFBmahkZ+2Rdg/d1aeGQHk6K 8X0bTQ79wgfr5RjuQeNzAVQQ60UppsisdJhA1aImM5ueGq4F9pbpz0Mq5i8j28Hxj8iUzjm5W9e3 qc8i2gknzZfv6nmF2pSniETQ+NTcCbYQe4nx+lI0Qude/FGnh2USCNrpw2ot7IX7pzmAD2B/5ElX CU/fRDiwZeB085asre/ksPPHgesgdBYDBP65X4Ca0uaPyJnY1syHbena52+tZruvZmj+lSy3W8Kh 2CsUBUPLQjQAS9jUvqBlE53P4qHB5Iw2DKZji5ohiGZrOcJIHwQR4lwqZzmtlfVLgXGWpNYioFeT UCyKRNFDVgWR2qn45dq2I5/xmZHm23Yr0wx8eWDkTxqyJ9RNCNECu5u0GcMIVXfSUW2YQ8HP5U7z Hv5Cl9Y+A3Bg2iW+9vJe0fAO9y87sb01xtOtvI/Sj1xj3Z5n4GN815em6UT9uwqeGBv8tQUIwBMT hk+6RBllWm9HNOP/KTpi/WYUns6wWqnZ0GrFOmH6X8SoF/AIqTAKDvtNZk9D4YEEcJDGelOuIOzZ u9mgfQPlu06Onk0TobiEcP2ODwjzASTa7OWIQJRIhp7WmvMeW3p3M56BYsOJWsxZWs7fCs/0FVPb hQvKkQKfONq8dzvYtn6WdJJZQPJDVkJ9hhSZBDTGYFHbWwzNHvvGx8KNp4m80jnmP76Ytisj4xC8 aQrPZGMQZOD6QjCRyfK6oLpegqROn94cefMYjXgv8sx3MthvuYIaqO/lLzba7atpiTkRaGfmnAti 4NU1SUPGbp8BWWGnzFSu+HRgCiQeVgZ4qQuHe9IaTDILaB0bBL7vi6F4vw48Ooq47uBFrxCZls2p gCbqP1PFhjYgo8EtpWCXncic6ChcN9w0PKvK3dgZ0r2V+x7yor4EmZYoGcLBEA7S2NUeIXqkA+n5 oprvaO5f1ZMkR76xKPfV3OMYIh1SDiGcqdhL+9WM2JWvTNuYTjtOcmAfOUkNZrjifw1/xQIVwzG+ 30X8Sqx65D8PGxiDaLfXaneyT/u/S5ehCTWHPE/+OidOWDTsN5UQdFjfcDtoAAzikW7HPISEdSO3 Z9EldBehb+XjBxrADyjawJc2dtTT9T4uh+LhRc/Q75yvIx2bMJhQEUFdzO6bF+r9K8zQnz74igBR AUkI6eVEQzs67P42t7/HsBnH/xP1BKigtQCvmZ68lSzoPUdXiyFKIOIy7dgOYBC7bw44a6DBugHE 5Sjz2sgjKnojBRuOj/NbS/0DgZzKch5xbLTGPie2BwQW7WfVDIG/GdUWhBha1a+exSP/wiGebJO8 6jlyFvBSvhO4zLB/JF5bNKw8BBT5FyquFJVcBqPAMPqP/ZISx3WW8/h/eRH/Pf37bZfvzJ/X67tw AtsAle0s1XDgVqCUACNi1mDgySaQR84FWa7bRJLSv/8/oJ785rFq5q0hk6dzDqsPmvLDupQUGkft 7ijpl7E16/gplZSHG6RutRV/vytwshujY8kCF37gI1jdCSds+7Od2Vz3XeXqboxhLhjz3ZclEm2X ezOWJPbbWosIMl9fbduqQRI2FuQdFkADGIwn4eWc73xN3jf8FylOe6V0G4PsNpKooKTTnCVoamHw 3lnnnVadSPU7Ib/ZN4QyBxoZ9+Gq8B+2whw+KRosxNgbOFu3qiZjLLbE8OL0M8QUNLoHoFdjn9kA Wt8ANiWu2MOn3woUUJpVV3eRd5scS2EjPaHdWR29iBDeBuOjoFLgnLMAt3Ur3eit8wKAEfEsdT7v DyVpb315tyUChw4PJhZDK3EcL4FcoINcxPjj24Q7v8BObrOt3RHsLg76FZGpQaY+hrvpovDOLyOY PkdOVtO8DIu24s9qXBhv8nPjDKeEMIAHgleE4eIOo6o7AK1m2JAKDZqpZ5JWdjPREs9D7E/zMMS7 VTHDACpP2/0XtCumvf9E8c6SoVCBJkhEQB3NewfXbYHV0C7kmANZJDwcTtDm7DqkzqYncKI/hF4h MiMV/OEefrnhos7+UYf8zYm1Wc9Oe3TN4Ny1M+WzrnPo990WAWXrD3jpm1K0XuLxYWtV6+pbzGPR 4UoADGSckiLefJ2JHkFOCvQtzkGbLNlEUx799RrAD3nSAw/xJt4j/zQav/3MePghh4tsqwE5twZ/ tC4uEEebDQGqnjnkp/EiKEVGH+brgrq/+KdTSAUCX3A3vCkdNtQ8vgOwG06U3MeXJ6TfoLz7yjje HZA6GMv2LKcvlYnt8V4N/gfYL2qEAlguH82Lro1SqSMl3CZ/fqF4wWxy/1EHydohYuvu8vMebqel pRp564l23gHzAMAts9UJiEWIqRXFJSLLvK17rDiq8g6Tn39Q2Zb5LbF4VlE1GY9Eq773NU4IDcZ1 nc3FgivlGDRiKwlgpB1WWzjBchqEzNbokKVn3sWcCrONoURHcdVsdyYgHn4RpC7Um42JYhBy1sg2 aYUgXWqFaOpu4RhzdGZN/nphaRGagFa5aNKNJoVa+u1wM12zm49TO2HhJ7aLHx1MQCdeWXa0FHSZ 4lKiWvC89HUEDolL0pomRsH79YhyJ1WxsThR4QR5CFj8o/pKmC7ojRlYQFvYrqb4gEcDUtC/VvnF XFdcUT4bwkdKaBsqKQhpScWRhB38Cd0aXBtZwg8xNr1n3p7CMND0oXY2NrYM+hX0/ElgoDC411xt 800yVskElsUji/VaGTJ6Fu5zfMc43r/CgdJnWZg4O9lEcHsew5zjnhUyKApVoYIguJIlwjSlXLND 1+2A/dWFuJeJxLCqXTqlzBQ6e48FdxAY50TBk8YKnZV3ggV5YevYsgYWNIpZlAg5ILloPD3G2vkn IBf8CAg1FILjUB1jJxLPOR1Y0TqRYBopMaVITvilE1eIhH5SjApQbbeh4OIbsYeLCTY5dTgpgDkC SSofdN5udhi+fyWo6/HRe4ZiclUGd9CKsGarKb63uDEeX2S/uMIn6mAObS0fWfiFjKhC4MRqi65m taWY3UQb/NTYlIB46neuKefpozBmcjfzHfz2b4yTb+UxDZw8yn1SJK6cc6OPRZLm1Zpij4OEacee B2rE+qsaVBgIFwfEBccI6gWPk4edXp8SMsT1kUTi58RiKUrdq8wCIacKLsRQJ4qlrV4jRDARADKQ 7GCrxCca+1OYVYP/4DqhJGK7yLTxp3jB4kvpw2N0kI5FUrMMr4U4/ibYWTRvKgmDGCsfIDIAktUd vyacE1TioPYj5iAow2lY/vs6MrGrwuUhSv+r5Acu8OZZdGjqc6kAGhRRVUtxfSLZ29TjeDMAJ2m0 nfUlckfnj+Cvpn2/CUBoOI0ABcOmgMuH3+6u+Vtvz2OkzVWcpeGOO3Xp8CBp4Cu2Y9FCbCyXZHgu RPikwNs1eHk4W6+l7dL2REAxTGR8BQsdquvV4/jCiJVUVS2hWsWgCHu3xtj3XV0mBfyI3ALtPi5Q ulwj3BLW6fsC7t3rbI8WP+jwcGRUygnrfj1Vii+pgii2O6dPkm+I/c5VDLY6YkTZFaUL8v9i5dqx zxfnk4q7T7Djs3483/+8IPpAvRNWXSaStwPWsN1OsME1ZmyuQyCld369Z7XU53SCr7+0kWRStCrF /jD5tc0N/wNyNBm7n/cFzRU4ctSrdvr4cpfVdcje1T/cryCF6q0o7MfrSlCFnloy+yxam7ggLvkz vHT4nFHO9Un+3YrTsxBvo/hzbqh7rt/DIBwWkVtthGQerMD6CqOAyuWVMYP9qBf7eT9A62hx4Ld+ fjIRpglFKVL5NKx97tQ3fpmwW771aUBYYJEjzXDAeN/XPwWhr5dlg8qh+TvOIlCULN+a/uM1eIJl 2vqJ8aUhwWGMHsMsA2Z8dOY0OujmD6jD/BkToVIQYAY9SKN6ExG8+3hJUMnszXg9sY0/qBJSsUh7 8ljoR9QiEKd3sUf991h5QpryPWeIifIZMbTy/gzekqyTrbPZ/ZdVBF+z3ONbbyyAIBjcExSJ3tHK /6NIxh/Ob//cWSxD0x4tMY5/XJ9+CtUsasQqoAlV7H8J5mkcOCEgyv5F9q+K5ohfNtMz9S9PMXd7 idvZRuqNWKbihJtCiVhKh59sAKmKNbkh0T6WsdEYgmYW4R+Fab82/a5Yd9wI4a3blQFMVd7q3ni4 8h0eBNDAXIPiIz7Xb6wIKhEyPMQ7k9UCvbMWzUpFDmuax4m1uS6tFXfmUiqDLS3st46LEecCkml+ iqkNE0YCckDBvNZBLWCQj9HMdeFKTFY/nYgG0NoX3DvobBeZ/RS4krwLqBVwpGmUUmD6hjg7S8ta 9oUt0JOMFldeKvCys5A4TJbVojcsV83Bahm2EFuYEEibkO9o0iPn4Ovz1mmzFmJWJDVajnfAvNxY zk4bXb0Yhe2tXngmL7Xg43OzCMrukD8qTCMjxhq8WRiDj7V7bzkjSLenAMEAAXdylCZ2heJQI0kN V3+ET+Q7HLTpT9boAgzwEVCe31/SRfTupdzmYTTvsgrn91e/FPJHJ/benaNCCuqKWEIqSYJU9oOw JMNFDd0bg5Ygn+91kQck823tLbldpP4Wa7TXs0x9PukQpLAaEUOBrqssG+nLOb8pVfJO5Pm9P0GE /ww8ZdVCzTYqeyvG1oKPJh/vgbHB8NrjCN77fvehbWUtkzdcd8DfSlOeKVQ/n87yGCJGKVktDhSV wrRmCC9tqARnshm5joj6A18DHCmtaO2Vd6Xm9GOnqDw5W7SiIL6dLbtJp+36AnSaaSRDWa7sHcCB YlPlAe4RA8nUwJw5lyi8K4lfKstA84gZJUmxPetBeXp1qz4+SIGQJLd4NnrPktO1CqwqjGN55e54 RhdneMI7U43fKUq9tKzJJXUPbPmS/ZbmGPHLN27P4oux0/tIAMs8PkAcICueP4Afav6nnw9XaRyq lDyC3NODOJiewznnbDuAlaX43SrVxXgbJtXLcVwWN/81zeIhRylCESQ58WvTe5iooOs8t/PITIXK +bKUWGBHE8gZmeRe7iTxt/Pq0tj38vpbE9rbnfgAUoipXt8/rz2CYjpeCeFW1kTJsCwmAVh8yi0l aqJWs9ps09Od1obhi8l7gcPBgf0dvG05i8gqI4oXgYxSuLqE8epzONvw58zBsGiEmihWo5hgSdEs J4isr3f2O9hz+tfB4aKGHfYltsSYRqIyFWompaRnRzfxy6BiwpZjg9CyHwvLmNQeSeofZKQoFPKw QoVH79iF3XcDZPQhmFJCc0Ezyb59wwKFU3NQNjnqk2IeHvNNaImk0wRFhPAyCeFuStj9KC/WmU3o iqoEnjTsViWkWrDVj0Z1SHdZ6dvfV+4zQjWbyV8TOl3YRq85+35BhpAmSMc12ijFA72wkG4Kh+cl Wlxe1Y8AUnEx+IVRMUuBxYEsQ5IQhtveatZ/2m54unyfYKpTIUD5FV1F0MkTxY3bWer89SobV5DQ x5oJ9OWbxXp35O/cLr/00tkROlEgS+7amPrx4txl77qtT4gEXBSquO7M0EXG08RJqTSg2crjeI3Z BPRnhVAnZItRfEQ62dz74nR0t2hRzeQXemObbIlvwSPVWL8oRLjY88D4EvXxwN3BOx3kWqYIbXc2 4i/ikfygTUEnj0RVzE29rmiwzKHj6yz10vu4wTfGSHe4I91gF6o88WlWrEaz9Ota9s4eFXjZfcXm /ayocFGoWs/04i52jM8HPR11VbWVCYyAflQ4SBYUxTXi6bRaglIVBXjTEp7jktkk1bM1vgJpjinF Yu7Nra1weBeOv9d22S05JSQ1RegeE6YtfRnuTmSzc1mfmzKglh3vcFw4WmAx8vBQeA2og/lefyh7 KGfeF96hv8PspZ7BRI9Omn73Xtex+yKAZED1JPzrn6+n2snPH4Gckh/6l2fiqg7/OnnPFCFM/vie UDH29NcVHlkJlYjLTk50rrhYpo45TjdJxhycXTlgYG4eqIzO7OtXrOT3e0IkOoFhGIN48hQBRUF5 2y1VfTeqmcJfUBC5ce8XaEZAB0NKhmboSe2JJtT7+BGLKgOUqfDm/S7NcJJE3fNYycp5aLcLV9aN JWWEfAofjVIXIyqzEjYMNOJI+QBGqu9HcY9wI3L/0R/yPzpYYworqWX2Gef7WKe8Lkdkm0MZ07Zq iBRqgG7f7WH7FCxQDZCR6dLo6piXlRYMxLEcncaQodcNw2m9bSCKbcUP2syAcAsaUIaauEa9E/rw yp+8PYMKlQ0F9vtQ1+75z3Ed/fL67lST9g1wSzXExd6yBmjxD1E4ryMqcRh6XEPoWA5c5QROUVfJ +MDTP4Qh2mUNA1tDhywEYNl90LuGDkrM8f/VZmzthEUvhvul5csbZ8vMMkGuYr5SoFaYucjU3V/U MtE9h++6KG+eXuduQxVTCD4+Y5b5Arba6qF9BmZczO678NnpzJ7Jl433lgrE4EFtjXtv+NtBCUWO hQkZwKfM8EqqjjxJSByPwt0saPPENcEATTqzefREnn52sBVraPCgJmg+1s1k0fSFKv4fWuTBBjZo g/lU3mlaLfiYKadKwPYwYq3VU5TVE8KPwyFujMBCWUDU5iRnIDPFAsDj/aLNbYtClD1B2VMrQKzZ no75S6HSbzl3iStPEfj5btb7Jvkk2+gRereexhYdQq9G0KSgop2fhLVsvQf4WhJrHzzgAY2sivQZ 1c45/HDGIlhaE8S9K+0OxZnrQTVJVa6uuKk4koj4LK79fJXMnpA3kU3fr3OkezRynVcVSMeTg02q xNMpuEb58vf9P9Q9T1okBpZM0G0MzLMo/SRfJw5Ia+gRIhgj+q81Duf3EkoE9tkWqs1XgcY0YH1d pTYKtFAfANzOqTLQTGZs0TT94+3dyLk/pQ0ys8avmPbx7HfQ3jGhbtVzsh/vnAqXRyrYHcpw+8/n 6fltMwaSQdnBQMtc5zWaO8Bbhw2ulEZy0N2dROg5ZKWMyKf6patCFFv56hPQMWVBKaRR2qGftWr5 +EiOTlvnqEHPtVc45HbzZ/dDpCrjAxfbyF9pVsxOUEnj9qeXCLTT2cwJ3E3qVJt0uZJB+k1YQGhy tb4QQ7lSdITOgbQqP+VsM+8qh6Mts8113Ofpp0BsmtiPS5vLN/db8ngjX1OBjpcQnxk3JGthl8Cp YtXpRDPPMk6YZJ1GzcyNAlRs0oICE2Ih1ygwjzRkjPSlPLx8aZEn4lRSprapr3tAgWTBmr4on3pu 47X4m8xHqsXLw8iHMGLhV/PzxE2pN+0md99+uxVA4nXPWQJFQ3y21HfLbQU8V9a0vXLUYC4bhkPl f74/AvhTuBrMafrmzymqKQhoHLrN03Npp4IwKuj1lCnuBmqZ4I41JxUQ2J0Wd83kWbtt2OgY4SfL zx5SkQccz8CYJPf09gqZfluHCXRbmlxte/40HLe/saKpG8bfN4Y/U+M6ssbKhCNd/9mTYl+dTUAl vmsZSj7oDiPQZXW3mdfE9wUVMd6CT0sltmb4lPnOWvgMF6O1Pk4gfBV4KPTOSMPyUGcNJXJfnvU+ pkGjXrSIgUl2sw0YLkK1zqhhSgK5K+kvE1HZcD6/LqGSXp8wbA8hJ4cD5rnEu5ePacy4PoCQVhI6 MnW+oVLin/n3+A/H2rFhK4N3qsohajwDlo9mUF00U4uVsmiUIKgmRToXS45l0kCDIqOlhZgF8gsl G01raIHaEU4vEoQwOOPNbvqpzg3aHBdfLpOiMlsRp0zGDREL7eu4jKt6LlHOyhTbUkL/05UQAwEb KUqjQakfD+uuPoD2G+luuzusr63oZ7o6fYXsxYrwFsoEjVmQsPjzKrgFCxG2E/wk+Z01dOYKp4uh hbDQ+BwzmTIc4cj9FfCK+4690LV78gFQ9MLACcz2YOgV2CRop92SZ9O74W3GkWSa6CiOiT+le6Uh r8AqfWLOsWb/UM+QPEArPCtwoTjKiS2HXgipPaFCjW6igmo+t5H7xHYgOZnD0iRaQYel4M4jKCnS lLVt8loNUvwQ+KYITUsmbFNf5UQa1zH6aD09xXSoE/T+7Dor1wcLFLZCDR7cNWNq+GPt7wbf6ths nWXjmlhoIlE6Mts/QYkywgrHPBGOTXCc8v1VZHqVTgq/EjsFVQ7Y/uIPSLRoYp5uEY4JRSZkjVye U7w5Ce/o63m3cB2zkrdj+RCyKd7HIMNVXeqda8JWUPvt1myw5pYi6wnBxctq+6khf0Z/Uyd5le0B 4udidIkoOmsqGM2W8PRXDOLOr+cIM7XXm8332GkZQzif9XP8Sdxma6bUPer5issw+IztL2QlUjUC Q67bagHITdzPuvYEyv/N8yUStiqr+qkhbaFzXQVdHfmNXmBklyDd2C02WTsfHWstXltmnF9qygK7 B5QyWCBSlykWiQ9cJtWct5dssDyAqHBqjpo8F+j7QbpYMmFAPId8VIH2vpJ+idCS4vcleV7jj+R9 4i/f1G6bW5SMPM+/Q76mmHaVtA4IQKQJiMP+3Wm5hm2gKMErUL4JfpDRSc6djq1XO3glXmm6jtZ+ 9rKaLdHSV/fOY42uptyj9HZH3PghAsLuXaMO3ngZvKYD6NSAfYQhzrzrwYrJpIqn0c3CSccMff/I bVkFC+y8bfIhCKjMAuKSeOqrgqfcRnl8ZCHxVxyLl4bSDT743myUpYJJShqrOmaUTggQvrwSgFh2 IOlxMtdXwenXCgsDcuURagk3O/2FfV8e7V3zw8UuQ6DlHUbSxEM/TbhqxHaupmqgAI4aNepYOIiE 7GbBQ06Hk825PepPR49/CApUrWBAp0C/w9vHNtecIjKH2NMvcEgEWIX08xZP/9VEGBkVklRruaFW z2ChUVyEjnN1Up9rEWBhHBG0w5wKgdIOvX27Cr2jM8WcQHX/8DVhcJYmM0MNk/Nu+cf9sDjGzlAa 4fVYyOhWYtIMZ49r1WWYt3VlH7hxDcKqVxuOUgilskhYL2ecUOD/Irtj+MoghhA1B27fcH+NAmpZ a5Iq9TfGp3oOzADmZu1ChAseDaBIZfMRrTLMABcNDF+ttREJ9TkiVivJwj8xIApj6etN3BiiKWD3 ek6fFRDqmFzjmKQ7B9kVaDm4aEd4y4Nx9SAmO5NB2x/w+6cpLMpKrcfa13HbcaLHImyIgfV/ktJj VAmXY0E/rChZ59xu+wcQUzWAw/bxKkmaVdwi10E0JExLql0BXGqugVFwxkl8RT/rsNGcVw/gXzyX RUyzgIafzQ6CWb019ggnC0JyOgnZqhWV7txmL7vYyi89c01P/jSHmKiRFwTIRuP/4Ne5OezV0EKl yki44yuEwK7KnQmmei61+45QHqwOsL5dEuvZq7MStVJnvG6T/CtMq1ZStgqB0GQnqX84zrB/N/3A 9t8UbaVKofZXMKGk7BtmsT5GxkjJFsq7KUEEQZkF1+Ix68wi/oMhPLtzJSN1s40x1WceFF+Doqv0 OINRGjZ4yjyAjLcFPyZsh9Q+1hOnZfHfb4QZk0VTQmfKw3JZmofg8xjUFePwo2QGg88ofUniWV7j kAeKy5269TKoAbR2JALXyyQi+eBeCi2o836BkAV/CXj58ohYtTqENR4GuamZrmSR4onWgoZ+05xe NBopBvOx9dCUhsCDZMMaBK8LftUuDPimAlPqtRceEKG3mifNV2VXwy33QZi++tRUKScb3l3jj6+9 BIlrKskuqwNV9VjMEyQuD1IXVYfJ2xOdMLGpF2nH8nyA5vudBFg3hKOR3e3Fcl2R/GBRX/C/rcu2 HbCB7KHaoCK1WavhntfJCS2527YKmcpmlpuOePeU8WcuK/iWAmyvtbVtpK6XSpxYLKTeDxztHKw7 eRTothIqHWmyFOT8/BvYtyIcNA8pR085maRXkp9TCtpi7GAJAPtCc4HlvY1DhBJEEhMYYgeCcUsG jGG0oSg4o7TxiUZTsQ7Xal3xUmLaysRjiaQW1DqTnhAKI47wqF6O5pKcFF5cgS28BnTlFtJPyOfR 27D15/qdpZ2JV0jltC6e6x10ouB0D3DTPflBH7FyjwOLKzzCWMF6Z7tzQQjfInhBIih/b2zNgJ1Q j7K1NiuKrw1YySN7LYFtCZTo/1oqRAZIV6UVsePtwgWTObDXpdiB6Vg46oiaTExPdYWvthHzGx74 atmla3aGFvvLKU+ziU0pIm/SIXHXmjXq+ZgVLKb1PBt5v1mH8ydoMGSoeyN5gx+fpF52y/okf4PW aQZge9tB9BnkA3P21F7etXSU6djo2jEIKiPm4zOuUiZPLucmTOTcWAr7Q6HjFJcLgB+FnxQB+Sdr 4n9KOM5YTYcTtKq7ZK5z2ewKmnbTZxUOutWCJ7JtezWOYAqqv+Ap4/rnxKiTtEIbbcTgAFc5Kc9L z+76ohOzME3ySy7m8YGSXqbDAMWl/2u4hnaVeFzWY8p687QAjvehv2ctI8Mo/HiCmZNpTHugcslP oAyIx1P7Xf5SdeV5HHrG4UbRl+JNJviOT2kGBkOwjT+rcGZjT3gfQuvMdh/WSzdwusO3r5+ER5z6 spVTZ6v3eoOJe5JwY6arYgGuSRmwpeKABd+fWWKGp6ct3OLBT+pzXdQbmhoudx+MBdWVA++rKc9U vJKW/mRbNaU8ytqPNunBunKv2XvRJ0tv4n2VyQRyTZctkoPMvOodkiir2+lD8JBXY/yIvGY9A1Nw Bb3Z7YgwVZXPjNB9vLsUBOMGga+uqeTwU6jQS2QbFXmRqxk7+rXCOnAn4b/2wWn3tPQIDqGqKCL+ ZD/coh4qxAvyxM1439rZflBDLVlzPLhg1lG4HAJk9gr0XRwaaG1d0L505K2WFfFKV2ili17hz4cL YCee10L8b4fRfIAjA0mhSbIUJj0tMe49zb0BTzjvrGktoP1LeCRnwQn7uYxMOdX3La3FOyAavkVv 7EfJoJpphVdzagoz119l7Jb9k9aWsIew7J+mIZw9vUy6xlUA/Z3r+riNf2/CdYyrwZZffHw0nImm ik3sviwKPYu0gv1pVxQ3OIy5uQ4OqE21OsdzZHtdxUwNG+PpH5VAVB1ulwIpITtBY9lN9rlSKeDZ vbtXhlwY1MNbPJs0JUV8QbdhLE5BJDeOfwJtX44WVlElNPTDhJZyaF4diGggtAkKDG1QBHQreUOi JElaaX+VxY3+BMa5ga3PWmouwXAZni/AsBkGgPfxw2PghFUeFXrqk4MMX+5YHrWdnnItVJOnzNaa y8wLI05Y8kZzClTp6MBmH+WgFPjIaCMA7tIERegkARYLdQQ16Ggfo+Mnev233LVn90/ajGKor9Za ewbKrdVBPMi7VS9qp0VbJtFMfmCpeNsCs9XVnwc0LUYWyqchjZMJM5PocCfktLXp4kez8Va/5C9H +aUeqlUQuJYyGuuczF6VUQJZ0brETMtIJgEHSbWojwVhQIGhUDVmDJqpLcz302FVmML+l7hAjFIw XyVKydPQltRalaZ6cPuPOX68oHkRkl7J6fwaxaNj71+2So9Z0AyIB5nTo0UTJ7STcx/vQEip5AYh QYy9iD41aPkTJhuulLaLQ/HvTYk8v42Zc+xpvLH5z3CRxe6N8jEjvQLxSqVXYaO3GOnMdrJP2/UC orpl/ZXpxyqxKFRrNDEcmBUhcICyarcwEtxLWIUSXFM52PFdwxP/gVtvqmH2+FnHhkHXyPlwSzPd 2wcXfID6EdufLTNrV+0M9tjUNBgy6yjMXuPO+szni519QxWvea1+HQe2x0AJ6Wi1iwEwX3+KNJWT d+vtE5xNNB3kY6sNdPJemQ8d7nfB0cUNFY/rEfGiDwRkWP5uJPg1LQr2ear4hTLqNZi33JkpETqR BRKQ5AVM8EkaeK4fe7RIYrjanbvt8R6jp+aj9xOdMAt767akj6ieDNbLPJcXja0qFnjlMTTukQQe euH1jNaw/J7mQlJCPvd+qKBRs28qvsud24FRshkX+sW9yXu0P/cJbFgFlfjGig8P8Y7DMJaS68ww 69G63ZWn91u7TBEINIxo5SObgYYIEzYao6OYOtfHP4sE77g4sWlrDloDz+Hf6BT1NqEwdx4nCLyj dXiweBNng+j4IHSFsmeQdy02gVrM6vOSPhG8++Fx2gLgvhWLvMkK1Zp4FU2ducrg7q0LwH2Flnvf sKIeea6Rv472I+K3Ip/xZScsSKMXM4YKhNS9xtdds8dzmM2Z9b1JPVimobXJagqmxOm4K5Vgaxci f6746ELUSrMCNc9YLSRcN9tNzdedZr0713AAO4vpRP7r4Rov0QoSudfGWUbTrst4i0Tu8MSydkSP N5CB+wP/HVaISpll7VIzm3EqnA5Z5FLPWr9v/7iWhndLQGqs8t1RKtb7+8uI1mWJqWAKA0bpin6J 0ea1HPZ3BuITyJUWlC22WuwHZzJ/QwI9wk+1xTe+m/8Iv+v60MQKJc1NTgp2G0k91/zTcZW4eETk GY+vzfZuY+YEwx4uSdaJt/kf8t5lglNK3fCA0ZDNTdOOYNKuP49qNj2+5z6xmlEjvz4zZajQCVMo Zz8IKLrLf7BexruVRyV5XVLErQMVGaufmkPhqTBXCB2CeUw8NSmxMSoVKCJiJDKQYn+iMAB9YRS0 j6juXGcdxQXjy1HyEKYTJJOV0Mx+f1XqPfzNt+cG0/JfEuzx4VMqe+OZ4Cozay/AoSwCX1cdEbMz p6sKDzZCKdRFKn2N+0zBzRHfh89Bw2DNLtW/+OqUO5BSlIGqir3eBSTp3QhkiNbSiAAxBRWEEhmh ZMYSz0MU1XOhyaIBPXstMxX0gXjHzxcWkFfreFxfGXmtOd4bsprjXv70m+1wJk1TBwwIQ88v56CV Uxc9MLVNd6KH0yvN5W3H1V9hIVkC9xlsRTGUGlOQQHTIpihQ3/T98ls9DYTDbXQ9BkiDGDJSd9AQ geCg6yb6o1+kgspQGgQ/63Q7cWMZvAve069i+dvl34OY2pOSsMDlN5lrZNELazQDNG4n4mE2DSyh mRWDtlGpxY5vCVlGS68EspUwTZvYB2VmMv+B0ndsvbUDuYGocEB5n+KUWwvpHt4U1hgEKN2dSvFj xSJwBGFt/n2yb5fMkQKl75APyK2qs0+OAZdCSYtPeT7Bxaw2Y4A/IwGhDrpir1kWRjaORmkiM7HI fScgRM7sCH9iTEtGPOECUICY+VYvbxU6NPCKzimSeZBGn4a8au+WS31LkF4AVrZHo1hd/cVM5AlM o38Ua/YD72xHksFaebuK8oU0jadNGxLXzgsWguXhgEBJHhNuA+WOximZE35tptaC8P4oDLLUn5x8 WKWiZjrbIx/7oRpdSUpwO2eitEGU5Bcn7JwktV3rNUuusUIEQm09pGwzLYt/9sAFKo1hKZJsScA8 aokLsm1ZLj91kLf5E4mWd41iwd+KQDiwuejlYhPeeymAMQtnC4o6/1zcQpURE8DIQJYiqtSTDoop 5BaaKI/G1jwCaQNpJDqBtgjc27xpdmRqzR+gJc4VhHwEK5iZcuA0OHAmTNau4LQTa+ZtNb5bgBks zR+Lci/odUIbSdl83UbOdQQCJWF1oUTZIgCFd6rx1BKVwGE14lixkwnZD1k7YhT9V8mEilfjp1L7 ELX+K+tBTRkDpVtUO90g2KiOFyy6OLQJJQwRlqoIMnbaSLPGOeiu1D7FKv5pCdWsbi40ekbhGuhU m65xcMb/I9e++bWzgtanLkrUo7WmlYAmgMendvfx3OmQeJyr7NlJICwe9p3e+4UlS3QcYQlRlM09 m79UJw9l71KkmoqtJJRjWXAOijsehMpkMKktL5TRiTDJDGKYWO/hbWVlcxe4kuWlPzHQ3fPC9/cn LezAXuk6fSDpSl3m3mXbr7C440kJVfPcJqDNcemjkrYbpQihmW1DuKE7JQ2wPUu0DgBEP2wRGhEM N+FBnMpaitgjaVVXkRY1bmPXDYJt2PE+HsCskUgrZ3o4fBAdZC77S8GInhwIWHhYuxkDoj1V1y2L k2Q1wpQzpxTF8b0Mvdp0WSw9QyNwfLn+1naR5bOfcnDy32T/ud33aT0IP6/mUpCbTkwU3q+LutsF qLm2hymDuALQMVL+Y8YgQszLixnDkBz4V8As87NT5N9EK90ov+uCoE4kw/BoReGdtf2zpJ7GQh9a LWkrYBzi/QuKTEaTB5aGANk6yBar25vlfv80gNyA+9cduBSPKRYp32D3ztXqKW6aPkkKwwGlX2/V PSkbP6uG2oEzxoLUPS7ceNVsmf7j/JVh0Omey+L610n0xWYGbPw6cis5xsIIY1DUQa5YxpeqUu04 1lBHEaVZMegjOE95rdxgn1dnf1/b3ujsKmMF+pyA3ac9b5DZHbKY+72UTxu2FOOvHdBe16WnatYg OD2G8Xqn1ApxEd41e2f0sK4MIzze8tjK13XeCg6onSjjf0wcOcMpzjEMdOxPRk08knQtwK2EVOch Nab3FMKkyti05bLDVFKbc80bwbbvS/vy1ZX+aS5CCEnWRxgrVsXp/4QOSrr7s+lnplOjkBSmh7/C Y2Cjfl2oFAkLu+/BKxjNAmi+PvYCUDJtkDFKwuZJx6KrK+U0gcKsSWF+5pWKX9vn2VxvO0yPMV7p 3yXyQiVK9/FeZ/Pj103WrQygv3lj394ehQQIyQwlFKMDO39OJXcP522PDDqugkUCAIIbm9gbTqFi RqrrlWai3lrqHv54QXJym0NDxBrAM4+LMNCb4Jw26ROd8sbUBW9EtA6UMocC9rWFh8qGDa2x15vQ tRuDLJeyLlbBlvlkHsZz/6GX7G0TQwyK3+x0BxsnK2PkK4tgnsUas59XRVuRZ0s5e8sE8yPJsxSp LOexXn4SH4/qD4SLCIrEsLdsUbOXLWYyr5qdXXk2o4mdIxstJ3W6TFVQwFEY69T4ZLI3nITYa9SV gn4q8Sy1nLSX9OfIzNHGfjYd+7S+C9o99F87erj0Org/Jwa1Cww7UVAcP6fdurR0tdxVJHFznrOq AtTLms0/qC2+Y+9DvtDNDGU/eGkMqz/oO7sCRQz1Q+XJoCQXaLbMfIDsVs1HbyxOjOyW4OTNXH6h 8EZ5b8ofjhgHLjewwCdiuYEs86aqK0yABxG7mV2SVhA1J4y1qzbTFyJM8PVDNzHdFxNVh91jtzUw qjf7sN6TDy2yNMX6orx0h2gJUFJX9mR399uNFf/f2sWj783jansQ5Ewb+Vx35Q7572xT2l4St1xX CRBz7Xk0FO6CAdu3PUMvQ90AXzja9OGA8jrsiczKPb4KKG4weQtDkTojhi5ZG0+/NhABs44XCx3U dXfpgoJ5+7xvChNYGONZ/x84US7NE7Fs7t1aO6s9mDOscppSiZiJI34lVkw1gR3KmLQyxlWoAS6z nROWH/LjvNc50+mGC9L5Zmjqm8Gy37CKswhQJEdm+IdLO7uYuS10Qu+XbvPx8dn5opXyDfIhc9Qm 3hs1YvBRYirop7kTvsdXZ7dE77C0ReQijhiicvISbcocmavAJBUFIU9o1m43y9PaHFDkIBlrIHlR PWzU+2sq6vcUGU7sQPWO2yqpxC29pALPryeKPq/iAu2k9wyQ73HQtZXfR7y3wsvt12TuhSl6nlNh siBpLy0UInDLeaaoHY8v2gKgqLxErgOA5asuuBql4sfibFtsd0hJpIHbotY5zof53jg3KRoJwtOm R5alPZ0LtUKCm/wcHVi7MbtFr6joyQrVOFxRIuTl7nNnzUZfFeRTv3PlSJCBdbmSQKkI3tynvjI0 pj4dwrYB7gikPDIvy3a0pfT/pMFPqQ8GmIUJsldVhgjVna/pdGD2ZxDryC358fqx/LxDM0FpPaW9 PxvilgSc7YaMDoaVN+BJYU++VtWe/1FU6KMrCP1o9EHW2RwW4+zIb8H4Wxt2KkhmoQ7pokNgmZKz FOTQ0SVBWNT9Sctj1Er63ZsUiyz/3nwvY8XrgYoi34c3oeNmkIBttO+PFMtrxn/tKfqsYz3ZOf1R 7rg2GTa8npj2dLaSv4BqTpanWsVnKR4MEKuyADadRY9a22Qb6+GLnvr0896rGjPzrzUqJWtt61bV 5MA7Tq6t/zFXgnuAtV56EsDCHo7+PxTkQLcEx6Lx1uczzDm7HNDkAqcyXREZt9gooZCY1DO8dSwt sL8R6IiqJXK22FJ78Kwn09P54xrBs4QTa+9WbXgZBjqQCdtYjwvgDtTkh9rANs2MmQsDn0BclahP UzXaMvVSqYEERtcvrkonKPSGL4B8YrteGimYhv4i4wmMTPN3Tejd1S4uO9Z/jwf2VZ/Sghj9DFof S56N0zLtn/FCCDZfgc7vrRMUzwiXxtDq69uadvrfiHbbV81AW2FCuJat9YchQqhNLf3y+th8SQEP owQXC3X2t5fgnXOry8noCnnF3u72yGDYU04rrlmHVP8w8OYHwPnMZHQoFLSn9i1htIhmRSewMmaS RlcDUPQo7rTbHdeEdIpFpWcUWoO15Gs87nrBS9TPZllats7asykXHnjA5zJXE2Is3WUQE9SxbbbA m3Y+rxg9bqtVQhwRpC63OLciuPcv0hT/1Tqofvi+fH4eiA8mDfyugaYSPmv+M9fh4zSJazXQ2dza MUZ+fDGoLCEzKIcS2UrYKZhQrRILK3Dj8XZONxDQrqPicxyWCkPqyJwD6qQQ643BhNb+BNfFdzEo U2cbfwO5MaeAwE8hJw3kxRWNz8DlqhmnVvDVKhhnb9o1oiUPBGJfTMYOaiPAVYNIBYd2hyENJ0+3 w0qgv7a2XkvbM5CnjtMqhpcKpBBP1tJ/3+G8ie+z9uYB8MPsuK+OqGBmbdztJrjMKCB8iQv0goGR Mxv0S7IXkR1LZRmSB2pgExxLwqCQmMhvUtoEwDn+bZn1RSJDzClEGQ6tmvVxxTekaxlLZRgCslhW g0XHxEoOZVV2wFSuFLrl0NBUjxTaUG6dCjArfzuB1mUT1l7iTystlkrksjYRCIXK6oacKOy1qOzS wJtqXg+6zFAM8AXhPc9K7Dy+Ehp0zxASz6e+63E5NedHgp0Y6x2DMYVijShtkjI2aiHQEVRRrMgj ul3i8SeIfE9RQCgXHJkGbLNV3SCNS4vSyJ5Z04zu+xJv+hwLw9E7ygR7I0PhGsIhB9NPmZKza59w rJLSjAUydSu2uRmP1xglECaoR9IODjk7iCMK/q3CaGwRKRUdGRswqsAHLrLF6G/MnnzzH1Hf7HcH Wd03RxTEELYAQTIIzYMEcksZAxAykHwALlGMXNx6FIVPb1S6h9whOHAV20ytxDNkSvr7FLekegaq XtHzxZ6Vq7KUORRCf9eyghG9Q7mkbNeydjnvrDlnUBUr52nLRWWLuz18vtc4HpVHrrhBYyfvlP8G YCHkpiveHygChHHWFELmyw8xdoteDMGbE1mrqOWYX++xwmgeWHLNvB6joIfH8oYee2PtP3EpE1fO rlA/E/miU4GI8mZ9EdzKKCwJnplmypr2evb97raMX6eO2x9ulso0MneSGlLANVwe8Ta0yKbsko6w JCwSNseYLBATPHLazE4QASMQQ/SWp1cRFwEUtqNm5+hVrROEDCOfKWx4/7HjABejqms9q0n3Nq3d l43p5SADzgt/wVjaC698TEN+/ESUGWKf5jEsHyhrKIvSFUxEAYtaqp0P03+MtEu65aD1vm4+5gh3 LC8Hop32aFWLobpj/qvJz0nXkxeneB9y+2Zpjw0eJ+N7wKlTMaPT9beui006ifZEGKF/EnD6m+io xBm4jWnDlbZ5qoee85EjuudcGmznpTJlfR2Pu9wsqHD8Zq9kKIm3Tb2vDCicq8aKYp7Tbz0ZfWh1 wrf4XgP4vfGRaKrq/Xyjebp+bD5vRNzNS1M+cjVCQ+E/RBndT7lgdrI6pNc29qCAvjxg/v08/acI dAeYbbUHa7XmbJlCMQwc7ZgXx8y6d7DdMtN4Z1bcXicr/O4hDJIogeF86aPLJGAACPI12A20yLmd GCxlCEAaSpnwyKwu9RH1oI5Rx/2IMn+RlFOfSJ5OjKRVCJBqV8BT4Vd8Fdxc/xA5shLln6YsiTij DcUPjtzPFTFbc8OW9v5srvat9vug9QCvsF9fCvk6TbPHXug850TbVkhxS6FQGuI/3BNgVeVCvYQU lmp2tU//gq+d2zaipotP2dCTXWyApX4y2VhanNxg0wHfWZ9Q0gEz9td+p9deJ8IdYPr8gUAf3jhA pyQ1GP1UWf12KXxRHRcHPS7/psuOzRtXT0V6sd1ol4QcW6z7+pZWrKHoEaKl7tzaLpEVXWQ1SkEa N8Tc0dr6hoyab5m1ep6B3uWCk4OJWblqat41dGRUUmgR452A3UxUzHcRaIVhk5bfWUr2czb/27v2 jQVCbeWnS2RUU8tPWOD1GmGN1VGBteH60WtOJHazhAqLBqJt3opnlaVMSL/cMuXeRIq0V5O2GxfE C6mjC0zonM8MJZO/IKfROHeWBpjNS19/oYNvEgrRuIPTmVQ59z3Ys3+aXfnWgYAXXjRGVNIyuMUA T5KbkTpu+lffj9X6PV5iZnN1okcyAQi6kS9FbLhjMDLA+xtN66bHLK3nzhRpBQF/FQtGPKE2zzrI sVHXJowcAFjT5QZgcrxWPl/KuA9sfZKrakNFbQXQSsZAXgJvXozbA3PLDfRsaOuhDfDcbPZEFa44 PMIvSIwVeAit96YbNbI3kIfGfx/5X8lKwH2iOw4rx+pQbJk21HpNswxdabQ9MSQw5fEbmOAy51Ch GfQTAFqDMXBrUJFvODWK2t8tNSwaeTXZzCJsbauMMxwEL0etwVjUGL7WciI+0J8odIoQk0Kb7xFa YAPvgWsrWL8eTIyuGlwn4QB1E/24AX3DyutyMgS6V+YyphPpjNkCGY4J+dbhYYZXxHVhBN3gg/QO TP9emWqUTigrdPTLy7FAJVuZMGYm3nDswK4U6iubcitOCfzkGB4IPQLdB3HbN2bRh8nA5CaAQfoV 53y1AuD5tQQEoYEEGdSwYdwZ+BJ0JrPMLMJGOkklVOhMBvFBK950QS9AxwiuMrKjcSWTanZvy8bc Fklmd+4mf6ooagKHayHbCyRIKsNGeP0sczq1tCFRyjfDmDJl8qnKgvhnY0oobTdXNUKMbO2YTUsZ cHPtn8kgKGJmxtIDBK+BJ1wfrZWTb1TCNIIVKxD4zF0pGuKbZQdf4aDsMKZcZIcbQYjyBVjcP0dU kAkRiytoKF7Pe8gZ5MIG3zej6n/H6IVncpOaFw+wx9854yR95LLAFSoZesYHGV1xPAGC6dZoMSDB nm6kyPQAFKhmB0Sn/5toEPnDIDCDHnKy2Q8w+Z+x4NErpKdkQ/4ER6w2Nxw+6q1dgarN7qDQfYlr kBm5UaE6CrQbQ7zgn2Tc4XFB+7UGmI16YT4YUFku4tl9wDN+hOC7jQkVMI9azdeT0jDRwNoVGjmN tRu/dYuC4HdhaQPT8czYL2ydO4EvBROqEebG0H6P109YrzpKqKGsISXO8xFTOjWolvJjaj6K6rhv jxnB085OW7iDr4AqUWrmsgBzC0T+tnf3zX7bSlP3rtWVT9Fe7uHmadrPPgySHaflU6AUB0BdylrQ NhgUFnF6yWQ0YMn/nPugpD1AvMs1fLxDuFkZnf0ykQ+cNa1ZeEFhJuyj/2n72OqqxDrUM+mBdUyT Yw1zFqDLLXJ4GIyOdc1fRcYSZcD01kDxFIDCASdW2m84UV5TQCHk3ZTngSXsiNd7EuhK6Sg7AyGP N8QxxrFQT1oMf1U13cLmZYA8xaNnGQVDBhBVxrRnv86NeRT3xcgtMMqpVzEQoOFDjv94Izmmq+5I 8xHOXK7TwJXKeKjNt7RsQA8sp6npcV9TaGif48DcYnTI5S08ehnxu+ofbfEw9iaIY6c+XU9Dh4FL EtJha0Pk/ZabCV2dYXPEWrQwM7vuLTyR2aMsQQao78P5KCHqvYsN1LVYJsdhxLJ0/Cgb4o3roO67 5XUIz6ako0Heoyfbuk4jCWv9+gEQR6FgM5ZHwHWaUHkD1XfT+DeLCEc00EFNhUFFm+gvPN5mFsDx XZeybpRdJsTqYlkgMVTrBCrjSEFCq0XcZAqWH+0cGpAazN7oGkA29D5vQqiUbTPe32K67LfHh9eI jQlgm47uDfxJLA3CmcvoQjJQ/pLLVlcmkGYiB7ZSFXLuufBCSGgjCqLLVqftFlsyJSJHRaMqzLxR E4KKlJsKoL2k8E3NvmSo/MxyM1UJTKpTHj/vOkQUxGoh6k7mbtYqDGCYbRpH6H0tiG12Y4e2oLXL zmG/YdaeDnU2RfjeJt+6bIFPZkmC4aZmtjCgv1Jljp0Obv4za/tOL06/tMgjlUfK0a1Lt2tl0pct svTYnalKdu37iIvq+5ceC+4Zk/7ofDjpq1zETTJVKbL5pEJuD0w8jBchLdhgzEjVtVWZUH60r3Lk f7TZ2m8B1jdvHZt8ZIwSBza8PSyCQD08AzvGbJo4GuoKTth0PIQvlrTEbMrEIjLNTmxAS3Ukjdfe JeDkI0K4SGCKvX3WyJK45tivNfJEdd5xbknpY2Y9XAXVCofcigFeYsS7sVUnukFGMNtfuF1ykD23 Wgc6/NcsA7rTJjKW47xEeAj69oCZ/mLRRnRFJeBWhUUo4/P3fwl4IQ38yQ1kHDHteZPN15gfcxch jolltRkN4InhjSxEkTqckcudNKlQ8Hc40w7AH6CSw4sH5yPaSWQkm/f3GGq7siYbtyWMHE4DAbxG /E2O6WxTOEfUMOrJgGKoGom7zR3u6x/jdg6/JeGBj6zY/PP55cW5Smsb5rhQ1bQ7/y5bVCvBhcZa XKo9ot4mvxmWat2ygeyYgOUbXmAB8W6AaUx+3Um6ZN6nfpmo25uNebMTuJm+cqkGwiIochZVuNJg IfmTFLkuf7H0fwNA0wl+vonSvJI0WJOOgniIg6vlUZr3CPpsHi9moD76HBqg2MCu3RndOVWZ27PK iRkKw2u3gBvMDwJBWn+PtwcXL5wUt43TvPL/ivO0pRsDzzK/AcJkrPm8mgLo3an6Fg+cVDZ6/iy1 BVZhfRxZWx+Pz8u8ZimE6UT6rkBrsuA7V/75cdO96odn+zpt6Jbb7gOg6nI6WtK1bzdJ8EA+ePpt V+FJlLIq/U4tzyGemic+1PBjrUBe5pcMq7pktJvA21xb9sBOw7KzVgwbS4tUX4C/2t7LfJxiDyWg gHfYuN7Aq5rCq7MjfWJZSgf4stw3hW9P7Qb/DJnS383dBvNNyRe89Jd0d/qaY69nINXwqlz0vhzx rnofsNxnh23Xrt0RY44b2QUyRWp3AX4Tn8/YVfDkGqqBIMPUXR+uzUhPXwN47luIr9aKIT3+JOa7 33mU2P344aiWK5TEbmni8LrX+vgzRWpdqPovy7WNTT60chj+94Ijt84geBPqUB1r1oPH57Wm1jBo ErwPNfApoNh4ZgHll47ytMA0AQFmPPHaL9jFzHYH1ZzMoadrd2gi6uNm32GQBNSN4L++c0cT1LoA jtCdPlYfwQpcKqvwF1hCXkqJ+vx4S9uzoWZmYhD2iy3xnk4KBEhlkZGINcDgkc6FlrcbbLVXXydk H0uxOg5WsQzQBbmkD4X30TN9iRb1wW4bQH2kxtnlRSiNMWtucoN5HbVKGqyIHJeEFEu8Aoxadu/N O9/Nmc0mng2wMR8q+zeWbLxBmYr6lPgywMoxMH+jHmQYAHdf5iTuY712MW/UVDkVd7SXFNtyY47o nz93xN2tM6Bzr1yxZCsy42L5CKT7AgZRiT5+cQqI43Qt7HOGAcgQHf8li1y97+lLHeRIPdHVO/VR lDfoju4LxZjIMvZL38m2Aw49rY55F7uc1GInqqVaKZXd6lWNkKF1mqr6+GUookx9Rpu5PWlq9zk0 ImTk2olNASFz5nc8Z6uh0OcNishOJWqLX+aILfLAs4uplHqcQ0AtqwsAahpBU/JeEkFkOMKITUnR fevNCW/vVxK0dhFm/d+GSfXxjBK8UINDK/AbtTt9miLbstpQmRRZwwRHQqQiSLwDrfYvj3up3nEP STrsqu12OvLMmAMTkv8NsopNiC64dbdN2T/9pHyejzO4r72Z/BxjH3voAZRtUuqGYSVLm+pHFcPC KjkcZBoF2Rssid6I+eF5BIhM0VnGL7zVSxrRkaf30PW619lr+aysokTqpqCAeuSnhAMmeTnjrMHm 49Q5XsQLY6HCVsHhu2t2lyPj/PsMPGsisPAUMuFuN4oHtOklzywy3pz9fJBQPpmOGULK53EgCI98 RlDvZthYYLOCq9/NEMMcfZWmxtfprvwb5+eRjGC0HZUZc2w26voTFD4fSJRn3VKrTjSE63V7mqgH Rjsw2n0YkICSd+gw2MwNpDf3Z1L87Edmax6+GOzBlDhUBoqqjYEDXNACH3NNHtSRarQS8NR6biwK nrHDNOjBamdVxo08X99o7CUxTBNGf5IAYYfBTMhdPDXFNJIctG6srN9iLcf9CuYMZCTu8WHpC0oy hm6LIAk+HgHqwlKESPL6l8TyVl05qJhSIVn+QRqdVzb9IRirth/ueaOwcWvtqRilVfDXnr9JYsA0 726Cg5boEb8VJLbw9DfxPJWw0WgjjMndbiVQw57tARKJDCcGW+nGXy8MdMDBm2SRWq1OW92C5NaH uLNi4rFGcp1YRmagRT4q7hSmpfkcSBWSabalkJG1QQ9wYi50bKOxFGD6Gnp/UTPedtbOUd8ZqChK 1NqToZIBwlDLAkVGqMVZD3WryBNZ5hWq+tO3iPHKGlj/tVc9yRBACzfWZXZanHd7ynHl3Gl3+ose 2nKu0stAXTWY04Bok84eNUNP4FRiRPYvLpNx42j8c81iXzxVTLKQoEdrkma13a3lYV8SuIgDw56T qmkdmqKmb+Ti3MsYCIrvzapJLsBFpIZenxUAI2c3PW0oHbrrppTW93IG711KzbxYsRuA5+OiZE/G eFDu2Zazj9AnmXRI+5FdmOTXUGMTC/t6rDCs9T0TqFsL7TlZTd+VDDXupMjGIIyok6Zy250VmV/y WgEgL5qwlHK99b2hksnYifH+6mPct0ksZ8m31Y09ra0QI8Ir2O/LhCe27z57itNgFi/Yy7J9ZrZ/ cfiN+pGDjNms4rzQ+pMI2gZ1DxSMBnulNzT91Cyk+NHBKuNwXghjo/hrPNzG7EhHSC9mXDxCy0NI VzX9dJPFZbctqwKMOZHjOelkNnYXYcCES+/otcc6sDPDHA40bjVbOLvwCSGWNjxxMpVnVksLiG8Z ia5oK8JqTRAgxDQJoVkQw3jyhjdrGvCe9ezfaN56R1HFYC9E2jUJgk3Ig1Wxnpe82vbk55ysIbsC KO40rbYh+ivyN6sRL6YBDqwQM2SazgUV0ihYlqvFyCtwjEHOQRjMTKaZ5caJ/oof0OxQOCZuJsKV nHGiCLl2maS7qXW8l2dKpb1c4qYDGfJ9Zo0Fwm7J6fLUoG7oUAoGWevzNILRz+mYK3GrZv5wNciu ELmCBs5D5pKo0MjhiMXAzs44HFrAT3jGJWj2do2h5FhZkLj4DLVv3vFI6v3z9xprgKL3o5Oz5CEh hgpYeH8zRHHGKQuLMW7baUvvrkEBR1hi1wrHldB16cnnJ53u/iknya0RTds+fC8uCXsWHeOKnPKq ssWkhgnGkiZBpHTwRXIo9p1+HvVfEPTMZCpxyTkCSvTnhgQ4f3MHBB+UOV/ORbV2ZfdOitJcOQIj bBXxLpkHqDUsr8rLhIpMs5lVeuq72Ddgxa2SYok6u3yjH7Mn+bGfkMO5/kkgX9kq3JFu4UnJOKQ9 2CAZQDrJ618+yoBAW8nug2Lf0ZmDq52MN5Cvunyv8jLx/VwGPTdQ/7ISxLyPm3TP3MnYtwe0gxJy Q0Z04zLtiA8QNMsePXoapoLO3G/UtJOWknBWYu9zTVKKe+ZW/NNe0wOMlO3DQU1SBjnERO0I251p ocz4jJoiNR8jwXEIPzY0Ykup0llieiIrR//Nl7zI6ZUZ3WyD3s3E3jlYaN0AzI9nJsLX+oWyevl9 NkN85iHwJFC1kdBJdWgeC/PPwD8P6AKZZHNf1/iHAdjuC6SQeOh4OrjEpItiD2x5bEOc36YHKOO3 N1pMKS/uIFZVGUXvNh+ToW05R6ArBCixBqfnhjiue7FDBl3Le/6g5axaFlLjCUcHHOZEyVk2G+ut ssHtHJ4Kuy+NQAhOvOZ1g8DKhXDMcfV8DpYYCp+I8SUTfjGy99IGRgofVPsA0J+lxOzwIqlK1ARj O6Rhy10bRGVqRotCeMBLRFXhRkkZReppxhvgzLz57d6H53EKX57imHK00nTqBXuAEhTyFNu/4KqG v//izWnFNhPz49xrK2ulFYFMAChOkF0pasVm6B2wjf0LC2r+8yPJK37K6Uc92f2F9CZAhfbAQBUn 04BD4XmFfzCYOZVWbfshfsg0FTCZPzMOt0rMPN0Tk1YhQ/f8UaFb7coUohnT1ZbX6khZcbI0CsBZ mg1YpZjfk/elpXyK4//OExgdEgzee9cmBwXNUQDZFgVFT/vI5G5vQaQpC6AkCQTSKkhYV8VUd9Ry X85tvjjrgocfUlhEUMSxkQdUi9Fewb/fV8gDlssN8fYakUv28iDlOS2IUh69Fe/wd7Is2HhQHjBb UfPm+/LT5KhIrhcg+GyvJqMLkMyxEj1FI91cjFAO6UiOJ12c0GdlyyeJZXivhPZy+9a3LV9xcsvL Q7JC8bFMR0jXZ01Ze2a1KuTt2yOn1N9iD08oeDafE312ocNNt4zZ4bHZqrv+48xt0W/IDjensR5B /aZDoYmLh62zcWp4x/0Ow2hFFP8BcRfKY4RMmx+QhvZa4BciHxNSFtfG4//RyAnOqp1wGDbIxaxZ 0O+U7Q1ELakxo+XSVFyY9x5BRVqnRbScW4qgsbzeZXg782hTjtRX9vfwYQCoCEM/TyP92GDInYoL jeBekgd4Kj78ZlCqi19KiubmnvZCDtp5AE2TnrGgnGv+2wK4SfFIE2I9VgQo1aVxqC/iaKxJ51aT pAl8K4dmE10AlUUdhI1zln5E4/16FX4E3Of+5dDuJXo0btL2tTxvbMdIbfKwfjsIAu23Yv8/QzFk nAUGfRd1MUJKLauKfMpnTnnGJ19VqB5aB3Rn6b9rt0op9pZgcvZKstQdy2B8tk65r2NLExWT6Dln rAnje5ZBFuoPyZmMc9pD5hUdqp68rdsveWX4hgUS4SSpHw9TusGCVDtw31bzlpsrqCz3RN0LfjtE 9WdIHyVhUhNee4WCie39J4C/1pzpvyZpY/+RBjBZqGSRhfHiVFr6Od7f5aWxfd48lshNOUc+XYka slkhXydYcyegzzyZjgy33mmj1BaaHK7Pwnkb58J2fm6eL/NqSEsoDKSCg2cgGuHr9jKJalFTwrjU Wjm+W+mLCT2AFzMFEKwaLOqr6yNlCWOB4WnuGHpFpHDesC4bQAxvm7lqyLpTxkJ7Qhm3JqqzI611 Cewe2VljMHNGVs4sJo1cwKmpPr3NY3HDMfP5FsV3ZseldzaXLZOST/VFJ+FOVyzrMI3cbntZs8bx S9IH0yWTkrREP/qWsQSj607bIMeRYZJYIK2jU64YLQTC52BV59fh+ZLyI+MZCyJXbmXzxHhzK04y MtzAlsJu7HzJn1jO14MmMCP+hS+BoCa1R+Ef7Xfwamix2CfOeqJ98OOWmcfYjCoBFM0OwdWFlH3+ Kki27AFxhW520Ex8L68Dp1w8h7dwmYW3SnDkp+DROgA5K9cJr5VaxNM0DgVvLnzJdSSdSxfOs52/ M0oEYLhErVXNK7YHQuYKEjapElDaHzl0yeybp4OlR/+N1YNWaDhrtpspKteGWS6uiCzvA/xxd4DY 44ot5Q0Z1HTK0119TCG+WDwrmHV1b5qDWbAlqsgKbo2BpNVpZ58XuiASIPByTmrAOJsaGXaTj69l qtm+LQ2p4fXwzvmSNSkEJncMX6ohA1CVZRJ4g0/Mu4Xh1eVAVw6j2cIDtfoGQmfYcrz4D/EjtLsI AY/gFR7EM5d0wa6SkGm9lLWv9Pdu1K3vp5iA6UjYrP0alBYLjAKXs0kIjAc3XyyFpotEDK5hCnFq eutZTVgv+uAvyG/MUXhMtHcBo7SZRw8mmTEH/6MsiU9lKvDoRReEfFrZKCYt5gEL9E6doW+qjDvt CYm3j4/0EwqfRMBajk+tjqqvVROSQzfvMlCSrU+FydkHRZKRl+tWDaq9OIyk+Wzz3SnhcR1XNER2 tmaiiGQUsECTc5UEyNjeCn+/KX4Dqk7TrvVY7LalhyxEQ+5a8QCms80yQlIrvDVb4tMuHHmC8J/R mEEmCYDYI4P2d2w0VfSomvoq3LOEJgvokDGqt97j2su20hVUU6s4JpOLgFyVV/K4a8fNczkothWR 5blE5znyv+tTpn+YXrVdXSj5BrqahxkBYCSQAWaaBQe3lygqjitfjZmYgxcj3UyLZ4VE3CiYcse4 tOACuF2UrcGI7ic3mv6w3QoCd0dP6jUYWoOkwcPD9I3CCNcYA9EX0McDHudKZC8w+uSVxLHhP7jR sXmj3zGQwxexpY1eFK/HMWChAOT3aATndET8Yt1cw8NeMlaT7XlbxN5R3OVoD+zh5Ra7SadPn61X KhT7KeQR8q7QZdjrhAmucYFiUeWX4fO7sobG1T/JExMyuhoPuuN/FBJR+DmTgPgREV22he/UlH37 D+xoPo8pdkdU2Hxa1oUbxpRSyiBvZpDMtRMdUx5bxRKFkPa/XKjt55q8W7XmWrqCGabu0Y5ZtGs0 7rKWStXQEEgcPCS3KUv68GLOBEpQsfZSrjYM8htOdMaPj4xxmfb5SQdezr20e679iL3QUawBNZJy Aah9dlBYeZMkot3SkM4dUSB5X2LnK7vjSCYVZznrDNcO3Ql16Xb8suqQL7Xg2Qmw61RWmQi4cKM1 bXFjgCMlZD9tVsreM7RYEB3wYEkrEttoBlqkeXc/Q4TQBv+1UdQqEjLVBk42ltCroeq+dQnmGkrD jvBGNGVEH9c2LV8CJPygqHeZKUzKc59jao5qsJMxckjaQn3m3ztE1l2Xk+ANTcgs6skmTzCy9LFZ uHZPcT75PrHVELkELTtns+6xFk+CAFUDF5F6fnYGjueJ5cnmpxLMe5HlWM2HObZ3vtf6jIX8nruN L0MG/fvoEcuDLj82V93K/YqgJKYtafuV+KVXV6Oe2dQ3yZNQV0Q/A20B4Ph4b8Pva3Hxe/PisEtl HZbyMufBLzJN46XeZWxragduSzb1DM43EQWeItmcz5++HubQzLVHtsBXfDstcFd2qiA1KdjKuL6z Uo35s4xacmu5nfdr6G4BfXsTUtArcZA9gXQkCxxMQwrw1ESCOX0U8abJm1gJe2jGR9Nco9/XlOCy i8XVDRk2Lrd6TUoR4lGvYRXm+6ijrTV3lkSIGSAgXyL/QNJawK9mt2iGzcKdYGBXBXCNrwibPSGg 6HOaR9L9fJu49h+wBupPLOkzcPihX9txtUcdXbf90lfGTbNwnE/Jj6IDP8r1mBj53xdLOC+tP9wo dNwuAtMkpn5WgG4sAg/r1wVtrTKc+yneTwCjPUqGN7spBHECOf+13RRNaSI19fFH264HosyX0Lja +FsmrgC7JNPIPgblGalWXugJKOM2qNUwzGBevdrj9J6OfUa6ULJFnWkvLVuWg1WguLGDjLWLuAks o9yfqDOy2KWAVoHNP+OQNWlHFSWYdYv3+dujBZ7xiRGCtZrp8Cy6KIElvbV/RB+89h6jU+OL1/CT 0Y9xwNX91yKAz90G2N44khOaygiD8mEdDtPHudeAuDg1PS/Fw248EZaSPzPvSizSw+rAysQMNlL8 nnW/ujzz0ooTCTO9/4zRQcAm2BIhBgKXOun5I6MLGHvzOCEI+fVMHz7VfUvW9Fu+pVV78yYHzX4b 7tArbKd0X8HX9Djtjb3/v9HL5Gk2ztTGum/bpA9wb9UCMMCf9ojivqMPuzGyi+RrIblp6DLOXKOd P8b1o22U+ySnM8gt1W2DGdE6vQFA19WLDWxUu4+ud0e7yV+260lkDo7mjjy6cfvWUrY2bcTaWTj9 tLGTQhS8icuOrlfeU7dMAYcDz2UUa1s20QOVD9lYawXPGJT2L405HJeMA0nTBUXqYl29ft86B8/M crcjXOm4XFQySpzIT5y5JcZ7Fi646wztdGEuALDGtVP7HjUYg4nbJ/2Doik1RMqZjhuCwyfRP0gb Il2elacsmd2Sh+GWM5N2nc9I5PWEHcOcbItMTTJWCewqt5Arvdb4hriN9JvZNUiKvcuMiqmye4aH DzlGeOTrlxbt+K51VZgPO6DBdweDhmg8m2F/Wwa+gNZEVlXRIavpxn3xbNRKuBl69Z7UK2jXqux1 8MX/7s1QLMIFm9fzpPMuIAJ1MTMMD2hLZgKTE4CZbKALy+uZtLNM88yXSdOqA2RTiBj/rTimoRLO Bbo4eBiOJXGSa0e0kpv8oeK4QfqEJEJxVB7/HMlCrgDr6zQ/Gcm/wplyfaEmMoUN0bz49LZlC0KY 4Radsa/wHPsnmJ5zYHmMpDeSqKbA9Na5HvG4jrY7ZeEVo/+tMBO2gFw0d+B27fiAO3s/CbXtuul6 z64JXrNIvQR1OgLlP6Zk4b2uOtqPSNi5KCMOUcgxw1JgK5o8ZYT+DlYN0A+aSsa7vaCDWQiGt5xF SzU/ECYJ71RCD1AwC1hJieQNl21hxaeheQkMFNiLlsst2A8CHBAeTfu3OV+6kLlHLHeXfLrV2cab RLwkEG0bSuJQ7i1eX6Dzh4ct4sNdrCYhXiiDPH2i7KfWYfU8owQRPpdCPn52khsFuNT763PTtmU4 cGDMTgyA1wND/4R5OKeiH63LnWvjFsHNSwU8tV7191MLv/dh7apJCMNIeXysqqv4cjfh4M6dB84M wcfAGtwn/8vDHR0PGqtsqZL/57vIyBmz1SmTec91xWK0O7hKr5dQmzRlJcN8l1ogLNZsQcDvQ4IB Ld04xe0KIligmeMQCRrd5wAE+PzcK5qGyK6aAmtmC1lxXht6Gh5cfK36+msG+R/ou6QAMnsXyCSd 47S3ZnA5lb/NxHnmvJyvwZrA4n2Y1lK6qOTTFM316biSP8Qrb0T98uhUsWd1ARUNZXpxO6uza8wa ItiToWMmk2jfwIo61VOJ4wNFv6SSA4HqB33izHBx+mwzZOuiTIoaB9rker1+g34MegGBg3Nt+Vil q+nuxh5DMhQyLtbpYrk3rkjP6USZFjPMfelFOyzwLp4ymr5qvexH3N5Q9YTLim+3qisVraj2OtKy CGDErhqKh0xADlaxGUuHzgN8Oh2/Bxb5H8kHgFEkbqwVzUNhFZE7e3I1OVNdq4HRQ/+QNekUW9bd x1muIZNR0a9anrpB2q5UzooS1etZF0PXaX8lN6Osy5CqR3vXZsdhdRX5N0NCkr3k9YXeupqUbfbt o/gIjO+xwRWF5IIXKgLObZRllPJhZBtjaqfwvJ1KmfFLEqVbV+LCfU8OaRf2Bzr8OeIypv+9rKyM 2ZjeYBMGqJIu6vwifXI6cdqv2Qdn+HzSPO81izb15+frPMVSLspXFUG+i3hGI6/IPmcLB22hkZzk l9RemJo4HRpG+K0w+H3UlqZUKlaoVQSkSBY0jwz+83Q8DiBdyj+NVE/eOAMaL/roZNhyWa6s6THy pPE5k8aSpnDQ9g0tHYNktogzgxVRjmBxwB3zIh5A94P8IljTOgcpqvObvZTT9nF6bvC5Z8yUyhDo ByU4mpDhcU5+4eoBNq26Cc7dqM1X1WfTZFCt2KRKYf0wvksaOhKpag9ncXXs1PP08V9tc1BZ6x5C vUTW4+OWcrg4Jnlysg2l8CmNo7d2oqEExrliURNgwdMljb9cCRQZ1YxR3d/4XUwiy2At6mR6JINF PpIAwTunMwSM3BNX6jUFmaOeLYEP8lkQi0FiNhEYwQMNpnYWh8WwtA2RLY1rwK8I86AB+ABbTJXT PEu8t1llGTEOwFKrgMcm9XOW3fSXCmeawB93OgIOoSg4hVJamUQYxTInqtg5htFazyQckAWUyhcH xJKjgY6Qex65QfA5ONBzh5G04qiUELUVqhSaU1SNCJNo4IkHcMg+diX/dl4yo+fTJQ+uWy/eogUU nCAAYuOJFZWLXANcFBOVkiwyW/BDY24BRazIZZ+F0qp/YZWjjPAnbwW6QXp43xPe2QN0VdybI670 J7Xm2OjcXAvg+IlDSZCWhqmKGut4NoScyKRtf2XV3vvCYUTAzWrA8KNof5SBBY/8l2EytEcPoRLF PdJyqy+KyuEPTVR94MBFZUmBI8uX7iQcMNvCbTUUS/3wXNt0xmrt8dkkYoxD5Oj0Zlgibe6S49bV kuOWDGK+DrihH0hGGCmI4ARgc1CVXiPWIJ0KNJVlnWb0gwVDJixDXvtDm8CHADNSMDHYpgZmA2AB zacZgTTYyPLA++pCPubp2f6PV6lsvcjIv+g73cJi3S1f5MeUpL/pnXEUtu5llK0ZWRx613DTh9iU eH7qvhhuqYK4WR0ys3rN4JcNKW1N/iAnLnDAQmu3yK27A1s9YcnuS+2uUrQ9oCNJkhfDHTZbjtvl O45gZJH+ZpDBmH2nmVU2cIdS4MNi6qfrs/XWhUGvuT9OAKAUFvogR/lvQMkQ4YvRGRSCu6UQBFSm U06zvEgcRiMmdaaVkac3fwr6qNImDikTtKKR7F+4oA9/nJYpOy0nSIdExv6KoTttsX8hP0RNwReK X4zXZ7WwnQ5fNQfd5UJqydtU8u0bWmGz2jjhAbOHNMcuSQmlmBOZ4AIsE5U/Dd4B3wKDNNOh9o/g 59p6/QYGp7JTH9emcTukJbFXDTfJOPDPQMLxckZT6l4r81wUn6ndlYabpMlCOeNdZGiJhfy7wQlo arlcE0pc2MiYf3nChyyIirFNxMBE8j0uU+QvDbTnNIlzTbJAaY8PhyJHZqixe7ie3J18JTFTAKTs itlWu3GCkz7EV3EDx84xTWj5aydyD//z87JkKau3pd7o/4w7INTP/ikBVyOaBU5Y3DTj3Ojaxbva DsfvDOCNuh3YlDYltIEucfk+zeIzDHXUVbbjpGVPGIiv6pUBJ2X1v8TXCY1BWqmOqe8DiRGDQMI/ 34wJwyYcv7HNK1klDFrff5lXh6p9gc/HM5VcK7pc0xbIGwtxZ320DjC6BonmWA3cQxXamMez0nfU dmRD3adRmJ7mq67mOGStm6f9jkCjAcFEXI0mhZsTbTQO23tseGrfEpmDEfF+Yd1IoDszjkouNspw kLjKKpPIKFqvvKWzXSJqNDT2D0U4LPyavQtfD/i2IOZ2qHWXP+rNqI4snK+iEMrmTghvdt3Vcpnu +8HGY8omPbpRm398y7Ut1dU6RrRkgpW/PoUpw1hDOVgSPSEyPjsI6Plkt/z1y08PMWC8OuTSUFN0 uUgYxUrvvIODg+/WEJjAK9+jl0ZBeN4l4wbA5QnXdcmdO82bgLe8xBjKg/APHPMa7vQm/HEp2rjg r0UIXsYGctI1P5tYAKnyjMPGTIc8KIoSKNVhKEkZlgZZ2Uu+P0XSUmyh7RgNI9RWrc/tDjCZH+nD ohYN4lqsobgFQgYh4HU4YDx7uLv+IojsIpy8zEdHTNJpB+yLWMnPolYv127cCSmEt1Qcw71NFEZ6 iHTfDiHfd9rEHtIxpgAQQa3ED3UReYDIomvaLi0UongAG17Fj/k036Wsaeuuq7rhFsu0UX4lqvsR kazXb6ErORfoGaUzL1h8+nFhf8nTgZ4Ko/qfuLJIEBTdEeM/taxhhnezMbgw/IVcSCkWyP1TUsCc PaCk7SdGxzRmNpRn+QlVbn7+VvoNmeEbXpsyTzcRc1I3cYRRdQNU3/i++V+1MXx5eoQiSSyZwLW3 N6BA3S8kvSdurwnLDiimubbv4/UMCkg1xNTq09GUiWXrWIFZzbX7sLyU/LgC+BbDjDvjSb+PerSj uEmLaZu4nPXzckB5I4mmiToNxo7QiXnD5D5xi99F0Dzdv3bQjBduqiasKXnrmpe4/JjUVDezR1/e NZV0iXGoOKYywHu4vPe/rb+UQQVvOYRzeqcbwBC8eNAiUr1teMBGKIAmDftXjv47nKcMtLCZtUVD utQUZm5JSLI6LecGju3apqHGk9XD3uc1YCW8L9iKOCxikRu5KDOzJxoWIFXZYDhwgZnpIH3jLfvp 7Tpbx+M+Qs9k25uEyhHPCDlCiPh9YufF4FICo6tTz6wgBGdDraaHuncApb/w9iChXyBg78aho1pM 3H9KDB3lVlZeZxV1daybLMZWBZOfWejfPKmFfkmZlL5aR7NS3082toPaksWJFz4I8EsTAn+aA6mG htLlpMJHntKD8eZSDnTHGbd0Oh2eZ3jO6Y17lnI2BtunihrFuPYa6wo2+5Ie0PAAaVSU+4p05mL/ cuGV+ij/A3+ano6jiKLyNjueBvXV44l+ffZJ4eEPLHCnTa937o4gBBWEET+N74Ke8ggIwLNZ7MQp BN0t8Lf6w0n477mUtiLmZnAvatsoCRyCf1nG2m5GXH/XcKWzmX4DTzTS1SC1AzcMecNS6yJWeYyD p08tZ7aqCV/h4sZBdy2h+VR89odcodgZE2rq1qPw3ZMYYqqs7xSnIosjIKk6Fi5X4sgAW3lwnK54 NnQMTy85aGNP6hca2NitKSXWSpr6vnGJWZMYKsCU+FTRxkmz4pBiDtJDqv72H90ESveeNBpKSG1H ZK5Hmss87bjmMiup8kmHLasv70DWnEh40hJVoQG4e59mGW4i92mFl8eaLZXrRS/Ihbipv2kC+8HU jrTS8oKoC1ridmRa6DQX52a2GY8RolFXMmmw7W6TeAqM2rvjbkqmlJzaNZGzB3Inecv0Ws9OwbZ7 aScRa8SXFxXZbfek01S9CU2Tjw91szsA+noH2Zc+In9SwpdVMW+GrCOGtzO95//AdT6sL3+CRCyb B6qE+4gEa0zgmMfk07tn/C7t7uVKV9NEFWfzuX4gjlsfYLRA7RXfIZ/C2Rrq73xBRVmM0erepyQY 6JUI9EmEAEz3Ee7MOddW3G0NGKzNkCAvgqZZzDk/bZnh2H0xtU2QEgo7domxhQfgjchFlhnnwJp4 saZQImEm6qxPMoNc5JoGprj3ZOjPe04YQ6pxz489lpYK1TCYHDHN0aEkxOSKUUtFNpkaOtT98qkw jVkHvNkOwPVrZ6tFErZWW2tuafqzvRIv9Srd7A/wubFfKhk3Zz4Ygrz57hS9spkUcPum3N9b63Se ndzUGKNVvR5RUKiCk2yU5DpDjt8TPCiYuMIXAyOyVXbZ3tyiSIlZx2o3fpvMTbBEyv1hPnhBWmNl eGIj7efKE2/kaHeSMWBSR1tYJrZHLjpkC5tG8dyzYBsZkauen5fwC9dOshKEI+N1zHO+ZVX9iGsH UXdDB6xyq0UsGbXpBN6cm0TPr6p15SJSJjX2riH0e2dsKZuvweXnHRTJW4DTJt79BrDPXoQANxRh L8Jc/iplk7cMKYS6V95wPyDCRmJyb3uFQ2nCSv2wz6L74s/1zqeN07VU+Bit3atRuM32+bnq9Wpy VNNu+pq5U+51HYr7uTqpi+kgKWIVvxDoShawNXr1WuoqLqPraVUV4X6/6UpKuU+CiwqHf7SIwZJV BdqNChYqIXu8Kt6/J5PAxEz2VMzOXKbQMkdnRE3+5nv/kcVOYVU6xW9p/iBpadhMETVAULFHAD4w uMAaVyl0urTuGYtfumDX7X1QuyJwuDI3P9NhLU9hdXyYBPrv4gbWFgDWS6O8Ss19CUkP7FNjOvtv +X9FcoNzNbRcA5zq1SBOPLGTwXggcl0PAaBfk5WeZTje+OiEFRDMDy8m5kfAb0XIuJiqQN2OcLPv q0FY/mWR39wKAh1n+Feirx2cBA332eGX5UskAeE7q94/44LHtBPP59RK2ojRHBcESz2lfXNpYk9F 2gF61VBrKB4nskVr04AOh4WgF0bUqoG/jvu4tVYbbFrmhpECWlSOwHfqNQMgOTVeJsNULn/HLapr 1FUFQd0S3jcUDPH6liujya9bn5kLpInKykndGuOZKc9YuJbd1pWSfSN0EZfdq03+fdKpYE1xsMAB 1wwpvX9zDXRC5Elvjwdri+t2OGGdWRHKuuJpXNvLE7hRkttYbZtcbHMOwBJQkQuqc+mgYt/o4ROZ n/1UozirdmZNFyzX+/jQe6Hu0HuWjt5jiz7QMwYBwdH7E84hY7ASpJNUKuD3k5n/20/AnC1Lp5K1 Z2w2gC0bZbxoBoMA7/eCjMqc0w4t59nInUztyoepp2EUjC6Yxfd7hvAQP5ccTOjbVwxpCsAohIE1 qn/ingiP1fYaA7oEeijMwmBOLDDijCKK1ftVAoOHAlqwgW0amwbZUB835S5cJrYYS9OSH16/GIsJ QOy7aoUxnXwUqMCeVkwGPHwJq+9H9AxZpscphyqsyCI2+po35BTi5gLA54j3RlD4OdtLoSDJSg7M mNfce/cj1NBj8pLR/4ZiaGnH0nVGCqw2fpDPXE5nb2w+02xrFh+AWBM5zcBdhYKdjX1ejIXsVVdQ f+D+u2MPK5K2FaHAGfpSceO+p4wAnyyAXyUbUFoNjNvJ+THsh3ZtSFWbRioSCfEsg+/9SLPPk2vM nbbEXNvTftjthkX2fenNnmg/lJzPVkTv8JkHQ0wPzSOHA+ecwfqUhLTwDgV1rlrLyuW9bDnP/9Ow 4JpE6mvOcddL8fGzdvsz/gY2chMgLbOT+S3mdkcLGjR/BUnUiz77bIyOA308lIeVITtUFnVfTS59 QNG4L1300t2IDUbQAXAMQuuZaFR2WPWC0roQFGkuvKoXbvlsfGUS8XZ26YQc6WVEAKNGu6fGLIT8 245q0JsZBJx1uy5PEbv47OaNAT+ZnomYmEL2Ps+V8AgcEczy/csNNhxv/vZEcibcFCxxCC/1Mc97 ZuTk1VhKuTYLpuRXKhfAvyU27cZs3l5DjaJfn3skeNo+7RLw82wZlfa61DgsjnGv+nV8ObWPY9Rg a3Japyiue/Kf8qSS3FZfkHAyQQ9UTpTmyy4T4UHpluGX1FEcWljDq8clQipwEcmqllFgq3a/DYOs P9AjQkm0EM4YvVNGMRAdo9jBqqQDwgyNAyPhRQqB0O3ie/qcLUZ+Z13gp8gxsmamtoYsPpgZFIz2 gMyVPD+gx67gfEEz68vHzxMIpIKsu2upmnWYnNngsDkshb9sxLUGbeqlZlrWyAeekUO5HKSy4T4q 0AvWLEnCQOIW+XS/wWat/8hSo7l9EX/EEROlZvK26jLDZm6jgKGZl37VIaozWjnmkhrTTXe9bM5W E2ftvGf4rjF+mScqU69Jc6Fvf1SToKdDSd0JSAY0VgJ0/8isxQdE681w5yMwVGsMgDan/dHBBfiP hmpDVSjXCCIPRe5Ui+9milIppMkWXDrhuIkfF7WFd1ib4GB6B1+u3JCnO8AsuP8Wznx5vOLQcGPH /pEaWjGQrBlzU7COTU6zEkts0qvzZeeQ7+DAVwng4ogxYLuzdzkWVdQgZLv6VyCBirBDHNr6zskp zcd2wcHnzS9JfB+Syf9emxmy4rwmjoiepTOyftCxuybvL+Vu041JqdWePb73tFMoDrGi3igsLrX1 /5QhjDC7jKKBT8/0XmjmXtHhtbeMF23jCLanJh+K8p6zdL0/FFIT8Km9dJd1X+mJjRsQKUEtkkW7 fRIzFLQqn/d+kB3rCyfbZEC2fl7yXD7I3+7kVxiBPN+J45IvvCqXQHKWH0B1hdHeSlSB4bdWgrsl LH0wTH4N+nigWIR6I71guAnbhStuO6BAr/We/SnI4j6IyauSP6EhfTFL67mVd8QTxvz/zmwCxuwe 4K7XoGUpiZoeVIIqG8YCFuSuu6odXql0KuJFvpklF8XaouAvGOMPJumDz0VrwO7khB7p1QfucKIa Yyq/df940aHyNPV1XNOwcfQ7ay1Ra3PoiMFl9mqFgqidC8PmeNPBVfSpAD+xKa+eV3MH6dCJk84i NGqJe3n960NZh3VEsnMA4O14yr8Hsl96RIQu+SBFZYG2GA+wpj0BvEgcQJuX2QbSDyYlvhEtrHvu y6Hhm9m+WY4sWuwH4nBxk8Gs40N2CnabZOtrlQs/JYvrBs/6aMBQpRT9gRM8/uta83hguTmv8E0e zdz5gE1VzFwnzVk+7OGicOqq1NdUSkIM4lQwaEwCfUjknrMeFGlVr0zyNwQU2e+Hb+F5wGIWKLU2 tQjwichpZKwmHW2i2d7rJZDkskUATHDLP1H0s4YN9XymK7i4kw0BHGITHXGGdb5994m4J/Gj74Ev G95xhx4lETcdSc0TTJe2CF5CmXZRnKdFCCJNheL4+sbTG7CtFZOhsl2d8O0ZDKMkeXbmEiYEssdO 1AhyK6qayz3OW3rX2oC4AL8g/yLvgHBxvU4pJWplcvAKEyjgGwDPzNKMhYVD2iynBENgowS7Mzgr K3voN8e0en+ozebSxB1cFyzHH9AZfB5whxnvh6RrNuzIGd3eAd34G2O78FakM3KLaRSsyctYrjRr RtANgCIqfDMxbULVk6WthFb7WzyJWpf50584KgN/GPGsm4YQia++/w805DH1jyxIsfpNkRCpyDMT Y+vMHdtmF0tfvfJebYwy/FKfi0adMBrj1BZWePVtW90eb7UGRTK7NpEO/ZQhhLnQisKw5YoAiX4G MBoB10Qk0HhVNjWUG0wwJaoc9La6L3iDSkk6UWBmqVOjVOqSFtL8xDRKXa9dnI7oSURhMWVemnNE IINYCkTp8XgMgauVmvdxg4PIqxUjV83A9PUk/+cfy4L18+srHpr26CQg8+TDFhOue3aBxXAUTTat kde9VahsKYtDN3xJKOKXBHjDBJslmtvocKrzJTColBuYHQAA/lpcB16Y7R2UHBFDLJp6jA9OdjhD JxrhpsmI/IKgoo7M8YtAF/9HTBtC9hEuXaQhenFzcgCqNvDdSNCdqy0ymvE4oGlZNQPyYD5rV89K SH5x2GAoutzPR56IiaNzOoTj4EH6FnzZzUyePNRVH2L4E514MVZnx2q3CGjzin1TmzIC2dYRxCyh GRt2zNUpl/H8QJGb/68He1BimdNpeUU57J2NRraDCOG3YkE9lYYqt+vUgbkOEs97OPz1R4jVXPfO RFpYIkYhIiThd3rKqbuutTs/Cy+forxX3E007a57TdiTJXpyYL2ekY3Re16NB6ROxZpXr4s3Xmdp GnqFK3ZLnqSsymDQop3AFMvlnX1LAvl0rFMpwNOPr72iBZQa3ydEzDu8Sebh2vugY+afiy3VJddi C9TP4KlkrZNxM+zMpQNSRIN4t8RRxXKen3xRazN2v8AsucOM392rqkgNIt/QQ69srPT2H1ORF9dC gx4B6cgTeF5A6r955ZlhbXPVbmiUAhdkOX+F08rE0py1wIC0K6uSxAaangcam6GY1XRtK+JFPcOz VNxAqZJDavc27aQKeTxT02ynXE4GDlvBuWteBMKc4MWOliUOzQ5fTe5VBbRqsD1fwqOW7Zn60G2o /ljB19m8gblCwhFHRmkeJFMnBJuXO2UNC2xbWZHGjyXIPDUOs7A0xcXyC0JSEWmgq64ZAyCGq+ah Egg2VFhT6RVaXv5etT4xYlUlojpZmXw9fRBuHG5ih5RJ1BMdBiivLDIpJMMmdarS4Bq4f9h9vUsZ qAIrLHLn/aowYld+f2WEypa5PBzGIm0GnyBtJYItUpfI5cAd5/G15Z58ZUAc5bneckMl/kEwucQ8 NFROzS5tbpijpXwQinTzDj9G+rse74Af/PVhcEuC6R4Mlm8/Emu3SL75W8FkI142FOpiinKE/QVt MZKV5CaGYyYfEju8OlTj3heV3sDHlEdjVB4yHrz1At+aBn88F/st+9K0Xm1JD5huGrBSHpNVyQsR RwtIQ4RPskLnbpldprZ2giXleyZlD5dQ3AW07KkcWWcwjf8EEa1/gCoZD9IZUtn86h/SxaG2mRv3 z2Tmw5Tn1wh4XUvpBEtdh5x2mJCE5o0gjOBiG+jdRcll/SzAEjjDZJfq0VZDU9cocTT/u4PVy4cZ 3ZjVepTGg1EeAGEzrJWJRtZkFJdXSX33/hmv20WATUsfxL/7i9LK6CvO1VhU74K/6S+KwEycEgTO gYzjaUngbPu68P40PCKhQ4PK+dotHK+abOTI0SpxVnkSsB0WMZXKnLISc0UQDOj7bxXie5/iHiP3 SF9fCH5xSjS6dnNM2z4+grpdhNQ7SCop5rmNzmVtzKRlhDgcu9TgLY2nFfdsCFAsGcGbW/L5cSG8 0MqgUknH0tw4TZdZm7KOmW5QsOM+fmBX+SRp1BV81kp4CLFtKOGwfJnvmANPmoPoRkzf28G6ahG+ vBrGcuoV0xFoRtsJlX7wPVcb+20Cl/5aEcj5hiASsFi89hdzDXOcoC1CJwsKNRPyOWoXtnRa8Qde uHwaMikd+Tub/qPcSkifD6wYbbCcpAT2pnzC26nNxVpR5MJR/msSerjR1y6vZWc6A/6s1++cXrcr dlH4LlsrcgLaSPbXpRtRsNIgJe3ZB8+js4Ki/uyhM0oc80trJ8avbOjRsTnFhnd2r3KMHnvdc3q/ BgE7eHE40FJgoJe+drfWxBtQtS2ioa2I6/w65eqtU4M33lNxXXErxtYyz1CGG9rIlAnWexKfyxzK 0cb87IyMiKmdKYreush7chSgfBczExvdnfJdVjt9P4Uym4kmywjYwAYRiQUdHN18IeJ3X69TGn3b kAUqX+5/bzNfedesnwYoOT5F0sPdUd4po7/IvW0iZwl3qeimkeIZmJALX7DdolCMP+GcTnojAXLb UMqXnBSu/7PSP5Tv620EoaEgB2MMcc0TN09u4qhsJjcWRFoIcARVZKEut6GhT35qKS8MdDPjdZGc z454xGZhs3SKUpNGl6wm74E5aa9eCtu23CyVy6vgz9LR/sJJQ/pOuWy1fYOqQG1fD06vpLIbslf4 FwehyStR/EaAnoJSiQOoFuBUgCccbvafMboJwnldrsBGGuwRro7hOW5BSDx8nnxz0nKSKdKLMg/c YHzqREDCSQIr4/z9X+urqOn+bAROjIRPByVcrz+EaXQ5OvdlkESC5LqX13uc2TfV5zmLVj8gaQE2 ZNRy4QFlLDxGdChRV//JyQ66dK50q+A14lCrgXYQlPukVijtoWUyV4RZqbhdy1Y1GJ0n7+r4qyek D6UBQJK9/8eFSbQn9SxgqpN5mZVKbXewScQnOV47imY2Tz23SGLNN/2e1s96T1Enbt8jrR7z8SIm ZGXSQwTA/+hZ+WXvPoLtpvKoNP1YSqeljGx/XPlhFIrNgZ0fJICDuCDH/9W4btvtSwTUPRlS1p5+ HA1F51hUVdRzkEQwPSP1fT27wOUkcFZqA5LuaNegOo1ma+VCbQEzbhjFCQWflWMtJcBw1lAenbls gCdRVqQqc6N8XTwmGA6U0SyaJf3t6x0QL5GrRHnuKe8viuwTC3R2EUf0SuDN9pL+9EQdghz5ZIRz yWAC3+hd9ArGTMItMFgnRBZF/nWdQHD3ikAbFgPhWkJgDx1LiD48WUHAVOBaQg096MdMq8Wo0L4V VywbaahYs1L0VYbVosQZskxOOk9ndf41ZaXEqctmTQYDLQKuaLOQGjeY2mzvZt0xQjVH4WPvH13U wiREwBK4Zu8h2XzpCBcSYO32CJxwtyKnfOgJcWQuJKWrBjoq1kIBzmVFmVamiNy2hHDVoHe59EWU n5pVoJceiJ5Y1db7C1G12FlNGtU/cYkBw/GBpsTXslyDl3tjH9/gTBH7UAyr/pcteH61OGUzhbPO tep3jE8Ok1EHc5ueZXlZ1BGUbkRikCWyeLSpmU/jDA819LWcAqjG1hFuNAfqaxQsmWSKcREoYheF f004qdfbOJ+4JcUsCHJBTIzpxP5+h5P76RUoUrMVYtaHAGgK/BaJEnQAvkielpz0ZrcNZqlCLnMa zk8ynbXCcrcqYUFNexo5ZY/GCuv0SJ1ycC1HHw5zW7JR23trkGXggtD30tZmkn5UrEJwAbGNLBZp m29SlEN2idQGRH55E7VT4DNvzIaQdVHxoo63+GxRqpnuiG8047J2lHoMOlAXeMvPBhyq05i9TU8x E8/ZmYKwYqtjOUlvsmQUfdkEFWgfdTxswSkusikdBRZPTjscIDvGWrXQXwA9X28ayu2edMciOgHT +Orlb9RerfbeZzt5Lwfuwn6xdr2vuICN2bXO6Si+4kQl8RXQtEOcjrasgot12ld3rpdRD4RrrOCU 2Xak73EQ9tXESgEe3Yz039Go2CrwCU494nbgmMRzs3cBwsCljSGmZ8LkVmbDcPou+oVkhAYe8L8j szUbRgpmYqzOYkpe4Acew9q8hvOTiN1RMjnbGdYtt6uXXmWPE+vTseKooaZqSeqqusxScomK+FDx ppj2b3lRVKkmmYk/nWViFsGh6O2rPA3uT/xvB+vTcS99hJP3H8Qp2CHSx9bNktXmVHIMKEsX2AJd SO0BVLySDwQShIZCvU32jppU51rSakLTdqTOMTFbwBWXB5sDgsuCoyvhwNV54Ozvvkf9UkrP7/Zp anHzc8uu3BqgaeoUwrcoV9wUUETB7tpikoRhse45Wa30Jo1aAh/vK36kIuAaAz9P+tfbqDOm3jlG TWlPFbhkKgif+HFCV/tSQB6fYN2dANB6RCD/Wix+WPObsF1CPE7AAn/+NimM2mx1d1PcYOxlRbKZ 22E92aKQOXusbpBgPZmcEUOVxdz+C2qfXrVWEW3/+UAkRlHElP/LvQwG8oe4WBsFFS63j99kbssW 9baHTBR0EtJvdhnndr9bd0b6RT7a1MqS7sAwwLI47x18a1a0Qr1A1oCAVNegGXj9Gfu+46cn7XpU QEvbi3wP5tyEkKaKFrQoLZGkmeHuo4ybbD70tX0toXuL5OkJLE1Z6IvtrIWTOKFMNsxS0cadEho+ x8ixUK9KflVqkjDp7H1ll5JTNB0Vf4qTaIVZyT+TavxEqRbeIFEMspJP5rIQFLf4tWe8SS01xeca 8qRdHj1SvsBSPraX7FtrDjx/Q3nh9/ImN3pnp09DEWr073c/k74mQJYIHN8Py4iSTwYGYr5E+Wpk PnAa2Zl4hRhCbTKwGwyerCEcLVkz4cfX9opOv+7L2OOV6OrNFGi5JHh98Yg1eg1YtMEyhcQIGTqN VEkglYmTEmuAZcoj9QYo7NLwO35/hUdeiP/8YmUJr1wBvzTph1dBwbS83GCgtIcv261duC0CD8Qv Orv78FWGhd2QFlNCbInvcfPHTdKDHN1h2Im47U07jZ1owqWlP3qW669l4z3/Aubp+giD8/M/R9BO uhjly+AuGGErS1cWIO6tFnM0uaAUy4OQG1RWDC7HBtDJZTPeT6k2MGVNJtmjBa6+MyFFml7ZBQ2W zYMQecEElO94dPtCxxSaNNChEsBZnmRdY8xEsCdGYvDwMHJZhs1H2WLo4wlSNEpQOLqK0l+R7Htb Sbgz2vZz3MDAMBzELSiMwMiQZz3AfBaxJ3i8uybYkLQyJMjOFl5BHFM3oA4nEdAiyH/7pf7j4Dih mMWUg3rMXNQyDE98mKrpZSW34ADu2bcHGvulVnWYwr0NqNj6M86i2c2lPhKOprsK4LYUUJ3x0RS3 lePWKWZos2TWAYM6UmJqbEPmsD4RwSvIqyNCMCVK0iRoGBaLCMdueMeWVx8MoSIm2WWPoEtIYHAl w8glhRyo+Jyw01KDVzYr/In5kELyLgOAnU4ewcTZCwsnbu29f8lenX40BbzF3YOZ5lKO3veXNbZ6 XwDIZKas5ydgSUNAvxCkc3tUhCyuqyxJGy3yDhZmHEjXWCKRuEBYomwKJLLMI8YQERAtnmFvD2IP uwIRUtWxNJXpFwNlzTtpa/Mbo2i4vNsx96xLt0E1NxwfZgYyaQntiBfomrgD8xuBc0yPuFPOK2y3 CYWeEba2GZQTGgx/nt3IjJqP9UZfJjcFq7kkI46tn2P0eT5L2wvT+PUmXDiIeoTXAVCl71dC5aKP iqtkLwMnQPh2N7DMH9Rjm2A9U/pYlCXRXQlzDxo4lgChmZBrcgryt23ayTPrDgIVhbiliNnaNT7j ASWdeajvOXiq4m7eyz21xWVTaKLjmxWkqJe2ZiJo0G7Pq1eeFA44hRjt/9TkruRvtAItxpa5y7fB XlDRn0UH4zr5ThljLADghw3FYTudIq5pGH0PocIVvhENp8xkFM4CFkqgGRdby+5wUsuk3L5kHpBI B75gw2rVr1DMGMvh/Z1TL92I/QoSZ1RD5CeSZqjvwTnk6Gh+0noN27CxFUOBneFM7VQ8LA78RUlH ddbkCTVpnjfh0XyBMa9Cni/60/cSwqVrIXcTibeY00eO2z6MjTPMDFM77nJQlmB27E71KOEPf8yV bU4RBpAe+LxJwOPuv+Psy2ndGxzQhfh2jXDImaXXc4jo05qj2yKhHk4oDkkPkZ3/awFAGWVxQTFZ iuER5foT45sXhXsvx1WZvKxH5GHeD3oMKzUcaWdV8d7+H19soOnqkFwHEwjmRwA+ZoL2u5qCz5cu Or0/KiB40oYQax+rnbhfL3vzBtZXa/kIwp+I/7BaSAPJ2ZaGFK9Els27cILuNY1sKuZPTIBasMCC 7yCbvKH0TIqfNnH/0aDAwVNZI/jlS+NQOGqtQJYFXLE0Qv8umNU0neK17BfXtDpLRp9aucKUHe8V /6n51BeF0CJ5c02xYQCXK3Qu4iS02MEzNqpoJSDlUsyUu8IBujaXovgfk6dC4VXn+G0zCAXmk5B1 WfdFx1fmdt/YKdxD5yn40N0gcFfTHYa/4C0E2lQq1S+Gycq3X1fVMAgsN3bXiYc01+BEg2ttLbZY kzHXhUj/oe5ru7huqCX9VsbqTglZtfCqGABf6CTKBDuKucbGj5VEou6sKTaE6WDSnshRix/Oauw0 ecGBkzKWjYyO2c7ZRl2moWIeep2Ett5D4hk+TX1KPk+hMRGCAqNzKz8HTLuMkEga6QtZrHVIbXkS TO56Z6wl0QO4L+9MLxLqv3M7DIntsdYlXBIJyIO8SaX2Nt/Vhf3B9XlV9vNPe8Xgp6q5QkkObXXD yiEwLHMO/gbfIt1Aqo2RYpPaP8ViAvvpJPzjRp0VYOVtH6VTljeX1/8FeQR81any3o3ElQa5nA9y DzTYF3Epo8iyWDRNUIEOnvsTs1VFee0rL+NB6l6qOYmodQsP0iQADzCq+8dh5CWKiMtAzs5ecNJR Y/76a6lRb05/e8Y9qwQIPG5cgpPrrx63CR/U6YOkH5Hww41ZF4E4/PsoHfIn8l3/po3YYm3wK6Gl CukhQk24+F9M/092R5CVB0TTlkkNHpySlCy3qZg13w7LCNh1GFkAW8U0CH87irkCeqV1oYiESB2p Iu5uV+SxOuY81zsSPNxXxSi8diIjaz4aLAV9p2nWWbj6Vb1W3ITAUTMr3QhfL5n9r/5ca5lyjI4P sCs2rbnJxzdm8tMYhLE9G04LzCaK3PSvkxuhLhgVqm6/A6Z41TbAv4BodAeEZQCvr89AHkC3EO5f CuB6tieNwOszzoMv0FdlfpuADtVhRasrUtSlfYB4og6hOxAybJFMfZMYUz6Vbk41tUHZhX/o9N5r EWNUUzRsn2Joqrh6Pr3wFBul+YxO1VZIWKd3uwAqxFNUcGQ8vOBgVJ4dbQWxQVOW2/bvHEkOA0cd CL4guQt6Bh4VJa9VKu++7SYKUwqmMLVz8tnGMeEl+0bpISa+eVHhYGtI5JalnzeBKe4Egju0G0qS je1hWQnAqsMaGBaqOtxb/l3TUW2ynI/WrcIkf3fvbwXxDq4cci4zgVMXXx8jLd1k1nd5CK9az4IT kHZN8z9cIzQsQNbVOM014VIRu7PFUmwcPcHi04v7vbN779k113Do+B0jM5dcYNw8EI0VSW29GsHj yb/s8v96U8xlH3KPgjclQj54AN5od/6sm01MV+wmtbvZkcBzLOjzIb3Rx9s+crMd75d3yUK+O+6o shGA5KYvliONeV+KI8VdjrPA6yzN05mpSi8IGpLPqIFo3uyA0ickMjM4Xkr4ZMgktTMF1ooqFIbr XkwbuS56xLMw2F1YDqyPvCqEpt92fs92iR5HpZsVlqvqh8zJXkhxmPpXYivW7t1d3SUAF/jwjprI OhMrjpmKKBk/ssfKM8XFxZzVHL+53X/hhCJF7kZ/a2EhIV/+5uG8qc3LiKxAJeXSxN0jXMbYT5R4 S34/ZcSOZAPMuCDECdT/neBvI95FEiFUKIkmO0Dm94gIKIGA4DwufPCoy1GAx489Jzo91BNuqosI gz49wG802o9Sz3colInQy2iey2yNmTLYNW0JN4/V+65Vld1T6NRCYxwvto1AoufjgyJcxABKr7lg vGzOdRKIgRXRHCF6yIW+GNmuBbUBlfs51ILRTK0eOMyXhaculGHC5kg5wsbJ/yfwaBcibADAu+dI DKAB2VCx2qcAXeyjZmpV6giQwYGKD8AVCMGzFtpgRuGGbqi4P5ozrCSLQc6Mh4Q/nqT3pMH2EjdU 38P9g0pvduY+8imzx+ZFq1J53WmSwu09zxqW9YxmZtPLAqpWy4RMfPD58+S3lnVaALwLtjftX8r8 ITFVkIXzYgemKp8JOVEQ8pVdT4ess1OgiYFP3EmU7tCq2AzQ0J+faVHUtfQaLQRtkFQt1JaXg085 jAtgUOY33zG+dsWRzHotUr8vUSanIKKu2QjcfLJjIHq5vTuCJtZDJmd2BM+sT4E1pZy8rEjTK8wv zDDzDv96T7tz01dmZVJ8sTtEckwvENZlRgeDOpd1nfQsuRk6/Q5FpfbUhdWUt7X2+bL9khoWpVQj 32Mb1j95h8fPyCdFx39Ky7ZjFuH7CkbXxdqAlOaNOvi6qL0XbllYecWT2NMghgezf744KUGvelXF 8qBOAZhAQld4w+5WiOVzv8eECXfyc8IHgwp3vrACxOdipUNyTx4O+4rAQIKZZyA582ILy/XLvXvP ECBT+UIYFT+cFVqPknpVc6JqxI+k6xKIy4xHAc2bCNP9Ec+KD6XwreQsP+Ez8GlCnhDDSadh1fUB hbsaMqt2tZsXyoYZzuYoiCuUtBEhaz7UxcDsrPNlxdbirtP9HjiKiWyMgog2MTftH7VsU0+q2X3y lWWwhmfvawieAX7xuKAc7TSwWV27a81IyQ4Y2dcf7uK96Ha/2K84m0rp/O084cR3p+f/XNS/gYEF FocmvfPiwK900yVF9RLtByz6SB7Y1AiwqFrQ/kbxEmI5LBiT4ddwyURAbviMu+lKsQqzzWexXtLI 0A6EgGfEH7i1IW6OaZ/bssFvIpYzTq6o0IZhx8vYy/ZqrAyb075fZlGdPb/rX4hJvtgIuvFKR5UP jfxR2wAmpoX05M/bCWEFsyAI/pgVdmkdelaE4s+GnddhFgmAYWrwg8Vozzvqw82PuO0OvDy8KyJl Ba6Wx3AsixT9MQA7S+5JWxyliXLpe1RBZWjyM8bi7EIsopneutYqfO17A3Mcp2m2RxpIQSXCpw5b UJR0CbXxWJmW/Q8MwTyKdNRklyif+4ELz6Dujv9KTzqffiZJqDe5KoUnCOoKfvTE9r4nYD5sQfTP IplRHKDvSob9ys4xK/0+HFQwS1z6okP8iUYneJmDObiYevcuRDWPJfrtBxdMD0/6uQIAjsTT+kuH tors28PQFeFSFMoMX2ywrfeHcjzZ6GsxJUDtf0FMfCa9nqCMtg5ksDzHWyl2rw8fSk7GpIcdUxJD 7ZtbIw5xMmBlSQmxLk7JD77zl0UvULXr+S52TvKfOFKfi8W9j1xt+PbHek8xnOErgx9UfdQiw7gN FR5dhB2TsTVowaRcpxis4qtYwpmH8h5dEbdnUMjqyfvYHVcpsv6PGEZ/aeAnpeatyC1/z8Bymvhc otcY/CSXGqg630EplnQpQIliX+AbrgDOv0zz5f8LQa46fCuc8oa9ypn1Dd+yNdyIa33fs+y4aCN5 HphWobWVieeyUfUJiumfy9N0CTfUvebSWeyY51GE5q/Ok4FMCB77Y/2EfErEc4ZOPzXJA3BBl6QW CupGSEwU3NYPQn1s7VfPpq8kPmFOn7h1Hn6zFFrTEwOJyw3xxxIlf2V+vktwLyl2MWLlD4xNihRy IEUyqRJh496JOeIGuvkAIo4iVB7RW7GT09eMH0ocjpcn6KN3iaGG//Pspq4072+o97qJiODAb5Dp +TaftfY4/5nES38OJ0nQjpbnp57qMpXH8sB6ksjTOJd6alkIYX5Na8YJNfC8ZJtjaCM+IrN+7pv8 cQidtQlqIC7PpvY45PpX5hNvbdQExpCZd4m6PBmlSnyNvD35ARI4Zf+I4wF6Fy5GFhYWWTda1VnN qYrZEDuElYg19Yqc3OHKY14r6uvwqnetgGsyoYDKh+FHoo/GKDrG9KGRr38K8NFy8lw3VzFgVSLU AaFrydKdEYMg8JQ2LmZolAVAHfyAkpTF+uLbhW/PwKcIdh1ViA+QS4n4tWk/vcikUistkotymBdR zG+FcnT0umRSepgfch+AdVdd7g3r5jOXHIW+6CiAF/GFgQl3qtF6HtAC2/1WG/FmbN9SKAkLQWfJ OmIHjZx+yysU+hfTfL/p+rX+HSry2hxfEmcU7LZjSvlZAYhWx84Elvrc3i3YQiu6dsSW+wqrJhzK 9Z4y9q/rd7CJrqznaOIwPEduWxllKvHYZWRH1+0Zh51pb0sQmh/d+ovSpGQHZEcKBhqZEhxEsWdi 9WgAowID21+bs84ZCCgP6RXbHgpax0Q9G3RhiR0GUyNpGzW3H/xmMYBQghwWGh1WOurTl+QoLcyz Xulr+jrxOXDvU09tgP0XopiDvoUA39JmSP4qmLErk+RG2VaF0hvnDZGdG+Orp04rXz9Yj/MiymAO psvgN5DL5grp2qrWizwQrIZpeeWTxbSzfc3yrv34CbhsyeqbMhqosvplkSg8ITREEQWLvxPAhgJa ry7r0iZbPgt6meL2bC1SOdtg5jpcGMOKV9BMA/7X64JfEuuSy1xnoMD4Fwdds6eeqV/zFShDRx40 tuyqIxzJY3m/0JCCXvu24Oe5AwPsoXxubHCnNK6FrZ+qFmdj8QoDm8IOhfQWWsUKC/3+gVErmou9 kn/HzoFYtFYBqQ3lHnZfkgG7fwezgw+jsVqFmB+QV7tCZUv6cFYqK+KlvNT9ALHiw9zMz898oVK6 6N5s/Q+EumRNHmlPUc2WaDOG79ysDJSGIc3QGHO/1pPLumdNbAec9Znq8jJj4o8MenHfpRt5pAOW +cheEdb8bP+srVaNVxbie8AUW7Tjcz6WaL0/aZVN6iu1y+T9d2woNoh/UsXDUTkB8JqYLclb0rUZ odNOq2R9bhnhcRZaEWJZ1nTC1Xg0Wk4SaEu9MX4ke9QyMGGB3uTQ5HKt4fxcs8kauTt5v1lL+QOn +mj3yd2gHfALWSUIBT7o0+jJvvYHR2ls6ykJhdZiUJcsvSRNhwFQKv6R6v0vlKOdPoDsLEXmML9K m3Fdi7ci8RPpgPiG+Fiv9FQfx5qPPnEV4anLMb4m+zJP1PXuy0/YoNywzg5RJ/OfbbF5n9OLPxEG 7hRv0qs46N8QY/SRvtCE0A6LQpqMpFJfOPDn2AQVIUD9nUVSj/IRlCavb7OVj2p0JL7UYi46hyTN w3dHWs0JmS9YGhBR64/ZQ27gh2/UGf0aV2unS1lT0GbQi5cNXgDG08UsaX/ew6Vi6HBJBneFyKfy hDpjKaptaDdUEDMHnzJ8xWurXCUfUvQzW62NxkUdM0J6D9srkoJ4pe8wRMWZ4Clxpp6erFkhlIiS vZS4cG+u8bOjHo7egkBOI5I0091hxDWWgH/WI6+D1Zc3f+9YzSOQHrS+ewip6mU7q4aFjtb8R6sn W2aUvFXU1xfTW9lJtNfhyBcWp03d+Hi6A5euJiLT4ttoGdMSm0XCJn3iJIBTXuOtdv+AgbwyMdpO yZ1IvViR3YlrLzzotQlSxQMmUq1Irr5xfTBFjDYI77XtMw9m0TaFMeMl+n/S0mpfmkcxU8QkCLHz yo9vAS3NJoT3Wcp2SB3lTkzBLvxwb0oyVsPJ0k5gJxRDDBp3pG+hrllflas/M5DnXMBEEACIVz+7 Cupr0N1C/1AK5lDKkh+h8G4CWK+H6DnDn2ASQqeuilsa0tuyMR/wyklt8FM7qbgyhfmHJcsGJCYy 93+Ho0gEcJV74fI/38FRQ141VQKuZ+LbT0brnBI1ooD1pmHaAjWQGBok2p5Fan8U2fUgva/begUo WPWbslNO1mLsfPUwHMgjpWvdkI1L76bewEa7NdKTk4zuVdi9tSzESY/fC0JSBx9ewqxeDCm6TVHz mx/jMoFgTdYm733qb8y52unkNg6bj0Ykn1H04o8FEg989eXV/wwdhKEsXq/gYaSx0kZU/77ha544 PQ8XidIUsBIzyu/PH2ufWPyW3kdqo27f2kxSFWTLWVFdvR4C1t18DVYrrqp6aAnRwT+sMTeXMeFH nn0NClsk+AvpN/c1Nt9ZJ9nlQ3zF8QPq9mdPkPflfXky5SnI8Zan0B3Ga7d58XM9+jtB/34uF5FP aSNp+Xzso8iHoGQlyNea98KGhmQactjZEkT+46hw6oGXXiJURx0uAcjlW4vbAF0aNTxBueMxErxq GVLv1qFIj4BH/w7i1sLG1ELPac01HUi6JCDeDt9EXhBZ7u087aT1jpeKI2oHLiNTeGLYRc1P+Tu0 FEEIcFpN9p9/wfXE6IYLroKnXyVdMN7tYhnef2Uu4McssX/d/FxJmpfH1uKJ6abKurmut0ZoM1Q8 uYL22s6LJhD2TDRsvrn0hj900pj7N3knlNbefiAOCV2e6qJRENJ8tVkWWRJCwLM+DDTX7m5WVo6b F95gOkjFkr4J4/4laBYqqfflI8Vqd0EotzvqpBSrEfuruI7mYq1M60ZqkW5R03jtFslEvFDDsr6c QdAyV8htdLC1w6TfLjULPRhpm1qCdP0btir2iVmKjBpx6W6wzdsJrbEShN0vdvl8C0AOA0Pf9r/3 dYy9Mt0t2jOCD5Udmseu3Yyr9v+51BbJ0S1rDguA/jrp1ni27r2WFSIoccN1VW0HSztGv1fOtqlb Js6uQm4jniRbdV8+vpgfqgSME5KPBueL1L+pzUvHS9rfoJmR4AkgfHuA8T+oibMZ8Nb+s1M8jmtd miysB2sK5eKxCjPQlNK49zUpaVcQVqlrKYUiafAARMYDB2LybmiX14Vhv68pOiQIs5mjj+8rKaRJ ahyVHBFRlYpURjGxKHdumaD9fztlotM7WKNuezMJ9NOI8imUnZm55FU7ffR4YYo+EOcs8Dj3kIGX 20QYl1+5W0VvV0/TORZY/KVwu6QwzhVfEvjepuw7V2x0BmJqsK9FJUS8SNJu6JOp2c6r2rNKr/MN F05eSczvwQf5FZCfkEYMukr6ziIA061sIAMaQ1bEQf4ugg+kgNnJBpYJVTgCvvCPbz18eb3z8oOq UNBWy7+hMVUHft8VUEQG7udvYYCxwLMwjjutnY8XLlSIvNzkcIRrepMc+lQt6DGDkCqxrGBzydSo XwEagHhf+XNYW3M66i5prii2UpeNf72qeWguv2DOInJPysrLCULprSqqyNwMU8+jZ44Y625oJ77O gY8O8VVhdxSM6dcT1GQSvXkj4JyhVkbQOn+rcvYiZtNgaxNn9cqfYH/beYxhYtEq5FHwQdFGJNU9 XfxH4jnLI8ZKF1J/vpXo/wo5zlyix0Js1/9/AhZ6iFr5OEccy0A2UcR/GTGPKltIm9DN1C8SqN1Z LRStdz3uOh3wveFhGJXpN8JalbzEXIosEk1wtEhl8b1746/05+YI5KzwwhVCdrLdjO6P06OS+Z61 IyhkKAj7VdzNX3oTf8ZpQXRyZ/yzvk2xZ98h0ypPztw3I8KTpWT9un2Rjwig8NXzcVogirpKZUEi 3eXYBKjZzUu2oDRGWwlISZpejdpiAw0OqyARiQW+PCYD0V8N/1+YUJRLZwKImi4Z8+8Kf2lsn6N2 f4QYlK1aGUbsc6fvNjR+nvbgyz+IZf+V9jlOkQnZlQawVjXaIZZULIZRX96s+YhQ2VjlLLNDCRr6 vx2BN/9ChYxCQ2eKof65kqBrbfktJjSgZDuj21qqHof1E0uCygZFICZ4yQLWW7AaCgiss8R6dT+4 /S9f26mylLmfuQx/8WU/aphVkD5snH7+Ae3+Iq0zWmOBQhHd/g5DARVL5J+O1iOsNSdvHV1YkWTx oOZ8R6ggL8nf0QjdT45i0jbBzE+tqZMMKFAyg2Y0mQV9IMfK4blAJUkQLGDTYkGu5q3SJj3OimR5 VlcUrLeCbX94OW4VmBatO4B/gPDaDqSCzRecAxQEfLFICBhRjC88jtr6OPvT/UAZ6yDZbM4Iy768 hCr2N4u+iAxZz3Ih+ncatYOZug8A3wO4bpsgsCkJHaTvTwnWi94/wdHVWGeOdTVTjGp2THGFQZh2 leynuxzkRO52rPQBuT7DjXvxf/bsRcLRlVjDWN134V5OBtYcLK5UlmJxegUKqlYpx5l/EgGd5cYW 0i9MozpeX1J809eEc1Isb4us0Y6KH0jzPYt6jWlOUedtTRS3bXVlvrknlF77Sy4qQzMpVbX/uDCK 5wo6leKF30ldHwmjeDlw/2M1yze+VorBE1wCdf8n/p9tlQZf91LSPj4bkb/+PuTqaPLy3XCcmfLR gDISLb6xVVy4LZ/VCzIMSXPK1ACGIvvBY5CSrHkd0Aq8OW8SCIVcT+n21a+Ni96vXNPjOdoCQDlO NXECfCZpW1MSNkmOzKdHN8MWheOcLIlueI1ED4VJP8dkz+lMyleg6/8oUnhZQGOtRz513Afb5wBm BkSI8yKr8ryBDAPIZXfrfiFSwUIex4EwCUa1D0jjK/fJOICean0A88rhodJptx7MnISZyI9btXpF 2dIxaMGRiLY6eBdLeumcpAIzZAsVB5lmX+eKyQc6wbONlbrM3Sf3q4kQstWEZ31bP16Ok6FVZ0fo f0ZC4zIs+yt3UTi/VMQ9iKmJPg7/Nt7PflYD2FXXw4QPnkLEXyUqJxIP568JCWBXY5nruiDY9oxp IzFu3/HawzwXvLHTyB154FqsD9dD3dpngw34QJNZknzvJsVUyqqu6lCVw0BzIpG5dsmKaQOZ4YLm si+nynsR/k8fwl1dCOTl5GcpImKtoHKXOyEYabKA8Mc3BUmacOao0Zp+JJ8MD7bjXSP51OANEjIK TWCN6FKFBumuzc2Jv+auHDlzIRgiwopNByLJV7/EFd//ijNS2bzGfOONHmGP6PtIa3Ajnl4BkC7v CMme/RmVN0zkCiJQH9g9jsOzTYqAa6QP8zSRndzj001QUdzqzCLevUhz4ivU5CkG1/VUafVqege6 JnPKoZulACbXgmPDSxPTpNnwvNDfFB4rwvSjO1X6G9Sz8qeIDInwZjeGUMStkTOkfyJYe/Q+rnKN ud37EO9bUgneg87xT1Uo9MhFwh+2Z2/KB4dXEAPhtXByuoOYfAN+q4X04TtSI/qBcBoTBXRYqYX5 FlX31kBGTCeeU+DaCbrZAeoewqh1dqC6jg8HfSRFABbXd/U39WgIiDhekmProhfExpQkCO7Zwfld TaZwmEwC74DFes/JMRe1IS1Yw77li1h8b5t5ed0QX0CLRVq1nvyq3XJOvCEMaiMQANRYCIwNcVqh cGPJFkjmIuLPqA8uoA/s+uZCPnbYfEawCgZQ97lquU/LFLlAb5siARwrSMd4T23n/cEZQmHeqqq8 ZbpkwvqXaX4z+MOf700O2RjHyLGPPx91y4951x0p0bC7rIWyqAva7jt4tWwNmXpZ+8Dt+bTiSE16 2jRZPfaFB/I+Xzl5+4H/1LsYR6KI2eu3iywbiIoaK+Uxwybg5Nf8dinDnLM8dcSpEISvDbjpFFcV CN5RcEW2rbTobMT3piZ0tDn0FzWfBC1h7rhxTaPhv5L5NmkffPJRwNAssw+mT/xIQ1zNC4yLsD5p eK6L7Elds/Chv06qFC4khMpGRQ6XOS/6ObGPoVpOLzebvp/AenMe3EQiAoX8WOB67YgEunSSwmvZ r/+SMVHqNIBxwTiT6Hxd3XZPPu2i1DldSJ4Qsdcy2sKMK/We/4zIkKJfOgkRzGyNW/zbOiKA1P9t uV08Xejg2byNRXgwu5TeVdCT52zuIEycelxjbh09cW/Om0EE+1BZxrmDC1PBLYCSqAvAZbcZ4alf pBYBH6LMvjaIAynHLq4BjCR3Asf3+6lSNVVqBOslZEjnJ9xq6Yz2W1T1TZgr85EeiGLPAF9rp7hu 1lN3gwWAFZ0BfBsUOExL86gK0yyWnYVYwXJTPbdPV82xAYpiha/o5A4od/yl8GeEM6Hkzw2b4Y3K Kq+eHvhTzGDD59kKn+cjkrQoNxkbCKEVctA1OD7B/REKy39EvxdESz8wH1gJtu+CSlFVGmPdcSwj 1Eefph/K4AKcn7C1IMJe2ap7xt8yUhxGaYT4dmnNNMso7nf5F2ZLE0sPJIjndVQyCtjpi4UDKvwA SL2c9+1+4o/Flgy/3uLhcIjwvdsEYoEXRLw1EXdDfYvi7WD5S77inFkWLZHJ4C9Y6aSkB6njCNwB oVs4HqBY8eonxsdi45aNcE4168bF5Kj86dZ4xl1h5cnHOjLTQuQAeufgTYgaD5djqbFXWmzmp96/ jqunzM8WI7w14uokDwwkObrTC1vwTJPUmjvKPwrxSj6bUvk9fTCKuDc19Eo9Pnko0gZzNy1s352D QVnHdnzT2/eu9vlCGihi10xBk2k7ZE7+CYnotHIfXXfdM7fITWfrri0RmMOC4Tsj/knoUZIXhFL8 v/bWzYPdadOH54VQOPdwLzyAhq1Ps0NAl7tviZt4yKRknw66QpOSvN0+hKU0pAOfv61AXEBtH7RV 3uMlDhLHuiQj3NN3X0uJduxrsWT7DWEarh5nblTY0vfnTkNbhBO8tmQRtxvaaTiF//v4bGUiiyCa cSsPT8eAD4FJ0STZ9AGSGmGjXk4n0wyI783mh5p/sPJcbyrsWB1YTEUCMsV241xjKpC4nGGnO7Zq PVThaATZct2s7ABflYZiLalcrUkzNRHm8zoB99znxwck+VaA/KAhaKrPr0zN2dgET2J+bWU0q5fe 8L472WRS1RbcPgFTBTbapPa1zbYVXYMt6bqd9IqUHFvj8N9W9rpiI8xbFa2yirFpAmpqr3crRyH0 kBoAefmXTcpP6AgyV19gwdSBfzyk4mV/pgQpD0xChoWOJ6Kp1rr2RZ2SuKoeJn2vMo6P6c3Ti3Pd lJT8qwVnyM0s3bdeI+7TUX1eKCEKS6HK5/iJHkqpFtgqXCIQKQfebAJCC+rE9NRK6NiPnP0+zkaT SyPctjZ5G5R/fJwYdQWzQVI9xTz/Em0/O5/AGiEjT2s8X3h57xX99udbnyy6q3i6TSh+Z0IJeAKw quttSI+mFU+pC2t0IKBo5bJTsAG8KGI5Cg3Nf3ZwuNazDbnnKSmHaoBYvHDP0KSQBvbLZjVktd8D j55PdlwJebV1oaX/csUH6K3CW+uZusuZyL8Mnja63ciNFuHQADOUpV3bqhrl+1GX9JxCstaXOTma PXqpr/adeJis8AbXf7C1/sKxMblqY54lhugSC4jqNANLs3sEKLwFadCPf4MwjzKleRHk5jyN8k0D YneRnnTfzSkN/DY0ls5TIU3JIDIZE7W+sPbKp6aLU5FSWg2VC2VfsMyuOQ/VNZjwQPaVSbEEWWTi LAtuvvt9pbIq50NUhlTW9RJ9P/knN/CQS51yTqCDBQ1QzYnmiVMY/7h0TaaobCsz8M7jrlPTo4Ay HNh5tezNDW44hMByCDzLeukB86SjedKTY8NdAqG05ep7JSYaO/wkHqF7j3WoYtuQ6UTNbWgLoAKm 8aQIWfdI/LXAAqMhnDD+6MWKGTT3IKRhhA4sNU38uWYBvt1VxZtOcfsWByVX69tWKf5HFepqRwG7 g0AUM80FYWOITGGF1XN6Mq7keTbAGz40Q5CEG4JmYgcrcItHCgitStw/yx5ybSWkMTKRQhOl1a1e XNjL39M1JcKWRjZqbmJkQ1UYHswgL2xYKS3ksSSBmG+Aco7RZ7Jx4J8g97I7q2jjpYhA47hsnYy1 KAp6CA67XiPx2PmShK52Of/eeLbzp9s1Kl3G7VguqTUPQBInXsIgscFJGcNMfvM6//O0obQovngl PwYussM0zBNoUZ/rhdBYbH9oSyJM+YVfcNQ07MBRVrF+UE6k2eQvGu6iFsETuwnAoangmNtgR3dP PW0iUDq72Ycz+YP4Z1JxJdr9gFUz4Ig5Ku9CMT5cOsAJs7zf3MSZkVo6ZSMHw1kC7hFqrBGI3bKW LESZ30dq+P1PlddD1yequHMvfZXtsAD/w9aF2LMgJv3SDBWqsUj+6KfAY/BZrHh8mYJRwCHv9GN1 8MtkIdcISSc/0bbbRffGkckSYDBBdJVJHJnGl8OAdhsf0AdnNdK5UkIQHAF3P7fwDehuSOjujdbR trYM8hXpVc7Ar0jfDx6SO3sEaVJpUwGXUsAnsfoc02XypynjSwyCobz8bq/f5ptMNncH1um+M6hA EYA1GCJPGETObmdQkfOeOkiASKZo63pz8ZPmLmvUGhFZW0s6JEpmjUsjbzr+0+TYvDuhOgEyLdjE ry+FNlPeCxB/XFNmnfZ7HvCLQkbEJ4qI9H3brvJxkqNYGQ0m4TqwmtPQE5GmzzBzBguWvyq1lgj/ y2UZGEa53dRrv+XmEkGGP5Zz+coMI7u5ia969ESyuZ2150DfzHj3+149kGySmaVShupzcAWHSYN2 HLOPgcWzn+n0ZnP+TmPIoKzu/rqq0miGuwYFCqX2FSNxG5W9Gq7D3xjAzDscRHiAU1je5PTBqqGi kJpdzIMdqAAc2BJS5UrTb8lQlNfKqs1/2OtL5bK2yiBl2oTO8xlfYP68My62kjnGpNg5+7vbKoMQ TfUg2kdtdb3bPfqIrz9pq2G8EgjKkjlw5+1loIHvJ3BoAD0c2H3mIxsvVgqMt9GpgpNlY4OlYhlT hsHFWDuGQTjekPlhLWkO2tyT/oLs0hU1sv/4u61Lz7CG06kJV/lZ4b1plx7HXEXV2HI5mQqVM1QD J+bCS4iG+qI0L76On6S7+UUtWykvDlqUhURDe/Ni31vF4VPTKnLlFao1Z9hnHFEbeNdKlA0YN7Om l7kbeM+VVjWLmMPZRXJuD3rkE2c2KDLn5Bf+D3seLF5+wOKUFHbkGgXycoh911ET6cA1/0xoqXfn 4BnF5yJ9jlQKFltu7sZlT+4NvlVpcIesacZic9KTMUGfrZ0DBXYQBxlOQt3hXA0DyyobQROznEBO l4SJ4+WnhMUXT3r54i3MofHMFGlnOYjFzKtf4a12l9nbfeTCWT1wHr4kS8/fErdgXg6F0RI2UR/k 3tatnMGTnG3ol4OUcCkeJVPkW/tDVrksQdkAHBaDqsRC8IUdvLkn8N6TXyE7Nto9mDkoyZEXfx7G dZsnQviR2xZe9mk/Z5Fl6lemUbxel1RZNP3PpN+15ohSW2LDjgwapUV/S0GGOFryGfhZjBdbLA9u Xv44LvMtoFlA04kznUwtNe1D4YavzX0VzJYFhv0BwHJzbAGXMz+WAXMp5j/F//tpRHE6a69GJWkK U2OTsMERx61wbH67LXHgEqUz4h1sDS5LLOLbz0iQittIZ8Tfmu+0MEjcDsb2DPV0SqnsAJYftmNt Gx3ixxMzqpQQ2xVvvVYYzBuolFoK1o5IMVeogxU2KyZ7PdMzf/sbO52ihNNqt+VEo0fxB2AVxvT6 uzS3tIkaUdTiPTKWOzrjcNC/AdOsxoRuKxc2CjNu2OwtQ3/B7P0/JZiB1CeeARfHMnNqxnqJyZ7o OnmKRk3axjlQ9hde5wQgy9u9kZzNf9ju4sduHXCHMjLRbI1rENMZ2H1mN+rDmYPXGePjxlw1lLh3 +NJ8s0/M+rybaITZwUTOE+bW+n8aH457BEc9Mfjdkt75hhsgDAQWqHcJzBSEjoUyjzMXw9ZJA7Dp syYmy01q+Z70bNWlMPipRuGwqogbX5YXyIm83a4CuTlZqh53FIcZj3ObGtvUrKMfN7RNIqHPiiZD /QFQcUnDfW8DneYAqPCsn/w45iszC+YkO7XZ+n7uVV5vMk1hl8okTF308jeqCcBvkcTXtgM+Jjvp 6m1kU5yZlpQVTHGZ6L9gEHWOVVQsX4c54FKd/Fn2Ok2sVoSNlkGmt7GkAjVAy1CtZMDae3s4FWGX HCUFo+3/f0NY5RjSXP26y7I1npcWcW/Z8B+aLelt3Werhme077ufBW7NhT/o+zEe2b6gUoYH5TM5 nEnZAUwBE0ZCafj6M1eIpdn1bknr4fvIWiDM3vDCfgEoL14Y77JmFzMRJzp9da1GF6Otieoh6SiS sKcIbmsv9iVdSJhMUI9NPcWSyRicBfbCwi/DpNWOqziq3B9Mjy60RIQ+N/WPVjtSOI6xgVFy4wH2 A4rrk1fGmb1zxVjgMGbVWZceIeNTDFPSAodv/y/LYSjsiYHN2EzO5NBev2DolYBHlQN2BxV5HDnh GD3yjGSVWJE53MJEGrzTsidE1avovUROs4f5mP6KbcVJmR8zeVdAEnEfrAsZYpCua7x5NVX3NqFS Qwj8aVwQmU9L4kpUFMm8+eu5xYTay/VKc5srANfDs8xotrQAGsxiFttdl91da6kIozeWvbE4G9+S /5pV3i9zjnau+/YMaZJsCP56UvmJp2ai/V9NoL8BXGRecb5v5B3NGqrgkpheP090xRoevxFgzEVT R27KdzTbOJiKxsrzX1Ds37yClZsMlMxrdNgel5KTEqTgDKY/BcrJLIiGx2ALTuOP11QQlUAKUrlt N0u9LK7zcV3RLpioII8EVmNjuiR4/FqGhyvrqGSfezVtTrdwxN3u6wn278jykAJ+l81dTGmZT61a 1+fjbsMOhN1TLvep4qO22u/KtAmbO6d7Y7T21gtxuvBAKVYPtQ/WdF+B7srZWJ7s8CwRDfJ4JAj5 XorCeDoGIYuzxYitKkHxb0zAwV5TSRYJ0gRUpumXOlpm9GmgYTBbE2700GBf2aGEbsI7z4Wb/1xZ oVwXjRRX42Dr90Nfg+c8yXhqJpxBlai4rHSG3wHr0k+o2YDfKUwFamNl5tVDqAWrj0UmkxvEIfFZ IAAmvBjZ2Qy4FIKywbCNHv2rX5FbW43gXRCAq8eHa2vliAS2iwLWfE4g1NtfXeHYKtv2P4Jh8taY 5ut+Aa5RoFaWv4SgICVT775kzBHBTuZ1jNTpMp+TmYj48i6h3oMCQKAz/lZeRp8rRtwGOM53PEc2 surz+XkoAWtFqCxG79WO4pphej/rT+w9ckdPRHDzIXd+cwOXmqGi6GHUdvcAxXG6aAdvQbcS7B6B CCsCrT2atG9ClHZiJrkn7WlXjkr6bvc1g8i5TxFdhOjodn7T4lG4F4ldz6FluYVofQ0Gkx6evKLw zPhrnMBULAFOd5q8jUFu++LMz+wAFIrkTLD/5yZfqOT/VgCImk3goZXEYGgv5aOtVf6y9Oinps27 o9+E5un1OZkRAV0TJz+QC8chW+7OZRbJgoyH8n96PQx3u/PPOM+TF2s9EfePPcGRnwx2zxrwtBbv NItB5rDrT5CR/MNOPs9esvnqNQ0ZgwiTfpOx3wddd22G4EV9Jl3XrveOvheVeH/Debj2RkSn+YdO ufkvmdMawXFoo6SsaeNNYt+2vOHjaVd9RiJnQQeFc2yyJES4DZMKFillRVufTnn/FNJVEaTvWQ0L OYaqXZo2HganzEN7iUc+l4Y8Gs5syu+XfpiueHhrpp5fIxNkYpOb2XD+9CL3JOmBuLketTRnPwLJ ruz1IClnHXUg24HZ+6OzzR7ErnV7wsRD0g8Om3wv8tl2YOU67GMqfFzuTAmCULOIhxnnvWP+HC94 OEo4ByPUs6l10i0OVKXY6hvvxp4lW5Kav6qxQh/qQvVqxiRZ8Z0QdCkBHka+flzh7IInTic/jrEC 9LXp0m8dGZ7HDcrScqkupGs8Sg2EEjSyIPCUF9c1EIXY95F+nOtXp0GxX4Xt1DY5REWP6pyGJd2c HNaaxhsczYeAUYtgED5qkeGx3narmpeGQItgl0Rc2eRv5KGsb/A9yG49/l9wEfVCUfJYFs3n/LMS 0imhQOJzPiXDkR+JiCIBnt84rcYVB5ZxOoK1i4Ik0NKfqjTmVntDIpJvPx6K9B0/jinfnl7Kbiqc IYMA15Nu7pcXm+ykq9I2eOZCBSOrZicFb6mN+DU3g6nHJYG+Cl9MoN1OYkSa0SoIbZzFOaAH5iTb Qfi3ily4XhVfgiEddEaxMEvcuXmZthP5SyEAcWnY31v8g7AWXMkSfXSdVv7MTnHAfeg1vsgy+usj z/LqleHGbigBhDtq1hwJyeKvtxyrTMTl5M0SSrC/jJ8h/sjtq5DxsKui2pVEQmYv1yFKZEdAwS9Z qGGS2GUqIojS90WoX30kqpFlnNRpkJNd8vbqeQx0OFh51tavBv16G7hn5U+SKY0XphhFZPSDWe9S hZWefho6FEg84ekjydSaYX36GYpgwRhKxM98787DYh8564uGuiMY1iuNFUKHwBHw5pB4nH7u7SXt XrP5HRyATamdDtoBlYbRmbDhCE7GVxzubrJelnEflLBCOu+7CfajU20nzE56XgVYt+wvS6kE08lD YSiAENobbJJZa6nYXIQeysFxTPKlwHdDzEndKgLDD3mFfcJS3ii7x62+Sp/sAbtC2sdmHbIwvnVG SNWlOE4ZqSfReJ7XS1wLvxG3j3fAhUfl6DS7a7tmvoj5HV0vhk7h11311zl1chO5i5kFpVJ9hSR+ gnT2WCb58TT+21yrL4coGOImm3TpRliVqs3UxsWjtYeM38gyEXmlSzhouL+XUslKlx/e6Z3MNDIi X6XQdEsgiGxuIw7BbxQ4BWf/N3+33J2G3l0TKlHFg4JjQaRO2oQq6d7J1Tbl02szuq/5kIaJR4sr 4MeBhqrDGdyQU0zq57lJ/9DNDN4onKT8BmIWTMR8y19wCg9utypAUOkyZla2DwAuqL2hiAp4nT0A 9xpEhIiBsSM1gZWMP0ekOUTt9S/PbUk1Vnzr8e36/hgBRLbF6fw4KbZ+6hGRoZSktj3nHBnRRc8+ yTsmqN1eCackfurNOxnZvGHi8Aa0fTi/uM+vEhLTgE7A3MD/G+/Ralzor7wZWUjJdRCD6udhCwQL N44S+aeFUy4VwI2fQZv5xnBTkBU37yx1X3DJ3PI8IEi7r6Y5pi3d1gITM1MQcSW34Zk+JSzLzRD/ 11xmR105V5y/P9/CHJPrnQtpzRhvvea4Ky3+gueTazOAyZpHTb1xf2bQAZnTf92j6GZw9kk9PQLM wFb95h6uHth277MLD7R7zHmrD714o/rRF2/bsXVSCiN9O4gmMqloA8t/wMp0a7NtMaYz7l9dg/6J x53jUqGwOl/pbTHONqJn4TW4WXdnUcqCBXjTtVoNP4Kj7H5KqrgvjiF/k0z7PYAtqVD9IbB/Fn8T Awutmo7ZLXTejS9k/DmqmJrN4LQOJFsoEE1nE4oqseTP4Jwyq+oeUkAJH3BQqV08P3IJrA9UmAGz URr1JVoYKPkzgUgyW+p3tw3QhtLOwesED5ZizOeqyj+hL88+WRyrvo7U8tph1r6eXejAw8X/yTyL 2lu2AnZ7ANvqJgTS+TzzdBjVH4sfiWRrSDQ7rHIorkMbzXwYjcreKdSaqrk+GO7sZfyPYjAKa8sX zLQGE1011IHzRfdb4DKkSOtitAFsQryuITe80LoX+1jX9qwSURPN+z+dJKlBnqcpnjf9lGQlEzmS Zhvct0uzKXB8WtVemHXkcY/tABCSZk7uZ4Ems4tTJA/rRYTIQAGso/TUp2MAtisVhJ3DbuNulFHH qvje/QVksmk2tLAHAe8UycUW2tCxf68cFexvs4uRCQMYRLMNt2kchG4aRBGSF608oKnVzEbM9KJt oYMWKbcroyzv+AJSvWTytHmdv5kWZGFLBx1AyGDvDZaF23hvV8HoYvJhY/2wB7b8Kt/nnsGNN+Pg oWdDJ/1CTTI3ZEGcJsgrUqkV0B7TVKHTgO8O2VtKlGHiSmS6an5X08Y8MaAbf5GyBF4nPo8tMI4t 8lpjzEQ45ivAy9quENFCmt1/9UJoDLfNwT+pS0nHodDc9CrTjLW2X5E2Gu+SGK2R3CmHDybtjUXc devuhecwfCg/OfozL0v3smDN07o9bkwNHhQY7SZeViHlO5bIZFUAtBUU/L6mVPTCu93k5Hx32TYl VlDqLGs7QtOI5EGv7m6hN/clT/AZQNycZ126M3YYwOnXDGT2hEwwEgJygaBC0+tduB+/+LDM4qgK cE702YJG/VpxTa09rchMTBoZNsHYpokMBvbvb9+qEffxJ8PG3VjrvRgBklACyXN0o/OknBbcinqq 5icZp6YDZJH+5No980R9FWV3PBHZxzYJgfCihBlO5AJ7UAgu2vIgUR7gk8qvcyoynx4OdJNLDMHD Jh4+TQUQ1mwbv2lDDs2NDLhYx1bZSNE5MwCgeEXejAlWnCcrGZBs1yAMQLE83cYkI1GJ124uJE59 S7PNpqsZTLx1cV5WqqXQn69osE1NqEdIBV23WSMLEJ9XRc/H3xjUXN4FE9Qlkl4ix/zB/nkG1201 9mzynE+4CtoaCCuVvBSb8iPDCrgBwAxZ59qcwtGgSwvl0j7ntp22R+OzH4IiSDfmtLiY/WiRBnQr p7Bm18XyJzzT7KcNhrY+Gv11L2QUGy+TNydObS78njq7rFPnfURe1WynUtYYF8I/ceqcrj44SPT3 +370CU9xE7QJchrAjsiRBbyDs4+/Qktp32rO/GNA6dzE2BPgq6/1/sdUckkP9z25LFo1PC2afQ8y J+R1YJAtDUaRVEiIMX9B52fRxWx72c0UVnulMMtG5NeGO/dj6YU+GEj+nJv4ivVXnaXPtkB0aLLn Fcw1wooOKiwIX9AYF5FbL3f1DyFb8tbzgA++083BTrHH68ZO7pvWT81GeS9XbqPA2hqrZIJSRMz1 zdoo3qdv7aQOskssOyY8BaHP/TEZ4Ct52beqHGG+Ic/OW37deUd4Gdo2Cr/LZJAjhNoe39RJ6I3S 4Yq46flBxT5ebNpmnz5hQZBm0RKLP6G/AlACm1K/wxMVX3XhlPJUx9ROhP4Okb2oi1CeYeEpwxmV tXb0Tr4S0N/Rk7HVT5aC8D9anulXjki56DG1SPov7FZ90km6Q1i015G+xWCAyB92exx2G65DYUxK 7eW9TREcQuVV+uGhidb/mvdNgKQsJwZsHySpph9H4xyITRPDoVWmCWrmUTgzTHSkjHUFdNHz/BEN mmpEQjtPLlIXnHdM3/tV9o1+hbAKmd1gqT7RrYQ1vs9JwETMQJ0wRAAUQ+4X8zmDSHHgpPrKh0UH skUEixjtniBdmlNWrcHgWFMWfykIa1be1xqdFohuYhMdX5b0x7a4gTTEm0gC9T2pXTOTpYNObJO9 Sn1UskK7y3FKiaWRkbPWherx/hZIkXkKDw7WrwFT2rLuG2PYI35SjRfLOtkZVSKbDR3NOHpzi0W4 X5oMa/UJmHfIYnSBK4OxojwuM7qkhf6OpwGN7vRcWazTgejd2AVJDBkkMrcnKJE/jc9B7cavKIYi DE4ydp32KvOxWGprtJd7G+OR+Gx6bDme0ivp3D1z8zUzR0oB76Te57VrupeLf/rQiq0z67KifSky YErU5C0OV9wKucTMqH4IUAhmSt5FOKS0r+XBPE+gwwRIufMTn+3Hh7NFNsajjBiYbrTTFp//hUzH wEYbIgnjHXGaqcUZbblBMD5ilt/BKToqfRfR1LnYqU3E0s+quSqrbjBRnNXrs4G1ywyL6oy4u/0h HbmVyPV5vsFfmThYnU4czS8VDf9RySFLaHCcE2p/MgrNv4+nhAnSXj0Q44u40ku7TJ09bqKYXbu8 woSLMk9hUO18hj/ltnbKAzafiCYpb69IVsKMLEfLHjbzV2FdJvcKGyQdk8ki1iSmNAb6IHJNOTkh Ea6306iE/ydS1UhDhsjkeXG2JMJc15PTTbf2B/8i0gIzk9qCqwx9Q8brekmrzmeM/0va5KyPXs+S 5BaUP0jVimGt09oIDlSH4iWhq28dkAcy11MTWTVKnNwo0POz7fpCA/r3bP+kTCY/atWnPmU57cKu HRsCvIokX4cjRRSrnwLhE/Rw/lFiB5JXcIEV8HFuXlM3FH/5D/qSAX6ZiSMM2HfLI0Dz7Ma3gN7R 6G33HMHnOoYodA0MTyssXbAsWZj5Rb23VgsF/7VYaIyAVW3NCaidUpULqIZpEbZHdqNyQ4Vegrny HuDXOm5FmMn1noIUCu2Plee/gli8qUTGOPy+IQGrsizFBo/4Cl308+lXgor+h0zHAyWMCHrjmUXx 44Agaw+5oWe+qTgZONhVl0wmFcCAe7ujeD+QJexDtoGzzPbIXxL1v4+v9lQMbrS6nfyliWprX7UB pU/ER68EsloCPLDm9lj8I47DVzvXHZ1QRAG+JjGORvrxBwD66uMnR9Sk5RhJUxTixw+Mm/IYVkIY 272QASXsfDV8w+40j4vUFuFJa5fM0WHCilfHHPzcJdQKiNjW2rxhkwwOWLUy4q+4pWRA4LS8ZY1V 0vuOBvGl8LT9Wx8fSppEghlKaEqJSXpyc7aYT77hNeL6mHqFnW+kcrP4YffutiO4cW9JII8UK4El f7qLYmz6BnRNM5a3aCXsOOlkCEsuXWZUdfHa1JBks5JDMhxnivm4xGIYWLullwZT1tm6aWzfdD0I IBvVFjNcxV2ZaWinahu/2a0vyo5vid4T55yNm/LgjmkGPKNsZw+2jq+5FAJpmfVLbii4kKgOTOtZ rUVPjxRiqH8B2Zx3DbbQZepI0Z+NQmlQj2v6dkcpwEb2XSyuQz2DZsJJmehO9XRYWDZxy7aq+c4c 9rpdUDwsTo1TZgZ1FuDfSzCAtZfZRuBqTnrw6HjqAUGmDy9yOwlQ6DVpkds4VIedr0jxb3dqc1Vh eRMi+WH7J1z0R9rFnEbBxhXIZomhLSrvRNN1fVxUvNPDMelhbwFzd7nI7W+zLregU5rIV+QLrNVc WorsRA8wtqW7fH9ZtzzDtZoRpQ7VYKFbihCRMDNzdh3His/U+DRmrI1OPfgTt9wA/WIAdMWm/GGE oIBJV5B5z7w5j7Mur+FksDzYIgMJxvjsIrF3i6dhN4Vvd29T2cDaHF2NkPtMhzQVQqd3p9Gt6JqR +oduPjyp2AOxqy00Hu2YUayvpBiU/ehx+k4fwAta1ijlBqCG1UxBBZaaFXY5KUwrscZjXgSIq7BF Az26OsMwd0IUPgt1MChtrXKd56ciW+NT5fOMJihoS1yu0J4eEDZ993uDxqgKa28upLb/ONwDJ3R6 r9hFr1wXUO7eKaynVxXrroZ04ZD9wpi/lw6UdVODRcNPQg4KVzPSnD0rKbPz2PtrfCOV0RF+wwqW af3vGU8hIH46BJup1vrNxG28JUwfwWqGz7AuBSO4jcP9YnPznwYAw9a+73C6t7A8ZptZVSu38sAd CwyGQ8m1TyOD8r5Mmny0qmanHsgLJ7CaLSmW4DW6rcRPoCFjd1eh+M56D5ir11Uk8nCI9oF99dFU NR5ekafViOeuqaOhUHheKylq59Vegw4UH4CNVhJOFR+fLQlx1C+2cQ3e4TZEpbJ3BeKJw6CLbTR6 /M3m3zOXRy1vTJhcWS0FqjXii2QHDGGt6OYxHBVUdPBURZqH8YKA69jR1U/dog/mfCoWexa8rL6H g+QWxCrrRtQULEq2xxXoNuUOjSA1iPinQG7CIjJ9L8dUF+UruvHCVUiIZcp1h7JTdqdUZ8FZhXxA 4fnlflSm/5Ybtq3Gbj1QcM9uyM9Kc3/g9EYg1dPfK/BVlTohzQomnomYfd5ZAlgQW2On+fQjbEoj Tjkr+RCzfoJew2pN7K4zG88D/2IebHMrsie8zrsTrJi/gGx8kIuZqdENwqbW9w4i/4T81i9Bo55f xMdRTQIo8PB+mGOIJARtZHGcp77bIq1pcInGB8ce5z/t7s5qGtWGS/RsWa8LfVKAn+nq/z3YX7TH /8qOtCBQtI/CmJEceuO/DaBafRv9h5OhGT80pMt+DuD/xFIMS3DeGmXnG4yZmSkVU2Z7ETJKU02W TZ5J1WIXHloxfSper5D4ArZpjT8nW2pqsd0NXc55uo9ANR6T2/D4m0AYCK4g/Sj6+YWTKnvMxSHE SBe/251typZBUnmMhw/iCgShp9wmhnF1gpTAwfRVZg4/bqZwRXub/nXOKZSibgCw6MxjX31DoWML qrw6z04p/ZVHNDOKOr4Jeoc/goc+hb2ou2rSST27TiqbigY1Vd+aqd2H616P2zztQjTufEWVS7Va Qz4eXQwdl8tnMDglHiEyb2cSz4e4FrM0JWNwNiV+koIJJ1Fc7ykyw9McJ99Wg+DRPOmIPFmRyXcH yj2GgHZU0GItSpAHiBp1Kji+VcI6a1viQ8XuC7+C+xxycFV6MG9knzbYGmKXMzW/WPoodPbcs0i+ P0rOczBs1SUBfviRHtAEii5XlvPjcjePZL29oXBVwPnIL5+RhwEP2r/vQK3xCqjU44AAGWOSdBJF /ItrUzqGG21DrSo1n4xc34036S04CgeJIuB29lYnyxXKms6H8wHtG5i9rk1wT32xN31c53PZlzK1 RLWR1Nzv8htU+J+GidVl1WLXGGLgjjxpn8wT7w+luad+FjcWIZqneQEqJp557MDTWnSSSjWuttm1 pbc0Mbwkyc0vHpLkZxLU8VxfusLqVagKnpKfuvTE33Jblctl2IRNvvwjYal5DhKqvRbjeWR4Cp9s JzOwRX2vEkc+dJHLbWZDw9ZzqY8Iihs3Lf4SJ8e1RAKpjow9VMj6qftZyBucTVhnpEkCWaSDziLK +B06YRyylC7mvjvUh4y5/4ijir/K0ipBAP+M2XruUQGzC0bx3h2mc7gb6FE6mBA9Bw14mJoP2/ef BcWMcAjX+2A2a2RT2Rw0JUvnKt/YqdGHRc4pyIhDVBR91Jcu4B156h60RQBkqQNS5ftZ2Lv9Kd88 hSTK8kU+At1L2+tyZVuqJ2hnzj2jcxnON7FE6BPpfmCnOwOHZF59ArKMiK9m3isoI1kfeAFDVMM4 i29ms7yJXStYmfJk1Z56uHJfcx37jTW7E5WbsSbRVs9wn7yqde/9ac/FFJi5yHVEnu9LYoX1iEbf N5vQ5lW+suEPjlpdvNth0sSPP9gphfj6Rmqf7Ts7TCukXNtgTQgnlWieIWKtIoUvER+bNqfDzEVI Ks8fiv5nf1B2voO45zeLyPJG84F/tjSuxq9opmfqSFiLIfNFbjvEx0s0pkhJSwe3hMm/fJ+rdFXT iswlbuY6cJ1evWjGzNyip7gc5vDvG/fSL/6YsycOv+5fsm+6j6Of3egvzQJEOrTQhs29osyQdZY0 YBljLd9o0F5q1Ag+lSyC5cR4ZI6q7FhIjV7z8QFFiZ/Bzs6cNSPEZ/KTRMNqzejhLd1eILkuO0x6 QOUTqH1jkj+kDDhLwjF0HETG/bhH2FRCqxeVjeS9QC6lLuiQqMDn54ssuxIDHmA8KqNibR8nPPXI vl0RxUtRKih6GFOnhzzkEB9TYlgt3CQeqA8HubGdKrUQq+yA3EYIWDfC/iu071FgDCilojeXnlBx JYExvkpSfvLm9tBSucRhvWc+AM1LRB2TgB/R9r5mpM18FYB3WlsR/HNCbfHFOFkPY1IIEfJzUeQn sZsTmKvV9iRR6nUxxAy+TsWbBES4O1weGUcX79Sz5tFtADl39B01YMQ/gIuKr4n2GGlOIpQ8FQ93 rdR96wcJoi+PCz2O9PYecSjP8xmT9U4B2b4C6fDxYNcZHwcp2vFoJ375OODxxTXld9iRada3Aum8 LvlSR3i3l0SQyD55jeO3Co68d3FQr276dfnX+c4J7SFcDHQd58a7NBHZ0WCbNfEFYPl1La2NWL3o Ibv+vkz/C8yHS6/2p189UYC/0D67p+A7ODmX/tY1C85hbzZoWmFw+5bP3mMLoVCjn+MSK3JQVXfy PNPwMb/cmPr3h/Pnri4unzasWsByxQMFy+ZWHe6nOVzpK4iOlQi1fe56wCYz+7UMJk7emf17BAgC NuzE5JbYO+auNxzDnELkiOgdhnpLagzZHnwNsRoTzvXsKmDuk7o47VZ4FY7/yZpeAIoOk4EWavv0 lOSjb4R1h/AlCVWugMVig01kcAm45kGyqG7gNt7lVdARLmPjx1E44rLD4X8+Ly4taXStYX8Rlc1O e6aALkiZ1FRwTS/99bFwe08zL/FzfpPtukipO6F+IgP638Y9k3xpEUf3NZSe0Tcphd6myWR04N2o ie5Oks3tQDokuw4Jspy0qDircv/i/1i2IDpftCHVdgwnO4UIpoE+Gdxwq7wFygPQM90rVeUcNS9/ t8WM4aWCIUn7neEtJAZDokLOVSrkgkWgxzrGjZfUnH1m/pVEqWQM0/PA7+1OCeAjJ/mRwVZlImP+ kGjR6Zl4tkIESFE0fHFZGyS4VkBOXOZ1WgIrPoKTDrIeAnChy069fSAOSW78tBGIkWIhgcK9S/9w FbdMpFTHR6BaL3OX/7tnAkUKTbEpInxbO6Gopwlq+/Oe9vjNhiF6GClrw0oDxljHBiWSVPC9Oqg7 jDDea1n0jiM6A1Q3X/tpm5tabbZjvysupy35dA8G5kc5HfBBTM2uVYyUwv3ORuxzPaV+OfIXlgpn QI0G0GIVEuNihw3OFrlajs5zYHoAz/2JLE2+EH3mREDtgwKqPbGkQOcJR1cGxr7c8HFwkfhmrBUT cxJr1EfvUmhfGrA1D/nhqaClCcubSw3VAORKa/8I0LrmFO2telPbk1mMWYCGdTBRLK0aSciG8feZ 9/sdAF6DGSKIKKIsRwQTLmJxpl3U3D+OsIHX/nOZ3vGVYW0Nl24FZXoKFwYitVL0hZuYGeJkJcTD mVoxg00SKTp51UeBQBkEgmx9s2M30Z+C0k3ya4M/ph4u2Uvn4Ls4LPS3WyaeRMCaqnTsgzxwCgAh gZMlvEGx5jza3CR3pD4qJIIUQYJt2/ZOp2Y9oBO3PlFRiHnJhdKrxQ0T2krqFI2Ftjnyh5WVPF1V CK00QVnE9VTJR1c2Tv6sBujX7wXE0CjupfWPnP5hpMu6RfaE1RECoOKCx5VqJt4slUKjiMoIA/CB F+hLK4ZHxz1whrTp+CC7h78rXivW7Dilz+3Ya16bJ/y4tDVn0xOW5wTe9ur8X6QwU6IP2jG2ZehB bM63r/iPa4pVvCnZowD79zEf7UWedslg0hbcp1kTz22C+juBbpdB6tOZKRhR6bAs4+opFF8p9qZZ xfhDiS1J0ZIs/MVsjDRjbF3CjJ/e1W9AKZSIT6VUZP9w8dGt6BY2eocKwM6N34yl13Dcr4eUwbHY EqSLGEVAYIDrNxiT9AFFsH6xIyUtKwBhekoKfeLgfGGCN5Hvay8d4ZME2r4yiFzZRWv5kDVDdIdV bCazIhyvEflJPfZTKkoAZIPm0a4q3apD5BNeCQxu/V8+tqGvv2OqTV/p2sF55zMcrB2idDqB13ui 40ERKRohSIZZNOkU7tvCSW85dkcjz7+n48NAVs8VEmehbVVIyixxCEru+xxtPs5Y3iq3oYawxdFX 4mjsONLcMBNfW1/Gdmp4eD+XjyP0PAFcj/dw+UFRUpsJmlZg4g+I8IZeGu1/x21cxRrI+iIh2+6J NmVI4yLbJNxUJfmyiXoTXJ3TzJudW/5i9o6FA9BACmrldi/TtHYHefhL95apVU538Z/daiJBoZ6Y bwXjEXPaoxccSn4q5JVwsywNRkCEqjUkgFoViro5YHwVlzQsUNsGaJ8Wk7vXXCYWWbjIVHraxxvd tPDcga6O+e9K1fApPFPoykr2Y3X0mFh6BNpBIrveDnyb3/JHUvhkTwKD8MKoOKNmWhZ6BvIxcGXA JfabIIY3skF/zEOMXXrhDSkA1p2QVBNMQ9FyU1VXR9FvO54ZpnEnaF0uqqCb3R0jKOVafXbbVWjm TKkDD/FwVwjQQB2H7jv4XN04ahtKUUsZcykd9xgWhMSy1fczcvMx2/qMjqne3ls0gbrB0mglZ0MB u4h9dnhthUrXryTS8hvb6Whs6C5oO+dRzwAMUMv0KkGgIX7MZI0gCImqbcISwrZtjgADuWCRaZ36 ArLiCkI5m2ssjbICW4Iwr+x8qzVux1LiuRllvSLLrZ7VPUTBl4b9a8GuE2E2wEi8xrBP508pzg6o kIhH2qj5iXxEkaEdAozM0HHJJLkYQZiryrbm42QCFvdygk8iB0dD/5+MI2VKlUsRZYo2DqipzldI y5jpdXR0REs/BJi+phaL7YrGDjiBfK8VH4FaRFaF4jekpzhCsPHUxP9KjniTenOL0Fea7YmEMG7+ esyHOO8dOs8KMs0VUcS/Yj0nYCAfQXqSzvwbkIUS/1rVDND+GUFZ/0g4CpzuLdaUBApjE+4Rdvou F6AYazGVcWbL3foiFFu9IezIIWY3SkKkA+Mv+MpbNxpAAYVIE6Yv303kIp2UVGvK6W2jU8zdGuH0 7nn5XzSCuwKXjRGp0zM6gN490K+GTGTZiF3iMnqxCwItFLpGCzignsmMmLUbRNrnf5aWp2+UXy1j vE4Gr+MDnQaHd0jvcUB0ZvQh3E2Eg9UeHZWRFGpCD0QFrf9lpTzLhUgAg9qJX//9LXzWRRvIdw2z kI1PbsD1jjTfM9tU2gKvkDhS4K/lDp5ojXs+dSnnz+Y8nJ4dQV0mFKHqE0wEF+7m0mueTRbWK2Xw /7Ey5paZvyo3Wb8LahtCxGI7OqayZAy/Ea7k+yM1NZdDPflNBternh3oaLFhmuG+zc12sdg4hGC3 p1uW1N6LBN3W7a4El4VB/TfysMmXiouxei8xAAK2nXrz/LkvaGvgXRlRQ49hifblLplfXW9ckd8N /KcnnIpMCzZ5Z4utMr5Zxs7VoJMPvDCoyvZYhulH5djcl3rOB7vuE+kUdCP0etZihxez+RvGkXXc BsHnR9AaDko9LHXW4Glc3Wa9/z0IMHANEXuN9xCyXchMXlQ7/tlzRex3KSKNfMaqFjb5p+Qb9jpO c1B81k8Tut1LuV0M5eZ5FvOOaty0GRzWz2YnwjYsqrmK8cdVLatSS2pmIDjV8wiAi9cWlTBk6CqU YnWhBcwplj1Z/rVpbnzGAWeNcQvo/ZDWh+Xo+D53vOorogEuy40/uQdjQGE/xRy6R8Z2kQ1ZSh0y KDuw1cr30LULNF5rIxBQjTdjaezu7OWF/nz30H6NXDZirh1ggb5pSzlJtVD7SqEfNJ5m6q1zXzA8 5ZhYBPjKtEHQ5KMJSRk7DiXjAH9lwXgKlT8dpZ7v2CRBIVWLpYHiVGszKrpogKU6SpRNWicuP3WT vynUTcc/QCjje+syil72SFqJgyJ/TwDh2rTEhiAmeFNza0rn/bJLNusoU44D0RI6pR3xprULQdqS erJ1OH1hvjCitSs4ybh8q7Ifh9JC5o5ttrorpqdbR1ckj7tXx4211P18FQpL6anyp1oleUA3UDNL ZGaX0mHVvvkR1dUs4xN2bai+qW475P0x2xbw2/qVAgAIPvjSkwz0UMTcUn7KGvgU8vYdmTlq05HX s3b8EXIfpc0ncUEi2Md/v6mErSyo7cwy/0D23bCGhw/o/eT6oCg5p243p5JAiTTJE0fUQUyL+/RE 1wcowFAqUoZm7aQiagDrCBU+rOJPDHehXIDKf5u/6O7AA8rd4dCpt8SRQNa1yE5FzhUSWbzj/Hbd blAZSWlirH68Kuf0YZkLl3a3+DSCeKwrMiKW5kkGTjHjmjQz1lrWNU0biMGykCIJ43YaN6C8p8CU Wce221zRj0d/tqF86k9MF47rDchVAXkiSdcXAxFb1f2N3RpwmeTQqgVnulL42ea2moL9tRAia9ru UDtDaIqrjYB6pGVNXOE4Wi3hMw0AReJ9bh5VTvZbcRxpyeKUKOnnGoTYr9C8aKg6zJIFOFkftj6R UFlXck24YyDfGA6D2JpYs3pHEmcziqo1dxGcJxUct63E5hCQQ50FS4lF2p4+l5IvJ2QihEv5ad2E GHom+rMwYFM/nae+kaBRi1xnYbiw/f7MUHDlSv8Q3vRkcIA5Xz5hjz6C9xrlvEpAhoGt2bgQCgzX sPnXzIJQw48nF8PiHuT/os9f434cYdFwt3RqisM1cM+YykJKj7uyrrmtp/fqSKoa2EHXBNV7t8yE DcSLodrErwJtUV4rZBPA5ztis1IryzSJdiPRjdbd3HomzXcfzsH/hh88v8vn9rbF7DtdMCg7T57j RHh4TUUHjU6qVVXIUSSoJkpXqyftnCUHq5B5Hm1u2KWIAzammEJ/RMe7JsXwHZGWqaqZTW2Nnevz 1yqyKRoTP0I7vMMNQPWORJAaWuorZleIy0X/uApPDYbuaKJdoD0fTFgp3G5T2203xOQekjTZNt1E i4hRGil/i/mUuSaHnEhUGSy/5roDUhS95/V9nqM5KdqKj+XxcE+aUSt5S9devxQuMO1PsQPZuTi/ zvRXKQNM9SslHYMUSpvjqkEAxfeuOugNMaE9uDLExJYdp0OX4D/nzBPIr9HdwWKJkBlepx+JNpfG e1YGbA25VnBpeLLq67H5fR4Ri7PPpvGp+lewe30yUgtmjwks8jAs9tm1OmziQZ+Nsl+FMfpV3YsW 6L6QpqftL10G1aU/7xNuRgTYu8O6zrcmDkKKbcncJHk2nGtXxCDAVHS0gDBpkgASW195ahZxflRl fl+qM6pwMXZiS28dBeCGGXIhg6Qm5vO/gu3LE+D7O8Sj/rkAC+0TjBl4L+hIbvL3q91Dy3skUJVJ PJTl44qzb0xbuC3LGP7mrvLzBJSgsoVOneYQvpvSX+bRfQRva71bF1W9GYUURWsgYVXbaJ4CWv9f J7Rem5GgqhlL6IS1ZyGd7xyL0/7idP3sQga3mh5a0Crm7yxAMTy2zZOrsAFUqDyuVCvkrGpx3SDf 2cLYctHglUlkBVnfeV4Ze1S9ma8iwIuu2/SdLQGcJSvOzDaVKC+ngUeegjCrAfRsVJ8HBdJsH7XW lWB043CaGaS7Chzb9lQL/mLHz0XXhiCGY3Zc/sImrYT5hhC0tVYqELi9HIhE0fSajkc3GLiBSaf+ nivGlZlkiWOQbQPGPejGailWsEELqTnmc519naDciRrFixyQjTU5UrqRoDtZB+eaeGSW1oxJlcuK akXosJTk6B9URXILzkR84wa4cViprwweg33h1+EVJ2BaqAQBtRXwmnKrba/Ghe7EPJnVngRq3xIM 2Ump8JrqEs6cvoK2Nhs84pufdIoxMhPJX5O7SSg/Hwkm9wnbqcrPLJ5231HLnq/fSKCO3yTj50Ec VgKE9LQgevNWxTt7tCQFCAY3pFVHiC89Tr+1TiqcJexvC06cvvjucS1v5fnrxP/CcQwl9J9t9FjP Fn3E2u6zFgNXMBBY7+uRwp7evHA3n5zwRy7ws2hWTN2T7xNcwFYX9fvxrVlv8gbXTprIAlNlKxgt YJNVfSXu94MVLo63EKJIVsZgq5NYO7/qprwFSmMwavmcvNzLwSBBNGLkZFVeNppbze6mehOgkf/W Bd7YSNCF5VFfJiSnJRmKRnDpvTbiMj5x2xXe5E/nwO2hS8IKLHyQ2hNWl988hp7m3+/+VTIdaj+L ho60H3d6QjfDigR3nzjZMyhWLVX54XEdId+NBGZ8/nWbh8gujaTUsBWWQduoMVHL+lgPqtDOWE+L QBsYuz+Q18AwIoHRYsfI2qS5qrrT71bY5eWCsgsuGXM7akXP28lMrnhyn8LnIuxVPano2ncUW9vZ ABle2kIOR7CgxUAdZuVM3QwW8mJKCYWQl0ALV++Ph0fnZfCMHHaydtL3qovfLmYe51pTl8qPuqC2 REYXEjFk4JAg0h0g0NO/QLiGm3t7RZqcrmly2DaglLTGlj1nbVQsxNybiyyJggGBWBsCfp4Vjhfz tTTG+0fPiuHRFwpT8wzOw7S+sqBwuPC93PK2ei6koVsb3W6QlLfeIWM+u3uPG3nSDyZybTvelMWW zRhubLXYFpnUrtQY3ZGz3a2zB0rSupc9D/36S58WrCGtuRmwfxkHfb3C5yHSl3DxyuNx9vS86w0l Ukk81VoMLYNnvWUE1tn+bXsG1PLaIxKl2NzdjWrnhPDanOSHhLg7QKSv9MEk++hklDPwGI/j3H2E ymTb/lwHs9JfG8+QtYfQtDkvABa6Jkj5WfV5ToM7bm6JvVz6Bf9Eogv9mtUqqZqKo7xr6Mx94fsJ 4vDn/QpnJLdBOFUIsZNjoS6S429XpEf/Z9sBXgVtI/NeGWQD5sUuMB4wutl9OCf94C7YHYeRQBx5 f3HRDe4Q0XFmN42yFDmKhTFSzWSpJOqEQW8QCrcwg0QPjwPQRZTRcBwNxSbf0TcUlJUphzp+FQMr XVZf/TnXpErxYmK26BkAwUspCDW9PKnQKO//AnxbOQLwV5sVd/FV6dBYJ7SedwlP3QAzbLl+HWBm JCMaFOJXKdbK7qpMx6yO5HpCMoKcNF345D2/8djeFgF4Y/unS+9zFMsHjXBfYbOe6Ls12ysU6kHQ XeOpCP9XqYV4oB5q99OXQdNGUZyhS9RZk9o66gB32HsUYGWxzC2Kd4Wu9WDbEYD4ULGIoUF11lq6 W4n0yY1W+4k6V1ZTNMZzKiPxzIryrNhdUL6K1XeeFG4vLSDRiw80QBiRqRgErmUc1b7E1gGmoMUm bLiRo4NQRlG6WGBDuHIAMRwTd2sJdfgt7asYy945B2VTbvty19yK8S0yYFi9ZOMtqdBZBnkd1d0L hY9W7Q10OzCnTpE3DhWnhRMc/NXFMKwafQEA9jHreH0EorR/e0oRzK8jGdshXPdGSX1wvVFhscLz 2OA1l+34TNiOxzYUNfixmk/Q23NErvHY/SfFk6VWipC4syYO9rzAbJ4eOjk/rprnsB7GB6RcK4jR W6s9GVc6sxNG3Gd0sytDeXYiTfpXHnwKprWp6O4VmzmL9LnJJCroJIs+LwcW2wtpn+xiICSzVPmP djJj5XfexjFNjJDsuP1mdARubTDosVYwaFqoiVqlQMOD8E2mzPTC18NtmQ888MVLy9YUoMESWUB2 rAPR7iCr/mt1qsQV9BCbndbYF6ROaEzDzA38QPE8hSB8UThvh7ctaZUASsbUGKU6czMnxRQiX/ro HU7ARrEFE5VXuPcCHvC57179Bkn0EDe+V/4zHN4mTkcZN23ISq4tVtyBYraxUKr+pZsAPasbYoql HHcDPUEDKg+KH49q5DbGUJ4eRsZQTTB8tWRujEHpGAIEeDv6bWVROFzLb8F0Ng5ENZutbdPfOiWT Kb5MvQY0XS9PT8pCCO8TJibsDvivujoz9sDP6iUURx7gHubRimel5uLOeBG6/qBesl9vu1akrLa4 +z/4/38O0710iWHp07KAtC8qZ5x/jkx/nlgFP1o6ed7/GSndhJbDb8b5cIny9pmykHhLOhjXUSiF kEVGKRrdn98EqA7w0poTZ4Rb0nVjupssoaZ+2y4g/e9nxalrsYDCwMaUzWkN4T4AKJdLRgzfKI4m ovFSzm/1w5pKjwmfYseXxuMWAxydDEVgfkDgZFiQ5CFq/u0KEEe61h5TqiNRtD3nS8+Z9KWjHevc o35uIHuG+qsPRa1MbJALvIIuaSGmZSzXRN0g16r5CSh1Pb4W8Am6T5asdtQiCioYKRnYo/NpAR92 5m9VcYPNN2YokgbcmO+txKDuiENjwlqvEtf374ZC9ppHv9/uUymx6Z58IgRkVBLmhWAQ22mIdKIb ogFZxglFsp5xKUblouOzowswjD8S+AIRBoyXryNOYU+2q0SRumLsN90J0q6lQzI4lUEGt4wy7nPM VkhD/aw50v8YiXUGFkwRptwAqwIEtv2ISN1ncA05g4BV9/DqxxgJM1P3YR7vQddlAhECkL7iWoig 2t96bgPKggBVx+aZP+TkZY9i05xu0DzNyGaudMCclCE9vyBGFXbGOkIyzD2U2SLtD1lC2VorBZD3 M+2Sh0jJgCTXY4lf0X32/iy6U45gU6GmexC5t1pLJXaB8lCD/lx8LnfYtN5lyaVAPddMTekMFDqH S2EI9FdtLH5E3BnoBxzufcwPe1tG/dQSdxhDlu6bd8vUqvkuTcq2wy/JCY+jq0dIU+ReG2CZgxKC VRMQJb5IvZEi6oRFRgmw2FquNpu5R4sZZ3gQ+CBX29QNHv9iUiXdtUJ+8o+EuR5zFypHWlvGhig2 ZykrZBCe/waIi5Y6Cd2qn+uoIdSBLM1vxn4bCAmx2bdTSoPvu4K6pq04MZs3M2BfKikVn0Hoyfn6 hXw4FHZz6TOna9OUTlbFeUw7t1LJv7BRjGHkDvRiIrHgeVmSw2/TvJ5ZT5ePcRUWW/YZkAmsQIr7 dr8RfMs7G82lU4S3ZzR2e/53vXXySdY8dhsZZphnE2D7gPVcWqTJeN4PQhoQT9JYefO4J3gPFdfX NM81pYOIkQ1E5tVKkuz96B75JiwPRZQjLQEojexrZ92WZDc1/Y26h+Sw3bB3hExWffGww198fQht MujHpiwqE48DFxWMjCz0NW6lb0SSpI34nQXQW5eKIdGpcW1wR7B6mZke4p0my2XheWiJILKMgmZw 95LHSkipplGmV0AfcpnhNl13WJLBOBB404axKmoW+NmV9Y0y3AHyuPq+3f5GSJ/hRNBja4sDkbDt AuYI1iAEejKEKduYhd5yezsbZYnFYh16bCLPPmYDOcUfZ7VU7o4g2VQ3oKojZEufBgGLeqJjx6bQ tsAJCWTE4HiKimiI+f1zfOTQyo4ElpL3OeQx8BMDL7adL00EEubW/Ysbuqlls8iW4BdEdyal8g36 Mv9+8jMJWtbrYyJonk7ftlpsANVyycjAeSf1MCtRbNxaBUKgQnXHEU6JjQdcXzHz22FPm/7I2V1t AAU/d4LJo8sw6+mrGIBFiixsfQQhv5I7srQOfSPij1TVpMpuu/bRBPwYflHXuzfR3lB3wBzaWm2a eRCb8IUL00w7y9XvV1QPqAJZS6xGRL0PiCJxf39Gt2s8RVdRoh9h6qL5x4nF7dh9ltjLaD43jD3+ v3rwId6dyZtqdwW9dURt9ZH3gif8kNxjQTrnuJjsc2U875Cl6w3Mahp85h+ufRAzZeBM5L/7yPAm RMjuPNe+RCANeWkv7fCroziBTC9fmcLY6sVLaGLBCzveMrJUkHszCapXjsT8ccYLOVeVvRDCOD1i 2EdoVE638x6W9qhTlkT7dT6CNNZsQ/o7yamZ+OpfUreMyUhH3kC09c3lcVgtySUN5cZRCgajj23Z 9c38QvR101FE/ALVSRSzA3mAUsVPCeU8KtUeeOGga1zDIQRMBZ+6ANy4GdBn3H8OCunsOdLsZlv2 SWBae4JEfA6JC3qn1+xCeBeQ/KlJIQyPuknxFipCo+LkeAn0OkIu6nsu2XW92ftWkACDfOGSgOT6 cGTrRXOYaiv7vFOQj0D9Z6U03RcbeUEnhg0GYEnNjB0hAd1AtjH51f8/J4S6LcXCyEe/ThQMnewn 3JN5jgXRz9FIOVktlZS3bbACSlUTa+S8S70fXiKE2Fp05iWOSW+3iIhPa7JmywVDhoUGDodEAN0s xVSMWGmWpeKSbckglVijnZ+wfJiaktyCiCxJcHwSKOmtjBWapUmk5ZMu086mDO5u9yQb33eegr74 8pA7+CuDoX4KTDYTKgQlJzAKs368oQ4gCfFGrIIMPfjfZsRRt0LKUinZusqcQr/rszqGbP3WC2NR cdaiOZOTat/djfNSCXb9KzI49daxyD4UlkCASzoY/CWAHLJZFT3ewgDTx+GZsXQjtRXmT6PtwxUM tEkNmbMG3hA4EQ5/6EkCfJPhMaf5CxtprZbJ2NYpwwOmGfmn0EiYvotZNCxfqdYHJLqm74KkaA+I CUhbRLTRiksbgM+tZCFHtSO39MiZ7DzmZM/Ji5wjkhTxUySxMm2ZjZ2tpr8MUVIqRb4Ww92TQHaM KeIJdMTxXxbYoMeRwZbsZo5PHp61Hi+LQpImqeA5zLIvZkkhxwZZAteGdHgG3TWtGMLmQPKjFyRt 2cg7awNt4UF1ufrJF5pI2bAe4IEMcwr+XtdxuBD1kEiNfo21TiV0S5YSJ9e72U5e8TbzEa5q5Kta fJl3bjxaGFz6p57t40Pj8D2de2+MjnN4gjXEEl4ufjF3G67iNKIVDJI8r3gOSnaTJDxeDGtnpm7g mt5Xi61NCmG5Cp/yBzws0zMxl6NyxE6r5brMKOIByqwkZyLgx+X3mVOmH1jwokTlTnRUMuA+8/Hw Ltdb/xYhjJBIzKgpOKf82zQGiMpCcX7K1B7+HwEkhj6Ztv9jiDOKDYLfB/OfGMagdrWNUJSuXIEI aMdI344eQ5oz3VaJ8ZVFzqbgW7FvnaLZcH0VZ65pkMSt8iRs2bQBF7WFzlCGs87DK7vfrPEq7FGP q3Wk6okQkjH/oJ/jDuoslKY4Nm+Wxr+oTTNFLJrkvWJQo5op/u+d0l0a/XXRfDm3DAvGaizYu8/J YoIz2NFKvhlHJZa/SECC/RdLm9QTqyaDuRTzg2zlDaEp/+5rCU/3vMlAYT9Zzy/aT1iq2ks2h92a cJBM7p9dEsS1YH4dAROl0doeVsFdMZJT2FVTHDXrYftd4Fwi5px2U9Y9UHoe4nsyN2nz7/ITu0v0 ZlPDfH83roHsRKONCnSUitpY/Dbg0Wj2yJAMDLKpP7jvHjVERNOuHxscubhdb9mo8GxgdzhfJ9FE Yi64MWWcU7ngsq46ymsW5c7lygafYHx+GJv8f97cohZTGkTopveF5FN5w3saMHGPQrYLoosps6TC RurYaxVrp7wpLMzHwqbWPNFOi9F5sgNjIOxPUfG7E1JQWN674nIbSstmUYOTR+RwCBxQ5IaSKNzN fqNzRwN0yvkSoJn8iThwVcSHnsoUJqlFmn31wXraJIIxYilsjLM+aazolYPWTAoHezhay6O5MfZX kcnVF+SUawSg5RaFouVszZ+va7oDjefj1g++9mSspZ+YLno0oTCHsYQuOaNKBk3b2v5kV77/M8vz DuKYJUVIxothW3eucTvYT+wqzlywoH5SqRYYo6z83CXqatLQXP8xws2LU67yfkfAtHTeZSEq0KiV iHuqWelyhaXyJwwVVzaK4W6jxsCIkj6cd5uZEpes/ntzTYBsqm7260IhEVKcR661n2ksonjCzCjz Dtk4DEYCobrfkisL5p7PYClrVvLmk1njPtO9Pmosew5k/uGQNgt98GJ5OgoLJmvjACmQ9aYCUBcR 7AAQZ/8qhUMnFPIzKrAcDzK1nSeMH/V64irdvrb8uuojTcbIvoXW7785ahZo3J9xEnn08g3CbeCx slw9nCLtUQMLZXfJ+P9qKacM/JMQ6YBjYsmLz7bYUSb2nfcNKo/ut5/FnWZ7mDoVZvK82VB07Xqb ZApYn6nLbJRyfjlHJ1MT7fd3wW8J9BQu8ARCz7nWPi2CdjxdCYYQ9M9Pd9a0itJERy14Q5HGhvlH 7hKzNPRICATdJL78sGuGIwF6/2E2xhVpLuyhiSNhnD0RWUqt5OFqa8asRggE8DdHAPdzvh9g6NoF QrWSpjeodYfM85KvpLZpFv+WuPO8T7fsoNkmZIrgaMVm+yZHeSqyVry4AUXGK6m65/w9TcKzdycU 9L7toPS6ct1FkbbP7XURyL2V3/CGyoHpwPK1TzJIw0gNR//7qEuFM/IfnSVe/G36TmGI9SnkKK+2 UuOcOac18hJjgIgPD3LFGKO2f1H9+gNBedrjEucIl2Bb2skGdGH4wY1HxXrfdzKlwZUMT7Il8qu7 pcbG+mcUZIP1iIQ9KWu+fM498QLx8rDyKTbLvnm3hMvxn94ojC9NNzDkGzOuPfd/D7pVFnMhwuOt u8SermCQFZC2HDZ4uyjw58YZesSfkwaxDf7YNSTGKhH8YFcYPevop/mj/eEgnN8LaOSZqURwnTv5 BNbS8D1jcbJ69lFSYzq9er52N76dGVDexZDey4TMWtjIPQayRD8gYShq9XTj8gqW3ykThJjxUMdJ B8WhPCnP3sltQ8COkKTGZ2LkZEex3FEGA5/ygb7KGvlhd/uJBpf6Ji2/lJz3RqfeEh7CxX+fAaLu HVVLgNI+fCWFdV7/A4x7PM5Atgu2T+nE1sTli7VvyP/oEnM3mG7KbeveTQyeYoPtn6Z5ms82jYs4 QnZ5siEniMh1TfpZYHEQR9MqgxKWoKRkF6suVzUsdH7CzcM+vF9dfDsGc3H2L8xdYs6UhmAnPQOI fvnBKzbUjN7wDjSYI16b7v557X4mkbxDD2BEKZl6YoSPhwjkWJlJ/io6F6UrfDQ7FtYVeOft1B1c RbmJb6YGJZgJJKJ2nOoNrANKGccXndhHB7+6f3INudbnxxSKONpB2YYzh5eKc9zSA/32Dxij1bnc e//TFazSizAi000Otx8nd7A7BiWG8Hx3bWmpL8UwkLWn9i7VmbL1nEaSU69deyGB9AYSBDseSo8u ZeGnexdmRhpj+SeRi3vx0PkpqS0wSFk3bOqQuCsTH/zo/Owg60hCD6BJ/tdbAhB8ocm6HznLOP8r tMwiOaHERTp3blErjGW70Ri8DF6cKo4sVI4L1wp6+pYzsdsQ9wu2o/LF9/Hn6HK1MJIRpPZsH/qN 9qgoJRFVZmJsvHRsIgl/cZ+eTpMN1F9M+ecWvOo6zUSGHbywYwFtZ3AcEDGca4HFQAz6ZvYIWZhZ 7vewqMo/4NLyT4/YKszOC9r4U7sRWqzD5/nos3OcRcNtgje5CxAf8d6kuxEdRxeTZqGr3BAn4ZbI 6+QkabYk1I3J0JFn05xdmJJQkmjfauaeSg7hG9LVUTYNmlLdBCRc5KXfsC6KQGhroy1Cu1LyZsU1 bRJItQkvPOuLv4p9v9l5GxFEsHyrFpFnJFtWM5M3RJc+z4CJf80+K5JICjDdkcOM+wefzv1a8Iye YoCLptT8WJFqZIiEtVrGsDxm4gNzvaxpvV0tQhZrvddY5gGOnRQ4b4Nez2U9UkXGWLRXpaH8nwO4 tiV/dBGVJiHe/IlaXUIBeN/WzkjX1fT//9aEWHxax7dQJ7PuWoYEVvrQDkJTJDJdLdIJ707b4hp1 7uKP6+MfylZ2Jq+kIgAZPs01H6qRKahpVifmllzM2zp9qQUJf1rT5AN1LmTae/SV0sCXTUUYHLq0 7dqEf/neZPplrUEYB1UT2Nyst5Is16OEnA3RhdFqnpVHnt7f8/eBPsdLnw3p6+Qp5JtqNH5Tr8Xx TIhil2dxmu4fccYX/hZiKQvwC6eXmtxNWkIxCCG9S02i3xE5TVq1FoUWmSCTkV28/aNEce8RSPeh 2p7qrllUwUXRDFZvVcvmen9SqJ1QsbcFZbhdW0qHCWtoPE1RXVS7X038tcXKM/t9RllN83P5TN1s 4+X6x/oTxAQ5fjwK007mg/U6bv2L/a3323PrHIXo/pKb9u0+ffPqom/r9b5qGLzoMWWyZGT10Xgk JTGzeMOMH7PluFI6gFkAnzpwdY6GtTB+A/55mxuTkxFdV603EspnxUPZSvD5ILMRQQTyCycbKe/f gd9N2BoGvf6ImFYKxa6+GdZAaZRrmh6ZXDtyMabUu/crKz1VOQoTlwE4xiP3Du4hpaAFGnOD5Fn2 cUHF1F/BYTV9s4CyVw5OGywL4RhYgjLmsxcDqPrBHnNuDX9wdljsKqFyWSMAUdAL482OGmyEI4+u V66eYOLMP5PxcUCjqicT2bYZrcSiFvvuqhWINmqEsj9m6x2nKyJK/CMFOahBCLKMoVAe66Bp9r/d 7RZ/6jRytMUpcZwRkt0kHVptWUuA+S8rHAKcoqXyQSd5FHff+vh3GhT3IJYOa3qOBUA3iH1sUuAf gqYLEXVmu+hdK/rJ/guxLo7+BC6wTfvMySE7aJIauP+6muM6CH0tXmQMOjnYINX2rr3Pio3Y6EVO 0TpLWuHikBUhSl2tcqZUFw8dL+f+qKmZbxALWp3ByndO/b6DJHRT+WrBcab8KMqnrTsDSwUz4Jfh 0Fx11LKim2XRe8oDrUEUjpz7DwL/HRlcbU7MF28TUL9rHQeWn4vgszw6sEhYc+3OozvFNOLkEh4D D2MaS+DB4vfq/vKR66u8sLrIbphXxKznVsz2PtGe3LzMVgL2zPnPWFLlQTpD2/uEcbxbvRWo2Dkf V0wpJ1chxs2RHRFSgf3JPNuKT2u0hT0enjWgPsWEi40zl+3PvS5nvvVys5H/UI7ZlfO/GCo8ENiz Qv0Gk2OlxgW9FKAVpZkf1Wz2gTLKUCGM3VIqrcxl1jOHj+Ve58oYsjc42iA9hlTpfTa1xDWul4Y2 Ph8R/aiw8Wrx8raKSquQHaLGHp70Z8zGadi+2r3OZbyHF41VLBWe8Skegu9SLBPuCidwbd2q6Sx7 Wn/elY2Zn/z/MKMmq3RkwMBUYDECSoeMAJJZvSYkxS7wQUMY/N0yRii2SafP2HGG9lRZbu5RP+6d yewnkoQv4C4T2FLswLdJTotF8TGj4iGF40SyrZU0GSLBtCzud5jeOx+ecjBtq9HaQi2kV1CacZNV AH+FmLgBRZ0GG+Hvt3k9frqEb2ULv4BKFnmzYWkfQS87XHyiX1lDq0zxSqsUUFqVerHdm1rR7J9V mFhL4E1VpId9QmkiJ0hrajH7diPtUkYYzevIqlOMWYE2L/3y2IFt15NcHSw9hxi7wccG/xLs7pcW tiadnFrfsQisLUdQ4EYJAlK0iMDzRbrB9A9HJkFYBqJKgvuXTq0DirdojN2PgLAdhWX1VMu6jfEa 11Rv92cATCm9TDsyZn4HQ+dcq5VwIYI+9C7coh9av87wJa6e6eCaZwZs1tq2Lr1HwJqW4lPHQiKu /K2o3g72IXzpYaIUFNf3M7OzAelj2SGecOEy+3GCIo6KzQkitIYZLuxA/HV0vGCItx61NaI2lhSa ZNwl0NtUEtjlzCV/GfMRZbEO7H3Z6MyVynbYj9N493RVEm4DCb35x47+JknrG4P/YlaZh4/wIYeF CnoCyvxSlcFXotrCTXm1J2ZVxBj7NZW1Ycp0lMP3zsmOYOXDGXpvapa65NiCyBRGmvT59V6ucxNt Sx3xLIQkrHvhA5uhG70bClcYrvy17xIDF7XqpRLgwBJXBSEQLlC7k0n70jasYGzwAy+AlUxp+TrY JIuggGEzvZtTBgvzvqEKjmQ5TAKa3MrsOg5dYXuwAdE7OuQPQ2SW02jyD6WEJedTNt5qFsGivdXx L7MesE+9yK33yNgMB6+/VKpqgU7tc7YiVWm4mrriCd5oFv17TCbUSqRhGcyrkJA09dJw2+LOKpdS qzt9y+P5IS/MXGS83EL27BCUW9muK09MlIa3BGyqmohLJcEgz7oROhGf0207/whaUT6yI0d1KErf eq7GufIk0K03itzoCn9zIuy9qF7a50G7gpgBObBidpKHCwOOs16SFxuqgyj+l8mUBarMDO4BwWj7 JqdRh3VLfu4hY7cFTM4aF0SZbWcmImOpdqcEaupLlKkX6pAs+FeDMqhwfIKXwDomlvO7EdInNt9X JqfHlIKeatHtE/8/blakuh3qE62gqLVyWmIMrfVEXQ+7XW8UBipA6LKK2kQ0y7CglxDcreWyQ8yd bkmlGKO8ZarYHy66S6YZm/OaDOKCeSrVRdUA72eAvIFrAfYqt4Qj7kiJ0dtSx+e+MyIwi07CDv8B 9G53tOMuBj5LLHpgrMg2SKoGP4JOv4hym7yMP5Nt9sWtYu0sAkkl2JH/kImuvezrYXnHbZGeCTwq VNLJCgVPbxFIRLEsQM6gqVI/SURuYX3zXw4ZbCb9BRxwc7a/Fox9zzfbr/XtLxMjEs0cOYeQE80Z wRYx7mJS/fLHTQVq6BGAhq/9ooiEB0zNALjI+ZcHOsR9VenZv7DYA3m6LLPQuiEgT4sorvBymzS2 Kql3s89DwVOLr/FPD4h8h+9KQ3IEkqOYfw78UGL7ZfkA4cILCSan30RoTPkofPvz9MBhTxoRQPmE pj8lUOUdnzKjUtUw0VXFkxTIrfZmijkGd549JPgJBr0JACVq8staU7PjdIHnP5TXyPJYWSLJAJmo g/3lpGjX2Uod0WTM++leuXOCxjkx9pmP31erCN03XFbVE3QdL88RjF1tRSNvqKhi32nXTu2I3WH1 nR7MqOg+Oi8RdGaRBkQGIB763Lao27gj7FB7ucQy8bgZzewO5/tnsEY90R71ovTQd2vij1KcElwv VE4lBstX+vd4E8ChCvvyiRfNm4hTZpfLm0YPkSWajUbxR8ZSkDt6O58UgVNNJ+1+33ZUmb0uf9YX Qd0qUOGzRQRlqMXEYsIaTrNq1HhWw2N1gfJi63rpIqmdvlB0wqfmZAdu7sk0kkxy0tY4pBkFHd4I FwYPowC42+6cVpcCGb922FlAUIMVzXANOPUcQO7+LoICsOban/6KjzpHnHe6UzoB14xqkftkO2zo OtK5jgFoIPiWuUhvHBIGHMZH1cf0o+goe+oJIbqWIEYmLkymt354U5Ek0/54KmkbnoLID/S9malc DiADS9vtz5B9DvWSkuyKGIZ8WQjjCgTrrn0n7pvmsVDnm2bOqgWNTBVVUUKpu+vz1X36kI9yq3Fg ep7RcIBnZBEpjDPn6YprCiXzGZW9DGLxuumCVK38EYby3SrZxv0zRNZLwg9d2GfvYtPUTruGerZZ yLJNAlXpcv3b2juXVSdDjTarIIsOqqWssUBqVLEG+OyhesaGPmUPvBoLlrlS99c3AEwA50O5byJr 49aLA17mUax87aek/Ja1qDzeINcMaV88ogproK4jkpgXFWYhEWu3geniqj2P5g39VRIP2ruEjHXZ s9UsBudDTBj0YhyItwiNqRp/fpytitUXd8DWLeiKPaJGYjmu6RtqRkGGnLiRbOwj4LHhupxcO8cu P+Ewl3rFFm6TNRAKw9arw0dohvRXT29j1rz5JKQg9ySdup652XEFixey2NRECTmcwExc/r/klL1e XpDQx3/Kso4ba7y2CrRgNJD0pFSaRvunGCbotPZOnZQHVOw9k45swvXkPo41fkXdn3H7MUvHvPdJ A5x0zon43e3ry7Cvfbl09TEHVzVXvk7kXwRORymBbyuy76/GGhosNiDZGDhSDITZPXUjJrvHWk0f C3ugknVha23pVWougaJL0pIS5P0ChfSFzDtEyPM6BpLNKx9vTd/mjXv4FjavbS+LFkiS0mgcukL3 oLugxa/j0Df2HMyxvzfMLB+6IJ0ni8UAtb2BORx/UptePPx6qRzpPeUNq0QXft1AILJyDNKpaUwJ kHOWCdhqCTihbo9K5PoUhWVHQDtzbFVEgINBbtHCB6ysVulYkjBPxFqggVmwJePZ/wrdu1kmGng1 El3CX2A/Rj0bEGhJ0G/yBv4QYXM+kPe8PWS4ccOSgRwneLjSa9kTOr+1HuUwt0ch12c4zc7LnJbB 6+EzLYX9XxNtetaXrKGGF6yRUzzPwUZfzE2pFhndh2p//3qfi8CClDXnOVAW+xvUnKz/DcnrTMCO la3PI2HDZe9y0UTmZ1VWAccKptTx7ywUN2KILCvPRCvsm1R6zdyGuAOvMtck/RfnfSeYa4HZ/Wwo MXx5XzdXfVutUyTMuD0qWEiJMNLdLfMPLBlyu/0cLnWZfUzYexLbVi7m30Cv7Xqk+6OyJ5sLetYL hM7/ANScUQO+RTRuiEkF9CJINhlfj63hVQ4WKQvXdI0i9dVvkNQLeBLNQUKuZDbGvq687Jbg20dc 4hWA131P82ogvswAFmsght8NoIainmKjCTZl9TDLyxxdhga6WYMe3Dpnaj6FuEIAj18amqWNB1nS j7jp6kuh2Vioe4h6cCS0lLMluiH3S/eobo1amDg7+8BhVuFaUp0dTUSqqZuQYkdp3PUJ3+5I14lt nVs7rRDbyZVMh6Y0dOM2uf+HikdCxWfLEW18sycJFcMp7MlOcLnoLg0Io3qsk6FgnpQM0MFNt+Bx dpEgRX8MPFMrPIoeSm0Yi3iP53tyAZpvvPh+NU5Jc9DCoEsO8rX+uJzGURaFWgf+3O0enhlCIgwV B3I6clJiEGmHT/cLBQZHHL3BHxdOXri5X6Tf75w/HUu4qbEIvC92suFwKRHk9ogoe7B5+FesQdkG +60CzFcEJkge12W76l21IQtruXHgqdVxdJqGtZC1UWLIo3k4Q0g9maDlB7gfoQo9cmKd6oUS8MHn o9dW0CajLeqa3CcpfAIF9TSD8Ec8lBCBHxWEXou7EfwCCdpiwPdCcpg7HJKVafBWpvb9BY2BH/D+ v55wPTZcyCwYlhJgC+bqsZYgLQOu9t79Usj91yFl4b3Yz1j38+EVjaUE7kUSPbablEaC4nRTs+ab PfD7EjluhX7Ds2N+houqR/2QFbBIGpPiZeBHchC369dg33U3xywHgelOyRpSRcsXFdkbqznk2jb2 g+VFrxnukbJ2rNkDmfcRU1Vxt3tdUzFrXwrExFIXPQjYaogz4K1+/uwl4QAZO+SW0oEznLBn8g0f bpuPl91RLJU5+Yv+6YkTe7JZZx5az1p2ryU7TeAgAVKzmLLUdUquSDg4jTupwLyD0KAtjzNnGNCc FNiJKyyFB/33hQvRqtreSjl7sxyZzIEBtyw2oiNXnd1URBfw0TFU3Jfgxbp1y3vBGFHzOZlIHoK/ m0SxPA2ujxKNLyi1ewYBQjZZN6aXhPqenUzbNCRI2XbIZK2+n5RPzNXsbcRFXNc1DAD5gKE3UBHg AvoE9jLclVldQBtNqe8/o4kNTzs2vZqRP3nnAqNBzStfyqSFh6CBCxiX2o9tMH4rpUKQvEn22F4+ uNPEc73o+lY/3d1p7+cTXvhjX3ljztCw5Wqval6vgAYnX45BTW2LJ4JA3pN7s+DVaCzFJpWgZThD lxcdYMe5D2t+KeqI3a0vHB7X2HA2lU/r4QJxQwj7xs/lFDVeWVws1CaIERg89ui0dfldGZe7gAei wjheG+zQAjxbxSP+DzszfD6Kbspkt9n99NebHRAwEqYK4e7MJaODeGlR+ol8JWktaM3xfXybb9n2 R1q1Vviiuc41S4nHvqSEKCB3rY52w4ajioWOR2RPR++DZUjUG+jncvuzwAJ7+5Ajv9C3/7FgKWG+ XvEO3GiGhHXVpnhxnFp5sVTwhwVHqJEtdPD2BpP7lUnXeoTX50aejIJS6r56M8g5veSWKhxk3uk+ dxGwUoHVK/HLJkNO3xH7eU4PkYCkZ8TxPB5Z3W0QIMjWhvB9KUZf+27gnSFHBtq6pIlefoxCtdtm BkQ6U1nZjUZATAZyMxTmFxFKRMY5liFCCu9JZAHV1tiHfGkpd4D51kYG+fekKQpYe82tIIloMDx8 lBfUrokaSemkWZcxVoqDxfNyoaj2djmfQfVVtZtR/DEnggSGfhgC0W6pv8cpzPZfAIqnAxL/YUsh pkuzhdEt3kHCK0GuaxMhNbZUE+pSFaqddC9ZJVEUla4Mog3mJhIAv0Jyf9lsFOT23s9trO9nPh6y MFI+zb62LpvJhHtURaRN71tccMRzyybrxSrcIDxb5pfal1nbC/wgTm0dvPWY5w+W4aR7N8iBMoSW qVY84eLwVXJDCQlO03KCfqcrw6kjs4OqvuniKB1bHm3zvuAfFTHEzVIZuKPyFhX0oUYa5hzqAEO+ P+vaUHgyWdCzf39Zx/rfYML1Pq+bmDqWTbx5Pimj/YTsl2UJNKDoD1cdPGJg6f/X6iL7nbL9NAgP cAzKWS+rgYKOxRuEUB70jvQc4M0ut8gIcTwD8W0F0R/DHvKreE2EDd/y5EDrho60oCs7cKSRlD+e T8TWE+D3/3srVRwZX27VXCiRN8Qf79kb6L0MtJCAcrq+aNvNIamQTGr5S7Qk6W78i0l/FY9eLffl 1nTf6ivY398jcEC7/zogqyn1W/n3T4hxMnOkcwtcefbP+iZ6BB0htemhS9nVRA5ErVKI8u7+Nwt7 T6UYYdhB/2hEUNvvRSC1n3HqRpssmSVRy1o1VXF+VsSCetKM3O3Z37T2Aumf1CQtgKURC1Y9rFIX Fhvaopmv0y6T4fWnt7FY2LxsSQ8BmDfJNIZrM/zNPdVY8D1p0uTe6NJQUIvV5mIVN8xrHVARPaoJ QkvI8KC1oECea7fjbK5TfZOsBJPaX6mqcgwEGeTlFMWH/RgwmUyxEuT6RfbRbZTUak2dcVFf0cDb EH4U2cI/XhYDJ9te7EQ8ocIotpOieF9zbUIWhcYlgN8vQsl55M2xxOesI+XEv2IU0P3dMhyyLsX7 dd/y5EcVRlhOokwnkZpeRuBBG+Vg1uoHuzPv1oSvhsWQdw9Wy5r/gaqaWrqSLCGpkhyejS/DRiVq zbe6MpMVBaD3u+2WAagozVurzAO4n19nAZqMTF8dnh1oXfmNLW/ND66QKVgtJqMRCtyxRKFY3TAX cfa0HfFrvqf66HZWJtLpl28AYGUrHBv1uA1B72oY4Hhzp4RsZHlsOPp65/8I9P/0tzPytf/mk3BK F/PNvJcg67Vy8mWBlNk2GUnpxfjj9DUwPjKbSWxB2sTSiGclKgmAd8a05eB70by/qsnIGuDUUA/N eMfS3dpnRrLpfY87vscBLJvnQBUKSdcH5WJU2YXUaRnF3Hzj1rVZb9tS/1Pm6GlAU2dIb+A8Tvbu P48DHdhpfWUsHy8ffatXUaW7OHXIL1kH/iRuOt1SHtqhYH8bbiWmc6dxu7PG7l/qf4LsHTrf5CVE ze21loKkG6EejZQ7dghEMMh17pSiku74XjWvJui305+I5Y7+wMZdyZrNfMqxE8ojRpKKX4DtXRQE GSOlIGQJAtomDCv8Yr2clQwgLa5HWaDuxjkhAU+WZ4zQdoWojI0JkJdGwwZ8BhVhFyJVIlE5+l0j /fwAd0FDgb10awqzjk7s4eDH5fvw6DrOskNBFs2dHhyp90wbMTH9RhPh/5dPeOmRDLW38F55ePqw 44velStQs1wH452KOul6Uv2bJSy/HHjWq3Qvu+T60uLY7bCOZwcoFJyYE7P7cV4W7XpYQARafCbb gizlcppdedSEGAmjBCk7p5AlkqG8XCcg30tTGKJnu13+1kOALRo3QibP4UVXvmumk6X6cae5MT6G 80GsL9MXUxzkV2Vf2PGZnCPSXgqZnnSfxie+1VhUnK/w9RWGLK0n5sRHYswo8wB7WfWeHHW6h2hQ ymuu3zHkXpqJfH+UKhKSEi7C10tLkPfTowDDLUA4eYT4NFHbkXg2ui6W06mCBC8mOsBcWKyXSAQD ZCiJfQphpEvIM7GqnK6P2ajAiho6SCTxqv4hQfGSXKBJ10CjOkGTjCjfk3c7loLIsAO95O5rEmK8 lQUQjG/jvc/g5T5nfQOjtLbqvn/IVHTz29SUcalBLuMl/krQKE/bbnun3GgIqMGFuDY1gBSF3ed3 4lyEk5E/CwokkO8GnqYItbmwVP5cEZ/B8EZjJaGyyIGU1tvhHwCAvHsAKlS6JQzpEdeovGwu9QBa GeKY7oefyA2dQWJIooNd2oidcorAgHxSD8a+FvcslZYH42ta3Y5d/xP9VYfJVtuGWICksnuocrRY ETtlpBQ69SIj/HvdSt5KLBYTjHO8IIvym8i30Nm/0lTVkEDbbTb9HVmWG8iC6dCE4RUyBDSMpZmz PDZ9PtwpkqDfL0F2nqxHiY5I2f3jkN90Md4HPaalw08ZwXRAVB44agOJXur7flmECb+LJw/xcoM2 dPVvh+ax44b6YHTlZGIdvsoUeA+bOSzXhEUe0Kj1DS1PgSBLyL7O44e2B1BcVC6O4Zm/9w5Oosw7 2CfQt1hERSo9m6I2ZM0S6nb3n0eApOq2EFUJVrGM2gVhtiTG2HNeya94O57PJ7lFMj+43bRZNagE ZJ1zlB6DCHOL4jFgKYzGMRtDmN44RdULHzWtxLj1qe7jItpWDfHJPqkGMZ8JYzDoJv5G5sUrYoxF 3QJtf88HDN6Hv9wCGFARJSB2fsHpfRvNykWK3iHXju92YOnui+RWB37gvzX3zqmsM7U4Fm1cRuhD U+Rf3lxNPg9/w0jvIryXVknSb6bjhEa+k1kM09bogfyRxpLV1FNCAkOxUuporDj/Bima3DITxii/ verZQS6c6D371dM0f2EOPb/Cjrgi6T7C4fRs8/6FrlqySC3lRFMRtPNDuREHtAvWjy2cJaTKt/eB bCrddGelsNx1g73YQcMX+lbiq9nCJhMvioX3tw41lxfVInh26N3Lf9s2+uooLyqoPTXNbk+Tiw58 F716LhVKvQvR25vdtIqwXylL9M5eLCh35lv8IBaLB8nxGH2tdrrDylNbpDkUJETVX+YS2EkQDQEi UXkYXe49MdJcGqLUk35d3/v76mz8/IB5zl32sdQNs2cLL1MBM4f+jYFEi1waSt2Ze+jPUneIyOC4 4HqcE+z48NdxivzQGe2WLDm2aw4D8hC9Ic9kLViTDl2Ar6V0GVZW1hPflIHxwLaMvUQMpjsrhoa3 758JBBIzn25bExnW8+pvZdejA1D0FZ5BaC4qxl4GNIIL+QApTiGQ0+6Fk09OVoqebRjBM1+93DcT xvkrmAJtumZ6CnwzNrB4E/NiTudDPj/8t8y12ZAliuUPoyPWWQsTupn3kYLLTYdsbMtezrG3Aweo S21h+kysRuD3snId40w0k4q4Vmc0Y1QumdYC0jQdU/Dk2z0TT3VhJuosKr/2+Mw9+A1omFI8Q9Dd PmZgizRbaAIhzo/g50Q9hjHfyAEL1TnJAqpB2xfVARn+rDZ/n/vkUT2LFIYsgNtOMtgjrKAiIs4K QGl2QQRmbx2rHV9CAwPklCJWmmZQ9oj7yu00oEyMO3GbxMjslFnI7Az2gCsGCFW+gXWt9KhC2a7b l+d0VgUantebzf9qdUCVCJzkZRhRbYoXJv+YD/GaAbH10aVMG6NlBnBuJCYLvyOKXQbQx0qYrD2h 26AF40W7Jn9ueiM5q41MMlq8Ij8iW+KjkrsofjvcMMoYQXRuMbL50ZSKlK+rMHAGiy1UQNPOjFmn KkocTS1B/QH2HXw46b9l2wyfD0cbPdJoJL9dFf3yiLVIkc9JEpYROHj2lmATX40lOsdZOUi+OCzN wodIpr0wIKgkT/rvD4DxuCIMi0n4gz7PitP5mPCSL99coBNYsOmgRb0dSmn2C8Ogis9TvqY/ZnnE flXLkwk7S9yXUS9vZONVLFCLqpoJQEl5+qrpFPKcruSY8+Xdmz3ZY9Ca8pqCkkMtNDIJzL5lGf0y 9KRtugmkps4PFD8mn1KiiDAlqLpI8Tb0rezu6eHWK9F7a2/D04YAbebQqfP8iBsFx2MS1db7tKjX wNwpUYuE6mmF82TzniWlWT/3pkEaWfZ24/FpgkfsQYJ0wBv/f/rScJlg9OprDzn8c/F4Nv/8F9A0 XO3+YEqIWBhtAGs6+YCIzUjKt5nrX0o1Ou1DK4vaICxOIHw/5U3LXuBc/hMX97psx869tbsKN75e 5AnZv3SMuQiiFXMupYqkAsbEPfv0OfXAxDVGqj2umF4MK11J5SJQgL5V23kalxbgtlScObzJLd0J k95mk/SUDtNhKyJvwQXpqSiXzdILf9FNiRA/Z0krmxIAX3qebSvWCC3jnCjx+S8+bdIKLqx5ETvJ 3xXD3TXG4KboIevq3epvEs4ZYal1mUVn9myKKvORMB+qPR4o0RtvPLilI1epCPIw2lR6ewI2WMXD OX/HffXXQtZg26134piV1bUPJN0V8K91fLI7tv8wUQA4Ol16LHM622YxrxoU8NtDy+sig+jj0qq1 hXGXMW3u3xwsmHHhp6NxyB55mqc5yJA5mckaAKsgFkKq+VMpKaZXwoQxAUXz5KP6gG90SN0ulSaI Bu7yqBo1A8EOMXE1QyQZH+eSjP1PRkhgGXxgp6HYvhvx6eIcTYAD7u++oDFBuN3TVIx8/aFe9HH/ U9wCeo/QcnHrJoavjWp6eA8IEGgSBkiZw9b+l01dWWldFTay5oBJ4G4Ws9H5TXpAtYOIGp90u1mb Lz99fSjwHKZ//y67V8DQUFe7Gti9HDXe1NXDZ6Dy9GuP8RbAGKfeZR+K4B9PWGNL1WQk7KFathZj fmB6X3ZCcVWv2HQUNAM4U6N56A/HW521IvzvwFdRj5qiPfsfBq00Tfn1wmm8fAqgz7YzPMid4yOz +P5MeSyKUI0rsQ2Xvf9w5OzzK+wTFuv2ybFDYWOFH4uVQw5cyKAX9Ia4vdzhzHBcCe6eX92rEY1l cDxiUCJRCz3f48mwy4pA+1Y/XMXYDF2Bu6hSc4XGzEsBbMtehI1tRLvASu2zfQqG4nh+hxAvKk55 McVQe8LD/RmPzgAaCW1qStDNEjs5/3NU2uxQV7rafq8I9tjqSHwhffBuVuEcRPC2us6HZJgQbjBE RVtiP1J1UyA9SfamQjvdr1c6UAzxTljOMmCi86ZZ3uajE3z960ZCsQILtP0x11rn26AzoY0GIq7j 4pg2MYNKPJN4RwgllCPYfoIYPMRSDtqE68+iDfsrhpc9mhggjt/pV68BpXrbHoa2QR7YAVbEfCKC Vc98EjfL/b2Afmb1Dliyh/9ZH77ZIjnKAX79Nmhot19Ogwc4n6ukpvSITSHcfc/GRozrGLOVPJZp 9Op2U7Fy9x8WqR1hBSjAVSL0YABIk1Bp8pNTnAtvtpUnl3mBVB8BF6WRJbR4QwrzMXBOM2ZnnJJV OrBFW8hEW9VrbDpW2CusybanxXhqFERL0xynibWdeyIMxVernxarSmSI3cCEpRDwGmwcLls0rVVE FNHvDARcVLt4J7JyCgAa4KTwdSUKQW7VjuyvGdvLGHMLNKyprF7DNVA4PCQEONLExOOfdvafB0km rZ9nTj7As1VBFU4fTz997Z4+8tu40XDc40Xv6zz9kpEAmwqe4AuO0sdaKnoGZ+7bgtGUjIOFS5M1 iIfLPJsimPrjLCYLr7gx7X1xqWQNN/EYHyx5mLFL2Dgk1+f2xEM4rb5OjFzCFFVuEutyoWAL5jY0 HQtbLmQLqQzG5f0AP/TReC7SYrm5UnmIzl8UXppxl0OJbVcrDzBSBojCrKA+Vhej2w8eTNXUH3/b iKXK/Nw9LLTEJlUdIS+I+54mzUGJNflo5nimKdfeXIDxZTXViy5aXb73/tygZg8FEElF3YN4KnoY a7WkfZ5eYOCvdqhAZpVuAoVcjkQFM6TrZxtJnGqlfJW6OYSoeu5qw7rJ4ruNcn/eFsuGz3Qa99sF lnNl16JtM2OEXMYcgcHfbfSK7B0AtyI4KXwIhlwJarY5GqS9z5wpCeCdFEwuyuclW8bC/rkTFG6A m7n9pKIkXJDBYkPxUEbU47bIU7eck3pA6BKsqJLjmtw0Ypk7Yf7Kf2Z4m6Py+dSM0uFH3UX8q64A hVXISNnAB/FvUqlxQxgtmOOVONx+LjInFaO51N+80CBy/G3SECxZXrSP9E30A/rqYjJjvyBikTzV jmoHPSkBjl0NmLamiwniYQfc5pmvQ5NVlR9YxSHzWmeeKSU8lOwR8jAL7yxWFHkYI1+eCvx5iPwv j0niztK3DLpWkUksVHqaKSoL93rV2utwfEXyTmzV+Vzy/LQGAEAlrVtVUtqIO975pywtBK/1WYed SN2RZnpy5Ffv4vX/Ys//I+Vwn/GQQGXUDnUv478BqUbZ3ofUAjOU/Kje6nMwKueDo3LPzqR3hIa0 49agxBibW+hqY+PaL6hYcva/yqJuhxH9xsg32VKDv4SIgQCidqZmg7LbYcHO+fBYijs92G4LVHYO MDzyTrfqCUUUrV4s3xKjFo1bEWuApcqgTi7R+MdPj2roCT/mrLpt7lUywAbEcOkGq6eJ6uaVwtfE 9/SObv/+oc74Y+tmp5lJ5E/wW1jK4baoreHWdjvFfwyXhqfhGBw8MiE3Kb22hzuAEc4MMcgB+2BD g68ZpkWk8LczLlqrRKwL25OizOSOToY4eG87kZTuMU+gXlY1R5imxnCOApOnuJlib0V/HQjIm+6R nORd+WQM06GsBvkmlLsdXKu7Ybhes6jYGSuQIwWE1tJETVnGn3V6GxIoeoqNC0KzqxQ0b7FvNBgR 2BI6ZDy1WI4hAvJactY6vamSAcAHTH1jTVlqEq0IKHItmAY4zS8HuFcaXcs9ETqb+zhrXQHSU0jr sKZn2pzpCsGKRxu4vBIVR2wMJBTgch5xyhhPd5T6KQqBPKfvA5/w4Ns6d6RYPo3gyohn1gUcDPKM fBUvYQWW4qBPKeeghJ+QK/JdYuBYkhJSoVzbJrEqC5DCMQ22jJTTQb6GIEznOCmmyGKn7IVlzkFx KHB+X/4FJV3X0WNKsTLmM2mIWgUXSwYv5Gq6CXuOsalaQJV6hRopsz/dPdFzxsRneF7U44DMX8ez l56NFgK9zbNuYaqvCS5nWyTjEBtdl6SDf/jQDxsXydjWWqTcrXp3zKe7Kv1ELfhenbhwRnlTLZ+8 hOQrQnS7BDZtoShQpLYZDrY3UzvgjWXQ8wXEsc+rYAfO2NH+7FkAwOV6/nDEbBBliEZSX1c9d0ZV IjTRmrGQiLoN4KI1tK+xsAHJ54sGk3+7x2QBjH3RTR33R8gUvuvNmbsD1VvAeqN+VuipKOM1Vvci 82xeKWxUhK3gPUo9DDjGm4GD3Oe468AG6PPSnbAqUAK/pm5tIL6LEYiEfpeMtSlBm79WEYUZMLf4 kVQvDbydiFTEvtcvfNbhA2xdBh62hQZSb1ZObpJwQDz0uTDIELHh52eeQx+dMvCuqtHn5HfSWUUA zhlGZJ72m+DmbWbSN2Z6xOwtkyCYkcF6jEQVrXMCGR/xtZ4P2W+lF9+Y/kVAy+aOU/II8MUS+Etm Q9XxaeL3bPCjm3UOjM0PtX8Lhl3qxaAhD/FAl/ZB5d81qUofcxIqYXojAerWNMl6+qg+viB+1TLY jjc4UNIeifV5MzIo6LaYT8U6jiGXsyuqHPCCC779ABSpZu45rOp/tF92kdSG3ABFxF2io5EvarUt SW95wDNxl/r2x6VFKmrmCzWTJrR+9JCBhxkrCc94NUd3hZXOzNsSKdhHSIjlKCZEad8IH7t7SRi1 68z+nG88pw0qqHrWVv+ANKwg9pPSZZhtp7DJvtvH3L6n1Urb98fZnzwCeS+6sTnhHZZ3OVC5Z5y0 OByMdGYCfWnPkzQYetc4+UtQ9e6wJ4XsILmBt52S033tL/+adV4rZakbkxsaVEMCgMmRI+/HjKEv +RN4OMQJS4xTYBYccyiDJBaKA50mcgrLCAO+QCHCpwRilgLvMh0TRxXwFbYR+a34Nc09vj41iE/4 iymvyo1zHvlB8MGZkduiOGzgDf0TZoFL9bfYmkJRDK6KPLLozwD+VyLb8sbrHIf1G+D106GPuKQM sSZPdOft5jKKxWoWRAzws8FtDWrxATrOFYp95axcFVScEdLemdQAXdjgAvARW+LyQ4iVrznlSpS8 UBU+Wg4v86C+RzxbWILwPWw5oujGJuVKfFnjwPPaMTm2bv4VK+VuFN3SxnMfQjcAaB8zhRGblaBv YqO+3nbvUZTJtHKgz4s4sUwCtYuakb0U5yjcoPkVLnK3/v59+1BRG15+bNhpYkZ+P6zd9k52ZvDh oudJgnPRqAVYNyheVMpBmBhZfZTeIdcZvVoLcjam8w0fC+CFNObMXk8plYe9ox6SEsb1HEvyQwno Ie76rHxiWj2qJx7KnYogkop2sp2aQZcZ3gR2lGZw3M7uS4VZaTMtG60rZfdPXrI6Yp2KMRGfyTGo brFiozp3lNhDvcJidNuM5qwQxFaRqO8lLJ3wJSPV0rEUhXobba6gIuVo15EWQ4aZ9oOmJFsIjJoj HZRqg33/saBrtnm9jPEGGbtE54/EQZxATPG2Lkhstb+LonO3ci89qo8BVA1NYUvUAwySrjR4Jc2b 5z6efmVU4tjdjoJ3H0ByAmUAvM+AvzFtQfBkgZEnZRemITzMF7Z8gFvSOwF8AKr0lUwWTfZxj2Yk pwmPjwN26Z51gX8t88St3D3DvtbLzD1amj1rYiGFc3w2yQrDGunwyKxsQ62suBRttC9gkmeTKyIf oi+JBH/wBFzj7Cf4Zyx6iyyfLdi1KAflAB9RX48tz+dTf6TI0jXVrsVBG1/AfWDvwqYsvc6SOoj0 0bBVBH2I1686FglT7f3eFYJWoOMEo/fUTvVWYOrA8qv0FAlWQuJE9i8XNsGVuITaMxBoOUfBwQHY Fu445l9xdsia6xlIFvUj2hrEz57xBzS10VODqikX70oDfvt3NGHkdnT2wpWEyi7asoe60FIOdokx BkYTDEhZkw7XiBRkWbwwkXivfxdDZV+lVD6G+QrK3CySCjILIFHfBJn8xR90CSYJqSyMiXEQuBKS ABgMgK9zyz4k+8K1hwxCwgbUrlI6ZJneuEzyhjt1XbG9rgXchyFnq2mAO9+qJEHgiZweY1iST/RR e7CPNO47G3V8qvX7p2KVuqvtaBdrNEWXytQJK+479YK/u4ZeKEfsJoDwmIHoctB/7vmpaBCpKPUr b5f5WTEKRHjSQran0yjoISPelhMq5rE3Kx5l8Uzw7Z1ATrFTqVI7qvpKu3lMjl56tEOjXmzsADXV 6nBnWRWKxoJTY6Ncxv7eUZKg70BGaRV6cK7U2gBwRMXp7RmTX/qJu4F0/dK9LXljIYNr0+OENSqq gXu1+PLQnnUoDupS+MBIkmgKj3iwR1aKpm0CPcQc3evYk2KjtgrEcLnsjaKwaxpmsTUtkeBlEeHN VHPHPbHcL5YdCWNL1FDw/8mffyhlPr8hQkDX+kHfxB+bL0H8p1A8vxa5LIWEFi9eBg4XV1I5jLI3 6YsIJUrNEl9FHB9IhA+vHbZWSZD55KsEEeuPYbTqL++i15LcKJuJawOJNgeePqpvvRUcIstO0cYW aadIX/Jqyl4STbcYpg0pS+QBN08Hl5myoBG9e6GoyKOevAjRKR1dHgwG+CgIhdYC0qnlTSWfAd4f 7rfZkG04JjK4Qr0n6967kJy4PgIBZNYPAzlWu6Lhk+XOXx4IAzYwWfhtDyEa9xA1mXLUKXBpAtoU GN4fFVTpWByeDWcEyLVwWOQpG6o5wZrUPgsvTXXWemJwA5ODNAULu6IxqBDZkCa5HxmrVE5iI7Y7 z0xnc3Lbdc0XZYpYc8DvgHPNJcgS/wkoPZ3ZFYVfMdRqm5QOyO/srM+h92yU56JQvuEIqmhNBFe2 2TC3cYUY0NgZooXJ4PVHHxO5di1Br1oDjVYj6XWyJS/b+wwWk9bbqKOCjQJuCMy79o4WVIfpSF+J 1SIpCLBEMaXBeDLaMau1tTkbBPaomJqPKy3XvStVg90CWIHwKqS1zXw/DU1FGxE4MakKqy7YbWja bY6H3dzWJhXE8/xo21b08+Y17KvkzYVwZxCr41ewB1ip5sV6cgVxrxIzsghiJEY/dzR8DdTPKqhE 3hL0GyNohI3Np5moW2LOxmndEvwS0DBJ+sytHkL9GpxHgpcbnwIPM1xszcBKd/U5mzPPf2V/5i4U CzQbPIR7EehikQibeotxmWeH6nS0lPIazMCEqEFdCx4p2/Hb4Vcwrxq/ILoJFf0VfLz17M/SmZty kN0ddmVcBXwF7Tgf2ML3ppf2iTJJpqwrn7qzCyXpLTs7Bjpk/SuwtB8pY++0xWRE+WuaCW6ELkar 45hCDJNlDMIqB4v/d1VnbYkkGSIN3CZcXpBFGd+dSsKr6s98KbDDq+zes42yOZYnka0B4Zqzxzw+ yInthIYxdN1NYYWqjQxYHxebz7TXNk/wUoGtrfs9u1ZjYCZq1mb9zLHVHKMJ1QmpzDpE0v5RRDcV MhMSMC4pFzRVM1G4ZlGppx7JifhmcodtDMVnUluvfG+iYavjaDMNc4UIMYroPE1SOnJcMW62lSzx nI0nagnWwPugBWfk0HvzC1Y04jNhCc0PvVtxUzhfgvo3wfTM6I9tpfkIyY6Aoe9ZLbNZvu5XG8ZS 9pznaCvRXecfI1tlISt3qOuMdPvxz3BOSHKu+SjGI7YgXtUhYnJE/V3o9uadfneZCh15gGJWAWvj o1q4vL8RF+ddprUe5LQcWilwcqfWL2wtXxLTeV0kYFuRet+QvD6baTfgmZooMgqBBISotQomoWtz GCuTn78fyOwd7GV3aptF3u4xaWF1VQHRHHjylxapVvxh5OTcsU/JP4zb2JkbjEwYvNsImBWHZFaV GT02jv18mqNWI/qxURW3kSXd2ytyYgjMbeT6ogxyTBwDb+gQknJF2oTKcWQAmu8vdw/k7/L1Wv99 WgH/aHLO/wYdYsyTJafgCSM/cAJtOSZwshpmNXSkaPiVvAiRRJPmrNNculiKMbLEpKbtZOFF29tc nDBEROd1aIWRd2Wj81m7wQe1pWj7UDZ3fxnUcnC8sPOpG9YFcvr1ib1nwsFApX9erq/qIz+UnRLD /qyqKsUXHBBu3W9Y98JrMplVNPKB1Dgl6ShazH1zA8V5cwRg1P7gAc6PFbDtkuRTUJhdOVIjQNev VTqH0pkf1bvGXCOmUvjvNNgB7/lZZDzdPIPYNX1jJLQZ5NcC667Z/lY4h6ltjc3R01YUIfcCvOA7 AjUG3rnvzwFdtyvmhXLQO6lbSOEKDXs8hGC8tmG9VIUbz5JRjpEIuiQOnox+OzZTp0SUSuaoVSgx dxsnYJ93Qbo1YT4uTjUeHMoSEVGIenzUn2o+T2Z5beuYzqXKtbtEb5FfA7nPzDM30SB/rHmzr88w qp7ayAwnTSaKD6RQ/dIJ4zrFl4XHWY+ngk9LdlDbCFGLQLzlh+UAYFhoz+yvHM1Q7+QPL8x8KW3p 5D+17lYhAVQqd2z1jvJJ6XzuolJ8YN610Qypu88CKlQnFgM/86ytuvER8pCnpn2HOMMva0urhEub Dkj1R6Xzjjsuf0F0J0/WqhxpjlBGQc0JIvgk/7rQSeGSBsRnoMxRyZvS7SiU0QuykVZxsTCTJM0Z zP3rYfDD2MUSMgxbUDk0GL2+Q0mEMlZ0uTILjEQj51E9V6dD/9QUpcl4UwrZuWqu0BJeYGnqTBdJ hI2UJUkOPRWnN4ILnUxPKYWHx2CrOalydoaXG3MLXzM0bXgaRchysg5lNRDQNliu8x90K9XJDdaT 1NLbWtaKYHd/5kUCi5D0YFn7vQzizKeKMMq0vefOj7h1VziZBwSKQ3j7dYjyKOW8heM/CWrIXS57 fRTL+nY21BB3g6PxFHMWXa65Vu6IcPUoS25M/yJZtFqYoDeNerC5jy+5GKjP5+2GCVHlWgp0Cq08 jUKnHKtWPJOgPQeRIw/L6xW55uu9F9kO4xaw1reIo978a5vHb4QcIej6hvrtINDRB41IivOUAEQ2 x1LeVZFLMhBEBzGd3YmUmcqir80LMLJ+dWh19c3KKb516lSbF1n8/ODG3hmQ+ETx/+v9o5r2tuLC VASYE/ccMIz7zAgrxx+II0n+mjhz4k5GFcdtaOVEfRLNwAC/TC5V/sqArK70ci6ImWsgD94ptnrq 83CB7Gt/V4JrPP5pFr8eV7/nIhkcAtjrcvutl87v0R5vvtwFkiP7AKSnUlrOa8vbk1dZ8VDDTVdA 1u/WQOrICfQpnUloStOTSFz0Zw8Xp8jTFyaZ8j0pMc+nyte8GizverVEtI0Dd4pOefSPzKUbZ5rh o9Qoqrm21HB6sBE8eI3gcqlIEJcf5LKn0hF558G0V/EkFLJ79VJxLDLWSmtBWzzVE02iCo1RuN/B 05Y80nOaHASdKvMER8457JryGHqPbZIJbLMcpVjO/Qup3dWeRaDc8fXRZFQF+nVT9xLtTEg3LB98 NTgaBw/+6rqkuqE9LVYnI7glct7dOi+uBAdquRD8DtBvp+GFrZbuICfWAfF8tzR8jmgDBHEvY8uW eykMQCLzdmLZZkNjdHAYtYosbEoHb+ouSCa9X04EXb9eKCkvRMKMfl9f22KIObcF00TxfdYEkeO3 /E85bbaYlx4WzuWpiqsMD0n9Oe8LRZxaftUyzKtbKKUG0VIa0nq3T4vXTFyjPpoU7EJZK9XHeuc2 D9QCBQlcjok0xeKUoNZGYmlbTvopH+oJMtVu19YNv1Ip7cW+8FfxhmPSE5Jxw0MX3ecJym65y8Sj l2E5yPcUQD++lm7aBSpYfdX1MIBiFIyHTMfuV9W4A0rkvw3brRf4uyACdd/ab1Han0nghozj+CPC W3xK0mfy1rnf22EKcLxdA6wb+GglPbWigq7FCeiWYf/H2Wsz6LDUk4xm/pwpPtXBnWPCbnMBZhTM f4VPU7V7gCXpKcGZ+6/qWNkJyNZZOlAj5EnvJoLv8qguwusYGGdbO1m8AX9NZm4CqYQInKov9AVh fFn2Wp01JzcwLiOUQcQp2JXDTVBpsHSHNB9hxINjln9NQAMk0nJ7bY6ls45xtjiUwgrqLT+Z2lye X6baWthEmLgNvM5veYEz2g2IQpKlA4at4ur0x2UtGXELU1UhbLC8zbGobsdmkw4x+nOGv5Kmcg+P XeQ55CpUgGdp+RDFzHpfWxc1ej9MT5ZcTXw8Q+6aXkkjrYY/K/caClFV9MSOn21c8CQGVaSqcxHM zDKfaqU7kNzEMlJ9X9+3BFdHlK/Kv6gZhAAK7IwA9MDVtwgEFjERYnV9uninV51rAEaPBLnAdo5F 5mC2OBBrGAdzPDjBCJP79EVGziD2p4QWaSMDxJbfvsydVWGP1mc3J0qV563uE3HmgotO6GdY9eDG /94rqJdYtEb+Z6sJNpJB5OztUPpMEF/prCsugEPom88eQvKtJ27t/SPHBlGAMBJHVhKDvI7upMfM N0lCRUE19NSvk0v2WR2hVjuxKCWWled26nyFqnPdjZVY3GkiSvEwPGicAyrbv2Bn6Oqnql/lDqY1 8OPHXI4zbjUio3JIWdD/AgmDCbRxrnwY9nNJP3qNZj+C59zyFVr9OVlhVjKYh5bfU//TSSJ01Jy4 xkWYmjOl6YJhoQd2fp9hq5STeZ0gCW25nebmkw64txMN18YUROvx3AcwSVoyvScRRCD2wsapY865 wu+cMdZG57SRRzyRNTzYhQTDjM2Raa+7+0aZTt4t1ccDMUs3MpGUZbifubogeGlD2NOqve1s0Jyy hgv3CeYfI0+4prMRjpn1VitsAWlrMEsIYhenbonYVozWH/DD4Rm680pn2SH8fHj3ToJ7FihSd/v3 wuPtb98PDEsfFn6HUYCSYEanwoPWnkmypq+/ZQ/xEpa75pgHHC6p88MTnA4EiHFnBN6w0ugV34uc dDnbzp/lHgZ4RVhl+RGLv9odDQlUVSgSSjGxkXdka5E1N84Pb4vxl2uFedjCNzzP+H/PFen0UkXT OrIkQfw1FDdgK1g/EPtYGdALng2xzxwtpHiAkgaS3Ph1CHP5MSEXqu/WKEod5rG+u+G+eEpb6+cp tvvqU9Rl5zMwwre/fL/ylynBzfTYITQyuZr4a919WPh/vRKCCbZkHfg+DFi0UewxTT1q3fv7ZQMu FwQ475doRe0hnqJ2jW4HGqjyr9QngFrXWwTIHB5modPbNKdRBSOq/8uPouF3yVsbDUQQK7GJUyck HUKgIBXKO9bWNEw164WTbkGGLpmBy5rlCxCarO3oOdnvqV8zFXgaOPVQkyYOAenJRk+b0EsLzSZr t1euT3YWiJdY+azHFed0Ee9gWnrvfhrERP9FXGyg4cKE0kIbFXQODeMJmXhF2eVu/dWYNaHmY1ey K16kK/CUPkthbbVbI5NtNnpRZFlm134oEczCV8R52TpIo9ziNE7cSrb5FEe6oaVYRG7C5N8hCfBh 4IW7U4S+1gxuqkhtUvTld0FzZrL6AVE9CBNqWTbjbu4OD/t8soTnnrPbKQS4Bcb6YyL0ZBv28nL5 Qo0n4Wo9qwJiwbXnJzOw+qH0oaQQcqjXs+m8JiMHieg7fdEnaAvt6ttXERqh920hjk5QfDO+P0Iy FdcticUbV7zAKMCF/yVAfFuUFH13OIrugJPB/0aKsPiR6GuPvju4rvAzh/dQj/ONxQb36eBZIEvu 8yBkLKyHjsWW4m3DMPzTtCOodHZLTKFju1DeFQ/gPLYN/7PpxsiTPXwuvXzvmykRyaZbaRNMyQ2s ItgxWkAr/3ZXwfRg2QriHFixPtEjPZV/UtgS3nOiGNEpCjcreuOiTHkoPPs+tGdHiaxaUXS8X8Lz tq0xpQ1fAA0aV6CMlAbrMvl/EvNdXX6a7gPKDOuoSp+ygSKxnAin/hBi3X1/XgtJ5lnARc2Lzd4N SXnbO8Cx0SjweMctiFVqFzV8Cnuj2uKqsCNG40hNQ9kV+lKHbx23Rwu8+lauXdJIsSnXTfHBiJ2x xCGGtZ6uuMFchWRdHlbqaGxHNu+pWvi/X72kK7y03fumCGN6EDjZEh63ZPK7suUYVWt14onJrERe QpRvAwYLmCozMr1qX6AlZdK2+PWqAg84rHjU7fbOVeXLKIpcuZIM5VSgr4MLwfkg6TolbqIVsU5n 2M8B9a5jYlYJZgxDamL8JSPqLN4EDinN0Yir28IxXdOWabJ+XJU1BX27+H6MTT8RFMZEzgiL1tby GqJS8Hwx27S+IrmSv4ZHMszAUccaV0BFSD0HBxd51HciydJNlZPh6gNYGB9mBBClleLQWCeCfI0e EdTy08uV0kXAiUtu2h9XIugKCvTMpg29evRcK8Tb4OVEAuX+wXxAJYC5inbLLvTEDq8GISp62usw S4mOoxbVCb8zvwJSITNJjOsNBgcX6G5dPdpHRr6nGnHD8+mXyCsg0sy+AOZOo2Zbeg5vVYSgvOvX eFx2NtM7rCpW/JnqbTEbBiyIRUN7QQ/lijWBgcZJCTzM7aiQ3tWLhy1eY4VGHndQVI3fl1MFkGeS VYbyZ83DeJ2g/SQ4LMB8XqxL5V4cK2I0k06k1+uYvfn88/ymDv6DETWLMG75LD2UzqX+04MAV6HC 7E0hffROFS8AbnL0QOmIZhmDdayEwTfg6x5wv13OPhiKKmTRwwRE0hmcdoOY0aDtGthDRf1+ykW3 9jEDl7edC8KI4w+5BOXt09yJbSdVr1pPI6m9Th+a9Hgnd9+TOHXeMxkgWFy5f5BR+tTApxKaMYZt ZQTz1Zqcx14igw4IU+u0ATOWvzowoKYjmqivivtbg1Z8iXjrYeOcE7NL14RM3QrYQGJsw7kWvDg2 xsoUBwidHErQiSRsd1AjZnC52AVAFcq2GUGHi5u0XdBoSv83ac4XhWVInMzMcA7CyTdlXpo+9hpG YZgt7Dw87sYXZmChjaxCAaFE0M2L3AANIA9+yZm5G91/nwhIL6c5VPoU3/Y+LaeNa1CVPSGeGXNe XQKPMT35zQraYfiUb+H+7WPbgz/mcLKtoq63lQdpJmPHd0fVvI8mM/WmlNuVT+bZHxdWyhjP08UJ dbi5Zd0kQE9CnG3HQWdqeRzRuzPIO0YM0d+/xmmrlicWDQMSzn9SjNEgZnVQmyBepFKm1JOBIa2E V7HtFvo2hMSTpxGikjTxClbNJQz1N6hO1qXRNbCJk04d074T3Us2mhabckZW+OzQfwv1dnzRd7Y5 YsxamtSUdtJXbbt9X/qGERxy9juy47iFjgsNxqS3L6OSbAdMRmzqo/EIb5UoZZBLP4ytV355N8LD wFlbtVyZlek30it1LUqur1brpAblnvSLDwi8ppM+KNDoom1CTLJkpLdj96uG9d+f5zbiawbgoUkz /F56DNgIrvqpTn+HeVwncQluVLIQBHzyvZ/qW43U3B1UxgT162lTPup9J5W6G55+1TH5xCEtqpSJ vLfvsWP4nZx9CYYJ9+mPBJ5LXGJR8/7+myvvw96l6xaJvEBOHr9hp0BEBZdIH6/8HVeYGMDQMZGx AEg1q0alqo4GY1SoujARKSUryDy1Lkb7C5lBVPCmfe6v63wnWYk+EoLrAXB/uf2X1oG+9z+58J/j gHLyl30BTmOpjPhNeRgEnglamMkujz50M+YGnPuBhABaUOPOPesvc7bm1pGFvhn1o2XlVk8UswkK QvuptnUU9U2EdwInchrjgh95UWJbqoZwa5KNTjC3qqYD+wWDHDa3Q6+59DD1ZOE8FrT/uKp4BWqC 1ShldaweBN82UhKBZnUKQmQRD3/igtWnSq3oYNPFwUQP34LjDZnYEeWURWxWeno/hmCXZycsxMU/ IBKetTDGTEn1aILKfyV0pqCEdv/6vZW1bsJQ7d8xc7qLreAaZB1HqTAdgEdPSgKUR1gVzIaQ/fgQ XOytIKMsMjBYYYjYCufmwvdKy3KQvHbX3BOpniWA/hU05/+skmry6VryqNDlLiYCtyvxkR9PjoEM sHhuhaEHhcHR3iW9MFHpqAGCJ9b9Z1tN+8OPv3k8amZXnIEqska/qgeAta0X5s0wZ7gAhHcV1B4/ 1Gm0uvD0Wvq1zQHaYOwOqNLv72LF1BZ5kJUhSldtzcA+GO7cY7x9QWEDjkW9QlrcvLPvzL1X8O/q bXt0/vabFtCHjsq7qB+O89hhd7/9Th06cs+qRNzuyI4Bm5q/iRxUoPL4bw8AykZgAx5sEfQLeLAK VuCaHRPd01ZvfXNBPNbViHeGt6RSnZontXHlAlhFhXDRiCsUbBHnCv45vVcqxrjE0iShDXMch06n Zg2PB78zAaGAPHNiCQ51lYzFS/1nE5321Q3+KQs7LhmJcz+LVeQmzxu7RJl4eOAXqJg7LnhBWsZn JxT2t4UWdibXXBfjzM/KGldtDBWLTtDvqA6oPNvjBFF07cgVxw6rCrBJ3gACAcNLvSBGwf/2O+r4 h1hs6c/w4boic4WEP6jwMmmos6xDupGoKS4EbCQ+bjGn1UO+o/xgrWZonhcppL/AXNKnQUM47AnP 0Fqyzc0aJg0CSCsPj+hddibjuNqute1zAYNO80u0Pp9l1bpR2Z3Fd7JfZfb5zttGTpmdc9UjM9bu S8eRW6t/fUOZfT244psjdhZIY23OTcEO9YqIYItgSwFTEvzEcfZfSsFC4HbVQxW8iEy4Y2Re235G jZ5Ib8gjZXEuQADNL9atGjXsJ8Ax1FZqjKRI4wT0pkigfUhmh9Hiumx/qxmxtrtJP4oPC8BDK/Sd 2DdeMRfepulKqJJzBRHFT+Ppw4vnvGOpYhXaULGbDTIg5DQiHEhG2Hn4meaVKjkzwU2bE/jQC+04 ofgyz5rPHAVeOoKGTTl4FlY85wLP+V4zdAAHbaEKA+GCjUUSf1xVpIoDLbYK19W7FUAe+fUtA1ui dzLGm9c5BAY+1SGTyfZnuT0+rH06ZHgVUTU+eEuMKtFu24XRcy8Duyqv995yD7ShQRiYspkpWTOS kkMHwpL8K06Pm1ApBPPdTWxwxScXxqfy0aUR2IlBAFCspvD+rdQhtTQIUADcI9YD1OvcD1k+GJn1 srGdBm3dWlsjkMWX6OiBxfGNleI/Jo5vrmkdv5pnl9TEyj6GpNsdnRlY4LtHtW2pcHPYiFq22X+Q BmocIgEbSu0m5DWUPBjVyClmObb2j8q1DHGI46lrEjzciQZhTmAbkF1mW80mLfwXL3IIQDBpHzQ7 G6uMfUSYbYr2MLogH4nDxZwOIjI8DZByvNrTMF5dNTNXeqAlRkXmFLNGBh6FL8spBedf3hwbwLSr yMxmwkdGwCIq5NVZWo42yILzRq7CtL3zpd/QDk8mu7vR8/7Wr1q0+2aBFCBi7xOQdFyPdSFFznbI MfmuAOWN6ZhEoFVwPS77CMqcGLen40Km/DKIrWYCx4eIycEDueemFcUdthPj6qbWrU7ntbCcXzRX xJCRX5q7B88u+vACbvYI0nuzXkyYrcmj+4TfjZz8sPGtbhMEthMKG43HdWyoDvb4b3r7scDevYLC /Tl/rG35qEAbW+nyAlMtrad5z7KDzUToVEJekQdX9mlrf5dAY526ddl3YtMEbOfFEt65qHQ4FeVY Xz2oI33RBQlkwOWR619CSRD2ifUfjnBe5n6t7YIhGEXbzP2EDjj+qbnCzSmkLOA7/8HKu3uk0dyl drsPzBSIXw/5IJjVLRSf9qPs3OtkvtS7QfEppw5VLbfy7M3o2NLjWbB1ZNcM0Zc93j9JC9ytQhFy av/boFiZv5MKueIdQ2S/lvTq+ZChmrjhTAOGglWo4877FDuTSeAHSttzC7jDDoAIP/z0Iu/2qW8m t0WWgjMHps0IFXrdxLBNrQLt0fCF030ETNXJ3kKiMvWYISlrgq/9/cC5Totvvzly5LwNVGhSa4QT nQExslE/ZgnwkBtLb0WgBSCetY0k9xoENSzbVs9E3uYCzJA0QUefVf+E7G7mkPOZGRhJI8ZHL18a J7y06WRtJpt9pypugIlhl/onQm3Z9J4eboAOCNdz/XK5BGuHjHjem6qeu1Au7Qa96V8c7/n53d4K vWWhbEUUurDJvut3kAcIEqizm1qxKTiddUEncqlcFk7Jq207E0dN+WzEEBVBb75Wr3BbWzhsbSyl qP/u7YMaHVWEANXZx5DFQLO0fb95mVfKqbETmKZsleSMBj3LHTGTUKCBI1SyhsfhEiVW9o/YCFnk hBihpFeD0rooz07MVHP3QOQl6EDNTLtpfq/HBs/xhFVUwbY9FftJT2vrplyXZ6AEVOsmh6+mzc6C v4TbnFaoBdQK8DiXn4YX1gDgbiDSeoSQcUEBn/zLjrKfsbOx6bHUCrCx3r86ckEAaSgXD1PINVgw JGwa5O1OStGDGhFrXu/EVh3PiIYOarj9d3t5HPIdHtVPyw8MoXpvVokaHulr0ArgEn541q/jEaWs VgOrbP/+wBVJh66siM0xit6PQYdYb6ACR2rRO3xdf3heg+KtA45XK5nrM0J19cYI1fFKnzlbcML+ Onja/5WITDsEyb5XchHf8OxF1j6UclKU1v4BW8HZGs7DTZDdCTa/Kf/jLPHXRw4BXK5HrGUkB7I0 un7DvbHJNBdSLhWwbs27xMD9KNu9/vqp2deuaxNrldglg4ApmLtTtxrhqN8abIjYaWwm++F1smev J67/dLjH0AR0x57a+81NgzjKlHIKvsWr65cHeyEwiWtrTPlnXTt8v3ElTc/T2OZaUYe/PAsRvjVu 6z6CLMxQTfHxpFkwHxOR8lIDmxS4OZ8d8u9MdPthvlyQla+YpaIT92K2De7//QXyhB+V5jdTq4g7 75TQ72ZVbdHilVT/c+Oc1KqfbWm8J2lZg+lHLC6DDXVpGnxnhA6J2JVD/2Kqr9b4PemYbNIME+2N 3v6fytBGtJQB/CtqMZyCvNqHyBe15CUM2y2LX3ZxhYLFCiKIZ2G+6DwlFM27cuia/ErAb7vxnH2E KuQ+TO0iJ3B1nz/78syKgfqMjNiwkz7kWkdXsofL1o/4kfYF6aT87EOK/q943jr4AUxADwFb54Rj 6h0XohVWnOrr8fRIlTWnwjjDcpa8wn1xkMdW2IDZmkIuU9RpGcrRnV87+072qzZOoanStkJ3l9d8 H5ciZe7gVv5lCFDc/01KdYMcQSLnln3FO5chuBLyi2QMgVZs6aSAJWJY7jpm17xQOb8AcAQJ9Y41 k5qXj+928/ZJB9dq8GYGrFqeaMiboDcwSYMcegOof9gakqws4WSLCs89Px/8uWBj0qdIuO+sxbQg +cQMRYBOH9yt5QW6medEAZkEPwxCBkKI8Ev2ppgRjLm7PZ3qB7f/7sFEOJUXWe80kbbedNDi+A/Y 8hHpxGP+dstlItO6squKc4EH1Fyr2fIIuwLhynuqrmoSdhlyT4jhUbxkZWzTjyMwJQuWr1F2hEmp rFHbiIYKtMOIfaGsidh9zlbcNdjARVYowaLCumlx3l37mULgZlJPwgdZnc4jizKBjkcPNd3koSiC CyeQvvK0ciTehX7EnMG4CP7Rb7ueyKhK0um5rxDNa2fqxD3S40+3nBoONSSQxDF1P7METVSz1FwY s0x+hQuwZKBMjkscJv845nyRDRhyVnjsjiUGRxzTcevBEwLA6gQqSDRZ86LXJeERo5xReNWzntyk W2fu8ifbug5bae553SbxGo6SMKxvzpr1G0clyLzgChclalDifxSdGho0rjXpD9Yn6QRPsWorhOi8 dh/RXlzKZ+/jTdFEJk8uZxFGSB0uhTcNBoVMx0d5zHDDOlIaoNVPLwraU+q4VpBWzD/Qn7ea547g I0kTN9Y7+hQ4OjvWNtVLJPmH+F10no7ZJ3oOLa1lWhjGtO9MZFi5261dgYBUDC978L4tNMgRja7E ra4cfBw1i0zV4d5sA87WmnA/6fafjgQDHPe5FXd2l+eNHkyTv2dWIWcrLj7NZaxnyEDxcd7F80nz eBSrg99qz/ir7D/0JbPaZQ2AiIawTiJ4ywO8E5d9vb+9xIRng4n8fruTl6MHo3RklQjB9mUruZcb 1E9rgJIy6Hp8CiesIH+kN44I8hjk84cwggclulMIE21ppOkHq/eBNDMjaJvmcDFFlNHKqmZUNmXS SgJitqsYN67b65ldxgme5j+YI69drXIF07UWtXIpma+tuISE1SbQKMuscS75IcnP+KMGZfBnnJd7 Kkuke1MAyEjWFWkl1BrbdLJrhQhhxdmPuZdQe8vl9nELJzw2z7q+fELz0U4rS9U/cRIyf3L8N1HA 8GyhhlekNQGQuSVO2WtBjjaC15Lp8Y9a6lLqaJ3QwP3HSiaP5IuKwykkmWGR/EdrgpTqGskUvgGa mrv9wS3D+ZNYtv04VcLYgVdeOLlpSquW83mqvFtFzy1ghqM+QY9Rg5Ib8Jh/3sCwEDwhRTIPxtB7 7T1a/r/V3p8IOx5En27IjQ8gxtcILyn+CWyx6QDgZNY3OFslWAc6n6exHukCZMf1f3Bo3i3DGv5E C3FeNcMIqe0TcqM2W4BnX9iT2TMKRsOSkrIzLYPdOUdhAEFkxx+EXR/4dzYyb4+Ch9HXOhzfT+9Z kyZk9Gc0Rwf0INKi8hviwm79KP0prkvH/KCSRKI1vpdVFL5YghdJ7lDvSr8CndUntF6rnOSAkv6O qQQaSchiEZWSuQeiHdtVkOGaLPaz0sjh9B7GLlY4ZczKvgc44ZfMJkDuLqY1/jRkArd4zWA96A4l THPxLjiOX1ohcIEiMlFVflc3Ru3UyfvnCGP7YL9Cx4V5Q5ZwxO71qouzYN+gfUxzSSiHlzifNv8m z8lDHJG+r1Jjec/w5GvFL4siRKnhgkIulTVcLkMix6FM3xaDuxkYzrKv2LomhVgeRqJsl23UqDrc scq+o1sJlGRZ07z1Y8N9rL3Q1A4CgvZTcvWAApJC5Oq0l1zjRRz3ipFyceUzC5Mu+xyS4TGbs4Db PBrhrng3f75+CguUm4WZNl1kJbKRb9/zAWjdk6pZxnJaxn/RzkRxWpmNAb7T2cYkZYaXrOilZOqE 49qPShqUJ/Yg26zBAzHq3BQy6+IZb/C17ro3U5rjCGJFZnIxOISkhqWvRBTCADbLH2xH4voGzV77 qEcelji2YJBVJYzaUvDhIiy+JFBSumtvxOddf+nukYgxzqIp48uATZ0TSihuUyMPR+wSoqAqV74Q qns8uDShWI7E2u3ZW/5c7EZnVF2u0of8tiwr0qOeAjJUztREC6oLKXZzrgc0ytOHCD4ma3DdvBoL uyzBVrPrMzArzUXsF4CO89/HFdSZlChph1sZqjMxGiJGbEYyuemolvrEE/UHuTCGPIiWYxyFD8CI V2CkcrIRFr6iH9FhR1Fj134StgnXfh0kMsayobEWgTQY/80+RoEYP90w32hta1g6L4hLNggI/UFx 0b5yTsKnw3txRl1ywBST05i9C+oDXzMXcfrlS51PdQTmJZ2JAfH7qDOuou0OAWWv+7x0BQEXI4xp 7+dvd8YT5Y7kv778UrT8GKW2X0AVTK0PlimZREmxkzedqRC0ED1LbttCMmQ3X4aj4l1TuhHugsWx XjIIkmb4hYe33vIX4p/dnmEX5Rw6c8uxBedFs1RqCttEhj76IHEki8rAmSIQpjN95a1vMXzgU9aE sc4cn3M+q8zklLE4k3ObINPeHZB3T1s6gPe+/dQBymIery7NeXXpHhF8sqv5zFPgm7S7Proy9+ho KliS4B2aPgfQg2nswWOh6KAFa6GhPy2xLSAj6kptydfupM2v11HVLkq6qvDkfa0zv+vWL3dBXeW+ FERDs/7cWqRMdqFBQu8R3Ex4KvIBD8Es/Q0r/RY6dEfDQSXrQbeYo6iaFHSJNcPwH1CBf4SUAct2 8ZSRB5w6OleaJvsHkkkCzmrB4yCn6YkTHzSBxNzd+3fiCwIwDm1Ooap7fIrMzIFuUC92wdHe5Ulw vmbVs91+tyF7773M9SGY+BtBddCawOMhh45c39xryXXK1QmbPjb4i+9/kV18kLVMxHw51z4wIOD4 gtT1Au+jbIqFWSxRZ7ErAsyoC9KzsHou0Nyd+xPzJvUYGJEc/iYKl10kvG448alOGiaXGc9YYCmb XPuHq6Nd+jbcxxpLc1/PxHuNS8XsrtcRw/8haocsDGHvTGzWC1D9aoonXNoRsCcC19gp7tvOvnCH 7pIcm1j5QnGtFpU53A2KsN0WNcN6MvFlLnSV6WRtbngcPlSFYEMCBFIPMWLgJtCR0lmigaprG/ot XH1PmmH5xufylJeg82hu5nG2tsVOw5j2pSVuHOR6x50qKvwE0WbvaecM7gZKIzOzJbjt4f0v/FY6 Tq1fWIzrcmTLcOziOhaDbviNJAzpruNJ6YyM57MTphrfp/POibaxfJWpzBdfeo4fZzjVrtegM5wT dCMgtvD9JFWD6vBTcqz9XbgYkuDxFEq0qA6k0mUFMMzkiIMqkJywL8EAT9lRR7kXP2Z81m6cPIoc GfGaHHJRxLRVtaLNRnDBEEkosce+qs7Jmtoa7aWnt4+3egD7DTbRHcPFt9QR52hrDqRN01NuMaHt Q/oKdcZ34Lfu3g3sjwDJ//hptBpUxdi2drQPNl/ZDWRyefDsVuwdAGGwRqcjcWFDhYZ0vT5KiHb8 vfdKHq3HteIKSNkzwXj4N/bHAA83RNAQTx+BXITVUNZmQEZok1n3cSvGQO2Gfvvj+qvr+VbJAo5I PsMnedWcDlfWfBTyZf8hCBSx51eWrJi3g5CB39lKj8+RRVG9RYP0qayGXMFZnQanFRbAkVJ72a7e VBOkd01GveqMPdF7kxJ0otWHk9k6URhUkTS7xazz+og3To7yHvJx2jRFpVaxW0HbVVB0CYr75jG/ MnO+t+g83S9akX33g/j6JSq1vKx8QPo7BaRGIcF/FVOM+BnUK8yhb+17w3j1YwnBzFQzco7IDXaz LTnEhUsCrExhp4yn4/LNrlnYnD6g4YlfAVbodJ7goJqVybWJoR5LhI9YBGYJVaVvWrNnmFw5Jgka F1WzXi/k0Tp/IHQNTtDzWnL1bZSwZ2r17+PZXOfej9zNZin2J5yowp2/1oaKwHym/KqLVQUKzoyk qf9Hh0bbfEkPE+ZcQuvClxhobbgIOLUSohTi4iFB78sC179sXFar85KFOSD1ojdYzrQFjlpPkgSb 8QF/32bTWD7k0pdzzjyrf9BidBLe3gNkMN8QpxMU/7PVhrtPz32khsAcY9e/R8eIYdoLHw6dDXat l3IWoj/pPqOj5A9nOv3qJ3IXm9WQhEJF+8VBDdpXG9mtVYLR1I39djaMw+XaSuA7ypFdNbJSMGS3 XfkrJoyGo4vy2TbESwRJOyLzKdTyznvdbZudh7TsDwHB3QbenNgRVP0vw7ewIsdqf1wsw6bGmuDj bvJN6JWLUl2ag6onBy3J2DIwmVVzF1zYwPg4RE9iSfjKYJVnySYiwsWDn8KlC85VjSOrh605TPQl sEgeanXU6G5Ozn8EEHJ+rVIypkqVLiqjvNOsT6wQXBmKrVNu72B3b5HbL/xc/RtWKkCrl3cAaY73 VAftcyAGNnpfGgNS9cmAsg/roCKEjU35lrYV2XBLHuFmw55W0ca2KM70Fri2O5Y4b7X8njQEuu9S Kb2jEiZBxn2b9WTtOUzCA28Tv0ufjalE4oSL26LdOQCeSuPDxQEIdC+ZSIO5IX4Ej7lB4fZjC3r3 gJ4xLnegxvxuoavT8yvvMpK69bry+J/DdTcFSRL8UKCiR/nt3rIo5Dn4Q5e6RbBppeDGWrKRRhP9 u3lBoRZ2VMnR0mhLujW/+F2Yjd75iSe1iJ+CX4y03nLL0OzyhTh1CX9u9SGBgXH2VBPeqJVezv7c e+N1R7jW6UD9/l1NwDIHpvK7iWC3CIw7RxKl8+T5nwt3uOg/CNUJnG7oW/y1RWCUb+h699aL/LAY Kvw2r4lyP2sTIpZMTCQzLSYGlqX5/06/D3p1sVxBVR2Zzyi0zQraLbjWrMp/l6Ely7Gbxbc5/P8w VqKTHnthgH0Q2zEPjSDNre46FuorwZ0v+JzfM4Lyfrljo0azYoiyC5tERhDxv1dyfixIYzoOGJxw 7hgCQGdl/n1K1shnsa+S3zuCdrw3nkMz0pVPk6aev6bmIlhIElQGoQfoAYNFnBvo9VR/nsTK8D/e NSk2MHKR6Rj+b5QkNE7Yi0w19BVcr15nJ0FM6RtUps0w9DME2+9K3LTlVIW+OATbqBNa/S9J9MsF NGhSzJXkAzXFcNw8ffLMapkVwYvvn5LGOyidIEiVzLL+Ml6TMuY3BvaGoeo/w2dXz8O/VdynHi6A bQ7LotZFLldN03vkzrqUbZGOVoM4J9yjYKvO0IlMyQwi62ZfEkG8KxC1cXqU7Ghc+Uvaxeuqun0H hRNvmTqSh5VEkSo2mjMXZ4dYLy5ZYCYamKMcQcz9vrtaCWTZGvOv/HhqYyynaruJ54wnqSu9PytO ttJI/i6ilPNRyScbDveSMj4d4lMyiVDTGxVLU9P5OuZGWsM8bja3xsVWyZvDraLFFMRcXQMbegEV Kxn0BK+0b4wsKCVFQwoRy6pBgCKG0GK9FLrxxAd0x/fmOKdRLMmbFfNJTJS7++bEcl04H48R4XNA PiNwEh/UqxG5mT5yyh0bXdfGmHUanSr1LN2Ny7f6ysU3Shw7Cx+GSH1VXco0Cf1H4QGpZG5rVemN obMWWhEH2VNbjylrVMjj2orIjO37vGlrqXz+9XPG9NRVKXpJfUwJ3FEfa+ouwzWHDww5/kXbMZK9 Q6/cDLFEqHF+EK53Ga7xklGc/ByvVmuqD9fWBmk2pwb4ACDFHxsHphZ1zo949msxJx7ikKYn5sUn dbEYUXM3T2eca1a3XKm13RMTSgW/6AkXTjF8tW/ENZmZfnPLWSGdSpfh9qsq5KGF048lNdB3kW88 GD5hveORJXyX1o2mrC3+57ICyJPM4kXo5HbRub5tbWuOND4VK539dsZEmvqpUQLXXBUTPxQYZNr3 yeDTm3Nf3MvZqLqg0g2YXl3z4WjlM+EZ6mJLdGmILHXSOeEK3YtootHvv6NDrPdlPzghyAaT2wkI jJ+kdlCb6Rbe7mp931txcIDGDm/u6Q+EyRo69trucw8DYLqUrlMPN3/AE850rWNUSUtA+1P4e0xN IFCPT4Vll8MxPMpR6NbgZ12ehu20g49CnLbBJ9A1hZnhmlX6vzXGmoqiinXfZZ5qqpr/Har7dlh/ DdLcD9PvKIulnrIeqP+IhQ8CGAW7gKf+25qPGeam6zGKTkEEkYxfTF1cNM+E4m0a/4bNikemhLVn hb879CzYGetKR9xjYd22JVdu9OjiRCeuPlz23ybbepGVViZfY8CsAHCSEae8AEQgFKQb7862Qbnn AnIf0yPtFjxQ8GjjBHd72MWlm+g/iS1E5fLgHJjXZ8BjwnxQlik8WHqfohmnzVFqudm0kgeRIbwr ITHoziNtJL62CJEIHSmN+HfvO2v8AB0Cp56x70aAY85mU/GNVxQF8i2xJwlyrySQ8/ODukKkqjFW LSp3Y1qoHK9V68sel95nABSO0rFwqG4l/JsZ6ZWNJorsLQt5/uGZlJmegn3aJAO+/SDoQ/arp/ui PpyC99cV2wxHB1U1uySeURskuMqyCp+r2xloPcILMxXMHW0f6weUyccj8aq/VJ3AVLC3ZYNBDV8Z ix8xU+HvOOupZMZ3jGnyCJmBvz8DDaFyBkYvPiUFa3umnVlfcnw7B5rs64A9Xo0RB5FvAiW0uOA7 qTf1kGjXAw5phm/mdUlBtqOgSs0pGHpmYCzFLreYpoxsFDow6Wp32aFoVgyxNlOJo4whjS5tPdxX keZybdq4Zz8YyNLua3FqY9IEh89Ic4BIl3Kqdcb0e9PZbCl59fP6a8Fk47fiYw8Y34hByAm7dGH/ 9kZ/AJUFOjl7xII9pU4cKNzXtTcueyFj4V03MHOsWqoz19YZ2A6Eb53OJH716MksHosZEeIf7IEG Yx4oTawOqjPqU9pS+oNwZoQpKJ5TCV/xV2YvHm8J6zaCDNiPiHIG6Otep706y4uQFT9A2iuRmD39 b/ATrsmA1Hh5VgShjgAi4uHAk0I0QpJ1fq4VyFs+zoBRjDUz1o8UA7758LU3J4i6wxgsvQtRrlpD EgbcSkFB9e3lr8lUNe3VIQ/MhlLtx4hbXglbC3HqpAKyPha4SYLIS0YYcp3pK84vxQPe6H3PeukI UHmvrORLv+Gf+FpnG28XFecmmXWpSjsqp+RlZKFW/2+7l0uxXy8BykdWSQ2oeDZea3ATsu2q8TBP Z0d+eXpRAlZ+GwTBB7emq5pm/LYuPg2RJ5QGXMOLN7WLuzVM9IfmzoDaM73QlZpvXXwXYV/kia+I jmBsQDin786VJObQtUaHHq5ClMIvVB5em1waY+OkBz7JEL2Iz5Vcuz8is8sGWlU6qRS+hg2+Oxib 4lUaEpMRML8Gh53UaO242wF43ee8FwOam1InA8om2Fdut7yKApEDYqj4phfiOldIDsLkOT7tnPEi /H+7iigG1v3swAp0K/eFN/2fwpXLws7peDsTXeojdD+AZ3Af5tMABT+MO+5acaxHTwM8yvsSb9mB d1u3FGmX5M+k3yfYSAicRtFi2zi71/ngLq5mstx5DP70+OPN7g93j7q88niqpb82J6c5XOZ6JDe3 /zPyq1b3Wk67ZlqijRy/EA4p1MffmJOkpdbY64e7qGuWCBlG0wH2JRWuMsifDAyMU8kcmSymrABj o4lxE8Xy4ZdNJplGPQCs+mOhzvXlwZLvT1BgIg9Wl+cxPyXoPrj9y1Whkrn1Ou6YMBlUrgEYlzZ3 zLq72Z6veSEVE0Nrr6LBrq9X9iyWGGkeXPQwYIDCqE2EnLmYa5xB8tnFSgFgVRy6Y3bsN53R80YS HNxIriPGjiRrsvvX6jUhGqMONI2pxkaOiVDGgLA0W5ERFQtWI47s4guZhvWYgTpmQ+85h/ZCcHZk bCjA9nOzRDh4kxxWk0KnZb9HkOxG7XfMdCfrpNZ97lb0P4IMQ/xhJup1vblUQT0mltgAWSisrfMd M/J+oVer3d3jLGhZT2JvtPSxJcibHfvZ8HNwV5I7o9AX1fWfrcwDK84nwlEO5wL4K6U3+/NVEt5I 4ubq0vqoN69nb+VzoDE1naIQXFF3BlXuQsqG6d8sWNH4tRp5O8jR/VLgNSl66smbSFYv6qJ4tIQ5 TmSzSQIAjKW/aG++/b5QisBJ9yEUe4q5O8V16JZQLCe/exao6OisSDyPLTOKoaYaiHUec6mFDvno xsjJ05CktNY2HFcUeR4+5weJ3sLQ5UWa+KOhWfnGXdkYHbbpEqhd32wyhCf8dD+DYtjb4cWcp47S FzdXgnTf00jcV28EI7RVGmxsBFydK0kGDJGChWtgEan68Y3AcOjPlCO/jVKRwLZf0C07vs1dM/nM ZiCTg6gS1q2acQ1q6m9MGPm9+7ln/3E+8gTrrCXqv/WoCDxNgJQq8aMtSk8/pchmyLasBp2/kX9b e5mG/9PhhF/gd6r3imoiCkGMatk5BGCqm9+CPp/SjKyJJi1W0O31Dk5RZbWWxc9EoSCmltQ0gi19 wAhbJmzHcIAOOAxHGbrMnpB2US6l0J5ONMcUQV4oXKk5vFlOSrhJDmXI4ULO4/CoEN880n/vJJ2R CT4zb5gnQ/myidk6AeNFWpR1oFnu5bMKpXos0oHWi49UhpIJid93/kK5hzpACi9+Kkacd6T8hJo6 p/JQuopAJr6qhH/UPKIGudsW7Ljw0QpIqV4RLUbFbvjkH6/RzakjzXK6xic2U/aSTPCnjQUKIiDn y5KVrDeGsIa386hrg7LyaCvO9PH63/aLKX0Vg++OMHTNdp1Gpx3YFBMbTOpiTnHBM9WKniue4lbm 4AbT1klCQpaMdCmCPQALkRpkZxsDhK4EL9/DpdLm1sZ1R8LQdp8SroBcLWR/q5k451hsyVojWWjY wdMrHx4fanBbm2vdWuuFGA7shodNqcEpdnNkcE/d6pdM/EpbOyJiZ2nExUW0NPQnxN5mKPJUs9Gy eeu+NXoIZK85jqrNq0RGan2CEeu3wVeLNeTKR27ZodRP0nyKuUB5VgPJYlTTFECPQrElFwllIecu SbGIVmGjN50BgGebYSoc/jjlFP2nWLLilKnBEpHVwVQWqtjdaO/mMd2BGbHL1+n1AtkNMB8+GZHQ dUrcJN+25zLNH3zghysBpvmjUGqzNRfLmtj9yhOYdGR77h+JDfm2aUZ7emx4d7v6T0/qZdyuJaeS HynomaN3cOsxWQmgeVpT/OOF5capxTWrJ2NbWPkXqcw3ek22sJwjvda7/So3FwMC9awkjk+9aVQl M/lY1keCK+TWp9nZIb38wO08XZPWyFreb2scMf7STztTYfRGd/2ODZ5e/Po6I7IMCDQZDl/A7YkN /KtRSarKSXEGRf2rFfRuvXEwmxFd2Z7mPmhjbbzznzSq14UC5Kb/EEuuUIexGKFxcy9T/uP3L8Z8 Ps3up6Kfb8Psxtf++2Noqxe0Zc9Zko5cz6fF3wG4b9YY2qJOzVcRPS2fOoKuyvvsrILX7arx7rEI C+R68GY1R6hz33T311ZIFn/W2YxbvE0vew70fmJLbBgWemS6FaAsxrqgXgRyGoDpzqzjIFCANHQj Pbjkjf6d0lR8qnH4UrOW7l28SjmyzgiUSbg1nI8FRJ6QCEzULUAUoM1IlGeBwDLiDT2Dvnd5pbbH aZnxXIBPEeUPlTZhIs6AGtGyJRAAogh1XXCSamdLyGMc4XqvW9/Qnl6e9xbVSaqZtZflDCMHEYFZ fB+1hUs1929EyQWFC6Pcw0uCpd5hXH91JzQqjn+RfooH14Ydo+gjbo/+poDTRQXDRdtWhUvLGuaO r+TsYdRzjCtqiy396y97gsuoqItF3+CS1Iop5mxAr7qbeh9hs8BqHKSigqv+jknvIjh9UWrWKHSP n7r5qD1pOKHmh6UkPdT2SjeQbTWdw6Nbzj4bt1ZcgFLL0cByUgr5ISYuWKy36v15g0h4ZyaMfxZQ VL7w3iQxOwHb7LuY1OhyLTf9uH1TPnq8Gmgl0aKgjLpMBD1/bMcgM+n8z/RjGcnUFw87dtneX+YN v3DpeuZSJI4C45rhF/aYhEmQDo/mgIzrtoA2olmtrPe/tT4XAjEcHSnlk9y+0+GdQxVHkBTVdyHG dM4s2Q8F/9eFPWTTMo3xIcftdHyuBPY/FuG6gWOI78E2G8WeVjAKKGSs5gvg0yr9Rokv8nLUUk7t YvQSTYi6TPd7qfw1EGRh9CtlpKlprc8Lul9flGpjrzVcltTgiW2g2koZ8Srf6jGjaehOqOEAcVMA ESlwXoSklD4vLVuUgG+18+R7YNdHj5tteWBkHKtt9/xitibUzs9BOqWy+5IWIA8RMBB/oNs+l/ot Kytprl3/RS7Gw2+V4guSud/N6fRvzd4SahpxQYpxgdaNRyLRb+vKAIZuBQYx0mrbJ51iC/hKqork I7GXxHfXDcEATuxl0W7qhi/NB+KW1nlbBOosuPb0zIlunOym+pKKFe0S/OhoZutl6J8DLqTqJRev 433ys9bupPccT3CqlYqF6BBLYg0e1ecjQCnXCS6EbWPdMe3fdIlT0K0DGIBplkkNMMgnmnwWg10Q rcFzvhVIfrc4SQdHchTzNDbHOH8fewpAfZ/6OqAEB1GaUtRD75Gw4IIwubB74HmvIVZHfbWuzISC ia5yZgBQrBYctN66+/xkZKJW3AHEca/343D1Sfq5acPrGQ/VzzMvgPmLdpWX5hVqqSnKyEXvOe5q tf+BhbD4Mle9eROygNajdLQHs7/Z3RYoC/SbctWIrxikRtkBKIgT45Lfwtaa5vtUNWTbm8eJQW3e Llp0/jU+u4EQqG7szp7dekzpmlVmqNdCSKFAFjlvdBJb+zpvIzNAKlmjcib16WFciZLs49k35oN1 7ksU+qLnpDDU0KhN2ht0JrZXgrL7xpbfakgNiUyTMum63yCaoicZJfbySpt7gYI1oIzQ94h0ntRw NYmPbg4LdPtPsPvqasqHXivSpuNp/SCOaUOpcWLsRTIsrCWyhtxuM+b58jMW4moN0ksCekcCEMHo JRmmh3CSqcPOil5tR2QfjeOYk1PAJEfw+w+4DorDCAK8XLRh6hO4IWmvF3RsvyXDjHAEyKI0zrv1 cgMJhYgNhyQeUw0jVAelc5Z/9TXSY+lnuOCffb4j2J5EGSvZkc+BtIZMNqKgBv3crJnwLlNI8S+L KLCWqsTMLERQuL+n1vyLYmFeb7aJA59KPPkQN+UZRYL/E+hh/6E+lsViWezRiUAY5Wy4nYQkpxpK hHn73n6bFt0/vCuFE02zUT/kBFcNe9NwjZAwpLjCDwfoDr8xhX6k7DqFFCXaouGqim2AxdtWZSWH mYoeTxgyfQ6AgPGXcoqf6zRuk6ZZzFsk8X8q0d7CCnuNx1OwJKL4xBOIlsVdtx/En40uboBoS1Wg 3l724OA/09ruTnioECmIAx2sKzSvh+mjuqdinIcK3BnWBGrv36+Txis/FFRLQcgKm+8Fkt9ehem9 XErQKSHfQXYaZAG/+rC2K6/f1tpDpSvyyluAEZRwy+ZDhu36Lb9MiGnJUP9UNbNKkP6buqiaWnfQ mWqEUNoy8hseCBKtYUqGQsDV4twpt50UuTUOX8b9i1SMV8a6pI9C+5gEgY+8+PXAkZV8C8aB/FFB z/Wf0k84EcLVL4+DLSoK1MVRf6xaHoQdCbHMTXWFH6cXMu+umidjQeQPVHz//f6hroh2IOR2dTCe dCfPZgl2K0v9INghJNaB/z4uK80gP1K7Sz+oH/X1Xx/RNqv84UaxzrvzWR8xGg1zTgzlzzjjk3tT Osi6qCxvWNX2y7A5JwCzKu55bt+PgLdRJBSlTvhWmuGFvH6tuPsrueE3xJ0nHLXx3RFOFDK1fCKD Rhay3iyLbTJ5yoxUa4nRmGOw3nFYPkOyFBgBSfdyWQmqY6EgSzvksS9L/+EgE1xTEmxng7PRXZMq FZS0y47BAyhqRtLX1zQW11iNWaubjzL6E9xIGsGCmmFfcN4i9v4/rr34/hS8Po//cJhJvg9/zILv xOIJT/P6SIB/BjMjOrtY6f1IfBbJVOyj8mGOTaxe1xvfG11Qf4rVAdm6dZby8hNsm5iYKHWCGpK6 9dAN/ycLktais1LtZyley/S8W/Qq7Jt5pNBgPKB1ROrjS0NrkwtFGCOH5AxYTRiFa+hYc6AGnOdU 9vstH1ujarVkOot9k28xz23uqVnA+IFOsBYzQ9aoDA58A7tYZt+0qMKB7yQkAWL6zeV3f4LPcnSF aL7hsBhuDZAUBKfZ2KQjtJDIjvMKSMezUiK0qlklqlZzupZZlVqjlY0viGZnpysudQNMtxZga/V6 0I5ZwTMjN7T7CeM/KiwpBTLcIQ/vZYCj+HRLZJNNgtXDmmAofocMljh+esFGayyoUCtndFskpIP7 k7S0OQ+ZwmWFaSS+By9jvNoLZ//kY4iTUjCdkliuhI9N8VFnvhzER5QV3Dp6I/5hTyEEZHyDNBR7 rz4r58VzFevl/PhHiZoH+UaOw8cIQo0uddAg5glNMZegxWQQiyK1VJEHHxE1wYSR7Eby4gAD7D7Y 3NNzb3RrCvzAPbqa0HvWQSR1G5oy4bOuMXff31eY9e2fGEAeJa6tvyhh+AOvDXa0TfUrW0Gy4ZqU AkrzHjsAmc8NxHAgpI0qSUBp1rZrcT3fv+ss1rlTN127z8k/AOgp5iUbZRpREIOgA0krORblQvGP u0Y31SdmjMPT8vE9bOYKI4xfUtYZjWTQ5iI7KdRwyVGjkp6ANH7M6dwvsRrsrTx+XNlayd7M6wce Jgx7YJv7/31IjoKQlF2c8dn0IzHin/RlGu9YMEV2eHCkXf/iOzkd1Rk091QjA4I0lzqGgJqHVsu5 JdGegtR/YklCdtYc0myGrXh90z4FWwSJWpThnUnhxe7b2TNm/auQoY2TbXfGKYZmhRgJXjDDHswr REh3Q2j3fpk/RbBzYT5UO0HPWvlAAZPadApSwwQ2Pfp3aO+o45uK/+z5438qEf4BDUPeu+OjeU+6 lNLOY1eKWNtHswpM59lu/Oieb50lTWk0FvIyK3K5kua+J5WqdFsQ5X3rheFa0GJ0A3zLFzI6RsOc 3PU8Eitysp8q0zRe2leZ2ZxKnqPHkj6FFE6hgG3r8CydZqJ0mCTBT7GLOfGT5nSHmGH+jnPDOvHM kIfCKT+ksHZz4e2osgDH6c9xwrc3htq8fwMqXsSpU4MtSSRAs0FSOJg6TBHXhkOAX8P62ozArBOr wBIb5atFQ5gZqacb7LaEwDSRN1AnEqlW/vznXKvyEaYyeBkL/gpehOr8wu+uHnlZ9Kkuf9eovRwJ 5H6L+N5Xaz0zfAXmMKs5GhTNrUJC3g+cCpvrXgtqwwbPyRA2DABgG+FwB6L+OvbJv+nLL/WHWufA hhhaDPK6jajxXVdOTqE+9VSTKvXsOuLWjtaR2aEIuMFRvsmnwZLmhmdbqvEErxL8N9fBMv4mcyC6 ildnq8taMq+L+qdlCRj2E2TWBDbqo8BPbjd4FSLGCu/GPsv2jrNtFXMpXNeJx3w3/NcjEI/eEkE/ BMlb2VilBZy/F/EgYNsvAjeEcnn/LrQBF2ZR2hiPNFAc6Oz5+I3KodFgtyksnPw/7aHRZyVuBB0q mRugUdS5crK/RdbviaLC9PA67iWl7l/t4Yk14PdhW3dyMst3IpDuhyleBV6fVm6KqaBPXgMVy5Fb 2e/dTGWcxALwATvU3CXKU2M4cyUvoz2ASdQYD0GHe6+i2nwsANLrRTH0ktnW+0qSgZBCTa3hmYxm QqxqJIfwGp8zZqpCwd0zCt0HKsWuNpaORauPLjiTg5fgVrGP5nQyYzz6U5SnTN6+zuNeHLN9pqKZ 8YX9NGx5eSbe4955WW1OkwFmBSDrL80utcBDo9HeAi504NUSLw25CCJz2+QNAzacR+i1F/IRBYnN iwE/flWZz/9RRKrIXmSENdRbebjONLqViqBUJancWmID6iSS2HIfE0aSPcA/t00lIlgRTZeHvJzv pk4n8GgBscbddYL3y25xT+S/BOhJ4IwNb2lRcMc/l3pf5BVv2wfEKs7kSwasaj/5VRRuOIWZxZDh e3WV8sUgh/P+UgY/c2T1k4fc0YgDBq3o6TmVUkeQln+Cj/v4r6LHZM5d6Qn4fS9oRUJyHr2tQ2Ns o9jYa8qAclILKbZ5LKgY74zSxd4yg0c8U3ah/qSUK4/MPgsWXI/n6WJbfuvQeC/+lu5FcwYIIpcR QDJiscwDJZVKC6v4cG6sLS5kiblla8xfcKGijHbETVRK3SgDMIs3y+JMMC+b+Ht7a3JKSK6w+7X3 9R5LS8dgKjyM4fO5xHD1qKgIOE+17atkgEHyl2AVeXKWGzaq3TRbSRVhjhtt3nTiY1roTyejiWNU ISzcwI4DwZE9M0nN5bbkUJDPkA2/cDRA6FI7KQLnGQeGGZ38qvkBqD5ThHrCHOK/PhYMag5g7NnH 54o0ArARbZ6ozjUhjsmnrFaD5AZJ31WgXmtEr0dsWW78TTD3TalahZH81NWOaIBq86Eg9rUdQzGQ AAtL3J8B/2i+M+0//Bccppe7mqzDZ3g/QDLW9yniUfpavNXuppEXeJ7I4+E+3iAEpBLIf6R02ieS pRwvedZm8ifMeMU+IEGOsphv6874VqEQKP9gdZXM8JroSDP/kldxFnpzuZxn6TKMaZhVy4LHXQ2D RCiAEWuQNiROMAEHfNgwGqhzANcaGK6rIimXj8aL+Qir4HtNtYfib8L/lUTPGBh7Mhj7STO9/Rj0 vbIkfdg3th5TnlBlJAIXA0qLTo1QrlpM0p5hbIqxL8HppGn6tj1cG3xcu/SwWDDCpxpoEuRRDwuJ p7gwyHGOgNHjox2hKk2xo/2bTvDCsYBmym81ArDH0Ts4O9G9a21hBD6B59po8MhHOeZynCRCz8fO CIGC4p6RXgIEY3JxYC6RjDEFJ6/GCyJfObqhWXdpljfO5l+sKoqv1MTe9ANbFXbZ2+zft1g6BtcX RYUzg6UhZ2uFjO9MhMYmIY6jcYy77q3HRUG6Vk9mkAv+eMx/OdyD23VvhkMhUnuGZB2WImxIY0JD KF3SnGLeavoe+JNq//mVgu4fJrz0cIb5GIRTd3NLEv4mnldaJJ2RTWcbROJJDB87Scgxd1L3Yleg RIU9pyGv4dVYvwtRmFrG0Y7LzHcRFYRWBQ4ZoRgQ2My+WtcTX573yhLq7FP0Tm3LE6sfLmMHSVko rPCS7Y/ef65yrHHU237DsJWMSdQupCKa2DxtkjGk6ELKxLwGQqScRbGZLBlkZ5xHKZslJT6uuRKk C+PytbDFBBH4ymDx8+2+hu9eBvAkWT/poONuGeuxGxKzpSDCsiNZTKAhV9IiC/+uohkW5mviapCk nOR0uAkym1uR6eZdtaTs2DkobJW+pv8srEbjt2POHsB6tDxMN3ZnHE+fPcHhmx41eig3h1B1TzW+ +KU4/Ad/vDgXCCiKB4GO621sZsyKADFwEmL52s71Xs66WTIdYke/RNpSV7dR6keUpePUovYgRtGk GSgpBVqLkIZ5JB/Da4dMZhG2o0lZ9t2zRbgpiBd9UPpIGuIJpmfTwWjI+RCkHUde2fJw2hVKx85L 9E92uv994K5E15+H71PquwQAWi/BGum+cgQRa/lzOg3rC+Z3Iow6p7iFiOo06I8pA1NH9ZSeDGtH pkCjtjZpvoR/2dfoxEg96/6DSrrzkXt3N+i44RGOxg1V4fbLzTJKZS1IuhlmeTfImlCkxm72cqYz sIlgyP4l6Z3wcwjUf3ANNIK2E4CeUJOAev8qLJoTHV7/NyJ4md1bvCguHnoikGstvQ6EURGpQVaG 7BmMkaI6BHFyqstYsTtuu2A5zo8QgKP5OWyuaZLLU8+y6HV0Ikdedc+H3gwXEo9hEYcwtsJPyHIS pCOH5xBcWbA0owlxwUmMdDJbhtLQvCWroBG1NLkRqX5LgjFR61tuYXPMyyelYepvCLgBFF7xqd13 NlrB5A/vWxNJNwb+hO1jKlm23ODoS543UjpFOo4r7PlrUF2Lvy0fbZ4J3Uqi/Hfzx2G5GmND6IS5 mpveSY6T07roZbmKPGmaF0aByTasnury9wOPNWeQWS5NHhrnRNePP6QtYAf3B0Hk30aot6qi9bXa vMTS8i7tgaVd9rslsuMC/Xqzau+jeCTQ89ukOnhBZ+TSexPFOr/l2z9Fxt++5jpanNmOUftq/J2N inTfLyYpkqXDeV0ndYdnurDHv69mapdRGk6QatkKKmtOrpY7CDgmKlYWTXsPSCQddKwp8eSEc+ji ak0XUupgnt2/y/QgFXmCuTbQDv1xvR36jCzg+wn5fblw8k1y+v7EuyGcz0cPzyyHvkZ8ddwAsNnK WfkfyLTo23SVKP4q1ahKEGoWyFRKtEaRsHFvlFrWHOoDahWsl8suqNmDFQ+btZ9EPM4G1BLpPkp/ IZLUjEknJP9cv4x1visQR7aeKvUZvVVTM+/6u7D+HJjBV47LJLINWLmL/04I0ujBgXtd7OYnOaUB CN+Ab9xtITWmPsXNebN+9KZuPlz4wF1Gw7aAfKy6uOOmck/dbVbZprbtKhtJ3ePrMPeFHrnfLZZS TJgWXk3QqIm99ouV5ryt5pl4lGZBdj6xP6BhT8MpizjVX3P3rnoX6z4S8T4AI58NoHvjqorE3HMA ZW6QE5GNH+fD4h5+MhKSagan4oLAkjaMDrDCuAU0bOk64RFnNlGm7t8eFQBOG6Y50OinTxeDC4+A yVpQk4ne1NjrpRx00beopsqDFsEebfBZP9w836nnXRuwOqbNSnx2h04xFLAuMcY8HzmQYxEn/fbf B9gV8zzxh255GAfOqW2emoINppOpDQ6vCybK7Kg3s1lFQV4+d1Hj1UvkdvexLDImEklF2QzGsB35 KIBL9BcvNdSicRqnymFokGFtCVUq3HOC6xq70ZVS8cOhjtuebDe/QbTdV71JEq4IBIhSSBSo8iJ3 GgMUAvdHVzyCuALyk/3ZLug18+Iq1pDPF6S05ofmq/jBSI3DxLuwWj++Li7rx8PV2qmsfgjtQLf+ mFqWLW1h2I6muUznjKJsQnRR/MoyqXK8WhbKQy/s0I8u3TqO7wGbox9DyCxh9HeYa+PP8p9NrvtT gZ5WnoBqMNdFmWGxnjmKTt5xcfZZlS43BY8L8IAq/Amer70di2usMt3G4OL3EEnVJDj/J0icAb9t Y5lHBCScnO0v+MN4iKw+PRQp2xUDKj0Szc/RXep7SYTk7Joekud6vfEy4Ve4R3RfjLxC4TWZ+0in a0y6ezc3PzwN9vT6y+aq+fDAdbGOHqJLS6YPKCRXJ+JrWvz77gS1N2THrZEvDPEgeBYlNUgJ+6IW 5mDkkjTo0/K7bMzbejtJGI5hE0YTomsDBVI0375FEa+UL4Cizd7LQxcs1DwzOS5kLbnkDv8n7sQH mNFb6j+H2oN6o1B3sNG272lP55+IvqE1wvaLtaQDka4qGQWD7xFfxG2PRB9mlZSvti/q159J5J2P u2F0IEkNXiOwsVX3NSFCHz2BYkNSLdi86gf1lL/rEHNNeH56PHyHb2GGMBfYWQ3K1X+UgcK5LbsF isbeRmNNdofwWZ0K+VbgDOTu7YK9pShA9bvYa+Jd1KlG05GDp0gvqpnIv3Z/GaP3KA7IlXVNzsEr 7HexpolyGfKXGFPozAwNIMwlwshYI2VaPnY+EbOW0DGQ6UliEyueUpzLoEev87cAlfGjIkR8td6e IBitolGHj3Hvxy61byVFIJNaBGbMkk6kCKbKfWMMLMSXeYAiL2vCMq6vxyPXkXGt6t0H/xpnrwYX B/IX+zsFbo96dNiB57I4gkYOKsLHbO/4Ft8Z2nTzos3hxD71YffJhoRopijuqPgMPm0UAkDl53eu NCbkMyUq4y+iYRLc1B0ZDrlNvUCbXdV2pYRVaArwEnPhAyU7M1adJvEu7WdqdNZn1k4MiFke6g06 r+TdtwVauAU+HgLIRema5cRUx16lKJYjIGA2i4IPi9QImpywVJIn9lGMMrfvZOkJss8yLrgC1Vdy BuDrlDQ8SAmMP01iE6nE4d9QxwrEqL0w/b3mTwxmEG2wEKz/6f7ME5NVqiPJsiIsXRvjGb18da3f OCb0lnMEGnurrvzHewirxDF5IA0JZ7/Ja5WS0OrHRBB2dS4NmEH8mhLvQEK2/QdrPOsvdcHn7pCP 93CwkMvxcO6swySu28cwz7q0Ltn5P1pxRQFLTbtgtynzw6MuzUWZIReOOy6wuL1+iMP4a0zSVJEs e1wMMlURwiPrK0b+lfU0ZuTZMlcCPUJ2NpEfMtTGGdcGl3GI1kR6XpN9mXnO1C5hWlcaKl4hN/2n 7Ot1C7o0JWBwfEK/F9xFPWgdOHnDZVuYhGaMKA9fl7F3AdcF4RYqUdw2zu32Z9oL1aYo1mjlNakY HYP13SJT7oqVtmP8XjbtHAwqfpK3CuXB4RKrd9EGxQdlCLZqjylfVP4OjuGf007Wk2lYmgkR1AgK nXmVIwU/UtU1VYFUqzyThZr07c9rm3kJC0qhF/a5UC9tFE5i6IiKKwcFqPx/tb3xg8PnusTsexm0 8lO/bpmA8I34CfVxp1bUEy9a3VbrY18TeMT86X3b5434wGWe5w73RUhCsRdHcFAwSlzo/44/rfeU AIXXeJARuVrsmQ90me3FLUNuhzwCQZuAiRJJTr2HHjoiHfX4Gasy2toVJJh2YeBTXhEe3SY8BoUr bog+1z0ynWPJ4y2e5YD/cVdtzLy0hopWHF2cR7DVNrFNkpjz4mZcav//DJ/KHLCq+8p9gYLkOfmJ PylSmxVsYrgmYdmeXdj76BEX/F0QOGFdaEBLjdpPJoZo75gl98ixhUjzoW1WvRkBcJJqHrtVG7nA YjhX5q9ltV7w8mJlkD+6Po3vaanVa/6N3cssFojgsBN0Ky04vibAQfqzdrB3XsPwcypcv64//1nV IuvRQqQvGU4O9MWQDxLOG7JIs8pl8Bw9imLg5Tzz9YFEg5lUh85AyJ49jr+fDc9cGEGbQEROtuTu Y3ahccFf6rmcTB3ozr3zh4PtczmN1uJ9LjiXXQOTIAyc2ew9R4be21/YsNmiV0y0mebu61VoFAfm z5mwOh2odFL/0oMtzj1Ed3myTX4AxaSoRsGOFcwnrMzEEmX2dGOPfUzYZ+u6In/06Gj11soqwkqP Kevq0AxYueo1uoGc7CXM5bB36qvZBD7Ocp30fGWpLIE0vZru57XohcRt0ryRLJFnQwRH6afTr9Pi NCgAJC8QDPAke81w0sez61ZrPGnAgVgDJEiUK4JSL95xmal2Qf/qtndNenTzVMGIH523q9KhELN5 HKl4WykL1LQMR5y+lQDLekpuvzeDEspzdbtEPIx8CJ/D+PHWyAOl56Emicus72GDVjaJyJCYn+E8 9WdIMTF9N5QlcQAnP5JTxflbKxtkVM5ToPsTyC8T5kZyEOa1R+vMNoKhavualhTTIT+i2ooV1wkU n6XeLFxI2dVuS8yQAPU0F0LzJ2/HfcZl/yCVNSMiNxXr01RHjHhhxvLoxdaA+w3oMTEgRlP0lL3d E+/RNWzZotLjdqxDL1R/AeEIu5u4uZH3D3aLxYOKxpy3nBnG75wgFBAmxJKHHcW90URS4U4qJeL1 utEHvBxLrtTbSXMS/klgRzI/HRhmscMVP6r9zZ2iG0kSK1ao0NwK1jUvON9jQA3oDXHzJr9rpnZB nV0jZWTUR6k7RftvYDDyWBtQ6SZX2kqq/JPtq1sjE14msQZFWPqskjAE67hJN8Zm3YULij24oWGI RWeC7NbARRLZIP8unLByKTyEk38yDZeNlIhX44tTclw2E7tJEChLylwzpe8WzKz45LcPLaLCcbUs IIwxQ/SkngBYoniuV63cyStFhE+WpxgTGp1QNh6/iV1gWOXHfylnNsErqFbxfxeOBIz89nLmHxpw N/PN3Mc2lK777loZAehQ6GwnTSzD8oxAmHCB7aB8DQivZG4mYW3Xs2JDMsmW4Yn9Hdacsfh7i8qY 7MZVz5C4oN0uKA7TKAYTNEYORSG24TKydQRJV6sv9cT3iuZz1ZLAFMbtt2H01mm4UGDZOsVGLgI1 hMn3/t6RF3XdCp6DDSmYN5C538dTpCHY+CR9CuAMWofH1DRER3TMQunilLBGoIOgpUtzdedErwyb gXQhSkfUTKTE7tkbyegYN9y3E9YEkP7z1V49kJWFZsTMRH4War2XUl9Qip4PmoUvOqlDPcKxfHNU tGwgnPcVyqwisgqHWnePH9mRYVsHX969ei9k1K4QxVFOzDmp+FmUVndWWipvE3Q5NfijfIRbBefj VXr6NnJ+J8inobstgA2b6/NOizsy1s0N//4LAwuV4qq4d2VeEYBV45V1Sb/uUVphjdIogL3hvRY2 po13xkgrPhXu/nIWnyebeFcGwpcnlXQ9xkKr7h/obWmIUw7n/mtIp/OlZUPQc9pb4l2s7j85kIyl 4T6LWdLOHculdH2GRG4963TJQ1DtA2OwoP3vm4p3ApQU5BuUf09POk5sV3RIMg+uIHIn9n2ebPkX yIQUYvM7OE+r3AFdR4brK0m5foMhAv5rg/Hlsrz46ySwGfsLIUsy6YuS1X1A3gyDa0BWsrp3GIm3 RNR1pM531taYamGTCLQQAOLKPJvp4DDsEnLhe0lJ7ot2mIYZCGjY1s5eehPoUrjs9XsT98JKAqnE pmV/dRw4ju3Gi7kHMgsvAydL8TceT88aHfd9vUQz/v4mpRIkfIDkZuV2n4XzPddPZL4npOOMK3gz xHcjlexdi9wa9qG4g1yi4jcCzKvr51O7vKHV0iX1l1VED7KJ0GkfLVC90azA8TCIXYsENATFvtMG 8o/5HAA4qeR82CckHFGGvLuBD0NmViVRf48PXiInAO4iDAequMNkz3tjK43eI2qx8iHhOO+AnkGe gQ8rres9eSlDWTfUE8DMvVXF9TuWZcLqo39MAbCzOdbqIKZQ0Od00Xc/mCDblXfekNkmehL9062/ vTeA5lxtQiJ643BhYNAfM+AL0YQRtrQEGebLPnZs5z0rDOjoqeeWTY4Kr0CVHHbDoeHt7trq0YTQ Cr7ubkvmVM/Hfgvy1DzXk5+xG67n6QKpgDStEAuM9fszXp5cTsV67YRU+v5W5I0wtx47BGNwjZGj aQ/Isa0RoegcLutEx6zlEupVLXjn+J2kQSYyqeBzqVCLTVRmUjXVxbRKAws6GENb376Lp9W/ojS6 QQs371AqkevZT9K4wJSpCcJ+pbB+BPqKXYSyt7Qsg70zW7WQBksCd/zDjup2P7lNS/KZhkzEjFwi We127FVc7eL0h/w2y7hvP0kxJDQQIbXqTXmrCn5Jo7SSSKD+ayIsf6zVKPPa0I1AVjnhKt3x6CKk //B2lK6gYCgrOGTBO+gFIg8XjllBTS8N88f8uFBh8MteQaY8f23D1Z5vIBC/WIhZKgeC9XQQTOj3 /ZoS3pn2+0x+khDhUr0GZKeXhYoX08wIvrIgIikbyxmWLPJPzqPcwYpd77PhJvseIPtaa/5agaUb sOL54AqBJe2G7Wl6AJcVWq74wdcBq1V1nTOFjchsJ1Mdj5Uc/kHJ6rFJqlsbmfJSpL/PCb/TYZMf hosBTrU9Jnai3byIkakTX6O1MA5gKEQ4OmRfgmr2ZJ0xRt5uE6iBJJ1bfFicOGLRsBMi1aWu7zFb ek7KvfDx6XeoCgvzLwRbBuTx2sMkYbalYUCAUv3SC8iD9UdugKF5r0bXNatQy7fLWWNUU6m7/rVp +qqeNbP4tSAXUzoAqlhBu91G2QUytBYbyV4lqv3wrq6Qoxlcy7tYRxjlYQ1ZeVa34eofTsJPsgjp yHBAYBkF6w15ZRn0VxImXiAENE1apVpR1t7fhrYVulbQCFNbGXs7JIKGKbXozNXxAqkVzDQkPIaS CT7U4gybSNyK9c+fTO6anqwEeOj96Zd3eExB5quPK0+fRxZtKi5x8ij+oF1N6e0w7mnxgXQ/FflZ sQtNSOabHYmqCOiveVWR+/pNiFbGvxPwcGUJE69FsO1ILPBSCxSKJnowYXcrW9F7cPfqV8d8XpIE DeFVwDU8zUi0SjO5RuwLN9t+3DQI4u9Ap90kjOd7HKSXZUSxG400DWjMR4TlkCI+9VL3M1NzVx2u UWDu7/C6mPJYuRbR8bB/GvZxt3GOBoYwGEzL2hIG/F26TXDk+4dVmzp7ProXk7vDzKoqR8n6b7sq KFp14MkYWc9UA48zZgRdnRxtbF6iFO6uMMYx0qPEibW5ZCFIZsfRZ6Tqg0ln1x+B1L2RrtpUUdoU zMPpq866HJttqVGn2U/EZokJOY5i66dI/LTx/iNWtGO9UONe587YZDmTewRrFYvncT1sg1GWHXDb xIY4jBZ2CJ7+9Mp8wJySz5dTFYesa5JBgygtilwW4OISp2DHnluExek7a4wVir/WHjWJ5m8TmgEZ rCSHH1iHTRsLIxLnUSnJwoR5Du1R4Xr/f9j2Zkj3wsvQrExOKmYYttsI0L3Nr7anCeYd3uXYPUtX RzaQBt/6WukZRbf+3zDBKTJ81W5SHvawNFN1G09CyiSK2CTn0F/1KMpM+hKctghnmCYIaG8Xob/A DSg+ZB8bGnfVIJQUjOYwabKUlfRpeJlkWuplewlifFV76k+sWsT34HMFHulRORO1v/DrePuenOi3 jTWV5mQ/ZJyr2DLvsu51629/+5X2r33Y9ZUDJZCEQQmv2PDrQZx7FBjP1tCstaeptCRPT4O1aaqx hrD612vsJ885koYBK3HY2WqaxxUbCsXUcuUAPntv7sotwVWazdHKOgNpn8tInKyAbw6lsQq8CGBZ BfNxk8pGRtWGSPPXYQgw4+VD06KjSi6y22rXMJ9XsQSIxhrK6jCi/Xii2PDnDHkjtZp3tWt/5ofB PCzlvy2KkArD5ExSs5qqzW0eF/Dtis6Dqxm9i2EqrT64L4GUhqBNgft0x3QmSd4EnaSdbHKqexD9 98J3NI3gGSQntfcESzFXMaiTSc0lwElN2MWCM1LGoE+8LahRhY3XH4gAd7fajBVnwnatckfpA5Y7 z55hgHX4paC2DSQY+DhJMYsIgCdG8dkcTgAxmXHNHlrScwyvIVFVdnSoNOxixNRE21qtGVLl7bD/ qHPW1bIL1TbroSnnv12psL1SF739Gxk+FWCZgveVySxPYatCk/c1ebwIBmHMvhxSfs3CbXcm1xnj q/nGhQlQb4uhA+KyoQrDmwDvo7wGtnEjuESC0Z7adCMtY4gaIjlRBEpL9NqKyB4cauvRAHYzxTrl ZOI+PntjIjdykcAqU61lrebUpTx5SWBTQh+TsvccGUjJmM/h1fersoe1+uEnBRIrxoHdaigLg4GZ 9mleh48EnCZuJLEP3VBPt4tDhF6T52fUuVeFjVS7ydNjHwqJjciheOFggOnxmCVk5uLlnvzitg0q 5z/Ib+D4uQh8IePFXkgnwEhZmcfEv0NLgVyhhb3ZQc1okVOPqawgXhh7YZ7Lf7dKh8adUbeQimjr e0F8UU1UhxWuN0C+7d6NhFsjJ9iIVN6gHPT9HqAtixXwdT97G6AVvv5saPyyyYqInqo5v2pCsdll 4COkIWOCe3u8kiVv4T7xYLaFv3yF1bL/EiDyMi6fVn4PcrSH6hlvOZ6OdQR1QjE/Hio3jqqv6fCQ KmUnWdMJcWtxDD+Ku7obBACowE6CH4JD++GeVUlJJlWunSM7rXvEFKDEwxoqlb5Qj0L3O865okXu fpzetrDBO15ZqsPCQ17wqm4lStTWfnQdGSCO4Y6+grATVJheUO8ZQyVdhLYk9f28f5Exc+J93Mqg B00tenWUTKBwrAvc/jcRPzIARUUA7SDP7WMdq24UI5u4Pv2g2VC5EqhAPXo57wKWYJomNH118Wqh u//tmINHSpAKmq/xV/EKg7KXO0rf9oQtaILpw1zxZE8PFZ+FIrYMhxED1UNPpK4VCI1QoeRLwIpX N4cQT58yi/1LH5NxZeiJNUfHIYxkHifvyRTA0+n5/SgV4Ik8rif5mJjWt+5EH8PcMtTSjk7xKSfO UZOtQSYV1npABekCr0MqKU8LIGAW1Ku17jb2KpM3d8JPJs8c0izA2hNNweY1dLrizVzHhwBJioJn GzGQrzZXWS34+MyUnsNaQvV7LdcDQ/N6WD3ztFVTu1MO4hiRhzRc78D+S/yB4oAbX61edFhP4gxf CBbBQncEJvovcDXRNRlMQyzB6dPdTgTkkl403JZcfMyyiIkA9whxhlTtSjnlP1pCmLGXFZxX210e lFZh9D9xSnpL//kcwJXTQ0sW60eXuBzuWy8/GWS4PJzQ3VWpH3mnRf7syZz9UV3jvQh1EocNP9KE PDtxnlZ0X/oukdP7VpHKfgZpNi3VYGh/XJovucTz7FuB81PySYu+5lt4IjCKjXo90ozMSln9nycx PIhAb5yent4wD3FFPyMTGJp5YZL/xY1x3y5JKuMF/j2HBG1AJUPdK3OPFDm3KiPcfrLeGfK8LY6I AM/EkzSNn5LCm6KO/5ydAg/3/YFYlWE2fHZiFZhTcNTVOyFLtkPeEVNPC5J4AUrUGLbPlk8D0/F+ t3cQRyHt6d94ENYIQTvGrprK4nAOBm6nZERhvECAVopbayt3B9QChSJ55HJn7xY6HL/du9Wgnq0X kDWBcGq7nmnrILjarEQnJAfMkIZm4I03Fy5TB99kKLub5rr+WnhTbhUItUKp3sP/El9dM8caMzS3 MjCT5lqrjvAJEEU9T4drqQmZxlXDlQ6+3bkOFSeHuKqzRGla0KvjCqcrAiq60vYVPGbvnUaIp6QV 29jztLq/mYFPQgj/PrMvIsjwXAzJ3Zers4i5FbsuWSDSTUQlayL2vHLFUtutH179D1mxCDX0CaTO NZW/uwFV+gEJcfIailzPDJ93VbpwSDNlbSzmloOZ44IWPMpfVHHV5P1vWc0HbKmtqZZDQ2pWo0f0 b5xrJkNRFvexTnVnk/hyGf1cvgmn2zzxeM6dOxyb4JZGReGkprLNS8qDHNNSj7Y41Hjws6aS8WmH Cy0Lav/SOFlQvF2kFXGsuO/KFF+F8NlBYlN4Ud3JLGy5I49oqpYUirJzIGSjwj/2vtj51159N+Uw r9XUEfLsxLWGcThk0wnC+UHOMcMQhwPzmrbSLHaIKaekrBP13AcheEAQ24XwM4elKXoSfdDR7uua 2Yw9cTiiiz0tFH8XRWUbR6KXQ4k89fAw5Ga9wWNY+/Nv49DUt0RRzuVhfJMTc56x89E+WdaSTdyU 587G/dqFo59KExiV7k5tEbuwwndw16KItQm9NouC2UGAf1JHzV6CJRq3LUdPxL/6xEcVNoTJI0gS 5KKLKtzEfEJhKcSMI8DZyApn8qYHRP/RZ0DPQ97nnCITlKmrXS+EQLoNB2+zRPGIqA7camNkLNyr 8Q3gzx3GYHQty1+hsV8fV1PzFUTAA9N4gPwoFwxPBLhGIu2xz4KY5eCDXrvQJ3/UQef/HYbLEgMT hjw9LVX9kpchrh9aPC8ht9+cu6QE1qQ0i0JUfdCmvtelAi6gKtK6NxCExBkTlXP3T/l4+SLuDFWb pIV1jt7BtnAgATDRJBxFcrgLPZCP/IoISGlxjWHLu8ru9FMXjLYerg+eX/1JZRIcDb2T4whiIlo8 iFB5sOzBIVmjl2Nkib07hfW4Q8eCeVAzW3hIW6f+MPsrkYJLud2yXdMK5tRLKinuHAgeJ17iYFZo Jhn3EhVpSShleKQ9o2O1ACnaZcbEdD5E/6ICsdZ4XonQSBR8JSLRoWKSnCuCYvCZLE9dfu8qvFHH 3pXX05u4DWdqwfjdT1fUnyjoGL7zkar0LmM6XGf5dj5170NvJoRQTNJgLLLZzGyNQqjPG2ocEC/e D8rk0kFHqo7Yni4dnQsQfTbHAB2ILm5xpXcjYNQXjHD1Ese/k7+fCIgW22W18Ew2LkTljV1MQJFe brw1jzUpgPlyCmmIgoO+kZ7cMKolQ/MWG+DZvL+NQvaykztr/5+fzexdz4iwMxoxnbb3Q1Nw8AF0 0BnPi3GBsoZ9wAyJ3Ve3F72FdGeYa9Jb/j35eaC0GH/xfq4CGlGGbA8e0+mltfDPFEsEsMyUW9Vt 4fFoTQGZYOlLfi0ha9gyuog1WSrAFUkUI/bHu5ib32Yc4+307aPkrEX2SuAfwP85xdYvJ0I1vIUI v8lDHiRvnzdbmBdrqhZKlg+vvr3Gr2KWJc9s8PbzVDgu7UJpyW7YDYirCTcY1AXCIgGLjIacx5vd mnhKVLcq3/dTfg1cS/09ckyD91PRupJrDU/MLlYiY68Xb2hTS8bmPmarKhJ4oFVTB5wrU6X0f9YF h10vcSDcnA9fQr8jMOmEdUekY6WqjZnVRs4ojtiZbFJ680RkbfJsdFB4yGiALJOpYkL1JPjcrnFd J6lENEev6pMUTWmEYzSoXit/EULqyLX0SPeMVP7Nq3i1lRrOqYYn3w/Huk/jICUUq+vm2nQ6u/1g GzfrPz2Q+6ladufwTNk7ncqQv8LiPE1yjukHu0uhzMu5wrdN2Z2kLJPTT+wLnaJZLOcEvuoGqC81 XRpXV9iItcKDlFf+HG8A7G/5vHKLZ5+UsuGrWIOaFNvGK1u8f+zvYfQ/dqvGbCbiWflI5hSj1683 xpvz26TDnNAKZ6fuKJiKAdVntdPXlZ5JPnM9OcUMUZhBdh/vYvwuYvlVB/GaFfUi0SVe5y2tjes5 CSseVTugwfWzs2qYY/uQdZM5apikPQInFud5hT8Lyi5vEGQSUk6DNmhUcCl68o8kllpCDx6Voo+X HJi8k/dsQztKNvXJuaiBWOwgxfNQ8gZ7jvoH5nXlfmombVfI2x5EvxkxxV6KB7erf4VNJL245zmq idjzzNS8e5TPzL/qZ8UoV5BgD+1bx7qzLbHLVjMEpGnLncxOIO0DrGg646O9G5l8tKarGXBIyfhk RpBPYg5zBTVzf3Enh9DCox0NPtU16EveU4R7zhFSLaOKExgPgoqqEo96yed80SU4Xuq4g04XChb2 ViGM9HN89m49B+NWCP2NU6v15WNXqkHh0BpN3FJg5sk2iLGlPxL+6cLpgi4XaX3gATalvZ9RKe/f rOu7F7ryrDGvD/ThKGgrFZ7t0no7zPhd411kL1gvo+SPp8EB1MTsI/8TerJY7kSCnFgk51PCx89I WA4XZLM8g7Mwl3vY7adcl7Vw3MVkCEpo7x2emiMEgHD7eiW4+XH9JA1Onv6+pJzHMu9ny+4yuARq dOT0u+LRYJsly2SGnbKChFKj/D5GMmBktrQEuoD30oFhrdJWA+XL02ZGjPIA4QI+Uqk5Pd24/K0U nKTZEfWpOT8jp1t0JrDuwdpjXHtZcR1Vhd4sTUjsafBWJIM+awnOFHJ0qZfJJDzLrJvyp1z9dctk R9ZffDee5V6pzzF0OL/cKiyCRvSGFI0TmNVpYi3FkhM8STaKKViriokTqk20YWa3JzcAq2ymUe9p aVR7ssRTFlDdcxb1MqPUZjDvAXfUiQs1HGS9AZIry2v0g+vqZ+OZ0lswhfFr1fNKotPSA3e4WmEz 1mLeTQ7L8s7ogug7SauIHS3bUxrcYiB9f1VvCRTgxZN3P6GO5/o/+NhVk285fdagBSmRqy1Ow2zu t/jqdsk4tVaN390O9KRXVCa2aom9ukNXZQdSYq88Ag+F9JZSMgYghFgAAGevtma0nvtXiUbQua9e 9BauxPxXxCCn35tBdz1pQFUIZbVZybSm/23zbC8QP7K0kaWOwAjpYH/bEdAV+26sWTmXmA1yH5c7 AdULOnZQADf08juUHuuM9JckdCzmkyKKTKwJURpKVPFj7WZgxCH9WOBeO31V+DGFDo2NDZ2KchHp DIr23FmVAkYD82hERs/wGSTdylp2561dWIDMADKMSNfM5jGUxMrSMy1KHDrpZKaLn7OXRPNAn2zj seCNHVKZZ6pcZ2rKPkEFdYkzEpLRag6HttzGTgaHhucI8eAFBLjadKeLhPRZqLZI1NrKxmjJ0MwV i5UGoxrbBdOydsVZguDmKraRUX8jItRCBaPnFhMGVqgk134ai06VKx3EUr8ANMtfWRuEcNyUqKAI 2exMnx0qZxZmOrvqgMKibrJxliAC5QJ4aW5vtGm6mNHmkVHV0A2HY+r6jM/r7PXElNgqr/ipf6Ho wZtB9GXR/KsqYloqw6mFtwQzzltX5FlMHxoKRr4R/GAy1yz1NSfsgSZ1eqJ/43akAFP2DeWzYLTB oeUtmK5wNt7SPPV56jSgcqcTPcZkf0fR8aGT/zqQiVVaJJQ7vzAhyxFCXEMK3MuykezFBMbQbHZI S/MA06k1PFZVNFUSVoKXRXfMluBjgRaL++gVW2yCW28LDx/dHwyzsbv15ldmF2xD4vbiPBymLVRU 6pi7ezK2yDQbHOm8+KJqI7/no8PujCMtnCnypYSMfdDBuSO3f9xu5AKfdgCOJEibnHlI/PWu2qe8 PbZ2bEPXqRumy0A9CYGzm0Ayz3lIPgA7IpyJ5kDkXRbX5Sm31STQ00z5uYNMbqTYkNcQiv4/29Sr DPd10ElSv6CZ6FA7h270spPrsMTSfGU7LwpHmub1cmbuRte994XO16hr/O/rk8WdugrY8jDscxTg hM7NVy31An8bojpw8rMF4xybDm8wvewMYOm0CZmYqeS/0Rg2zIqZfpuXOCLTZckZ+ugDGmYBiS1t wnuC1QuY3sNEeE984WB1tjeivxTD4OLW/jw+1zlVFO5VWNMoymhnMXMHnkDRSvyiWN4knX+P1Kzu PuX7Aw/HZuEzKaKKMZwf0T0mcfccGy07FhL02elnqX+WJc2sQ3R9624sDvU22DzYxicSkPrpy4JJ cbAF6H++OYdAzpX35QdWVE+OXKL/FBOU/VsmQZjXa2zUVyP7ZXCgccz5eE/eg2/6zxlQQsgObncW iWWhfV0n3RhLHd8TlMxwZQnaxsXvBKOITHRYWje2doz/iWgXa66R0YMUW7epxUd2Eh7+xMwqQ51l cFLZlaQxeZ/w5j3WY/u3SwpgMhbF+R2nsFCNxDt4UYYwIvMl6mXDRIZtJGiLGA6kwognG/O47nRr +XX8JZ27PYdF9tghwa4peKc7V4Lv0MOxHr/mXQTSt9bQ8F7IILq+VBziyLBiLDATaEvdAjoqgWam MXwr9UYypmO592YObOoSHG8QvfM6po7ozgHu5EVOD2vMkV6Gn3OieF4ngY/XmXXl5kQF1kD1AwKD 077eKEEcESx56eCVNPMIanbmQyJLwDB9kwf2tTBu+9jXUE8st9NTj0Jg8WdI0Z3iPUcDBVsO79dU t4lfNEaQKNrxYZeDBADlTQasYrGYO3cL2TGZ5dCtREEzmVgbMP715AMiMEGt1fkzm8T5NIjuPJ7C DHvkJMHNNIbNJ8HZcarFHyi1eEBUfbSdm6Daqn3iOx3TFG+Kl+ubi8JXx6fiRl7ly1UsuPd91N6U OU8JFL37J3nrEGcgIWZeFDLL3rYA+gOMR1a8YdgNApgcPLzcDGax9mvw6RKl0fBo+EPiM9M03QQF r4DJ2SYegEX1kQAh/e2YSfDcdb53yvslNvs2uNLSNpsPwK3iS8lvwiea1UmZzW/Y5HWeStMWGF3d mowzv8RiZHNFIWuk6ZAO4Qwj/WHQhF5m3JQFFSvchBDAjXLRMIxeaSd8G5opHi8/TjCNVuA8Zu+J 1WQGIpP9TgeGfpERwVzd6eQ16VXzJwuIzg4hYoIHIQsrZt4abK1hvFyyoBFhmAKM8a1B8sP2qorg 3Lfoe3+RFJWAkYccz92o26c+EZG0g6XQt3PxgEHxQ22Sb91+1BQyN5sYpvuu6BRxZ5VRnzrdjrDM w4zwNwhcfyzdoEyQ9fpIHXDd/5+/PZ1D90OX30EqekKlXHiSUCVW9UoFDAutBCNFQPS0X10Ke2Gf PriWn7ZRSgyCKGTVC/JRSP/a2ipntrDp8NHXPuF59BHDCn/uFJo+3q3FLLS5EF1aRyZhBcBQaKF1 edwLpKZ/r79N12KV/CyqH8O5g+k2NL84dnek2iqoF1plZZvPP1LpnWf9QMHEuVZf28H3S+0x9pC+ 2+VE11KGsh3KicIEtLgFKLfsqgA8a0GN37IxLYzDN0l4201NimdXrgDb6dUE7aTCPMbHrx5mNDHb SZ6bklzGeojrk/kn2hzIEbpaaQXcvTu7oWEcNrmhsXoBPq0IXZ0NwtfgVp1f8jPdhJQHF5vv0db3 Uv1P+LmN+Pad6DtFhA+ZYg3q0sZ7WQLxq6gCLDZvgNzgLRHJnK3Eq2XktUzY4EAtL61EtGvbQxE9 yY1SzDQ46sllhH9IMNTlD/O/5IPQDbxhI0FcWRSJX15iGDMjDDkXxP+GpI21mWW8dxuEi7SvZ89L q4pC/g9sEmXoaHb5BVq2xmwUnebsPdNhKzs/R/3ZTmBiECrj7mjoQHLUi2EzFMVk8WyfRv6xznVH 6F9xAMesWTf186XD37msJrUXaWHbq/I347Upkf9zPRAJQiEvLayiOJsx9YR22/mznCq3Zwhbqp4y TPOX27clahL+gDAvTvTbqufJLtWi2VNN/1pU9rJA8X+CjubpMY9noJ3yhhpeCPhBF3G/J0f/wwyr ggx1tu69KZN69OxaivK5xMJzViYZCNKmY/t6prBJgLH9WTTL1eOkw0xyHhgKWxDerb/H++8r8qQM a35BJK7PrPSpvoAk5QAMLSU1qgRN81GpICtfNyg+y/sOQixwNwxcLcXEjpKHb6DActy3BrL2fYS2 mLpNYS4nd1StXgRHRqhkU4ZN/NfR8AF7n6PrU9NKci0UPcSjTLy293JwaKpCeLSnowKKumdSxcq0 fJhfDUOm119Plhh9yuoKGvSVfHhtZZQFq2jJxgekNElCXVbd1L8pt7UHz5Ma3WRiT2rZgRdXY3u5 Jbz8sfynCFVNUlD5FtJmpdsN7jm7BxFemF8j4X3vly8HNx7uZNgbmEHXJbTM2CBay97XtQo+LBxK CT+UQBHTC8ACE+Lt4nCQxzCYg0hIls1zzBUqh6UnX4Nhqr5qOL1A7bR5JyDpDjf54ElEaWzkl37u Sx8yQDHy0byCKvkaGpYD4mVRaTNgBnJwQAQldruSUD8bkdCjIJCJDolEHTl3uEWxcVm+AqI9XT4L VeDmbad1p8CfV/uykdHUqfb9dX7Ngdx1chiTP9g706w0M3KnB4Y4hv/mQ1jf5zyZi0kGglm9tHAy IKpTvbA0JLXIWAq0udyeGaJzbOKZCiQqBXZYHISwUkAhX/c8taEKRRVye6gxQCMzZUgcHd0ZPLyC CfdbqGtO1KOKcWy8ahAgtJ85oOdRk0kN8ZU5YfbUYg0IIT9uwz66XOaartLS3ARgH3EkVhzwR5e/ vBd98P+QanavkvWvBOuwRqunUIeqPRqjvBWHDvCuU+/JbFo6wAfe2aVdzOWhEU+8mKFHW7IYcaf+ 0qTUvUu+S/5+a1bb42MvNrpGbADIS4cKaEyM5O264s2pDDPEJFq0kLuJSYEuPjXqImrnT59H2TKg bpYssZOztmaGbRVyaTVAJZQqx/wuavHJJTnaE1+B74JIEErv71IUUcFqL6CNPE5IWqJydnkZLljF MX1rmRWtsZ9FIDHXwksJP3mniETNKcHFidfvyHMN++L+holIuB9TmD1Habq/jukyYPTI2bJsU07u tTVLQ4iAdtuo52quulF2xuAG98338yoCLmLyqBnIt2fqmYdbq0kjmc5fQhHVtBCC8ITiHQYy4PVp f3CZtEhyfeqgs5kIowe6DmiFr8jpBVjQ2+Ggsbm3hFmsHSuP8uVOjY2+4mikCpsQ+D/pStW5oJEb BGrZUWqIaofEC1hjGHP3+B7kYdTsUD/EWqWlFiwlHh8Yk8415CvZgWe8NZ6nMcjQWvmGQRXObIpj 5M5P/WBFdrcheR96RKW8oL8HjgVHj7IkOozlqgXjkM/zJ8BPczBbRIHE9YAmCpUVYxGy+woTBxGg N2shrnHR3QPOav+ySoxotcoFqbBbGx4NgX/8OcaMhq6ojajFi4v2mkWpBDxry3RRgaAMjYPEN4rD GGC2w1Ky6M9iye7q0wGrxGIp+ytLNZPcVQ+DRZ+c5zroxvj0l2bH6rWhxqzm8jAbGWNHsRzLOQfR UElr8rYHNcN65cInV9105YLciL/sK6boBN/tG8x2ZGiP+Yb9v9+VJCNJW6xDp8A9WXVjhJykcChD Qdd1hLlHWmBmlJCcOFfqIRhZmf53n4VvTKqCnUrB7VRPSe+Na3vhvZ9M4JuikbaOCUoJjeBkoYb2 acOT9U7/rjBqEgsFTh7uA6pF+4COcZ990ez8sAd/oTjMhy9BKKH5nDX2WKtNeARxzLq3drdLuCR0 D2eAWVaewdcSSzI1c0KQvkL1cPLvcK+RTrvByYpPAmA0wZuPPjv/ZKBpLvQU36HVbYqO7jt654kv ECIF/jD8sxfoXMIOY+89ywo7ZQ8ha7d4ZDgDOdAnDeqw/bo3IQNVFiNtGpeXJt2M2q/6/UlM0ZjK 4K4l5B9d4hAWPHdkaah25nUkDaViufS9RuwdiDA97fNaFR9mg22YX05aSyf5ADjPDQMN2Y75NZ9f n2rvCmZQK4n8x+UOKrTgE0OzwvBFfnHQF1sa4IyM6dnW7J4P0jRJlGptRketxQgOmisDhvKRTVgj 0RuFSvq7Ml3mh8/vd4PzZjSY0KYoCwpcvBJgHE+G4NuWLwjobBUO6A4l7Fv3Rnz4l2rJnSUCfeWQ y2wIyRo0MDbI1IshUAKvg78pOQciKAzL4/shYKkrQoGxljbDVDRXkR1+YPszjwn8kMxsIK+pMBBr bRzvHJwWzbbh51srG2RoN6Kh7mkupAJ1aBocsfyZ5fcP1m1B333txdOUr09s9pDAHSVOS9KjOkVJ 3rYo4t0EWZDZ7gUBgmxK/P29CwRWTSU10MMmqhIkvSGMDpbWflBU6XrI8Wh5xglH1GW34V9SzRLD DSXc/P81jd4JNz64y/APcLMp0gSL/ZBmTED1hgoq815O8Ip8+9hK98cZvB8q2FN7UUIl+4EnLyBT f+nU6GZMMb7yCgyaq2rWp1/YAWkXRIBaShWXpnQE8CeU2fbvSP3FENlrzIB+BhowmBVgpc+omCAK kBkW0qQIX6kMl4WzC/fzh5QgP26A+hJDd1JG7eS9En+U+DGPN5Ei+f2CvpbiHMSIjkoCyA9NPODZ twC83dFNG6q4lz0N1EPg6cIEkJRsRN08CALk15NDdnExrQ5nxFEXrMxj6pVapJfZkd+lW53GiIwF MhhMUrG9umECTm8x6ZVsDEBx9ALyPrslx4jRbd7piV52Bctj/QeBaoxIkE/uPPSeIxzRjurb/1dO IcbKJFdskiLShVIDVI6Zrr7caq5T50UrFKMaVTRn/nA3SooEhwr2wR5N5EE5Ad6suBQfN69AfxJP VMSwnUpsiw9y3MtzIiufUlKJPTA+Y9eG13A+U1xgix4taEzGyqdlxd/6UVxVaFEnRf06VMXy4wLN mw5NaMsKP3tcHktpzOSXzt8tkfMonmurcjSa5VHtGT95Dt4wWA7DEC4h0l6eV5t/Zsy3mTp3EltW epEyK7kfql3jI/XBP0AZ5qGNetTwEVc1km7d7HiSs9qOKdy1bdxscAbIV/o7okfeUW+nSz+OMQEa j7Lj5rbDGndXQJH08uG4F1rOw4zYhV6ZVoNWWFAJ83JwmhGe+nGtzDyYLmMqxi2kwIS/ILqGP+im 5eZE5KwimbTwcjMT3J5CmEAgmBMTCLi0kiA9FA0at8VK02BIyXp89kcYj6Hlncyk4cTHDXt2FqvD 760G94lnCTVA6PnxYkyOeafzTI7gMo10knL0/smSsrI6sOmmcHo+5SeL278tsbo5dsfKwBDY+s8w 98ASKyxy023S8nzhvYX3MQ4oEn6BqPlxUiRkIcPO53cwu3q8h1hkQdLncLktagHM3QMwmFtmhp0T V3LK00K9SXwK1w2XEYeLDK+EORm94Q848bpzfBjBmxWtF4a6ht6/D2cQ+g3Jm8v5jXZDpAA9jZix KF3ahAdC+XPAlKdLihfnWP2TldDUnsSh1ghhRaN3jPxAnxmlAv0b3CuhdNJSJ4wfHy7r0RVyfvXV cwTjBUufwc5VLR7QoSh8iZp6adaE72EPsxcMxmcjacD8qp/FXAF7PQT8pW9rUappb+UQ0XSYhfg1 ROzRam46j6S/UCcYrmYNy9NIBGc0wjFr5wZdgJN7cdQPF/VMlCmoZp7nY1kPo8zp3bNdTidvaT3F /uIDyWsSoQqgwlGwXij1SdnFM9iLqwnHu+3VZ8K8Eb58vH/3AWZlcLiXScyqsPwbvhWItMlTckM0 9ieFxBdmg6cVo/jX0CxRHYNF2I3eooQCwabyqaFlmg08f7BlYiXDlcfeKSE3G/F5XUdJEPTcKGrf 27dYUilnAzVZaHeOFMDHQgHJq7Kc3IlLFLVcWHVG/bI2WDM8WjTQlRifcriVdUAQYJt33AUIrt5z /H0guU9lBZn0zCKK+g8Nk8ku7F+2PWVYsmDK2YkQyVqClTVLuORww2JagYiARnEBBMOmmweJ06KB kIHZDse0VYOrPQJamV94FyKjLZMaC8UHh5Sr4bVaj4Da5+kIqI1afeTepfINm6ifOHChzsjZcw5G MNZP4UsHBUJdXdvwoA6LUCDa9bMZLd5fPXfpV+WRgKZtt7mL1+crW7LhREeI5I6pAM7GKro4pVfG bH8lalx0DvCw9iY8QGGogZRQ1HbK9h7kFQEVKPyE/BOtvwlmajSmkvtqKi4bn8qUOIY/We5Q87sv GWlOjjcZSkLaBNCqnLDQxrpI/eURJ8rmg+A8eUtod57Q6RQ/QTyqmiLSr91h2O4nHWqGtPx1y6js rC3qdiQjTcteaxv8kaqf7pVjIyZVNoiGOfslUDrkBHtx8RLQk1+sRHJHcNQU9M/oMvzspd5+ljEP RoH+U95irTstZopAGxEUt3gm0XdN+uCHDQXkogDM2WEeRX0jTB/UhR7KR6am+ey3YxcKj5v3mOr0 i2m5I7j0MZherywZImQBxmAK9wC/2yWYtv0OnpRysNO4gZ3BhAbrA6mZlVMbKJwmxLjNIVbgiI/5 rXJV2xINLo6Qt+Y7u4bowgws46WGPz7LZFEzbLBpWipMMkLVIPpBqQK6krTdovDOTUbECMkVvAFV E9f/ykCHkS/IpLZc+W8a+YYHqXrnNp2TNQVk/DuV/rWCDMIM4TxK2mFXHIFIZgj8zpb0trSMFtmU J8IrPpiE4/0XGkXkhhqhxeiPJKkThw1UCzh0qRgF+2SHMf/jkao4z+uEVH+pn+/0dFBVRUg6MKl3 gurI7lIPVESCo9Zx/s6kkUrjbUfBZOkpQp1cN3PEJc5f2bVnVwJcKgKXf4wscA7RN/bRk0DTb/wA bkKsqEuwVo1bcZY4jp1+HvbehRxE/wV51InMKeuSUHBw+Y/QEEGl1OkQFktOR3aIqtIh3nB3dOfB CdqTnWpuXlVqbEyjFH9mklLI1KWKHeyeYvxBIGbQ4kg7BOBfCQv52yiEkNBjuhu+16xp9XoFT0NZ iU8v0AX3nHg/cR4a2VLTuTvsOieM+rYqWP2lWGamnE+QtCtSAsrHS9gFe3yjwxEF7QglRzHNxnU9 9OJVNFuMNBRqN2le+y04tig9V9Jy81/eLYAEhvvbeKeqq/+1118CsQIXkITCppLuz0ojIiMEvd8x RU/B2TJfUJ3wblTeM1mHkYvJvsZpLj5suKlSTD4EjzXXcf9gMvmGbNCNaK0fbOsbRzFEqCDvbjlj u+RXZx3jO1Dpypzj1BKg9KwHt7+YVy3b7evw+77INUbtsSRDQZPp+GoMSirCHb4ZjaKqUjZ/Yh8K YCm6BKZJYwi6ONMF8WrvnszWg3eFHOqTeJnW6D7QKGNFKTbAYicVdeZQBeS4RCabaAqNObEVfMYT S8fNXuiumOnCbC9+DoK3bNbpqkw94+/Ne4Wmuz/9qOGQvzKEASddqAemyMGPmM0gfbDtg+NlRV0N oi4d8w4jjebdWuBpd/tqG/q74jJJG5REQdnUoI2mQbhPCY1ZAUUIVwFFKcgMolKroR5PIcacUdIs fYGgVfXsU/me7TPR49BT55srTfNyXOsbawndXgrlA/N60NpZ/UPXO1AnZBQAX9ij5x9JB1XQbd9v f/XY7TtxL3aNID+SOJq7saSZkScqdZWpnRm8Hco8xBbUVJ5iSf7+MqiAlNVWP0nTTaHYzjtusQZp 4w+e+mT3RmFklfg64eLD2lbMoYdJK/NlcRxpawOnzdJicll9R/GBfJ9ORYBTjrGMf3RvM83WTv8f FtOQDpCq8I8ET4rTvtYWDnyt8oaxD+OKZnPMlxhaEfLfA0CmoTFWrt3m8P40cO0eavP7AtFpcZlv eMXsBW/98PfarK/EWFPktEz3pqj2f2bNsUIB0ETtlClqUr0eItuU15n/MS+KlWe2nXzIyy5AZEe4 JKhYq1y45DSNiz0fwM0hFPhYOEvlHNkW/z7ZKhIuH8AK7ZsWBDXN2FX6xq8CxOxH90PrgalcvX40 kxiuzHnCN72IUp+vlJRKlHUFJ5ciQB9e4OZsWp/dYYDiCTMGFkOrasIQAUo3brvnz4kLvp+thnZ8 dYnukqf9I5nLKS3CPErwWuRMF4BML/vMyGEoyAnAz805fgyR36M2JQNYesAWpaKoYlV+T8nLwVH2 CMDG3KBZFTF9Kn4BgV2EMHfD9JDrankX3STVm6b1RfYas7hTkwj8WZEHDUUD8qdsVOPQl889H4Oz WVzTskcKULebYKRczWV7gzcv5FSHXeI0uZsHWEj+GPO8XPPoFav43GrPHoCBLoIIcekTj0gf13MG Fm/8ns2RwAl4FDYyST599j8TXi7LqE2C2MsA5UojyspFXCiIi5TpPdg4Lpn5fZ901tAS9W8jHyJ+ NVio0IfZiWkJBS4ZpYBV3bIJe5Dn+KpCDTTpQ5B2K/sr3KYA50kYDfnBEXPtTnuAP9s8ClYTryJc Xh8x8DlFsbFDlxpcnI7hxhfq1LLREHYPfsvvXk4Kzr1MI4y7brKu+M+xcFxPxifFEeXdH10UweGK Wy+XFWEaLAA7Wj0d9UCtb3wosDTKGzer1UeG/w1qc/isJi5N29jtU1vcPGq0f3n8QzpAVBq8IMFw eYTCam3w9AQOGC7g0WDINZEMc2zEsXToEd14cFUSK8mT+18LrnDlc4566xjyxzfr6lj3WkM6025G TRE1UBMKqs94QU+fwxwIIZkCQcinUkeR9YNVYD4ghtcIuJFFYqF9YP8ceHaqHJgaXyBlYd8+ROjn Ngw0Dlr3nbZU6W81m/VQhbWITFPhQHhfFox8FZijOH+GjRq774Y730O4V6oekok4xOktarUmForT PjeI9jdnJMz0kC5sihClS5Zk4cjs5V2MTS5iKKymVcjAletuLBiZ5cQWAwL5RImiFeA99jL+EBqU e5WSxz7BlCoEU19JLR4HShSmmYs3aq+UzuCYDSr6F6fH2yeimmd847/pJrXY/nvbg5X3m1p71ju0 BpXoDVhJSkZTHhC2iX7sUiROz0eJWav0UlLllnGpWK8gmFMrlXErXFZb1R5qGDq8p3MzZpzCv1sa Ee5uc0v+fdWEYhVpwdM8M4qohh2Vw4GuVQfcUZgcnF74eO9OMicAUm0ctKc/rwMM+grXcBUqXBAb 8F0gjxMMX1JfDGo6IXQnCm2dtWQZ3i98514UkkatdKp1HZ+Yq3xE/RPJwK91n59h979Vc8xp+TYk TDyCZayoNq5R+GzeiVq77Gz1MWQfXmojEbDEe2bVwRFGZdi7UgAkAZqwK2+PCH4seUS0bhqiF0w5 edjNf9rVa9TjWusLFN39zKViN5rhWr4f5s/lqbt7utkopEZ5S7UTpTwcRXK9UKka1zuUJQ2grhkC QuC3Ev67Y8U16N6HGgPD543fFW/tgyZ72npIw1ww4QZ9icLDjtMC5AqnmOYKLCarYE46myh5H44M So72TUqkO8pm5426DMod7Aq44Whhr3nca8n6Fpzycwnifb62HyUhowpRzRGNIOvX5mq6KH75qJdt 31k6yYcMv4ll/mvu1frxnxDZDqjLJfPU/Ke5F1jWODQl9AQpdroDM1V0Ry3uFy3YmnnurRwk22gP X3EosUAzwUi0I0Z7yknkrVSmo/JG6gdgOVfEbJLp8H7cpta5nCfdDgGrvmGK1Wio0zd01eQdVyQ4 tvE2yZVeE95F711ybcHIQBu1R9ToFADXsS7+AAgFROO/WlwBy/94vDLHuWb8PSEV7vtAFix5hoxg Ipzuvh3EOTiR6YPo0Qe3LwxTVkKS5dUEotBAVYup3f8ayA6GumiQCWwY6EEMza+2P2Zyqm/ovkv9 sA4gL0k+ZCQlOpbuXcgi+NFI3n9p0DbAIqEJRZMqSbY0pkjvkHfUh9wpenra5UO6PvG8Z1aipS2Z b9MhJ1Ik35EkuMhZBqBnZjdIZdclWelJ6EBeRogccWOd3DKDhG3orWEGSMqkwGGAMbuFKRI6ZKtd YFM/7WOGTAo12sJMmBFgwlGWqZH8O++dEZkpwDYCfXxL52AwrPcET5rBlxEwnFdq1nyxlVECr2i7 LSQrvbtkRDq41ICGcVJP0YpDNdxqAFixaX7QTf9YbDwVY3ZJvqcuYZ6Cg+1VTKzOPucQdjSeA01e nVP/6pJHB9nFc3oHpMQRu6DRlzLWjwMpPl5tOZSF5zLt8oM8zpuy3Qpe4O4AtYCwBYKqbBECgaBq YFGSRyocxk/MX7EJ8aasHMqClymTRCNzIptTsYyaRR/7lYkmh814nPrABEeeJ3Hv9qZHgOC//6jx 4KS/FEfvmLsxaCObvN1kDofuKRcYdUFbnLWxfALbkzNJwJ948d0dMkHr/fwTiVyxfJLD5puCGpZ9 gxMeeIneNPblYXykvIM0fMh2vHtMdABLxVqCcmTJZYyac1O0EXOYVjdOGL5b6NMw0t90Ug7mXK2a WOGhjwABdVPY953IMDW04yTOveO51QFFPFGB3IF76XDh8g7RmNnSn1ljI+uwfq7B/c0DkHe+9CMX zMmUEqIg+J+vO2u9wMFmPOdatMp9/6MV0DEmqoUDfqUyCYPSq3Kj+2YpwfmkOxp4lWyPaYPQTl0r OX8svXqpQLXWLo+DcNzmQenYytj7W1idOLQbvhd7CWdUxJdYiTWvHJE4O5mu1afLKvoAQMAxSUga 0hseTJntLAqxubqH+BFo7wOXFHs+spitut1vP8xgXobCkXNtqHU1t9QcvGWrhW2Vhn+KDwBNTU7P D52r+JDfrdvs+tZ+EhkdJAaOiN44T9XqFnIzSvoEwF0pJm2TbBLVc3z/NudJLYC1/C+/ZiAHw5hF rmnFvUwD8R9stbtS57GdBzWdtmWkWvgT6gwaA3bKOETJT9zd3l7rqxj5nLU4tIDIS5uL/KLFVrzf hR8i5eu2lUf6NqDD+ncd1r/seaOWoCfLHjYoJ7RODpNi6zkIWGQpXe2LS8dDrzb1OZQk8Vzgqreq /jLXmHN42ASvwNKvYnmW1ng743s2lSLz/RYubVpKGsraNv4bmav+xP2WgJDnCq/7c66EkP2MoAyM pZeTNBUjgteRE2/RunXOKGOhYAiq/MLLYFU3YrFk9e4nTE5XgVhgRP2UpvqAIRrVpBQr4KPK8eqO J1OnRZ8yrnweHGTm4UEE/Y4EGgFtD3e7JV2fbGk0NDIwV7A0kgaVJryfQKRU19GEhJrkKlQPFFNu afHahiFffX1HGzQErr3OWADZ0Zf3ep87b1Sle0RNYBRrc/3CwIz5T1ZXADKxNckead8qpp1fG9qa tRMNZMj1GRHij666rNNP3n4rWAv2uczTkxsxN6+Ufx//bSpIDPmq3WtoYAW2FfqTUzeiFt2tCZZQ IALH/dtF4oL9/hKbY0DpFUzGzTwA3DmYTH0HYzkT7kAYm0onG8Jz6k3qt+8D+RdSHRizG3Vcll5i Z7tFfCiuRvfMA3WRzxkSLvoI7v8gmHpvkOVuVPI/rJubcNmFPezwnHil5DiE4iEQwCZB+UROfEDE zaQeaKRyMV2xPsuPVtmu8NwoWBoR0XE7lItvA6A3NUoFLM5bzxM0smBlty2zx9ZOjsyekceB0NWa NrcjpCSAj5Y0XcYfF4oZgKAfIz+En7EkO7Ul4jdcad20x/sCLy69AH+jyHuEOyfoeO13XvzmDNIR COJo3VVI0vBbJ/YHOvaMQJvkRIGcteJ0GnrqTbeLKlSndWmccKiM5jSBLH7+15YJrdEYgZ9AnSSQ f0YG0krNfsHNCMOxd72zTKb0pC9aTcCOEkgmuqr/fDhtJttPgDr7dwnhbEkf3wGob07jwRQPt4rO N4VxCh69W1pDyJ84OyDZrVRAuNTmEuYurIWeoJIaiARCiL1H4v29t+a0lod767h6E14PABrOMb1O 8k7nUoT7xsw1Eo/Rmg2mWBAAeoqL0Vqhc3u5TYFPGrQPJk3R9MOynAgBOodhKPUXRoyDgcrIlamO 8dJfULU7CXzbFPvSeSBfPSE4fIRu4AvtGI9F4ZXTthUmxlYZgej6zwMbjcmbcQ/Wo/Smx3iYtF7a U06qLq5elEVXj6laJYN93cb8N/IWVuefxK5vtQM/Du2qoR20k0ajLTJsNd3rmS6J473C7qp3hc9p X7kaf/94ZuE3VMxJAQttFeJhFUNR5YTb7t8jX/r5tYtxogqVQwaAoxXaVRxTPp172OcB07Wg5EID khnHfzKGJOqZsjAmAzWImro8c+m2d90zaXV7cKsKMruIiOzEQuQtjeGiaIEVqcS70o8qtiBVIFnJ LZU6MLxBmd07PsfJfWvYB8C7JCm2CRdI5Y1ugA9InUinZfBmRAKYoBmsP5fxpoBJTxbWAt+eC+8P UoYpYkolK9N4U9Yfj8pXzF06IXUNzdg8CsX87qrSDW5VHeAsWBOXmaO7EdMeQxiVrIiuAfj5jahy tlG51ffuumtmnZoAhY+y8k1YqxH0w7yx58qlJt6bzNeU+n3VCGbm0mgwAUJDEWXPRKES/b+e6YgY nqsv4SmyNQ3Kg7H1GZ4iiZeIzIYJykKQdWH18YCveHYIn43SFQHi/4+RwEK0Zom7+BX2z5YfKniX O+SCHtJnqVFO5Vby73hUxRg6NQYYI8/4m3riSs7XqcE3910pY5zjLgK5PoovEsAQiflzQD9r7Tya qLzrC8rfMmF2dZ0XPH2W8S7N76t5TsYtP45NKLXg2n1c5Nib8s5VuCPZCFQdHedcDN9m5i9tTQha EWKTG2NhYkV8iOueXJIWNtTD9KF122V1vi7/wHkxYcr64BF1DQRh0Pwa3riVqva1ZQHa+k0PE046 LxurcGIlsszGBSs02ZMgzAI+DCua+sVf5eiw8g1CLb7lhLbImoeSD1a+WXlwE/tahYNE49a5Qu+1 Qlkb/sb2YRhoARZwAb2qcTPm7k8Ae1Aq2vEO5mzdRPUSN/vR2f3TOaqVfrvLMO4Xw4TkbO/1YxTm R04aVMaEFu+2U9JYJSwccCLKwo1a/p+NzupS+Ral8YMYet+4wLR9CQL+pma0pTJ2B3DVOMGbLUhF oMwoBWB8CnQMciw7f0Yomm/8+FuXyaMHmIzwN0lGmBx8nzvgNzSh8xMtKsCF0Wv3ts/LJ8kXn9pn PUUL5zZHS0eSj+LjJ7bAltQuNlKW9yxtoEyfN37EkKyD4XHWeqs8dex3hXEoNu0bRN4hNOFCk1TR zbyJxmhfT8LjGjXMuvDuoOlSlKyCmacsIjAko8xsDwH0B1DJR4FhdTz+vqSTVfAkvEiVfIWI/xb+ Xwe08Lu+VJQUHXdxLWrQgBv0zXTY0rnBXkSko0R78IcRPWXrIwVG6MGnSqjbYeMrHyOW32G/8ItU ys2nFACuMel/JGz5GOGMMvtjhkR+fGSB2f1GIS8P/kRffIN5WNnHFpqxiChJQfWxisU/a/KulQ0s UOo/G04hrkNjaAxeSfhTHjK4k5IDnpWoK57lPjjDIb1JLshW2E0qeaMIBEOMxHLYI/XH7AneG9Vg XwUGhKBauqdQChXdYgsS4m3onGYoiqFhnN2VTJCSYUyIayfduJ6Js/o8Dqu5egAzBCt6IikcjfMi UjQXtzjHj1RjXPqd0UKHYvcSOLIQzoGpkHx4uacwJqm3Ls4SLLAtCSbhuv3iz4H1BUYm39bhriti DvQm+EGw7R+kQsqjDw1o6liKb8kgRFcTLia3XapXPOKP8PWQkPZYvmHOOcQve0KBgTaZUkXHw34r ZMKC0fWOuicTRk2TTHGznW2j2K5hzYipEnLp0lamIb/Kmr7V2dVMeL2UnpKcTbLoW5fHKJ1Qsk8J aNCCuenLRvwHLQy2RjnIJJ/QduA5eogiLLsgcnBC2P96xi6gIJ+AUWnhQp/5dMuksALWjl2ePKpx RHXhG/Zu8uNUk4Rmi78oLPRW+FGNEHrM6HFfvBjTKZbdYFAf9V8F/JMamsH+NQdR7cXSbJhV783n LUVT7CRKIlcTQGabscAhCtc+q2JfD+f02EYTkXR4Xh9zLDBGRwL4Jp1nh5sKwDAYE56vroGsot28 H5lrDTM7pQJborbe9UaeVXheILs5xr6MKMOKT25SnzweoaqzINGYtpFu81gu8EgyemhPg/UESksH 8lByFtgdPr2hmJpkL8J8SSFqJdNSbc6zg9ACqRg702dtH3KeiKUc7f4pvvTd4fxfX15jwTtONn65 cv1rBqELU9DNFlaH57TOUN+D40GJ3BFp7bzkb1xyvzBRmq/+GDA5GvzHtogbWITjaOIR6FOIhui6 1hmsJqtMF2NMepvl+jLBBMeJJ/dUc/Tf6ce/XH5jTgryK/n+bcZoIHOzvjwOUfeC4N8ob/SSd0JT IZeD2su/2qmCdARE9wlXbbJMr/E2Z9/B67+UBJMEN1s4pWOL8gtCJatmqUPQvtfGAth4kEFTdC3k +pvpTAf24nJKNzzHTQijOIKq6GL6d5o5mwv/nkWPhQFoHcrrj428Fu4ddWyK99D82VnPlhQY5SbE 79cel78dy3XAdHPb53GJ/ynGADfY8GW2DQzcCJbZmvBb9ysQ6P5myvxc8nXqHQ22ylDDBXIgpbQR f20SPp9O+QVbp7rZnPXKeUVVukCIC6QD9LFtIQC9rm/9PQ7eXfLVCnNeIEVLVpcF5ZcoFiNUPw6E oeill26krGtv5MoytGW1dA5xf5MQBtjoAURdtZ7L0VyEZDKFtGp04ONZxne/srb6iquO5n44IOn0 SE9oRWjqDEpsKsrV9PwjMFNTexnoHI9m8qvS9mEs60q9mu5JnBcPboxk7Fma5PHshnp/0lk7fEo7 JR6ec4VNynaJVI84tqNiVYAyi23f5o388HbSCmB7AGPcHnR0dXteIghy8R3YNf7D5mMYH82WDOJt e0KkyK9lToKtxJXGZbdgWWa1wPEtzLCQQg6krruqUDw2ZT82Drv5Grw3N8E9WWfpWC/mRo7EKgcj v652cb9nDwI8B6J/Z8rZFm/95/2oGBiFPOwnnkP/iG+DWBK4k2NnWs9l+8i6oWdvex/GYjqHJzdX XdBD+FiVEFokz3jMb7/MImqmPr0CjdieR3QFwKkQo6OeK2tEuka1XAkZS/HscE/f1plG8y4NJX/q GeJOvUgh2IDbxJfBaRlw687YffrHd43/5oFr3e7It3SZfpoV12t92j9OQTdWtViPZrjb+mB1KIo1 pwp6/zgvQwDTZ0bJZfA+KkMA1F9WP3g+dZotMzfZJPYrfDwwiOBhZBgXy5PScruBY3OEjn7sdVZR l0TJ+OPnTDsrRbcUeeVXOMamMckIQ2XS1X7mRpyt8us44QFzMdXMxNJBeRmD+4+OqO6Y6RpNoZL+ kzndZBCgFd9pQmuarP1OOu+7vxmOUeHKTA/uKvkZ7hL6aCvXxg0L4WJWSChBw/Hoda55PZGbBcK5 YjNJfndqW5z6pbkX+0EwbFmWzvy1pjZHR7O0ttuP2nwqhtlJnrcP77UzKU1Bs2Gw1qJExahjRqe8 k3SHdtuQCbmXDqwK4GyER7oge7dbjldLNoKvDYAfMCDVWqEYsq32X7uZXSUSf7fctGLdWmYx0/ke JZzxVWWp39Cm4wU36K/I32LSk46uJckicxsxcloDDmHX20xl2InhwOiFzY8TIIG7LeO54+WR9n1D kC30EceLCZJJ+EGQ8O8fzA7YEImly3O1tUwGnsVWLlOQagGyVo7MvPSIIH/4NnQOaQSZgj+lssVe FVVDIYFz8sckjDBQxVPFeIeZW5ZmAyf3FPbsq1PY3vsVINluhL0LQt+ITcjmg1v4qmi7Qq/TzgOX np9MXBcsyIKQd752SvWmcykiiUtDse0trGRgRsEjpKTgHbtOFtn3mAGW/WWXad6eCs40U3so1CYr jgDcOfYveiC44vwYzJ3jhxDCO3614sLPIMb+zJkyli4/B3PyW/p3DiBf3s2wU/rQ5WZ1Qt5UQULG fCmB0vpSbDnBs6vONIQjA3Q4lSj1zPVI/3plOcMeEQPOwUdwDPgferflUeJv1T2zgVovRZ+vi7qM NwcD3YrZtzkTfJxoAAi5qbbNfHTshg9pJaBdeqb0u6mHTUPVdWHYgsxI3EoPhlPEr09ef035qW97 4/PYnys4Hl97DAdsOyRvgUs3yuYm7N6IUix+dXVDkS7HLpFf2tftKrMNB8A6fn+3rXAXNyaVhuKT gMmLx2Dr8Jed4EBtLVU7sTUq+cwyRELKyOePFzbhP1MzHXC9mrqKA24BZ9mGSQ/2JBDzcfHBB+6S +5hsDCcwOvyFVbYjJuJ4KR7PQNcRlibb6IPiTNVDiIdY+r3AOClUWmkjZqR/iUsK9kGNo7EyXAz3 Uvg9jT+F24pHebEtak8DxzwZzCeKJmGQI5pOKNbOE1i2kW4Z5PCYZXO87UF2GheIjD+H0FAWCRSo VOinzJPURX3ISmgL2B2s/p3LJpdDV/KxpkQJB0iCHHsY40JA1HVaAfxwCt+rTvQFuGeGnRpKa7F2 K5mXwnonJMWZf3Cor286JRQuW1/rjq0/Ufc4H5lg3+TfXhyfFKh86X8VmIuM7LG3ds/gHpOKQuJZ /c66WQ4n9MMHnGJ6Dq1l30orNubaSd8iCKl2U0GaRoimf4sXidCdi8HfV33UnvE04bvLC0JAk3DL JReuZV9qeAlYnjkVAUUdZT+eZkeILrIMbmvhc/i9/p3LbU2pbYyFE4GMeZ0I1ZkjBLBx2iTNWpxq nLZqgXJ1j0fvxkQmYtkeGxy6SBd+ZrBHaLsXbJvc3FTgViY4jHxVEP8kocJT64r/ND9lDvfFI+l9 5ibccX/H3S/ZBPpnmhC9hPqS7fVrTgrSNjwKvIHrcBQy0QeCHRhzUpHxHvKO9pKPichvGsrujqgy Wefp4Fy6J3DvBgAPrHuMv25YNnPkgLRkaB6MzN1h/Uw+qYmDXTNGNe8MZwv8OO+tbCu1ezxaVDcq Uh8lE1Y/cPLPrLX2SBFsSG/tfcRqMu+wjOv711pFF071cGh1se0v3elwIoLc2bfOJv4R2N6dKcMk LJmsfhGCq8oYBq4CISKdzeudr+dioSvQyaKq8MvtAgIpcOurMu0HsyMMJKsOPMkyUCqX7m8ssDc8 vDw/rvn355GyRys1wdx3qgJryrasiheddceBf886gMHn46tbzyGeQx/c9CnhNWBYu2CO0QV9AVBm //gshBFpD4IdA6Y2O9EhnyXGjI8YalOGw/VDtOdOIosqHX/CbJ0ACIsCQ8kfFc72PQgNtNMmTc4e BLiiIuMNyGEBcBh4YOoBGtKJe06jdZIJsUdcO8JGo0OXRlfvkqb4d74Q3bThG9t8/X3UOO6wCy7Z SneOBwKdYufWNZuPJmCAU7tFFoYLdbiLjSkj9stNWsqr5lbL1ERDe2nfz6XpplZMSYO0SlLp80lu AtJ6W3H1QqWL3rijeHNnbddekzaUDh5cUM8HG0QVI3we3spjy0+0tjKmQt89jWXiG0lwsAfjjYkP B54inu5HMAexVz1Ssk347DiZwDvO5QdXlujkXe8U7oqqIu6s9E+9mBz5mvphIeb0aaocLRP4Wxz7 8dinknJvtaa3fiiCI6TNnvh8WQMFPcbjfIGW6dQAwZJofB/HTf1k8w0tdNN1e6kUjoNGWJRyvCc3 arWa4R/XvC7H/BKLvf394ykDsRO4gthQ8KLHMwbOKT84kKbFk3kmHzhPEMbb6UKpWLwECLWYHPNq GGyewZy00FR6hYoP6Vh0B+a1xgTUBVdxq0siAai4GeEDhBBY3/5DOlZHsknHGL8dPp2MQHWghGsn Dv9a09RjJIe6MaUjGEGb4U5sQEgGcrNBTutfDb+1FDGcpBIpVvUUQBeF7niq9YiLOgrwaYRta+Ys gN8S3pB8NG6TB54nMMW+NfTDcoiNONtiy42duw9WkciOmK+cme0nvHmLJO04Ws191Kb2aMp0B5X2 G7bSkC6rjxzoSiA5WHdY3/xfW6u9oNdCXTTyZ0gA+E2JbyzjPzAnjSmynrQ2vEyhzfZQzn/K5RIJ bfgrdjZdxzGun3J47f4CJP2s7pEwubIiH92yNr/YeAjh7p+aJFnqWOGcSKk7qnhZjlX0dwae7pyp A2wYG76bwSCnIDAuYToH0VADG1ulGHH1W1II+ETvdvX6BUOGO7br45559AVlc24Un+pF1GFuMG1a LSo9rbfU5PR0pdAITMFMvZBySHxlMJ6/hr3xn2K5BgNKMZDZ4OrgqH7IpaiemHVCzuclQOJaw+Rc pt8dSJl+YnFWBDD5X5iKDACiok0cjRfVOvvpGrRvzRCl5wW9mfLj/AP3JG4hmAbel3Ft8qPbTPnH xQi7Wc4Ot/G8VATE34Y8PgXaQL1t0fjoXM/KSg2P9/IKhtmEXFVBj/tCbHxEfBClXVItIElfpV9v L+6QN+zA5CjuQaE0yG3y0kKd0FNVSZ9xgBh9t3tWQy8eFvukg8Akin7Lps6e8LbCOza1ekVEHFcx Pyf/YukmCuxh+FporPi1dhbB/tCdXAWQ5on3VcTZt4CTxM1slqrYOwF0qNs6cM3IAWudv9IixMS1 co7fHMNTGXGhn6kdVusQvW99uJmDmEPMCxaVwq6A0Glwzh8YcLnNBEdzm7lzaNzHlOiA3LBPWWbZ 6WM0jUX8qLsoe5RrDsOJcpD8ET/hyEuklXKfSrNzB02OmRNyYOJFcap9UjjVO8zM22Hfp0aoEH+O 0BWFUEB/NDBpj+QueLDjxhduf4TltPdKOV1iHQX+lh88jHQedmkVDJqDeZIxsTV3DrjPVc+B+MgT 9AB2IQTpsnK+Jz3EUqOTbWtuTpKwIWfmo5+y6+6TFUejWOcxVP2kXxy3PRK+IEMP1JYrfTpGclsj AG+4DRcbOwWOtwYVDErLrpUNTE6B+UOrpoz8pLH6m3wppoK17rTiJV+XGj/pSHLc4qDtfYidu/HQ 8sAkotbIbCMQN0ggnVPlgMfU7UecPedlykBlgymyQi38FLXAH/icudNwHZcR99lxTWejUqhCmejh v4AwOvrx1fA8LqQMGs2nNn7ACiLpgRG+HuUHM+g6JsE2yY9VjCsUM+6U7XgOTiKG+gUeqt9fJzTo 9xXu5hnrdX/QAnDUtJMvk+u4c7RwpuDEMalfIsCNX1cb67VYJ4PEPj6sQVApVgyVn8NdLrJiVD/s 6njluOz8gXYySWNFaiQzbRnZU4nN5/FzE3ivKLY5Lwc6JnTwSiY6TM41HO99q1xHqyg+gThk/9cs UVdzf9HTwTBOi/ulvLpdRnSVb+KQ6mqXSlYblpdAXxMufozy3TTnADBOf/q4YGrfWCEwJi3R5Cjx DMaNAJuTSJ07PoLI7Sm246sbuwww9EPoOTJRw6ohptqjk6EFC4jwtGPy1iIYcFxHtrxjlptQCaRS hcRQm4WKWZ9rKTIntb7inLZH1ZVIw/R1jE4s9jKztQlTyybiI8+UgdKp28+w67NJqe1VwnDzS/dp B/zQOZDYCOdYPMZgfTNiPsw/6MPoIPDZ1tVBlHUYw5b5zlusf/SrWbZUQbRycdbgCOV/jDBAOZhi Er4n2aCgglwe+kAtk9pnsp9tjQPVSx30668UT7RGEFxnyINumQI4ihFDX3JzrrkHRzJIK4TUPdzk MLWyLes6GCqnwEicWY6S9H1RTK2Lx/aqiedB6Sph/36THHp0STuDmiXA0FXTRgYhnJixLRggq+lE PLFa5aDd8d6zLU+CcwsbQn0V+CHxbBCSit+mEx3ouIi7/uP0o/tRrDF2kmjHkFcQWW9mlWVAvHFG +vdZKXPjdgAiPxi/5ds6yUmUcnFWz/orlkA1FIGGIP69pHz15HFeic+smlyjlqrFGOC9hEH613CW ZEU+e2wFDugH5mOAnzilO3o6ABziFVo2ejc9nkXfvs0HpWNurcf+/MtJA1UJT7uAzJqB5fqHOvNz Oknb+r+lf+aCYapz4w6AzsWo6Uga6dCcY4HXJcA/LYB2KoDn9Flyb1PQeExs+JsonWjFzUigWrt6 uQghaGbMBGQ2YZDpAcqGcF+B/xts4WuX1xsrxxzhMTro/ZxuAzlFEiMEq7zfZCfONUOut6AkCKts U4MG3rB7ft5FEARcJn5yx9NW2hb/IBD1+t8UbqD6h+6pjBk7lqqXK0DhP4hfaelLEZ62Ka3WPrSj duILw7+Ty0jnR78zTEAaj1fQQzyeCvip2UJKQ48qq1LqYOK4xRNHsutaXfD2mD+HD+X6spcFaYFN QYjNR2ENkcxI3egCq5Fx6awrB9nCktxRtMOaZV8A2s9AtehSf+jShWmmUiTOzDGsytTD5Foh6H87 njYruWCOwP0d/SfnGYMXOS6n+h6Xw8VJlJLcwfPt9iUGXd8Sg/e3PlGnZZe8w1Y5DZrj1muDXCGI Emf8sCJf/Mty2qgIxT78eVd+VehzsHz27tk2WR5G+7hpzzYg4TgiZ82IgGf+RHBrv4IL/XfRFNNj 3xGuo4t8n1rftbeGQUHbe3P9PQd0wILc6NgceIUF2Zcby9oJwWdOCoM6XzD0mudpOJqurLOTFJ9P cCQKoVFlHNTmBdJdS189X6D/DlWNJfZ54fJEa+DNIbd+CHKr8o3IWC4ZVF4wFn1qO/XemGUhDjA4 rmLeDPvW8tFWlJZKD1NEWGrYVto4UGBYJyojGmMNyMcbFHNqfa84yerL7zpjJJKR43JUiFrvtZ0/ blZqbThd6FxZzyCoZhxPqduOeK4uXklGlzfqei9J2JAXlmBPE3aDHK7g11Neh3wRZZqfQnm/iT34 XggBczSf36XNWnZ6PTFywAcW0lqIZaLcxkGP57VlYsxGV7auqDasoM95HpfYzpAd2FKUdbzPCMhM fK/bpwy6iuMlKpFFiPw0qyV73L1r6fcLUbwhPJKv8nSpNtjIj1CZuKYCek8QX0KeuxiZjjHpfACp +MoujDlsoTphHw9Wd5vIj6IdASrNIIzn1rz3KmDkSQf9tETCCZrgYnnfv0GNr7ZMPViPKfm5Ck3a lRTFPBVEVNddE73HdB+k7svH0tuhcOXmNvGhEK+BPpKs6pGAoHCrarZdosolmNZpGxEVezkB+4ok SkIkMwZRsh4x5ntlRIYHPB4q3JE4YNp2fo0vOUlzKrF0/+vpHPkavmnW4XtqV4o+L2SduwnwLtYz rVi92522C5jw6IYdzQ9S8D0Sne4OWeu9KQ8Ut3Jn3OL6E+O8cKPo1MxIOPW0qhPjecWB1n5HycyV nrD+O1L7WiQ7OGscH7i1ol2Jx+A1k5znex2YHPvXZhQ74j+LDyoKS7LPAPFLAv5XzoA9tIkWGEN9 cyvxB/0nIRscCEVJpB41P3q1nQOwB+6Gs6Nnr3sKiFTKnG2lz0NWisEOv7BEhN/EXPFGeOylBKHF 93foj6lOlTbwCMFTYw6KocvGxLXoPI95dZMNCbowfTczJDd8yfmd4XkzvEV7JNtoLGPBHQmEsNOn NtznBIGfIk72xO6mXpOHFlOYqkYVszsCww2lGDAXFFS30fIGqCLcWBuKJDnL2j/yT8WQh8KOCKiO 5WzS0662KaOI1TkYzciFChiTP78Fyum7pKWMltymShcHHZSFeHt4oq0JY5OyCMZzdv1EVUe/LKiR ZitmiqS2ZDuTwRDglU8WpTqyTSYvS26eJxra48rIXiXbaCAUPoHwaws9/omcFpidanTXblWnJ7IF OI7iEdA9yGTGOVPMgN/62y12PslvxKfFS8Gu9UN8p091CxVryGBmeU2Y/IC1N+Uq81CkhufnxqHZ 9y2BUH2AHHYf7lZdBsoZZ4UP6oJX5Zd9sm5p1Rm2mLCRALvxtkHrxqmyzsgQA3+93mpPbQu/Bl3H TBEvMxeNNwzlqHwuWVROiZordRxP9eQN4Wg9Gjl3oBauczpGvBDz0DjnhV+S8lYxEw6WJqTKJulp MuSLZrHDCHtb4JH1A/S6ybosCpiCjvy8Y4gpJknouP7w03nUZweCAzWSYIJSJSfuorbyjrETHXlY 7LmO/domoo8LRRa4l70jfG/GZa3dYOYk0oTVMwKpxtuOhsTs9E1K23ymtzIhH0oFhpfpuIr88drb MUzavzO/ilZFm1KC8XUciIh0i0gWXuAOz0ikKL6uKMbIOR9pcPTn9aut61T7VH87i2ANRHJBvjQL XF1BAyfQQwrSqntmKWLzbC7pzvndBdy2kmv55wKASj2DVboi4TzYSUOwiLR8OynKBLtTa7lcmuhO /2eij/x1wnVu+x3rkfZaeBtt/KWRRZ3Ecnk+vlipb/mhQbSxMycwoP/jDJl4gia2col7dE8EPzML neQCfUZVGJjMyLBj3okvO7K2xS0skVwll4JJouNfgyMzCEjC0aoX8xHAtuLzZL8OJEmiafTuPVzn 2IWL8nLULZXxDxhNtMSXktEfW0cQFOsaWOeh5YoRbUsikIIGDgJp2rCbD7swuu9gQ6qd1U4Uui2l AJzgsZH5ypIIrEKiI7ll6/B5fl76ZKPiWcQfX7LTOPjOzhMDQB1wl1ZpgPHhbRdzGugNAKUBpt4B XW3a5FbOkfdRevGbvOfjnMrAfMUewIyBTZJMVZL7FVjuenJZe5KmscOx0ia7dZxSPy+92TxP+Tpj 7L2rpozDqX3/Uo2/MCHtvgW0/1pFI8ZWVWx2uAZnXwVLiAOzHAqgNZST0V9CFVI9EVWLrkj5UZkJ Eq3JZ4xacYv23eWZHlsjuAuWrM3kauCbB8h6ZMZrobgbPUOG9dlVtbhaOtGVk1uuVTUXuVn16kco dm8MB/0tw0opynXDTCKS2cl6ndNHkLF52hFTieASYuYMXqHt2Ep9ekEIR2MjawbojyiSYZrJaCaK IqI9zpMOgCUD9K0YK+ouuGjg/tYTMWuopD+k6P+MxHI7dofPWFelrE0rKGsc3vyvuhQ36Ko4g9Kn 3w72wSgfZcZPKIwd3pJGh/TxYbq09fIn9AT16jJj/y+TJFr+/we3mfwGQljPXJ9xJRgbH5/Nenwx ocS5BuJM5OTWdsx5nE9W0/bVoiAd4VNYJb2pzsgaaIh2WTFd7YQL0RmyAjuVBfSPcgO+WdgOfTTL P+ZPP1bDF8QgI0LIkcKic+zDAgGtMuCNwN3SsOzdkZfGatHM8ddHqLvKgzbcFvd9XtGtgVBAmRbk Zfcw1IHQ0j9fhaaSuuKRgYACBc8Ae3tccvpR1mpEWROP3/EeaNd43+0gRiSt6TxFXIg/IE5Bukk6 9PSNZfN4UbCkR8/xDQUOA3ny9hLVY7ByQSednXxr0rrpIF655c6tVliaw5KJF+3SD/UZykKIYYt5 aQbC3vhDoEMeACVJtXnaXdUdvTFN6hHtAS2ctiley9Itj1y9HPgzeXCm8/SiDVoixroNarbllEuS D5UH3Di3/R2O7NpYT9EM2l1HmRdA6Pur4TFlk6BNGWZ8q3OFbthpW9L1FfzCjVUL2AjumDOEdES6 gACXQcUlJJ1fJx+H3/4+Q3PQQCoLElhmbTDZQA+Tlmyc1NY3x7SgOiZyHoxw6pVSA9bwsvkqAPQW Q2qxHQar+ugNVd0gStRoGR90adnUbrLFSWiXuxsRiAh/vY1lhpUqWaKKWdWqnkJn/UOP+EvmBmXA 1l8d27+BNeY/YJHhM9g68+hX4BxDw8YyYsXk8N8v2K+e0O7seWBUwOSu8spWWntB0VU/kgRYKaFf VigiPvxQSWZexUXZqZZcD8YaCddFqqmmYRINAVaOMtorULns9xqacPhYy9wSPd4CIk21TuAaDLF7 4P/7lulARArgwn4iOjligsdGAKaJt6TNHLfI07fIRRDyTFwsQksMZRNVVzQeO/9kWptTYXwH0DHx ttX4v93QlXnUPaxM1t240kWTtniOAlqUq/uA8+f3E11FQ6KHuHxdX2IkDnz3io/CA6TiYHsRnqvR +v32XpnkgEfXKDioRSRRLZw/Dqzhqwkqtu3GzYxWu/5dF9KySJmx8Cf9km+8qHoPXo3Ca29IdQuq 3ixaq9ltmETCOTe6K0HWGv+p8h6lK7nswKgQAahS5nYr23IXol/nA2k79vL41rDBw8iWRPnVqL5K nQCs2yBVC8WgLbvpsZ+Pk5MRK6ZpWCl8KeqCQnzubaj60I2h+TX8JnJRJHny8wvE/S8cIZrvJpmm 6KslPuWP1lP6DOcEzTeLSgGJkrx8qtvPEXXII08ocphBkZx5/UkvRnpjwZ389ftEJxO5LQgv6IJ9 aBsUe1chki11LoPEVk4c87XU6CGZOiJ+hWbWsEXKA11OtSNdUCT/z5lS1TrWSbAx1V/1thn/4DBv LsqrKPWo/G9Vc6tZ5OWamZ0jn5qaDTHax1jMeJIQScvQxOztjCrMOgM07lo5+PlrckznMHlCVkOe 7Dw2I4r9LpecCMWa+I95Z10tEPlKbHz03dGakneWivCdNn2ihYGOS0xNjT3e43Ll0rxbqJbDPKsb BYM6dX727T8eAUISAN6pazRc4ME7Dm82VievpMM0XCfQxugkVcNPy80Y3uxdCeWSeBEvYXkR8Bc2 LY2ker70jgAUIR6GPB9RJ/CxbdBffFGukrqs0YGOX9SVjAow053vSR7JsaW8JJvCoQQLigw8YbZ6 9MlpV4A1tKpBPCZ+GRCQSwmApo2jQRuTxluPEjY3eYMuHvoNN2F2tv5PrKoBMUmZTmi2dI/Gufs5 UoOu7yYTg8G50M5AKJ5JhataMZxWVKWI/raEx4TTQkRgPnhAHLhBFZdAww/0DkJ7GVltgehK3tFk 4s/L5zMFnX7zKGxzeZOBN+frOO86JjwgXckFhsfhrLWnnDzxJ1gIwHvMvTbusntyfyAWDWePxAeL Nhy4kvglKHxzDKMyrd13se09ctDjwfvqNesEH5ZxJcL8p7suHcjLgnRfyVyMoSYEvExS0WCOl+J9 3qgSfFDBKwLsPTHHP4REOxjOPccdDncVVz4tvNQnwLy8a2gekBuMHV6E3/wsEaKcQbE6PBObrEos IOB/yBfGp/u6pK0BZoAJmKymAQnq0+w/2KxsWsTi8unYBAGm6OvN0pj1ljrXwsdZ66XDRdtQlZJX WE5vxNcK9NIhDOZAX9+ZL/hgMJqU/TIgPUcDC/ASubfCA/8FnBf1frZZMlexVedw6QgOSijVy1dV f8z70rZE7dvKBEBM/HW1/VDZPN8erNt3QNQfU30NENnDynOSAOT76B03Bqg5HUTA36wkdIgvc5NN ZaFkoiv70HykRzENfo3Uv/pWt1CpodHZohV5qJz+oBy/3mIYMSAL0iO8gTRnmSoS4B/Drsnzf1G9 hNh9tryHRO7zeItxMPRi0/aVf23yCFiQt8fWMgPPKWmDbpsazv19PdQ9W7rE+K2BZVlwiDYcoRTH GKF+oe4X1qUveN6zc/v/GCDpfD5jatbZ6RWFAs42uvrQwblIrdDKywjh3EE1a+vUCZF1leLvXnB5 rupQvXtCe5D5hPiqxZAyinEfPSH7d0CkyQ1So4GjQXIYKnBMcU9s1MNmqN26xnqwkq4+mSM6Je6x nkMPAT7e1dc0f1vIT5TPp4To4ic0sR4NfTCdUqL5/FX4CHScZdxiw6J4D7WONms2qfogBShGEK0B 89xLWhvsCHZMJ2zDEh5tw5kUpbSoIdfg6hQ4IgOGQDuv1bxic+7JgP+PNrbYstLsv1XMR+vyRcfk rq4pcXn0PjiQ6TwybYWaiNZnGdIEu6yQkI8NRezElXNV2FJBGBMgoeiJrYUoyaL6BdvxVi7SGQVo XYjKfFSpKVL9MPD8N3B9qlOF9HOAgamx3UsK9NJT9Eu6T47HrACirSPDNWlessnqUYXV7dFW3+e6 7+Xa8exNNNZtBjIswoi9CvzqrUIy+nLdx+Iz5wO5slrsotVNR/WGg0XDHpgaF+7HBEAyVVmLo0+M cYdcnEKd40IVNNNAdpTTWyf5GjMeN1MjMmAgfhxRMEJJVRKArcZLRXRq4bB+AfXfiEJvAL7mNTpu JEm/FsIfldyhkAZRMJnNIyqzPrjndNc0FiiU3r41FcSm40a2+A6A8RwrVL4OFFAbYz0rOoJRgNb8 NbFbgasvDJiTPkK2IYJEe62YhCE5Fep+ch+2AwYyJYGJNPy+hLet0+UeHPSXngQKAp1f0uM17TIz D84AswSFiNCu1Qybq6A4DnUjRGKvpth+PJgN2ip3NbW/hzWwZJ1+tmz3JRhBXdcHEye7ZrakFu5q A2DW8NBYQy7rZuxi4EeIzdXgPH3V9SS+D8nQJ0pqwl6mOAZmDevQkz/XTPU5zCZPo55dBlB2xPCA wmuUbTsyW4KkN9r4gkh4AGTI4WGlP6ES1qKXZrCfohUMsnS8ZQl3TurNlbB/sNZN4O6/eCNtG7pN 0inZ1PvLeFy8VJxWN90tirE54tEaapIzgiQYeAxEhgW72DAO2yigiI6rfNqujzxZAv1/QuwVTq7+ i0tSCj9Dn5rG141/Q0f9svx/aJ/pl/SsLBu2QMtop7XwdWatfPHQcjpp89bXAmLL19dZRQmWbrdq wDR0KFRJJk5wZh+3nST/34UrJgoCxd22bHKdIHvT7qziJtoqISu5Zf2gw/0T9zcE2KGHOWpNHfLq SUKueDQ2AiS9t+ivJWmJ8PndZyRCsO1LXQUyObQXhXYinygBeskId+aSJ0sgWS4pI/pIujAYhf/6 hwCVc5FVx3G98k/u8X4ArEKadHw3pajIEjuyoTUjmC+nXPozuRtXaABKbZ0IA6oXgUisJJzdp1dL qGklGpyWYE8KDjI5UfclWBcRJ7Vi+90DkmBg563C37QkFEVCZMG5820UOD3xezcfB7OaOKqzvbfC Behxu9SjEHtyGLjaO7MRHc0T7c5iuzduYjBZZQW7BBNObtW/2WRk2uxDYiYfO2m9ep/CWU1rzzn+ JE6nHu4IiYE0oF+oEuVQ+VIuHDWIDzSNyRbIgK1K1ZtyhScI56NlotZmwVeH70tmFKp1XzaULAq5 g6GsYz3xH/TvX97Tc1GAmm8qmvgo5Zmw75mcRCQNbdXhSwSg2PnNVP96wcwzmehEDYYuOz5KNlhN LCbfyFMVm61362FAhJwvDRA3A4l2FLGna2Df+5VhzX1xqe1iFZz5rMplLSXNQXk92xJLfm3pJGzx QHS57pjXe8SZ7u4zxzA8HKhzwFubJoEnxIO5ow9ltr7GwnML2oKRrwLBz02vbBCVEkzgPOsJhcUf CU6J+yeo6stwpYsaJCsz5nNsOJkxi/GPArOgb6KVlLt4q0TW9Fqy06G7jY5e4CIVEw4H9H2ucOCa mcHbD8P3AFGhxoySAoGSrIq8neTIH8wJmDk3u826W1nrMFy2RZrbw0WE7GpMovibe4bbrHKmDg7D eNANbVpY7bH75+9/BL31gm+fEvJDcCWFVzVPi0ZLrwnnI4Q2ti/AHir8pyx88L4NNMPbqPjI6GyW itrI+7ns1a9bwsCWSHTNuDGVFglJ/VmUjvOvvda5O/aZ8TjvTdQMR4tFbzruafpKt+JuSJCI4WV7 CI1/EdRXhuR9z8dQdHnz2NibVvDm9SZ96LYM0g0reOwOXwSFQVd+FK28pA/8Wt+V1P1AhslBOl3n pmwvWKNyruJIDdPW06pvOoon7Y9pMyoWWT5VtY8cYYtEoF2DtmnAnuyR7pI4nzh2tHjfO7nIph65 gE9Hi5606nn8BkjfXauSKFWI5rSOc6JWhnG91CTfKMnldphLzySV6YiZ0KZNUuHM3wEwFZQJcNV5 8zhuvR6E/1r0wFoxH4Hn59T+RUIiT29/02kEuGngXD0iZE0fLc/dC/kkOsbJfyXQmEgmF06Jrk2X ypTDEbyZz3/uk6c8JCsONNk8Tew3Z6zaDQuCxn91bDMb2gccOBb+z0gRJduotz7ziVfzMC0gfZSS fJNC3B3rS9QSPCWqgX09bUFQqHLlj3Zyp0y4/q4OTMxeMt62UwmuWyrJvND2HmYnG1Syd6WDyTes CEbgRhtffDuq5itGh7UKFK7z3DTiOKDxD2L6gqyay5yoypNXZnaDmBpMuDDDnfqJOHN6Pd9dCO4D /SADb/I+HsA7T1JsHRi9XuxZvrLAjDlF2nZAHmhHNJiAHIdp/Gt+XUA8d1gubgWB4p30MAqDbyah wlCyKQl4QRnuudQ0G98/I4UXApqmL6vQTbCoJK27OsL3ucRqYPvYUpAao3c7NMam8/EswILtGk5q iva5OsPzn1q4qrxpfHZs4tnf44HWI+ehAwZFk8SBhuXd5L0OCOE06Ym5VaCmS2RirjPTw4gBAqmC wiCjUJYocXQVfh3UfKbQFa3Z39XuXHSerxJmuQnED0lUfZ+kPiV/xBdmMBWTDqYel4yTiJEb02bJ ImDa3+oA3bZljVMTVvbKIkhSxaF8djdi4JQz7rf3ZRzRUGgFkax/EZHRwWDaroslRWDdq+3ENlLA +qq5enQdY+3+P8COGVzVDQ6oy/3NDeOi7+nPdwxuljwyvFKVIKBJ2/IyVzwwK5Yi8vIPlgEWH+SY 88Z1jXjxwuwBPLQ7DCv5UN4YGz9qUZ6DR+UZc8SxwMC9DVi2EvR3MkAM4RRui0p0evaDzu+83nE6 xcbcjkCX8yisEV7SUYcmXJiUjNoNLhUOaQxX/RR/rqEQxFTHd20gtzgOzn4iF4TcOhccoVXePKbe l04+Hlj9gzcBhwhRUr6ewkUxSGuIffiOh1sCZWzg8RrbJckwv5APNYuNfaoECCHkgM3Wb73LVWS+ SjTg9bR9wDPqoPw+lN8BHJuqPbDyyolCXF6oFbpvxyY3M523TMIzdikB0sSWQm5xFWM3U81R/LnW zw+E7xmp6ObJHWv2639Y/K3uzdVzCDGi5E9ceuBtb9LhhyH6JJrGgN7lMe9zHQWSPtsTx+83HHKe r5H+IH9GUWdjpUp2ztcadnWVhRmanEgwIxIHEn6zeKdDdNRvoc5ElcNkB9hqOxfZbh2WqFstssPZ KHKxeeVS1cFI0X7z3hdslaqZ8eG7Ie6UPbXUbilKRvr4MKMnwJAsbN7cCHWoQSTH/CT4J51Nu19h RrXaH/1mxWynOY9FikTv/8zRhZwmFaQ6KlN3PO2JYHULKeGyPrYHxTf25TOddhIicOadynK3GP/b m5ac9y0ff0ffczPy8oqzkwmhtIbjYKABoyCNziGpHjviWdGFftPklpXYHap0Kh7pJTslAMBFH/pJ H8CY3i+Q7fDWntdkmtuqujNe01ISQWl+Qvam30cUtzukv0FXRR3hTTRJjJERscz9FoN/Dg3O1G8T c0LOlJhLh7vKRKxoGp+5ALPeX1esH3YbBknzNL/KsIVdUhTm7x2fvmjLPkRYL977uoae21bVqvLl wQ5S+mBE2RhclKGptsvnTLu8Qee2maXDGWVnq/ZByTg1Vr/yjiQwBZnoJ4wUV6lMgWZX0qnYnxjj 1pn0avOpfSszZFuY2r1eWLHZS+0ArC3G5MlsJDLt1AbPls0DOr3pe0N9Jt6CGLfwbWJXWJnAzTHq mMxWbdXO3WUFRudekTtXwTkX4rH5OPmFpWBNZurCjFb1Rpp33HuaR1sFzunlBCXux21lAsXT/BDH kXydmb5/u4OSdVyq9qgH9b9Yb2Ap2xVDjGcemEe+hnTb8BxDtacwjtcFIEwci3Rc4JcLcsRy3nMM IPyPUPaHhhgTSzj/EAsoQi0sQbLmOdsraKr/G80Z6f3Glf4wzPQ4LeUfUeGOjBcbtxnscYLiFedU +Ecnwcpc6d0NgURA2/U1gAiJ3UNhRzZy0lS+0EGxl/3BvJk1FmUVTmfNJou5ZL6iueMtI5McwuHw ZBNtqs7Dmy9AVs0RSqipSE95LZ8QqXT9bppkRiDMHxhgPITskiHOltdOxftXDdLUM8XlxHM2RCYt xnc9oFwJ9rVQcDyNoBzHzTUBco9zFQbJdhCxowovCk82dxTsxemorTn215p0R1TCIdSKDuSiRSBV 5hgOCMBkPU/MQ/mTYnIIVBEXtXOXO2jJ8Pjgb5YVHiHPz+vzUtb2oCOqn1xDLl8S9bfckteMnQ5y Gj23+W36B6RkfjKLcgTXN4KnDQLpdeekid1b5nbWGKLjjoM0RHUd7Nxfcuk1gHBxqmNnJj9HIHBE DOKng35AssWC62W73BYDqGJmPfr9FaGuPQBUc7YnSjcJqZ9XsCZrZ9WzkjqpzXDpNWXo75KOwka6 QXP1Atn7tttpCwN/xxXAOu4kJ3vTqcNFJe7874sbpPB5iLlMgUQtayLgVNbdUgrvbln2kvQ3GUwJ M/hEA/90mhLw3OeRWGpfICDuAShWI7xxfvABkIzvgVzlOR2Alk/v0XtvBX+wKuxbQlKc1a+jagUW iy+rfj/8CCUuLY/bAWXGXMJKAeFeBoEEU4ltnLhgW1T/zjNS/ByyddabRjPjP1DGKqtGzd8V4iiV vpvEzT4KQxEVJGAHT0iCCvi3sto7404S/UoVVJ3rcYw06WwWc1FclpkFzE9I2x3fJHnVpKZHfP+N AnAHhmVGSFaOZUiJzFcLj97tcyVe9907nqRsq0ykLOwuNYnopJ4Khbkkhhh52cRWHiLtgxV32liW /ObnzbGmtH0w/ZkRQ87068Wppw+alaZkyWu4RP515jug1E4TiAgtwjkikdnKvHnATzkTo+XrvLtE m9WI0Zvay1twFEwxrW1Ta0+1DYVdpXllN2lXRrhL0OzZxlChRDkmqft1K0GGyutjb+lPVAioXjTU iuJyVD+UVkMuGa75vwCGC18DF0ZkNBhTygmQ16uueIp6P1gRRvGH4b0j1DWRAOI+zLzJgu3GHxtf CdOltudU5X+YaRCPVKvYhcGY4CvCJOtNLSOUIl06SLdkgk7Z1CmOb8Mp7Xg3w5DRLgKvqLBJpEXP kmaVtw4g+Q+BX6u7lGir3ln9d78CFxChBvl74Jbks0ZXXzeEdw4vFr9hJUmxTX30/bSjldtH+mW6 GZfn8YIA22K+GJtSR52CCmp10JgY7orbzeLlB4YlocblzQG6YHXbCoLPe9fBAC2OItUEBNoDg/0/ FhWebGwsN7Rx0umzLacXN0puTZwo/tnkynqhyaYKFyAmcIifC/XiYXchAAP/OHx4yosEQPBpf1/w WaaNcinYrvg7+TFD+5QH5ysqFDOQEQ65RLEAtO1+2+T1l3JW6FzFKnLro7oXPR3Fdn9gi//eRGtA hDCKCl7tfxt3yxw46QXwOkPsMXcZeyYWl4s+SaDciD5U/OvxuXH3Lbk+2aKC29C64guiM0rEAL38 LMZN2i6E0j4t1hZQb21g1lgKHZg4CLMEw0AEF5jfcoZaG/XHboLc2t9zISWgkJ0holsDYrLHMAi+ VWO5E3t21bNrizL7jv5VMgb4fbT5OnWSMX+ENKYioribhpAjwDR27+5+6vwp3nT6rr49zc1oSP60 J5WfVUbbi/NEVbsJloDByi/2StTu4IQVD+Q3OC3pI3bwB66eaM/5MhY49V7WytDI9ssjfM+YT0XZ HF1FuZJlp615CsQ13FGHiKvuc2tMMBi6p4V6eSHE2zg/t4E36vHLd4oJg6iKYRAacbbDhkx5KuEE na0vRuaVADWC/lA1aVgIQL8yxLnYWVShmUq2lHS1QEnQVFOI3xbiR5LOhvgCgWBQR/e4oUkXxrLo dDdico4YlrAe3UGaZZMSlKTRVuSBIcMIWOrLgNiOZPqcM8pyNBqc3QoVcwI6bOkuho+l9trwJB41 GjsmoXn6FPS9QIRcdCexS3pi4GJhTH2IEe6XH1WNDGNrpviTCGmj9tvkNYZmzePZb6oumSGoe2q/ 6OFckyrkGDLI3f82k1Tdzu/TdOzf0AQxrjM0ee6q9At/wxyHO9mK2cyo6Pqn2JiubgKIEuhq88G4 Hxf6zz3pV5P3ObVJO2f0G0hTjWixDv07sm4fGeCs6dyHMZ81xXNJAaBYEetgeMrRqMccEx5Okh9W o5e1AGRI7V208hHZtJDXs0KubWPPbn0hBedAFSD5s75XpXwT9fgjHOFd2G7WMtUf06LMiZm1wxVU Plbsk30Grgdxch+0w2+AkhstmQ/PzIVytMrw3ExrNmOZxt2ZKsjxqLrvLhypTa5ggwz+L0Xonuln LW6MJyRAB15qDx/9MLutcMAnPjw64GhSpdMmM8x5mHsZNfUjXnfwfSAnHB64xw92XB5VZxLIJ/lI yK7Jzg7IvuSMAAKsOaAWOYWylmtI9XnK/K9zTwiQ2KTfi89Ztw3CJ+RjQxph4sVMA5CNAcUOeDUt JZwEDo+5pUpywWVZYj2iGn4O4/8eTQjewuV2u4XNA+bQ16pNiB8a+TX9ce9c8fC7jUY5GVYZEHQx /tZZWMVNP6rcxhGZm9erfUBogvjn0Owghz88y8kJV8Z4/t/Dvak9CZAiohGuPgnoJ7Z1L+gpL1ax n41vsPfsRkXnEIEDhOx9DWjeontSildmCfUijva8pya7cmiSoz6yBxARlKLE72u6R16lntLHLNPf W3xv6z9Ph+IOJkv5MwQoCyZL0x6scgsdSOUKdbI/U1l7+yhNE1ILO8Z3YZNl3CBUzpE1l6sJAqli JUBtBBTzrSBXXLHCmL1Z9Dcne+33JS55n5iltqrApqhfqe/G3lW6GYlhmW+zdMYp90nJ0gF84onp 26VfoZWQmaCUyh+xc45GxEUkYKBiyE9l/yHY6LBKR3tydgbwxxJxs5K8xaZ9tyBuNssy+wOIq4ah G2hafzTJ1Fvc1LpZZUNFfFVYsoA/8tyUEGqGs7NRg+zgSB7NmS+PwbxhYQVNNXhWQuE8hRsL4ceJ lpx5X7TNTcJ0KcVmwkDueU9tLn+ZvdJgqvdlofT44VtBQTms/R2L5tPHSRk9ZxeX1j+06Ix5ChmD QFQDnqGmGgjaZATtAjHNAow8CEFPenkT6VVyNvlPwsNOhFb0lXy6oTcQse5/shOxzKBJUCanIRsD 5XCT2t+xiaZjp+MktBlO/8KrN6hIEf/QK+t2DjsjuMSZ4q2zTJpsKBvVUAWrhpLuidP6O11KgbWm akTVGPjBOEwFG7vwI1/NjJ/uYSIM3thtTwAtXkTdtMVqSYI0vrMQNjiEdhIvp747/3nl2R6D0qZh FG0dxZ2A57IlQJKnWmBMsBVpqkzewzMAMZ/uJhSVJT4i9FeR5aQq1A00H+bjiMqU9lT/Yjg3jV8s xsRJ2UK8HACygKlZVB1l+rXActN5x+PZ2Y4jl4Og0/P40Tqm29bCjkXP0q3Z8FHFxww6RlrYPm3W 1rNxo+fzTAkr9BTgeWO3o0tp271NNu7syiAHxmUxFRg4CQrBiXpZNUrCPBDOAvuIaSUQcNecTVyM Y04CnfYLBTYQFUNFt+JAb79a9+/ezDVog222QvuYlhkyFgK/3a/S+3vkSst+4L5N6OB/TI2rPDf7 bmFH+DNAWpkNWuryoxPwmEIm3iwJkQdbpjvaiwauKeRyrsd+PCTuAmpd9hy785XstRiOC1N5F5tp R91OHZf0sibWfegWyGqhQgOqGh+K3S8J/eWuS+qUhJ1BacZkfUvYKh2x7ClEtzDeaAEEUI5//o6D E72IWsEpzaACzTwfX9/sCpGKyT7QlcOXfQUOInW1Q0ERXjUk32aOS2kQ80AuqH6NKHUv+ecuGISn unS/LBze7Rgutou48ni6S+ctqw6URyAT1/P6j0J6toKlMbq7knlGBy37ZTpeI+42TqWIOzNCGpoO sEWITzJnuxgAP2Ci+39j09XpVemVkBI6OqcwWeUufB7BfcuuIxeEEnQOjk2fZBfn2TSzdMHZ0M/7 r49xGwevpeaA+Zj5CcdAUf3xA27Yzj51YDvQvHqD357AQtT37fvVaCbSvL9cg9o55BgCcoS4j33P r6S0uFvY14uTBd1I8PWgMBVkkDN3a3oaDuiL7jgCuPCnykQDwHLmZGfKUuoL1S4chyFkQyc2DQU9 y96fim4vPpPYBQWC3QGn3buy8ZDegKoHjuOqnnvvhhkrG+fCF/9afNVpU7C04iTZ6ApNyHdFPkjC Sf9TLJzfaYSJMpv24z9R96R5255kAQULO1r9W2nwY5zeZZw7ohLUDWgWxkU/FjmMiAvyggOoJIS4 C+BXciX8puzERWR+lXJotmRq/HI4fL6aed8NGg1UF3M6/whT+fYnXd5RvjzrTq8m31ZRxQoAPRMN KWWGeIWcj7bV/xQ8AbmvvEJq55kqhUF2vZvgJanGF1HMZ8iMgabszd944LKCisQXsv5BeVv0UNxu 7enDOXts3WGk3F9/wKeWg5l+RRM5snx2rGDU3GVgZ1Pq11grG3vLwOZ3mqExnBVWqH4SpahWn/c2 oIJOTQ0yf6uNZsEpLcKAp6aqpkGUZXsoQz01EzM2v9ecpXv7okthmVrps6EpuMwKzKN/WaTHSSWP eiqF7m10zb4PJGVKRaUXSg3WtxaKTA2OC3OZ69JtNCFUhi84a6dUNsYHG3BG06SA49pNFv4S1s9H 7e9vOfBTCuX/Lfu5zAOcqJzvlIDhSt6wMwgiTlSvbKX5nZSrS9yy0TYf7c8TdYPdps+wS6851sp0 kB292pfFz1YFwKTTB6HiECcCjvitG83QyqXNV+LDYqIL6y+da+pG4019E0Gak/byF9tuP1BiCAcz 85Np+zFdDw/GgYOHWkm+IQGXfE3TFX9yQ5u5bLMZVXl3DYadwc5GEaTbFag0jx61Qe9oCO9vMhdJ u1H0LaNf1MtKAYrkKyHCExe39i6tGlENxu2vjYNCLO4EI+XdyTY7bWosKxTvZxO5QS9UTz0LeTa+ DBcvLlO9dyuufjcJoPCoAR7n7tAMQ7G6sQyeuy4W9Z0OQuxhHOrAngyEJDQhJvPeEKochee/xWU6 MM4FCOjaQQo0Bz6f03qmAh9kBf+znnL740qDRnRvORUogX45DOICRuTlvKXWw82L5UmJnoSEiAyT 3Tp6eZPRyvR5WSBGb1eceaDkopt6zf+XO3ZhwMN3lMdpMiFgTARQH6gkRtXc/xMn8Omda7Hs0Oxy ycPHXqsdvdtoYgNBAHwfJK5Z71N5iz3TbP1wWMmxilUqjgck6V9OuoLrAla425Uqs06+NrHyxSbe SkLj50Bh1jxKUDCqNKwO9h5xpl+P+rT/aaF54O1400IE3FlWrlykQ9aBU3CWOcHIEfrg7UirVkaU fWbyGASV6CKSCQbEXvzbdfwGjAewdcf+i2pJqrdTVgYTeICBdzFyn7jN/Od7K/xt1KwH2uYd8m2B 8PUOSCrLQCmrspy5UcMwIavzgKHw+TwDN3cGcUOQaMe2jKk+5jgwWu/20DLcITNQc3NBKIIKLwgz hBcMdcBDEB6asNCenBtwR8SjQtLXaOcGl7zDNyEq06dK0+/aAwUoo9IRfBTlCZyPcrdT+Kp3ecqh 12wmFXe3KM06Vjy9A7PIo14r1knExvQvCR+Rj38LrHrZA8/5WCInzGtPTgsWo/vQgAkAdJBFXBi1 JbxOWOprJ0FSYD1GymWs/XZSagNk87e9MhR0JROqBNrsi2enS8VLfIL0iywvgoNj8PWRw65cmNaf wfnhyDy0h4sUyzGLiqQAXOOpUkiEyhj1DebT1NJ7V7Z5slgk3QU4TAp3eQxwx396MywZ22H3tJ9l PzjChQU1OiNdJRWXmFL0Y9qXmxL/7ZrX3PSwtTZnNkLCIxQ98U0ZuuD4TAilSam1Af5Em9ED5qi/ TsOsuUuJcgUXe7kuLMcRftuA8R3dPkE1A/yKiZQJD3xi3M7Wwa1HnrFzK5tjS92GjO5xkUCDtUN1 knVGW3pJ8Z6IOzMB4zm406Bf/udkCr4rC1Eh103fBvIUej9aaynA1oEcCOApjMWpL+xG/KUkEa0y 42Av5cxPNZZrDY+JQLnv6MwTVjIlPKKlJ7sFU0MhGz3k/mZyAABajo834JgKiIIr+CCuwLqnvOLI cEw8jb4TlnofkpVbjFLT+qouoYvTxzfoGltnELhYv7N/mWUYx60Ttt5wN/c3j43DDn7UuI/nokys cFFEgPZCNfL+XoHsLgtun4UDkFJFtb7puwiLHeLLtylK22RB7eVxNdigOeqCDm3pMgsyZuqg3CTO qsXoFIfwdnQ1uKvAuqepFhOcDQjdP1SgR4LT4Kdn0WVktTcwxKOPw8Ex9eHTNbClPOnvfq1nBe4G ggycFWEZNsJ8ZQg5I+26uSMRK/Ei2Op9MAc1MaQ68ybKZ3J8fxnAl27LQ5waQeve652D9/v8PiMv 3r40Zi/j8QhyNde3I2mItxICNfFBuZntyuepc8JNqWmPhRWi37d9nJU8OuWX+TpYNr8qWnOUCfso +81mKDRJ3m7Dz/TJ/ThgIU85kg4Y6vdyVu9Xp04SD66Dsj+X6Z9SYtqpIcXA/alSxUcbYqV+12HA gqSrYRs7nEt0fwjM6/RfN0avPd/v4mU99QQgqF10xeUmkAUKPCQzGba97LDq7f0SEQ8KGGvpCv6v Mwt0OeKCYevQQYgJkP5nigx44MofacCXa3EZRp6MWaqfvb0Vkxd/odQHX/3b1xbObBuDurZi+CxI agqFB3Za9SzSkzWxOC4AKp8FRZAhqP/5Boj8BB5fEVyicTPenh6eYlhApq5esiWNhPxXaFEsrGR+ 1YoTfV7VSopk4tzQV+yiVleKD/XBIawaGyJ3b3c7meIRa4mwVVpWtmI2YVXPu1wrgQw+bCH3/qoC /huF5e/fovHi6M/btHtkgipbwPdW6ZonTmHENF8FDwa6RmOpr1qZ4JstWxaG1ZthMgbKw6TCdhxK Pfyv9ylhAkPMXTnFqSSbV3KhzDCElcX53DA8S67bNAHiDAWjAZBjEaZ6MZyOO8pSCEIEw/t5K+/Z fbDqnyTx2seG4R1aKm8bMo5HVVFd6O8VXazl8w87jkIwUcq5rA3fdHOjdeuzykUqEWqY+6JIMD/T k6dS9p+i2Pcyj+R+TZhYwx26vuCRFzpCB/ThGxAUnstwwHM22pj3ONgI5G4EfqV5Czi+WDaMYFgz lrLtFXBbImSfcbRnKKKewNbTXMBOGIIJy+vIjq0zIhEGpgOE+YzVTZbn323VQzN8cGxxLrYiuHdO sWlmkYuD5Dd+d+1FmfEDggUVN7/XKktm8ubA4YkaaeY1djYSYZZiLElfGCPAniB6IJgiF7PgJBcv p8WJXBo/Xg1OFQCeaCh8rYXsZNSMV2QLzsQDibIIpC/LG6KuW5oxH7mFXGdQGK8ehyy470iCvSx9 HvEX64/WTRoxDKQg1/P1mUYXEzyD4MKW23FsHW4VCj/7+ODxaPkQ0ma987BNAQ2Lqc13Y7oQeDlc 3v557jnpo4elFx7MTS1WQooMXTlhlulfyJbIsMn/p0jKknRtU0tbWqw63yKbIObvbufV85VOIJjm 0XQfRJ18+U459mwejXVCkQYJxLzpTxJVjqWTVI3+6kU2JZYVIQMRz7AFQncEGITRKpZQ7j/syQeA t5sEq/KZBe8T1W3PzAOZWTUNR2aDNtT1ElDEe6LRby+I8i04byiZGfIW26iw6QAnw5FNkMRzHQFZ BN3xOzMbvtQomjcWMflkT+39jukzl10Vv7vkGRbRXl1bRssEFWfyc6O/gixqg+5UFGOCDTsC3Dtj Cvk7m7gwaXnp/In4I19UconCyi/s/YJuXx/fB9b8hsBccWajOL06cuddG3oUKBqOJhjLLJkImZlF DFBfmbpXGV8ZXUu8281/Uh1NcT3rdTtm1OKdE7dBvNLHiJYPeayAiIpWo+L+XKwLWK52DBhnTSHh fMEagj+n4e9z6EvAVUxDQWmXnd/p1DyWA544xHuBLaUtGMGBPRRnTGRWSwV3vgdyrTpfJblLP1iV q9IP/+3jflbuVfc3pB+1I26AwuDRFDtRd2p+7qftBkj2HimCXRLFR4yJKfFa/YTYI/gr3cLqPbTz xdKG55EztnhhlO2HQTFpWI93V1i31b9ekzQ2ON+j4sYjMafq4k/KmsHsyy02IwOd8iQaMq4wazqk uRadyIgJOfcbttokNseKygHq8dqKvSX5hmcp33n7EIOJOn97KiQGR65WKHYCIh9AsoNuQgrp4BDK XC71bF8ngNy1enG4ObuxVaVBw7ySkmIkjua7224D3HVvsjzO05R0+pD8UnfTKs3CQLul0ptLVlqx iwvtBXyMCzTUOZ/8epWgZwazo3JZfNNi2m3BIDvuRj9SO5YdSSTiejhlt3RuCkJ38x+lbY1tKbZW IbyuZIpYynzharY7ft5m9ERGg5GvmL6YT6rtmKsdUR8DXc79PLgV65pVUwU13pZ6q52qy0vxhVpP hT9LyM5YDFwLS1zS/Swqyyd/vvahzu+3HfTeZLUxOjsmMGPXY92rvDVyYpxuXTLyy38DNN+uiDOm iVyaFlr/sV+9/Js+dBkHHzC/Y72A0MEtE6YRu04qXo15dNUjDXmM7iZXpoFY4WgahxxX9EfGEFmr 36Ser33+RnyAOPMm2x9XQFD8QxWaVLHz7hlcnNbhNtL3BD8K5Kh9j/fy8TVJRq87P9lWqRsNEKZa jMl9fjl8Zy8fiv6LH12o4uERyAhQu6wctI08PzHk20T69KQ27ZsJtibBElIMSQbENF42GzxIbfqs WD0YlRfW8GgpEdO006hPFkIVMKdTPBhZBOPybUd8eUh9BNYtd1X5yU8Clh3fm/q7043ds2gL1jqi nTKno9Mn5ANt4XwKWsgrrqsO0yWHR1/uf2Swi2/dQqmRsj5TSVqeXhGLXmxdsiWGNqhUXP0IR+So oqarNPz4Pqx1HWS61yrIs0upsNz78Fbw5c8XkJech4UNGtMYKNAXDMyL8R4aiVdcTRoeaHfCEpmV TQC2kf9pmiTtlyqWr/NqVQqTDm8+FEDOGx0xyJvD62xCJg758jg79KxtONaX4a+cGmT0uqoqGfFN HmeAJdmpWjkO1ooss4uPnhin1yzWzHicmPc0+kgaDAGnqNVB+7laNoOW+k+88liUE4VrgVvLjrOa l6GGzyzueJ5XLMgscCsPQsYucfmzNk+FAhRFwPv8oWE8xwunsG2gzWqlOi6zAB4aF88MLjNfdPia +X0GNcGnsS8UYe6ojk/YGZ4fG3mAysIPRowljvsn1t8h6KQGV9onX+UxRAfNTErp7L8260BeZgGs FpXUxTUNhbgotC8qQcRpG3dDgFG4bJGEsyYMHB2plMR8lB0LVpbPC2MIJS8y7AKawhKcJ6tDfsAO 1F86i1Bx7s66R0Vveo+ebGESiw6pvaaw+fnpAmysmdV4YRalsc4h+ww9pEDDkAQsVr205EZ+1Sh6 jetcgtUusSF0+djQSj7cYUNXfrvBl6bjXS5HUdP2bnKoatGTo4UzV+FjGxIuBh6ZmkUHWp41Adgj d9KEXzFSEjr73sa1ueJoJYEjT8Od7DtlqMNKqUjtlXBTX9P1X43g8kcHDkrDKysGM9f6GuRJUy45 S0aaiXjaSoEPmUbmX6jby6zW+Hc/kGnoZEQN83Sxco0kd5enIcEnQ1CHeTkxOSyiYEgC82ZvSoiV D1XD6oFlGRj8REROOctViai4hSa+uQvl3yzQYM23RVLoIrUABXdhUwbcHkx2l8+aNGEdV4tU7tmN 9R/D1yqx6fREeVEeJ8wALeNcD+J8M7frMXHXUjPseqXWFRdQuYXW5osl0EiT/Qwnn9jcjDLjatXx Zy5Fp9DLQ4r3lXhO1JL0iIyh52g/YBPB12SU0v7us2HMt+i862wx7OJN9vPTCIMK2TPXpwnMtjV4 xMwu8OU3S0Be+I0nwsD0h/LkAeQbAH9xEzi2qZ2Tg60/xTKXbwLtpjMVM4ymnTB9Y0dkHLC5wgbj 0Lr5iJAvMeyBfpxBGicpY6za0/sixIaLe5EyQV0LAY05H+lrgBVSt2ROgMPo0GXh0nsXghPg9kQF gsMVn7+i/a3Uo5uL+AO/xbXI7Hxm45p5foE+YT3Xu9z090xqGAJ3IGqiXcIsUUiuLDTrJfTPfBVC Puw/GZv2h59GN1itFkGm+gO+NlRkXUuu6Il2CjeUEa56B4+TX42v17kT3O2znVzQcjdCapN+LMWP sB9Gbg652VChkFGpLTtv3wv+xOMzodpYA27v2iT3/QxmGMhcE1Q+9r4Lraxmd2ujJGx+aLyTVaNY 7j3vnn8yrC38LwFLCv9PxjhtTQEogXVvQVIfyF3HIqAe7xiWJk1hL1iMp8zDh1go35sLysm2pan+ j4kuXyFmlllFG88UaBjPIcgJoq644/yUybAqrzxIrN8jSAxi4IeKRlOhhBoToExEkFZO1W21nmOz WHc9VsfthOtLkaLJN+8c7TzoQVxOfjdAPcwvYr4A5jUZOH4ll//3En1lofPKaJLpWgsYSKrb3uB9 CCaagYc2AVfx+iWaWH6ePAxdMvSz8dNeaEP1wzqVwQW6VCCAeyL+F4fwtg6+MFUtDcn5NeAWltc5 axOMRsNWhySTumwGD7VUlrDYXkeDm0ndRY0wXpfKL552KCDw0FEu4IFoR1w2fl6EV00+gXe2B9zd /uDtf6dMxrBvWm6hoAZjWFtYyssnxuVAmg3f/INc8ZTcncqOT3HjtIkmnA8/VAXneL0HKiASmE6A uNHKZAWns4KORNqr3tLVKhL/aONtP5MIW3tf9nBvcpEVFkKgKmu6hvXg2UAaNcBIQEMX556mup82 lWChBf7jXBRBdpztMOvzXfT/tagKQWqRmkwPSBvAHjJ6glHSnRNTm7ApXxJYagYLIPOQZdI6PruP z61TJXuau84aT+oU7HCv7iN6PsvUqz6tGIwd0u7vKB//OiQGXDUdYE2ZnzU9vPmzLcDCtre51Cho rmAYQ0Yp0jAOk4J/NFN1WSbgMOD28IlGLSXBjZ9WZfXux39BosUjlL33PZu4n4+R35DZOXu8RDb9 iNGfG5esEHFHt8BisVNJlDFN4jOjRVEoXeopBZm0AiTex7yXyAUkNkdkBZ4A/gL+IsSNpIqquRPh exymqG5r+03Rnh/1yY8LfIGzoqgyc4Xo+U9zQWj4xLH1vX7138JXL6KWmGnMaNOORUXtDcPEdrxK SCd3Z4A9fo4fdu6STl0lb63gFQEqJqeJcwjr6IfGgwNx9wOcyVBQQp7FPtE3R45A1TbxzQqULZKL z8jC7VDXAlsOVDFi9MWZZd88TWFI+E7neiTWtPLJ5097FSb9shoFsxGycib/4WlrC0hoV0g829My YQy9v8sqpkKFvbFwF5maUqPMF4gnVkgabklT2Cs9fZ0GAt65AR7xnjNTumLbTOa2gfGjpqNEYeMM 4YGDJFtTjysMNXv0tM+eO5IGIZ0Wh41fiwXmeGDlGnaKDpf2mlEfH793z6KMClOLUvVTaa/SGoat rkQPpc9unXAxdBy5aVSL6EOFR/aU9nLOMmvTteSBmy7t30P6i/FOmGPa7z+WFZyVWvdjFAj7WYhs cJXIIc4SakpkMJe7MsTHy4aiILGS8LbDPiwzXCGtshcnWgLO0Ptt1OgFOn6tK8fh+lMKqgyEoJnG Szdxg+c32n/xkoEANTJUBn3monwCZhGlqGn/btStDvUWUbvj+N1RLVZguPLZKDn5ZnqMl/Wg5L69 9I9UYSnd52xW+kO/YdNT9TallzvozkaIATRFVYaJ45SIp5Z8tvZSPxdzsj5BgVNJUz+zsjJ8w7FQ mKLfvJRlHus2YfO5Gm6u7RQHY5RqdVy9YPZdkXAUpij+8pfuUaudPiAW5cfOIbl7CYu82NWHPX3K Dwn7M6u9DJhAf2CE7FnEHTpkkP3SvhDbqYGdj4rVerExcsi9ePKbjJiAmyQeHIZ7K8O/ENDtkerw ewT9dR8mJdfNufelyTO+IdOP2MD9v8BHrW5MpxrQdsMsRWd8C9NeFihzr1AQKeeArlPPe+qZX/2/ 4H37OhrCVkl416DjvkKl1sd0cXvBWyweziXQLsHHs9S4Owq/dFCpvhyYXnVpQ4h0tyqMtljzJ4XU c40sRO0KqCR7h6YEabNasu9oiN8opMFN1RYwQzcLj+ldRp33PcFSsJZUjljSb8Cf1qUJBpAT08a5 X2q00L0S12D6z3RH5WJnH41I5vNHI6CY1C7H7t7OjuIWjGA0SFngV0y3+X3XxeKo6+1INexQV1wu zfbCIDQc4cEoKI3LKCdD3mAPtzjzZAyJUsCiZEd7MhVUFM1w2a3nKpLKEDHw+yrZxFRak9lUF9bo xufoGvHOH2JrQlWf4wAuBJMyAPZeoq8FY7Hn3KCavGp4EtaG/U2gqSgvEoR6znJN5p57DcCemOT+ nKIJwqz+dNJAr1BeJIsfL4XNloUdtAYxo02bTk2TSV4zun49w/imi3Pk9iPvuKK+ifgyxqo58C7q ZFaQamJURHL8f9C0msBhXkZdluijteoXu3WmsANPDPOlTYjYBxCDbUKjbw42mnRzRkHkPNzywrz/ wt47QtnU45NRSz7+ejsn3e8yVNhR4C8/xrVOOEsLJGssomZprAi/+gSWvFSrwBwxVIZNALn2KO9B u/coMFL8Zi54NINTCxuOxLDdz/TJMziIXBC3iJDVpn+melKRhF9X0iB8SwrduEkfjty0RBTfUs44 FhBJGZ2G2j7sIjwHHaUofa7vFHMLQlaGkRMQ/6NMwuami9Ls1N9wa+SsRpPMv5W2zvfkwoZ516xi bSHOKHsKTdiTr6ojQSkU78bqstRcgUIQOvJXqHZMpiErx1ZxnLCpQ4iHHyv7zv3QLoFKwJ8NlBva JRYz/8yIVCXI84p8Akd+ATBb/cOHVGtKpqbV7VS2w6ZKvbuvfuORv6y+FvkVanJTQ6mJUtk1AR4z w3jmUgWLfOX4iHG0h59OPnexp59TdGaetionBIcOclzaNrGMojeyvis64Aio6/auYoMg9AZ4S/q7 8o9oSv4ef737nbjQfrSQcPFHcBAHRGfjSm+gt5Hz5Y5Xx+gn10v9A64bObybEAxaYwkxRU7GTbH+ samZQAE7Yj3pVEQg2lzlqpAVkLiFC7RFljZN9n6IRYthxcjwZm8WtOjVWhNGQwd8v4M3EXYzLiji mTWaeuCRahG5gDiSaHcQaZzXGmVT2tzV7ocMvGEL/bbHgGINvl2OSyj/wQXeTOPptGB38VSJLE2P zqYUliF2rtjja7GP0XK4Iw/hRJ6CJtpIu8wwrN9Ytw9d0U6mXeZYNBAvHcNAxBPFWyGq5zWb1GIB UvvMP7+dfF7pWni3/8XnJ93oazkTHxA6UYjtqTA8ASBujOrJjNovO3F803LPWQr8i3mxLq+7wMDV InQRqKla9IUbW0tMJmAOS19cwnEzSQxQ/Xp+hE7XnMFAXLMKc5v1cggdnJWFy2PQ7254UbWX45iQ i24B9mhqzYT6/E3M2EwMB71/+p/Ep6qmCjhfN4TRQOeh0naUh4l40TIfBtwVkV6fisc4yUjRU4jM 9ACle0+iy0H8nRkM5C/Kt+562ImNHWYEc/ZMZt2JBNLCCFmxm0DVvpYZ1/qnVcR5M4uXCsVdsMR4 9NneVSzw6VA7gMEvPIvdD9+9N4awFYUAnZUuZEXQqwoNhXCTmXh2UkMvoHZwpGwKtDVkUQMp3Qu+ l89MhrjtaVIUWIn/1FBUdvyYzCJILieV+9cnt4UUg3Q8g0+7PYdP43sjOp7M8uaqXyIT920O/kai ChOnMAJ9T3L3RmBjfRidWTuFYzaGmgrJI49JOyDDRWhty/wyGh9zWOy0H1N1OPw855hpgHeD+4TY s52uAQmIt97J6oEoLSZXON9xb36dt3hVS1S1ijdi6wksPvbD2wM1YZNnskLz77Bz52kk0iELQdYJ llTWYJLCqrnDG6uAJiDH1EBOu16LA+hfTl0ucAcLbleTfRRt/rM22t/i/Oe/yUrRa8m3mU16j6VI S2HIU1ctv75q6X0NcVIM5wT89xi3dULeYtb39uGPZ2qRLiOxCGw9Rgtw3sZwMqmOI6kNIwO0sLEq J30PSBjbCs4LK7AdNz7O1iL3ZfnmlxxfOyu6M82eUIp3c2a2hpJLlNBc9ozKwehBQYIUXibuxU7I kZkhYqTruyWjKdyOgZoDcN2/vkfCP63GX4Pg9tksgK2jjqlloIXZfuHhGqjsTr93xBSR0e+2q452 Jf6Hu4eSzkmzckK849Gs67wrhBPVZFc8X8JkYIU8PSPpISyd9QEwwhyLH5RPt7IzXxpFPlGu90Mu 1mtrCktUfm1Pdf3krqSGmkSnZN2g9Ucu36VaRCcsNr9XdJ4da2VX5xLqGIZx/EWb6FWSiWhUuzWA MJKXkwKdEalCAbQVNPyErbIrIF1DBj9IiY+Wd5VJ8rhBpw+lR+S6oLHWAexDNgVlB6XGKgVZqyNF gTTQmQ3qM6Y6GU9jb0FVrhIttZkdKrWTHGE6FDbrYqAMEW8/0OPXvBKHP5HYLI/qVwNW/biw7U5+ osCzcWA88HAd2bYK7DtTQahRwbStKedpCQNU/Uy66hHjI1F+OGGrLSH9BwLltPLODAAlCSz1rYNZ FGmyrTv+je3c3y6wwaDxgd7Xt4XUxU3sESQ+32mj3aCuMxLGAxTcn/OMnFcPBIXxQkUt5N9/xnlt rJuGjsA8kXn43192bO1Ctl/8hFsj91p2H+AxQeahDpC61fzk6U5k8sN0+80W4mk56ytqeMz2/Zao XE305Grgy+Zs7LfK2UWRTKUlbu7FEei20ocyQ4GPikb6uTL2ISC+2wfRTw4XToNcdIczpY65e43y /VktkFlbQemwvuiRp8i2JD2lssozUWyMVCjKAhgST00xr92PFQRR/QCzuhItFJkBjJEncFIoaVPi FGlPwScHTagPEhZh5/NqE14h3nEs95LW24CbT8QmCG9Nb6owiDZE4gRHSb2qFMMU2XdH24czoLSg 5hlhVH4ZCGuKwR/rkrS/gddlpeiVizrpDov/Y+BgalVCQQI9tyXWZ3bYcQVspqsS/ydzayEr+LN/ Flcq+1cWbYuipa5sKig85UAbX0+xb+Z7Rsz9svJ/6GohvtzBg6f6aCkCuIMqi6IF9M5stk42qpGb eB9fe0ASrl7rMdHaUutOh4NtPtJ2N3fjGg/G87jhwBzrTG+4dsow7/nHW8FoYpSlnMwwriDnWBKE KfUs1dp6PoW4sRqAZE6287s28rZ4AwxOyEa7ITqgGJqBTQkYM8yQBQP0cq9GqeauyWUMlD7Lq4Vb 4DCfEj6pvRCFoikaGioj77tOyPCiMQhPr3Hpwww+M5B+T9Yt6+HQA1lKOruVj8avf6iB41ooYquQ yGrugVXJp96NWb/PO8VySNf9D9rYDCmjpdas4Jeqjyz8IuDYTTg2H+N0kIdLEuYwLrENg0UppA0h PKt5NBu9fi2sttKpnGV70UFBm0UYi0G3hmH8LHJ0yoRQLrvBoZN7t6GN2md82V8G4w0cbwfo7+rT ctFcAEAzsaFXaxwOlORU7e8rs9ktGp+L1UobMh54dwrQGyTmYHakg+cK3k+fXYTZnxsRtGI2QUxj UeM9fRu0gv/H9ZG03dkUy/muxlFKldZPiFD4EFej9onh0Sky7oQCq+36orlzVFU4zA9xKGkS900N sm8QAukGA1i1YKidMcpypsAchXfbwPmzur84RBK3r5b/Ry5rdwZ1pNfwlHaRJB6AtzeXhLVYgTpa A0gGTjp8mg2UYsun1TIKcXnq3+r81qFtBdglzuV7BFbSUyWymQJ8TG1Pp90+xYeOlf5l9sSHn0G1 S0rmnVUsxw85S79bBYK4T+5lwyaZI7NZQj60w8Z4LiDgjaD0zTz5dEyl5DzQJHpHbrx7lCPZoqOl vxZnIpm2ASu2V4uDUwAqjCkHjZLc02Kz6uvJOLpwf71RE4tQXFOEn9Sbk3V7/2GfVlfWQf5xIDt2 8Xi/P6uBDAzRcVxQ72CDmCupviE3oNzU2MsERvCTf2toKQ8hebJWoHkiBoMrX9BeEj9S8N0cEh5L jyTo4qv3cc2gRA7n2oXIUTuZpbsh7yEV1sUCK6u+yTQ7OVkfWpjSTEoVNxnUlJmOVdZ4jF6tSuzz 1TutKrlDW5JkVBLu/FUwGh2g7rs96sKeVd1VP6bd+E+NItyRgjfUto6fukLxIADV6SY5DkmKIWfH 3fknEmnQVcv0Vl9TilS4TyLg57xl295zYvZKLekkVbPUulf4g0GsmEjKTZptOQk/uPADMI1w8UZ9 Oy02H4l0fBLmH26o8xi/Lt/9x67u1GU1yA68wBethfiRhd1g19IbXSlvdtRSvQtroC36jwfrIjT7 ijCyGaY7CvbX8j4jmbq6dMWh1V6wJG44NPi2DpoMKWz+34Y6VTZNHN2qqcoy86sUOEkFZpGD3NXS nkETbEkv/2exj9cPCNkWyqZDu+w3M53WAhRoZ6i9mp7GT0RNwTGaWUr7AAaNL1nQNy1LQ/D2pPk6 GE/NEJL5Ff6WRDajXK8m1zeHaOozpaQpQxXP7TKnzMD6GZH65YLTktcqlY9bC47Jq2B4R7tlkK+/ 9evPMlURBOY6A874vbKcqb1r4g4RpDxe7/n3QPT+jvPVWFZAIFT0NCZNC85ADA+bjV3KFV+9rLVq UQIhXrVfn0g3sGJ8E1HwbHL8tnRNAtQASywY9ia695Qa+6stpYRRv9b0wHxu+c6jo6PsE86S2g3/ 5Gk9k/JHdTI7GtP7Btm53cE3iI3VR+Tjq873BqSYQG5Mv1bK+Hz1caL1Mi2sxTPBhyKLcbV80Du4 VzD/8kayobJajrxb0purFpkD1H/TU4cJ2Pp5orCDoLKnHOKHhoq5oC/jnwRmI15jUIFC9kqOdpni 1Q74m+yvfPcnpeOD1ADwW3GZbhUAgsot056C8Bb+lGIEvhBOcwwUpzVkHHgtV7/Jv7iblbFz13R3 s3tsohQRUWQ2O5JhYB8+v66vqLKsFdVHg1qCfbhHeboOJ/z84xlHTFm0aZvBpBwjB/3DwoO6iYOR 1flagfi6dqRGDrtnz+cua09OqIeoDt3t5pWy4pX83wthyvLSMzM3N5+/NQqFo+wR5LWyqosIyAv8 v1kSl8gS0toi30/pxNnVDLnFyCaSrYWk9CT6JvQCX1brZqej73ugDfgdJzTwxj9BG1gA0QGFuvqf yc2nlKehUw4zNQrjsyUlauHA2ULJptqinvBJdpjYvgoT0iuB3vjmYGSqekv6jN/KY3V+I4owKJba wrbHqg9tG5KOAaxDI4nzoEqBeYnMfyyN78vya5rLtzl6o1bfjIdsZHAF3ACiX/fLfwNDOmAYyaXd wnmBp1yKkLF2MkJQxJCPoQIHikDqIDHTNNrM0OS4rlDcDUrBYwpGzrvvq84ae5mnjjEPrs5ABELM NjcSmp0XmpBz305/Lwq3kFb01zAbbkXXRN2bMM6g558/FfJ6eaZ0ndmcZlPKVGv9yPs7vhVwmj/r pfcExBaBKWsC80IwrnLLAe7ZYSsH9RjMKYO0vlJQO8lXkN+CAKnnDX9hO+OtLerH7jXtiR22IPoU cZUBV77OxdSQn4Y9mEPFFirLj0wAq747NbUMqhYv8KRIz1kal03Jcj8bzaiw9ZmlrzH4AP5HJKjv gxVBvvgBiejHEQDfvlh+dZU9kN5Qnb2m8tj4zcRgZUt/WICm2QRYQTUXYw4lm4PvISZ13l45j3jf CsWYC4Tv3d2KR40e9uML+66JoCxi46yxuep4jcQgvt0RlWfnn2z2l9EPiuLI8jt4PdpzKWQKRCwv kbDtZ6+8aerqczti/6aIv4mlNGkLdSNXsmxD/ipGxoMmuY8GA7Y83pTOrD9s62aWgNUX//PvUUu8 EzJuAorfdk7P0RAz/QyknIMvXxciE6uy9EFkJXOELvr/XxoV7+XYs6QkUDpzRqY3m4RKFZMQU+Yb if40SScbCrWBXGcFmfWgnyv2fbZB2pymkDHLXntH8wTirMrBqjuhPpGqrOZX36m1A0H6g77JRD5T ZA0FvNs1ssNrPrqB+yVM9YE2/45Z+nwiwGX+sf8yD1snWEl/DD5+HfPLYiInIt8GFvCb4dt9W+P4 StK1NC7wY61FrMxFl5W+pW39bZaV9Am44b78SSBZlTy+O+ODZ2y9RD/80QDgiMvbvy8/QFNSZX2i wdQDtErADX2GbTTerspoO4CrEIupFhcn1rpIovY67P7OBgw2tjizGnskPJLUFhcYubSZjBsRfYK7 m8RX/ySPPA+47GP6AHCUDZ+4Zpw5NJHchA8C+3c1r3Ga07C4GjLqczxQ3jj7JrgcKX7r1US2DK9f DzbfoU/KDcmR1Ml9Dh3HVUtic+VfSFLLCJeHxFQvKjJpYosNWmQKy+GBAit+J9V4E8MZUlxnuOvR HsXDmHncjFWWM9sqn8UlPJUHbT4XDvEfKVzEbq8jVW/w9uQOg3pSrKzra849OkkIjv0HsVzFD8cY 8GYPL26r2jtDkJne1s44R9U7whcuPm8j11n7FMRnhv6iGM3UI1MLkhMJjjC0tean3RcZvBnpQNxQ Od3UFQGtODnZWV9dLMa/9LljdThdj+e0NkaUlcrHi1euV5K26ruc+UvqdyD0IQn0i2AJTXnlQ66Y OOd1xS4viEVtOD4q43aFOsHkU/eym9G5ezDXZFdAzNiB023x3Ty/qdzQERy3BPOOmJ8qdRxMbMQ2 MuYxJRr4cbJlpncXKOs6EYBqdIWpV4Rk5xdLAg09pUud2lvzBTf2SwEuIT68dMuaAkQrOr3w8/Q/ g/eeq8QB8KrS/LMb71QJSWewywC03THBuOGyOTwMVOxqsM7fiwL+le8uR0r5OwhYaf4zRnaa7WOw +PH38F9TujsaPEw4BoDXerMgxq6mFA6XJTiPRkEKd0uj/MMN/uMiQqoopGNPUejqjvn92lIpbpVw uNWVCE8b/JoeyLJEGHDzxV8DNBQrE1bSdqjNYRxAOYivqNMVyvUxzcMXYvkFsKJjcZ96nhZKbRAC 84o5A6sZWyQbgoVhEZlcxLgFMh77McuOVU6peQgactYlCUl+33yteCktq4azXvPmjqwQz8N8+/rn ZlgTvium3XscZrHQyiS3nsvkBNixTyZnK0wVyq2S+y+zMEKCOazGMuhSCPpnOw4oUh+twEoWssL2 fqFXbbzxnVYns393DecwKjT2OklynMrNcTsyJurhR2xlySkbGCrRrhJijqDmFHAAdai70t1olvjn pTtuB95D0CthskfVbSbFOUc2L9J7IMOgpUsr3jn64c4fk26DOaolwcQ5fR1VvuaRgWvKpZqVsGLV ynifGUSUET8cT+XXReJjp7G3Sdx72jSxpq9+R3yocrNaN03iz9QsEVtXGAMjlOEaY0sD+zNaSiZj gmWv96Y9hNEu1MEAhun5HrEUDzzKlrm8eLbLk9FUEHKzRIUJJBQrQYQU4X2GhNOR7ApWZvu33Nbt KoVCDuVnrg/Aw1OZ/JNRk0ZbfAPimU92SES8UjWvmVJBOtbs8+5dlTG1QeBE+p0ef3/4O/Zjhgd7 F42+bClzlsc4MTSgH8gA70xplGvq9oI67jkJfDULcZ3+rOUA0CWbBMifWFouSeiBmwaJ7lQyBWzg /C9uDJOYpYLWlYOyjIYEAfsu8X/zkw+ehlvoHIX867vAq7Hci1nXqA3F5d7dauhwvFqKIX6HwTG9 UkUYSj+kYF9/jY/i4BcudVhYZNSrumiwzxGd7iT+0xV+Z4C1bpJ+JN/nCh0QXUzjwTcgTfjdyEpg 6JgQdGe7LTJ8qN1RJ2nucVVkcm4yA0ivgSbeh5izjn3/gHv38bogCSqZPBE+q5O1EaZij1542Uan GPH0peDzNTCD6efRO5sZAR1ctTirHSHY/Q4n3NPx/YX5dtuZoHbcLXtvNPygGuOn9QBsGAaZHfbE i9zhzYrYWWtbYY4L2qX5IRWNefM0eedo+VGyTcrMU5UgQSZXNTuUO1TWrlV/10e646YNmpdpcWal Uc4VovhJ/dtn2EoKAExf5qcB3Bfz3Vo+CHncIABRWWc4oQMeP9r+ygtntdRGXAcSE0K2ecDNg/UA SfG6hWDA6/wleLle444cAkJkNu6yKOj+hA0gaxMU/qizXqzm+kbtVesickEfsuHUqM1TCEJqkYz/ Q0BFaj3rZth/Hnq3YwfmXhx0P0qh3RwGtwMkjIrrRXZo2Xq3h/iQGjRodSPtJ5ajwYmLQFIvDEp2 JxiV8mZfwZWjhU9pv91/8qhO6tvV/rugO68CHC5Nr74gTPS4IS7NSVao7+2e1b3Cm/9fdj0KWANI 0zKhDMjL849ZrHr4XGcSDSwwGWhSzF11wL0smO57b2oyEy4flQazG3U23I0pdSOTRIyqfzWyMdMy Z/RYyzguwlZLLxGwJmmkNd3+lYkEjGZ6NOvG2CcDNGGffREVBdIRSuwzt8+0VVaS8Z0xHeGcjbw4 8P4T8e79sEI04xT7l0pGiPEHNT3WW2COsPsUP4/P8oXS0DoScjNMaN882sVt77d8c7pZgZQFIszj pEfhLopCm73jQdZ4uRr5hdHYs7BecTcEm22kxpjHSmkS8lHFT/g5PgzgpY+DQslZFq7hwabni2fs epgiIqXVf7yhD7bv38+arPTIsuIzj6mu+I1l8jnxskWGyvyv645tV3MA+i6NYMkytejdWMDkwOZt PJTodbt1k7ezb+/AOUf+3j2uytkLiqAesRhFNUECqzUmQUg5jB6AplpA7iAoRuBPBrtz8fV5BvqB 3eEz+StKbbQsWhYHqw+O1GnVaLQJaI+u+rbIC4zk09eBoQiioT8SXZV+//y6R4rplSOQbZ+FpiUx 4lh8mhbTDQA4PQClOUN5NWwn2RDIU+4TBMzGET5pM8tCVBuDhrFgIXRf8LfPV/iFZLmPA6RQrTQW /2gZoLXVPDuwNPFL2bTXKV40nBxTOJvaQvIXmG3Nh2AnSqlVKL6TxX1OW/6jOKAVDSS+DiEYv1Ee rG49KJfwo6+/T4KCH2aJOekKsHCiDCJCthbeLCqIT8y7Xi5TPWr34yW9P3BzVVotXAToOa0eZnAY YQdnnmZwaN+v6btXQZVgXOthAEDO1VNQsg3GgcGy++dL9LP5Ly26bXoZDKdCT+754KGHRt1ozw0B 0SFzEawaDgEr3SZ336RrrqlmaH8erkKlwA8fkMMkQofzMxCZU8Pp5XCbbHxnEjVv8/jxgLGBL+WL hNdReJSIpVHxjAwFTZq7jNDPohSlgkeMVqOaaNPyAs/fOn/tvcSuZSJ8u8IvyGf8bB5d4jR7tKKS SLChM6Jpvlza197xC8AVOf7AnfpRzDBCbwOWvsOq6Um366vClvSmIkoUykkT2t/uq7ATkx8lBiZG ZJFMweVEPLja/biHk3/AdalX0ySvr/5M7+IkLFCNG7lCIU2fj8m/Ckzlyjsn+zHvp2vBDTh1WuXr wS6KX4fQL6XOUFLFjbT0JTE1706Y6fayDyGuY2LsycogcOTbeKkk0jwhhlNPrVLdzndAKFOcNorh xBIFb32lorfv65hCjidT1jXjKWp8odydNfpPJe1Nug5uS2HXeJLakKy8szCykPpSj2Y7Vfn9qgPh 3sJmaK/o9yGLI8LlV/+6ALuzZDw6aqZkqn3w9SDAuUn+3L21vO0c5fGdBMvTJ9TmVhRX8NHHQ2fR OGjH8Kg4n4idAmLD7hJa+JLIs05w4n809Hb7fFSEUzknzOpH65Vh26HLVcw1214/pcGblTYfMGCj wxRkvdfue4cA4D+YIdsHO/v7v9857KhHroJu+d+OGr0kINe86WydLJaTFEzYtmiYKIcrGhpTSbVK 7kXg8dgJwnbUQccv7l0W1AeVSsxktWa+ZziBYYAq2zrvoMqqjc+iwfvBaJKVlRky5J2UIFoZ8gQl rCumJV5rT0Qp9vsdGsNMAGf2M21A60AyIIg8f+i3NTHyrxfgrzGqOtd2ay9YRx/tsUJ5OGweYUw7 tN/IRP8IePZOSb9q3RsLxQ7CH3fVGqFkirJtarCWTN4It26ZOQwJFHUH3vhtEiKe6liA/xGH9pmc hGVKmb46lbObhdb8rDIUzb4oifRf7j0kul0+WSbrujis0yrqhl+ljPLidzzkksBrG0Jc4dDriU3R iUFEsezoaDv3Fgn4CRFMaC7325drqmpVrLfOLZw5X9YacDr2mg9CSURXxlzjeBvlqWzldqGHAmVk l7Gfm0Aksl+C7L+gTUuOOm5Hnqk5UBtvUpIhl3/bS3UNGpFqupqbcGaz4W0GzcdJJm+JhiGxb0vW OQmd88kiJlyHyh57GNzNM9hSa+g3vx7yengYpA4ff/Wk3BiFn/4+Lx4BTPgo87lzDSorTli8N+pF PStazSdMSfStHTyHqKkRhxK8LuqOK5mTyOTbVyzaKB78d7LKduklQ2RyKbTfC1WFXchhk71581R+ CfHK2C6oL9sp43GtnGiRrTtdOTxYuzvBFEqw6LPeMYJ0y7xrV66O6IcyjMA3GHbcqSKJlGHV5RMN xdIj1xlFi9NkmsOrz94jIjGRo9AzUnNsNYpDxHkxOwaAUry9dZS1Ld5JSo+vHWC+LO984W2ORldE xxusrXN4Kmy0DQtrgMT9bXHWsRxmTpZoQOemuc9ndeDK670DpdqqdfuFXvb3I8rgUvZGwNRM4mSo /od/47tBNG2/tBPnPQYLzzNDJ0sOk9fhfjsFm8yHBl+to8z1FVyjgwsbnWIXl2m3DXMKNuKY+ull e6elUyo2Cg0xbzZFVzw3ze4wFKERYHTAN072FnqBh8HDYrowm6bD4hnGgCBbTfvJ2He3OrciXoGa q7EpJUeW/w3+zw1CS3jewu75e8n5leXn9eJ0x+CSnbfHG8mche4CUludvO5HQ9pVn7JMREQ5y4yA pHLyeuS3pZ3uTD9ADCOI/6GRzNQQKk3hIk05c0W1EgiTJZE8XA9JNBdxu/xSnH2NJy8HQovmR3T2 1okBGkXB7wI7N+F/995MAtWoK5MFP6CQoSPtzt/ZBV8nlCgMbsTByehcepYdvgjPcwG6byYFbGC2 qTAQyIu9b1mY+xcncSVF+4Af6hqmxSiC/kTC2aExRpO4xZskboFWMdJeeWIDUTCVhdbYGOXxMuwO 7ZJaZFNasRFFdrzoI8NQIOGaMFgrh/Ka6GX5S8nHheRyZsMGerBz8+pLwdmyZ9LRUxFoqHt7yKrB Ot2PZy/tMetlLxJGuXYT7FjPVZm/YtHb+8ohV4zODjNXPn8cl/kgHd39Kc7IrqueqYd0YEHRaJgo LORDBP2BG0eLanlqAy63lk4woUtlfSXvOvN8yDqCE/93tngo/dafi0QHf5W5oh4HqSyYYcNI6Y09 eAoGQOIpMNArmIE3Wn0U0I61PeAvxnQTH+daVVSri0YxODNWiEaCoG2Ne7YAV2rHKk0+ha6JNbcQ es1z3Mpm5oGVAWbdm98QVLXOlMlSP+eXeNjYNginqn2vzICeUQx4oZk9Sor/x6tDSn+Oe2pLnpb9 w4AN881o+coomDFH2yJH3spv5nCWgQuWAA3ckFnL2mXz23ggiNtORiNZ5meHSvQT3GPYrhEqSr8Z rKedYjTqAPkldZQ39o+gQnfJxaeoLlslyY04ag4aYPbqen2WXUEEGrE5Cwx8w1BoYQSVtEkPV+d6 vYE+enePrE2GMOX/dGyqQQaAd6RJJ2Ne9LLboAc7Xx/yHVdLQHBG8tQGeGJ4ReSgAy47ZYmsgMLA FnD/eKVzQMp8nRAPKEdHEPiL2QbUm4C692oQufF9dFFE8f6r81PpdWwckduMubpfd8W4uoCwWVTx /iIofWgsJWAAtNsrAUrCtgx7V5qXnv8B66qlwxjen/Mkv4y3+Zia79KpA9PZdpXnKbXvKwLYgRkT j6SUlrQpeST1Z0RaJrYM9Zu1erNg2GkaSy2G/7lPiSJdsVlwaLA2Gj6FALEie4SVXCigqlUlZOFP lDfUq5AYYw7FY8CTkZPNxAMZRqD1v4nKgb9rMnbiR++suz7iR9rV7FZMnzUgJa1Owl8mEznV8jD1 Bb0ga+BCMpKhR2SDiMQnBHOH8iXed8BFQc0HEq9ElJLyhW8VhUqpMQptk38EFICIPc4y1YfabbbI eSHqbsxE6XW+OZqlHzcCZMCo8zn6aTWBgWDaDl5kc/fG5ekvwmYg79HHLTHHch7618qxNpTfodUZ 8q/pmuSn7235aGoziccVuzJ8iFC4nYuApFtrWwUgp6oda6jWC66ZxHUlUh/VPsMFMenLdRQ47Aqe 2cup8Suc4Qj7u0NjRuzRaUke5QhQI5fBAHtGufscYq7eCs1xlTlQO26pKyv6QAS3xeIg0NIi8GwB hjamdjpkJaDfumOCgxc0pYTWKuWUpVZNie/sLaNsiubvOF07laJ8QqTiAEizVqetAMsrElKFMHy0 54CGGhoLrrnudmQd077xrs/tiCwNBnu6NW4g8SWKSRf8BAd9//xVhiTcNkffCTlRnSKERtgYhwc4 ZHbnTokH2uQufOALSzv7JJxwLZp2+F1hmcJNImvErvmvCesMruI47hvsXV+1LWm+NIJSAOMVaYre pArq5FWLM+UtIRRrAK1o9DAb4dOEXAJZNX32UGVEjbdCQJKr6xHqi9WSUa/6fvPp+GetkeMjCDgm 5olTyMy16wbnh+9YlDEgb38tQp1Dyx3AifZVrXqpGNmRBNfowI86vqFUJXuJStSyXH65o8BJAcYX 3U9nW6Fi2x9fqrutyDmd8HYT/FYjLWWzqQeNXUJR/7dr2hZtNLbaEOSzPmYJHMzA00UWMVhkG5a4 hOMS752ADhZupUswZfM66XwKQ/moRTtI9oN8XMZDaUxSgotn2HaPqACETzdqy7cN/22Sedx9aYDs v/XynXKYcuHww63XUI9YsO7rkUN6pmaZszt66yVIx+6o9Fi+5tZnnHrCNaW6ZmHYW1sPabDggUQE HSzDQwLVftP6bPLMBBQO+9VrtDzw9ufOtyx2YwEDlwXX0u/17loQrnyOFSfXsbXAT+y9+gH9JLrk hIuyRerAXcG2TaJjgmPhcqmYcwotCPxyT7rZp1E7uuB9CM2UeYzu7zzNCvO6ozatT6X5d0jZ+sJ0 DWWaOKFq3R5fgJw1U/YMS1ATOmHln6lspgrGpUKPZYahX5gvr92Bt8OqJ9ABr4ANH8d2pGWn0/ax n6t/ygvIUfSGifOEm+c8F4+Oj6LUqUX9MgRwxcZHCjwlV7E8ClR8qQxrYxlAz+/VgboA7xjCiubT vyX5aFuj58B+qNiyjZdLKt6dYb11qXW9UFY2N9D024a1MsKcUV44RA9gQ++xQp0SMSeBGmneIOev Qx12YKMuBFJjvm1zNhQazTRFCTGsWM3SzaXDncBhNnAOT5F8yDNAoMbvrg0juIBZ5NjpM9NBxDSo kgIp7Iz+agZngFQtN4TcagCv9BUcPofD4QS5jd673xZwmnXfrDHAi1xTr3dr6OAIiuUyb9hy+nma 2pOpxbSBguDeyegEn0Wxjmg9rAgHm3WopeGnvu0MDTHjLELyCLQINOfw7sEQTVKXpJutTzyd63H/ 9jjHLAeRdBF3gIwb0WgKcIMsCcS+Go2nOEyUB67lQdg2dmlOEy0bv2X923P3C6GV+hjuwSR6Z8lA 0hG9WRBxqpyawz4ADxoGrtTP5HEEzT7CGFpCMVpr9asxtFkBIGG0ya5yMR5vzKo7Cqm8rfglfNu5 kCZSdlxsjwqwwaCue/Dp9y4ECd/D6qBy2egYIQwn5G5XpMJq2Us5RhouGffB0EnVw0V2lSrK6Q5u 2h31n4cfCUNPv7WaU01ajS6mdDa1bsCZylHfdeRcdltOWhBz28PjEfx50n60JI/Ppdv95T3DaKc6 G2dFOqHhn2N54Y+VNFU5+Sj46a8UfBqaYMRA6vTqN8ECUiyt/hVgMxDk2YnnGQU5lmhrYiENc4wn gfY0aa7fqh00psfg2tTsOzxsL/2lT379okgUFlLGtpd1hlag9/XPvs7ERorIMXA0hVbr73znPMd4 75mfZSWWDMQJh2fM+AyjiO/cszd3Yg73/KOh/awy6k3860I2fCl+QM5C9KouHi1baZK7y9mHdqMY 5KWLMLIYr8CGBmUT+1kBhiVr5AorSIpbatLgSM+VDbGGjucm/IdvXu0JNNqjxCVCNH46/6exIEMM 4EFW81EkTCkGCF13IcWkTuc38sdVbQQNWLVdwEdQTiKfJN+1WgNMtkIIpiXFqVTqgPDdfDsnsfAV abxPNu4J4Xh+IIGLyeboIa6J4VJO7nPFWboao6ouB0TMUvEX7tyjuayyfU6+A0nAxtAY3LuhPw6o SD7g7GANRQLVypeGXG/IfSt1fiDN8xG8bEq+sE/Ss4zW+DDV7JZX7VS9oF8u2sj36jSLqiC/uf63 3VI8FFSgg0IC+uNd0RISgn6abnpj8B+ZryFFpHawravhcgojXwmep5xqfgcnXxgwx/ADzyJxYnn2 LtTTSJCf3XQl6xltyW3/F6LtBjyFetvZK1Cfj0vLPGtf1CMbNaltPVha571pNy3faQ2F2KuAIQ9q 7mm6FxVTx4H40JBqBi7MSDAsCPslVQXXxsdPCmX13Aun8uizWJH/PQLIH/4koAIMMiT6bBlYqRgN OAsywPzyrLq50YftSp3ncb5ppVKa6UzzU32hfFAjU8QeFWe2459mZsEcaYFox9+8EexgPUmow99+ t5d2ePfLWj8bJDfN8EFYL2I/+iwyigC6kmuG2F4YVSXKzoMJili5joeZeUKJXM/fs4ZHCdmmekkq 3G1zC+dfmgWXI0n/FrbVq70iwbObbvOyMC9Ob4qeFX9JGjn4yp7Obm/F0mxUuSgt89/tYnyyG5AK R1DvzH1gtLmFvJWGnFSp/L6yD0AYtct+/JYIl5vkNVg5UY9Aoij0V7KpBTgT/mvPGRLvY1vr8iup EHo3sEc0EC90bFTWjqO1UO+IZ0cJ8FKGiOOoL8UaLfeNXX8V6ZCRYrH60Qpf8SBFb6UJcKx2BWAL XAFMoBgY8d4SvVoZxnaryysQBaO3CxcIxW8enU6XfXontSxrEgRT6ZcV7tVbF7BE7b9BmdPfUL8d +3fS0AwOKt9ImUqULuVr/5i7JzlFHM4UukM39fsW45tEm0THc6lRk+49vM/qo3aBApqk926Gqawn Kqb5JMghew+ipVtoymE10W4kNYvkkxK5YBJ64pE11G+FMtNOQE1Pa3OdwrajY5Rn5yAZGoyiJtnl jZMSlHGR4KjLN3DhcaUnpv06ZjIadJU66D7UUJ1NV7x06ZE/hJfOsU8Y92vRdh8H4gACItjBJLq6 vKrp8xm9E9lJozS2GhRQ+0I8p/kAEcsQlnV2ckzb0P4KxmuDPCqsH2ZlI+A//NIPvYyU2hz1qMRE KaGIqIq9Jjt1atjDAqXuTIaaJtHjcQB2k4WY5A5sowoJ+5myyLApqf7twv5xTnXv3FvM8KHg8txM 4uT0btSVE5+015eD2z8+eIMgnlDVt2PMKJSKr+Kek4mWY68aZ+T7G9Vpzdishg6BrSDciJgVHmWj ImCCBSFmeZzDFziFJLUy5x52HU3oez4ImPnW7s2BiyyJasx8pHNH4IwsUQibhU6pcMZdyVjYWqRE eaAR1jaqHinIxi/CotqSzxlDUFk7AZvubPVf01MEDqwgjwoQRoUkVSig7rmoOu54mHqf8mQ0jGrS 0EjZmFxYo6UD5h6TAX6ilXnEabcVoXkrx7TazadZst8g5gky5YsPgMmDjks+QxLxf/dJIPwgNpwM OTRikW0gPic6DwF6NMPHCurj9IDiMRGAX0UpyvHFfgNH2J5xIsgFZhMjxNc5hfM6Yia1hu+6icwx j2weraZZPHBCE8wSZEqWDssA2G9Vi5jfelBTpwiIVTeEaTHtmYKy6QzyEqVNSxyxbTR9OQeeYbs0 1sbb1n0wdOw/TnBDIEodYmXZyC7+gFfxMZJOLImv7HHTXg8OXEqCXJq1HVgtpNfFdkwBpyvzPajq ncxkPOPfDDROZD+RLHQMSc2DolLfjk598QkVmHQArKyR3r/M26y/I3ABC0wq/tVms9M21G0J2Y4t J2FSxepK+65nP4V3y1bzhuxsTkOS6ihTiAdZrUAq3X6WGfTL930V7ynPTUiNenVJUbkPmNRzxKID rrMEi1d5lI3jGcixY1b9Pea60kV19sFLNU/l9c5sS7Od/75/92sAG8yUtiVOiFDh6Omc6qqlegD/ hY/vdWF0r2nqVxvZYF4PPpO/zzqIHTagoYIUvRVpaT0ti75TO7WRTXmZIbV6b5Tef6Nunvdta47O PsbeKEV2vvnPnTPKLZEY5PoV1+2oLJlQvJKT4Gg+aRhtp+tblbcTy9lJO3cFvIf0c10MYKqwutUS Z4Jw+3qZmAMhaoGRRa3Ri4kLJstfaxtz4zNf836ejoIAqjxVmuILRihIjHoKIKwGNKV3buSSEHq7 SHJ/hD5vzvo5PF1CuITk2RF878Ikb5bsOeKtrJ0KMOgMLa9yytz1j/+sJLX2Y2alIJSnEFXuU7/g lHY1mEkernSmHl14lGhH7JGehRozLb0GczJwkWyrkD5eEgOSyOdWUqVC/uTPoPuj8uJMnrRMgbqA Dp9ov2Xh3XsMuZvR58Xdzi6N1s/ILnXd2aaj8lidHcFHQRTYwLBzzL6f1Ui64MUc/LLR7jn+46Az v8CPcZUCYr2NSS1k1lq184B1gMMG+s5+s9LfC39h24ZpqQ6++FHTKRNQ+y4BU/Y/juU8Rqxd8LDV iectU8HAwXNhEu36pRmlOQ6OHWpSCzf297yFs7icuYB862iUjNDW5eGR5eqZqvPoqPHjmk+boEi3 FeiEncKDX8395Uuy/LcasAWtj1WYvJQCjy3fKN41cf0sb1oEnots2eDuFPVFWRkJGH5XQG5gE0Vf b8V5XtYYycPWRqTvNYNfRYitOhuD815YDfybx37vCGCoTRV4qRFHaRLllqhC7i/Tv6ZWAi1omFdt LskitmpyZt0080XPvuJBNO6N1MApeCipWK3JqFGbYAGZEdprl9L5TouqdoecVQIHpDDNXD6ehPVx TH+N2jKdAMkFKt1+6sVKKlJcN0yebEOwTFO+wGh30AJ74+55FkH+XQZguBYiApJEo+2PaI6BcOG+ G7RxdsIXW5oNIIFDQe8chGEhWIGM44i8KVPUNN+4gpjOrO7iavSrP0WLeZ0A7l1RbJw+fSotQ7VC JA+vJHdtyAG8S7w0aoi38jErItUFgNYZzwkKL9pfBcv75YdShOzxWxYaWe6lr+ETEWUOC6Xe9a4z j5lE1wQmJUg+81UdLy4i99knMY6K1DeULlcwHX1UQQZ4bNsI+F1YZgS7Akf5phACGiqXZvxFVYW2 mKyaz7cDfdWVEM+pi1H/sE9sj0fjk2KYF3w7+CylvvtDSiTEykiHBXCIX/3kOy/5ViU9ul+KTR+u YdaQLYAphsuKcD1bTKdGcWjYfwzSIx4MkHLvE5UBQLpwGFRGuChRvB+dW0M6Y84r11yRrjRmBJ59 FoRtnczfUo9SDs2hlsr+2NY0SLxzUnOl47sNP7YJGrwTnGZthJVsIk8Q1wrqTb+D24mz2i9soOYJ XNUNFnhgntsPCsXMuxvKpyUanyUuj94DyY8ClhJLQzqxvPF2rUZvKcgAeyzjzUpJvh/01Wj+Jl6y H9rS8cJDu5xr2kBRMCm0nFZoPq0g77DhGTIS5bHMCCyNGr78nT2LDMGOkr+54nUZf+xdTQOaJy6p Qi1AA2hLTvMlPU/ba6TYZ+kv/pUvhvP0C07UvN7hPoydn5dNwiAKuL1sm4tIkY4TbxwCt//HMiVd Va9QSbww9wRdSVNTSIlRJIEYlaezbjeu33li8ccz/Ry0WI4UV2v9uJX2Edi8VTs2ZyG6GHwOIW+y cV1TTfARz2a91tnIZfVxUgeQ+OSuQGuVT+86C86KFMhe+HMAQhbMeMz/6D2cYieZMwpUV1hSzh2s Kwdz2u4cZvSdIV6vwmExTFJjgsFbZJcMyLWT1/z7dvyEa4Hav3JV4biMCcHG3EaJClhnnylJOE/s +d+ZmFbLtsetDDC9T2d6EZf1aPoMr1BagEd5tosngS9c1VAGZgRAAF43Wro8B/wheZpDAdPnzgjZ zpKKoyijpp9n0nwT36PwWap30mY9HRLULd3+az7ig8rE7sacQUE2+q25gnvJPzFllZ53tN0OVqUm nEY+C3gkAHcgvVsKvdC7yd/+uhf0gEYtq2Q+dRlmx9OHLY9Bb/e5kwT4+HufKGcQ4zVbzR5hfYyM QFTw93ZJD2HAR5gbykhDabV91hUIbRgKxbVclii8POoHsC8B6IRkGsbubakfJod3zE7iaT2AdwDe yhOpMm219FbF5VSSM7kFsyIk5LS3jpEr+NhJDRqB1/sR9X+XSIkdQ49gCVymU6hkbz4e81DXkPgQ TjvvFloW+UD9j7Tj4esq86CUUrvwwMn+SsMsDNV3VnSkRKzhQwJXA465lbPlYig2lBY8Xj9wTSC6 FOl+8MFWi01vPZG/sAnjQBQYEEhJDoNXYRXpnwo+SwW7uHHCjOKGUeX4LddcCLP7za+L/KK+xZ/w plxtNZpdWnvFp8Vh9upJxTk2cQJgvqD5c2e2pOjXFjGGk6UuRC3ylwgh4lbN9LcQ3JMQGDxUQi5E WaJhj3XgnjxNljkoWFxqt8PyNvUIocBv0FkJ9xl2pJbvbOl/a7YLSdMitJvjuL6c3K71B6FI0Yos 3tRsiBFp3lPvYVhD7e7XnEgIcYEtGGGoMuS9sfQ06oR2iZcYNngJaFcEzCtSh/NE5WjAh8X/zvJL 1IdG0Rr3EBtnMy3fxI7vrl/NDdpQwht7UeTsnzHwD5z723MzoiOYiy/WY3BvyRozqsKbkBjWc4kT Tv9bmLDAEvrpOKzdqEhpyTeeQyz5uHUaVufOxf7egD7+s+qhoMsS3Qn6ioH68NDU91p2FE5PztZY cRnjngFv8YfDHSDMYfmNHeiTpOZSuo7MwqYVc+qvYTGzH+SBqsOa26zYg9ekiS/tPze7IkNN84QE 8OJk+FnAmJEwubXrY96DJEAGCTQOLBLJzRlrohKr57A+zqFmmzu9PxPjEmm4jFCH6m4uDsCt+VEX ICDl2I/o3s0+XT0JCw0DIP8xmmkVKs+DLg39k0RNZLJ8dftJoaVOin0e7c+TXYZJxpyAaMYuG5Wu l8CxfwLJTnr87mHbK+w1SIGJPLtAzoeI2WEs5YCtPYgCVmeODhu83UycTuX8YGTfCRqvGLxT6Bza g5Y5JuWG4gZdIOtermFLN2aC/YlsuKAd3jDcGnOi+W8ZhHGrLj9cadeUunuVEm0hd36bK7NWGgbN BgYgWb4XAD/Z9tZF7JTv1iVLy5+W+Ds9oXmaMgKEHJHk5BjKwRAKgyEqPEh0Xh74UaqVGtkcs5ey i3Z+uqaq35ttIy7WPcILk5Y5L0XxarKdobuGylPmTbMZUMZauc+JVlh039f6eAFIr2h29yRltYjK OtcIzZN41lE3amZjfCzBGFKh/vSV2OtiN5s4W3kIhZ4hvt971eH8bSI8V3G2p/IdvGaGiFz+X8NV bjuRLyh/us/firIGI3SJEKluG5TgQZZZFeZu4jGeS140XLxFYwststkGfwMlEm5ymjkZSTUgd6kK 7KBykBnWK4YigsBs67blZiS2rXgMLVyAHp30dLEkQ3c1GfTk06GUv7Nmx4cZnu4ybH046wREu5G7 d5HPa3EhK/8WJfSJC36UipepqOoX61pOhVBigdPZOp854w734YV8R2d13XdsHQl+/Qzjnkx/RKAM XMh2ehCtfLvW+j0osnNHogsbNWHxzb+4PqM+IFnFnSP6D+WaysLvjdYfckDOw/ONfAN7QU/F9uJ9 oU9XB1bGJoplHtuvjeWYQdIRc+cZAoi/HLuake3n/fj+2moKE+gzQKhn6hTLdLR93/eanZIUafrJ q+tzvjGI4zY1LVb2jM8ad8ZhIHxSuAn9HQQZfvuLcKhKUI37btSpp3X47MN1w4hiq5EsI1J16S7J NkiP39GuOVhPS3yvnldRS4VLox6AOdoGlMRuQ9W5pXlpDt6QYc+wVvIWAIdmzWlNcSf5ULwStxFp JEmIXqzTnZDQs/oIGdZ3ateewgTEHxn3rVZ4sYZW0jOs0G9HC34O6fF06pkFzmjveQDaePqQpBd8 jwX+gxvCaCPWvZH1IzFEhh3XsIToLG17/b41np4t1PUej3NQVFdS9LXMEvn9Y7FV6MhL+qpu6itj SIEnQWr68Ev8/TitFabF5sGhUZ7dyfHfPzEiRZvi9WSu8g5PobbaMc6YJPc9xsrVL5agiN5mwhgg bFCYMIlW0ptASpIfkR8CX12akXyrJsimdO0BzkS8pqILx9LTGGhvveNzszImsGWrPfTPESElLRhD XHEIqi8IZ8mm2ztphOI7I/xKQsyUc3rBt7UzXmyJtPxrKto1OFHyoGfjIf13kY74K+7t+hPIIzww Q3XRTecEEvJcApd8mSNVNrAfMI0NF+qcXJkWsesLH9Ws5eOZW3R4eidiNyNawXGyMValttlCnIV5 zqWSy0/z0iGHKgGtYFiThz7f6SY9ncWv+u2DTnz+QPZLmonUjrpOXQCj70xCswq0RXrtHmUILAJ2 vcf0aem1AFIn3tzwCSc1Iqp2mX20i6fK4omIEjmCy3qUpmKLqWbldb7eBsXCmy4or0cMk36hQmTE fq+BhWipYODIiFwyWL4EuvKudQa6WdL5tG6VnLZ+AYLMbduLrE22tDkPPOGz6UNBOOoFhN+EM5tY X14otkrxBDDkdyTqyPvtzZo//9YjqLCzyxVDBl9B3XpmkxMr2MBRQ/zpcl1EhxYtEXTTcIvzYggf WCXXg13kQcYReZep9YdnKVwoJmm+TxEbnsyOk8dUaHq0FvChJPzhM9bIeSE4dWRNnMNxLT+YPmtH ZnYquG9qJ3OwR/clrbvpEhRSiGsZFlKzrAERRmJFTGacQKxpFZD1dNR4bBRTOUw8/CI5QmRXoDsn 5VWXoPtF+lkT308VosPsWcSXsgLgf0QhILZM+quTcoXdQ9X23UPaW17nCFVuEJd1AmE52qnS7Dc8 MKZVAVPIi3uiwvj3dXVtnf+ap1qliJTxQr5TWZ57j31Oib4EZwRTUbo3qLkxGR9zVdXusfXRaOh6 Rg9pI4Ve0GROUen4mhaEWVENVW/w5I07TCYfWC2koko0lviOUpLuJem+MYyT5yFg8A0tzbiLieYo dopC7kILJnbcY0w6T1b4DkrVB5njRtNg5qWprUPpcG87D9WiCKFUAuW3gWVnBAGU8tJ0rWngv7uf yJ9VCifUrb36uwKDtJ/VgLhNDCpJTYl4mf/qBeb5TzhzMjhzjPpZglFhzOd8y9F2XgXOsuKVxFVH dBTh/+XQ/OUv5cXHcqXsTFP7mU8j2LrFYnfFhSbAre87w4SinE2pT94yNuNnsNkGEXL6sRhVn1WR QdRSumCvWqaRh3Po0u6Jq4J4pqHtLM27MAwYiaJpaRrjdJII3jCMckRXnYZmnY4kj79583JRw5lt 3RNp+cOUwfmSjoDu4+MJLfDqUG3GibifFHX1wVMB+N7wiKPXdZ10FybgqM9BK/OrQXhrsRtDSO8S 4ewBGLkUzApvbqnTpb3lApHsJhS436bophmRl3b5M31Qz29Mi8Yzs3cLMV7maX7+bnunuM10NAIP LX6XKWrjxiCfsPRgUeYAJUMWSebjhbPC1ChSBgsJxt288I9Y245IV+5b2DaSXIRMUqYmKdH2OOXq 8nv2P4JQC2egFru/9KgnQpAAzYXb4zTNGxvEFASY/WwOcJOUFcsnQh/2Z5EuU2wTtIuMdOpcnNgW sOHyBocepNRKrveQBUHnqvQnhIr1rbtiYstFqeiBvqUSgLzyrH4APFqqZbshriN5gC5nGuYqsbO/ I5i7hcCZOc+yKwJsl5yvPjnhLgLUQFz/6dlZOOjaeCLNs6oT8zjS1sRiCCkD6kCGaOU0IMP0TRFJ 1twflIMPH4qvaV94hh0fPWyRsjIz3uOOBRKZ6PfkY+GzX2+P0r+2q7L1hhEYExYoZB5boCEmmYBj BEMzafIsqb4e0IhQKHma8tbCzDV4zfgV5cvrgEql/wLnqzpZJbFf3j+eIPaKFxUiGXUr8S1MdGzO +WJW9m83ZlVdFfH2GblEap2bg8gGxXoNKSW/SoIUp/7wdkV3FcPkDao8zZPgFepepVU6rx+SyOMO FWrCC+y/X6RMyJF3M1mwbhSsXhVNRVT7uXLlSFXmbvvTJ92ni2ry3i9hIX/uXgXTiqbCEpS5GCWh GZRyQrSFhUsgp57cQ4pmZgIbhBfILUAVt2V1Ms1A68QFsJH9bkdp4uZ7UuKxhPlZjBpv/MEvD2EY IrdUFrzXur//US5Br6iE6JlI7jtYYO9ObbvlUQsSgdRD6X/PJx6l80Y6moU6ypX+Y2bPgc026+73 pK78JZqT8UPTtHSdRNFsemUdJmvRytSwgRo3Gm1Nco+l0oXjyM+8AEZliBWHkcok7y/+Cm1PGYGV LEuyct0TTA82KxInhrtKumpvvp71Y43/sfKy8oAxK1CIGeFNH9jwbZX989GzR/tkWeLR1zfKyWlx XCJQ5WI9SMdpcgu2hAoWGt6ZUCTwX95oDWzm4U+/gpuZFQO19iOvEoLn0poPlo+evsS4yMsxY1FQ svuwE2XcLY4V8wQ7mi4IqCeZFeO+fi/hm9rb0kfdIFN4XgOuexbCMtd2Ypg7ibwCR5f0FeBKn5/W 32rEPWMeK6hkeErYQPq7KHCavfnBtKccvBXEz7vULSbmq6Wp9ZihmyVvFH7nyzjGx+GPwKgr5+Zi eVFUZEC9MyrkTJPw9eKxRf5J8MKo12Z0k/SCBGUh1XsCndX/Mt9gcHV5Zg3DSLLGx4gq3b652J9j 5GrhxxUat343doqipVJG6+wPDxA1DSmcUG9r9EnLth/IkFqtjS+2g1LoLporfF1qu6VV6AuSzazj E8GYo8BUgCrwFA9FLB7jDLJCFoJNpwMimWHJ4X1xUvbNs/d5cW3Vz6tKWcscuy1dt23zQLPZVfKz thyo/ardhjM8w0ZkLloVC2Xa9rnJTSdVnxBuI2pAG0aqncoYEO1uFWdr+OJk6hWaR7cJG55U0cyX UiNznThNTK7ffgbnUdaWCONoTZ+nOR4JD+OuncU4MuAq7EjUtdNZ67QB46Yw7zl+8Ez7nvoik5Gx 6c2J88U33/W7/gY8SiDP/9TTd836txJbY3Y/F6jJ8astqTzvNMXZtimKAcKWpbGLF5gIOKilQqmi BVc6JClA5277rdgrDNBCPaHRr6M0+h4to/jykT6KvHgPhJYuGWCo5ypH1c7Dx5ZJ725kHn4YfWlQ K/9+ZZfNhsd6R9VsPxVpKIs6fwuiJzmP+a622HE8zTWfpq+9bb7wAp02xGEJeUtXgSxAnjU6n0Qp 02Dkcj515MP34Q+ggFj7qaNYExfRp84mtVC4wjERjXLPD/z+SeURd1pXNJ8hy5PsP/nezykTbaL9 n4impFkoy8JKoDLQLxBeE2uoNySVMfMwDmFDjgYtJOCI97E8Z7CAwKrDy/EMo14f1TxZ1NC4/wIC qZSYOq010AG8Lq5EmSAJvOvrItrZDN8MGSWoExdoCeJrJ2YEo9fSzfhBmqF5SSivrrDsGejao8Dz GN9/TNSovTWEmbAaGHjuEvm0LpG10yZBBOiHwGRkSG7nI27xEN172nDA5sM8hiTIPvIdkXk/ry8P rOH8bApN7jaFVV4wV73x3iv4QHnzZmmZXqni1AglJJa4/R9QQMbMZvwAowL+mD0I9tzNPRz8FE4D P9icpUvAi/csisYS+jX2hD78hdbw3mwaFMjflVtfJTILPe/b+RG+ubLR7IfTy8IMyFWGJ/0habHV zNGph0+Bq1vayMR6dzO09OjleMdLNZxaC5oBFsJXqB+F7PdqUzyX+IX2lqsoS3Rie6WMsmGOOcgE Djy1L6KAoFC49K9pJVF/Q7eQcBVH1Qi3cag7C4ajto2RzORQkOljOcSPM2M3yFeNNmFOqoPVm162 Gma9VAjPrXtLeKR5KQQKu10Edj6BDPec80L7H5Qthu21K0jduxF7BYQ3IyX1NHgi6EyE4SVDW3iT PWlxOBrZYo6mJiJs8jpOJ3L+dRZO56hHNqc2e3ky1tcBsEWXSqPmB4vxE9zyyb0WV/MTYL6efi/v 4I1E8TdpZmBe/N14AWnlh2OLuaV8xviqwY9E7kHHotEQvrLbC9eHe9f7rQEUwCNLTOD+9JyA36DC dUruTLM85WegPmYpFaQJllB+noBKNtIt/Som2WfQDSpPbg9knLtSP024vNYyJduVwCgp0KcFTXPf 4KCDnmR01p6Xq78SiE+sfG5e0PHgA0dBz4Szar+41LVIjjhc3bhO6VyAZrhnPey6zo+/0esb5Lu+ kNLxZu/QQg+TVPU0SQHH/f4RHelm8jndDU3DnTnT/izpmrWGGsGx0uFUV5qmjr4fW1KW/nyuDuMV FamR/8KkPGSrcQ+CegcqIqVibW2gJ8NaxZ6rM2r0/b2zuXYo/9emcxLk1BqSRagstkgITpNp/DAm c3GQ30HEW1y1e9/63hx2h5PrM2m8wST29iSYvckj3oksZkshNviCkxD9lBzLWaXwmnjc9jJq6r0e nhKCPofay6Y9zZ0oA1fx6laRsqevxKKXYl1Rs6FyUDWe6zLf+p20BGQDYa88qYdQLVJRuavjsa/G U+oQ0ryNr70aOVEQkICYaILe6zw8RMLmMVoXDCAKqv12WmTYOAFTJN6kyzZR5rkTJX6ue72+z/n+ u9eq/1eyI2emCi2qQO38x/ol1gb8Q/ggYLiCM1EQOR81cmE537bddYQJI3gzgMHzXeMACq75WMQO aN3mJkQJbofw/AOCMMPk1XMHjOJjKXjKUruycuGtHKZSmq4H88yYNzEh/H/rOmSPqSO+vT4CMmXW gBnKj+UIVZdGaFGIDI5140WZ6PNSNLkeHXMnkX5NfkuwHIGzXmD3pGR2gC1WECjJCdTDHcSVGDgS IG8m+3UeCMCUYT0gS5QPtaCZRJbrLhL4T9ges9sKmiw+SQcX6SyXP6qhMgpluQkh7tFHFwUqhcct AJcM09mmdbru9VdAw+fYVbp6u7cmEe7AeWx+KAqSksFC46lsJVfJmNpsFmg2No0+gk/wKHVJclHF 4Uu9qXuFM/PH95G/7pE+NTpJeZB/UzhNdQWNepUy2zLLpcEiidbhfS5R9ENn0kPRH225YzA4EChF vJ+rxm0m7sOLMZ+/vaTn5mCdhsz6VW/zgtWGImpL/cv1T90C6ri56JMLTiL6sAzq3yBp5gBKx2CJ NoAzaDVyMbnzeT8CdInG65sXsVxy7m4+WFa6LnhtSKHxmn50PtQgsXSm/e21vasTNmmp2PhSXmQR GF9nLy6A1Dc8XcZCX2AjfTQgWj4YM7k9XUpmDGdpw1lf6AwP9amBHUN97zSMLCc7L7ZHDFIINs4m lvfiECc0jNfPyWgGTMmlcYkTxde4ukQG0zpD7d9nHeADm4tSYDoNTTvkOF9rMdBXWEj6eAp3Bhl/ ve4s5MI/jiXhrBOflwJfGEt9iui7VfQzYZJbGDNMcoA4k2gBakvD37JtnaYHug+6Lf0c+HpNRd3D VnOP2PJmgpdmtY/Y/252ZWIt9GPhhuc4tuxGCU36pkDuP8HQzi+EjnidgkjsIElM7Z/hsQDLq3YA ASt7P1q61WhFE/rNTZ0Ki7ut9JFdkBfHuCEy/QZblpnhoD63QwBQSxR84QoI1TCtYTsunziyVObk 5vTqu6wYal/k6KteWh/N8e3TEBozILYZYfmfoSqvQ4A4eIGRhVDkHKh2hd0IIUfjM1yhyrNAir0W 3TDCSwoxfFQkWuOVSNXfDkULsIA129zP8niS2ri+Kei7RzqHXnAFb4wGbOQktksdYer4GflRvIp2 4p0ZmKAsyisoHnw4DvUbBS/Fhft7yUgRSetueYfUCiLSknx9iPLMSdAq8vHSpCb+uu4Rn+J6zLaW eZ7qk+yLDZpBtsmwr3ernHj6kZgWF386yCOEGNkJscIoj89ElDzofpR5+K2mQcHGOjfO2rP9seYh j/sxosmPd0zAI/pn3055uLIVQDlgQiOoEfXOP6LkFroqr8Fu5VgMrCj6TJwsc7TS676fAqrvtl6+ z7tjq1+T+WBjSJ2FFygcl1DJTDLg/7B9Uj7NApepcQ67zOIJuuvJC/VYBLf5TyEsmHlA/Vo3fo0/ W5zgLfJzQPwzER+y+GdJdQv8ugt0ggSO8da401au2pcgM1Favrkp3mcM8sy/1etGhjNvnz0V4VZl HIKuPDC5SA7WghL/Jq20C//1fl7qWAeYJPmreg7H0nzuq1Vn3R4JE5PI4hqPT38yo6OgnsLnHM6L 2zQ8SEwbazUFGFygOdmgYLcqxAO/4v/tO95FSZFShAwd3vG2jQ3CiYqQCeAum3Qz7y+M3MVSG/1u yKMUMSolrqq1laFKHr4OmcyrIlB7BdWCbWlWnwANJv3AwKfPeTWp2MDuFY+nhSgmk4uNtTByCTLG Bt0Y0cBNnc8FH62gcwY5HcUQQci2i0toJcUBm6pjVy4d702WyLIO4xIz4Pd2WnXCcDAs8TGzLeXd dat2oZuZ4MVlyxRdpfO4NQYMEHWHJfuehYa0aDI/JZmBeOHpcqygBzIvT8pu3KFIUI+Cm+I1/+vE M69BvsW+dAUHQvx1pxg2q4P56nknZInlK0qmVvatJiO8D7RiNhLPfA7oxllNQHnikt4Ke3arcfUr ML2BdRAYYrOUqGl51P36soCR4zEzLnMweho55tJXMQPXTgL/TC9zhvtdAnjgCNuJyd3HQMtsFztr EVqPCsERllDkh2p4jeCK5t+JZLLSbBSTYycQOGbnTRdFJOjf3Jy2jdPmyWietsFqHVsXJgLjivcG Sho5TdFUMdw5HLzJCeqUiZXjQQXsTYtbEledGj8Qp6A8jkb9KdxHMGd/X/qXQMT8nWImoLLXM/x7 W/TtymY6BHd6WtijU4yTWRcDxGvc9VYI9I2rdAhsWOcBH6pTyNWbIM+b8eN63raXwgzvNUmiKXn6 1PrQp+8HntsUuT65oYyFfsS5MtWi+90wIg3qPbafIZ+/KPk9JV99MgdCXHeNd/Hp2Jmx+f5uZSqI sRUlZxt0AVBnLjpfmViuSEK1XEPS8wkcjSKdGgQ5pQoq4KQ95hq0TWxpYT+en0ggwb0Xq51EMhhF 34R5FVvcOHJ/8NouGz9xTcuilOX3n8yQiBpkeemf0OrqtHNORa6gW4IhCVAyjkWymNKtYf6HBEGZ FB4GARdLjVk1Un1PZiQN0Z9AUVTpf1wUvTjrGek+P423LYpx1M2slIE48jhnAFra43BOK/vp2xEF OLpmb9ZhsX3jAKHksjRQs6iDup/2JG0jfhmVKSTbVsWG14ZD/B/FerrbW6mJu/igNwJY4h1z2wDP Z0mydgeo9cwEUjIaMkrjmTcW/0IXP0zIOChQMKcDBW+TMKWGjclU0/3HyND+SjOQSL0Ci5BEquJc 4an6Yv8X3UWHdqDE91C6IeKbHmy5e4djwbsF05qm3nnkTZAXc7McMX8b1Ufr64lJcvkD+0NBe97E ivDlzm9aYAqOfFkbHICZeWVnHNs6ChtyJl+VEoUqnU/xktm7LAHx06+jamL6qHh4DerN83896d/q I3FIuEQVYaNcujoZ0GH9dAOWVxT5B0Ecj/Z/9aLEDwmgBQF7+eCFmylLX2OdEgqKGgGaeq7eh2zd 5imdugc/sT4e/dYXhBYKlyflRO7zi9qcviLz2QTg5IMR1fmuCnGJODSFcm1i9VmyS4LoW95vaqQT e0aNwdMDUXd/F5oECciPMU8P+mWhFpnQAOow+0jLMEN2BOUJYNVOr2XW+MrjFtndG3i96hYvDGA5 ZqbQ/lVbF9hTU5uyvh5Zpw65/u81vGlvpXQiboMV2F6mMbeCpiK0OIUC2c4Hbp6iIFpkaMuIKwWd T2TceAwcm5Srj3HsTO9efvGzLOeF3iN6iWIyWgPpNLIaELHjHDqZEhKQ/R4hml+LyaeEXjXD3nMY TfwCyD9OyCCgEDzCFwbhQmWf6blHUTGEX+xQpYoFmPBQmjhP2ghfPb7TSDjhdT3Y8KhO7gdP/SGU H0lC5MUoa+PqGqVILH/husnjTEAYsIlaJTNWFU8p16X19q9mb++fxZtBJm6V1QY1KlWlx8wmljiV tybNbvrWqc4rpqfcl1zyU9iSPQGgpy3XXqCom+9ZxAJFSYuuhLhFgBlTIWtee2iXCz1/rDxa+nJ/ KjmQTKiyZIFQZmvtdmt4ZbdSfTtiAfIXeW0uP0UVnkKtwQDHcjx3p5Buy3JmgicgYU50tFCkNH42 mBk37q17ytOnJvNhcNWENDmLI0vCq01o55uvJhRa0kSScdpbtI0eLpv2zWXXpSNWOHAxqoeRJwLj Cf3EZonYwFHW/WBtFlGN0yqIMfXvdWj2VJ9h58ldBqcYWhm9kveR8pAuAFoBfA18c5+a6vExH997 h9I4X1FzQQmlrcGQWkVf/Vrtdir/KigkX4FvZFwQ+AG3Qfzs5QUGNhDUb2z6Ma25jjE2j8JBTyw5 TELUz5wVu5lgMy8nMi04QRF2sr7gZl0QWOzSisBkCV/6UJTB+gOc3idN8Vo6uGPukVQhkQ7U8LC3 mZH+2nLKVyXxkm2rHTnKxRJX74LZVbR91zyfFZ1TpQH594xd1Mo5tC2CnnlAEzf6Uh2+tj8Tn3wz D96eFj3xLGNVWTa/VI7QNs0gBWTptYozRG0/mc9k7Og+Uu9UWzeSIfGgQMwYVj9EhalbRpoQymzm kN4VWQuDk+2nwHS0ZHTEKX0KdQDHxoI8BPAHJHydsdAskS5bLATxza1rXgJzHOOqPh1JEQQJvjFF wHb4T6CU9uco/1LQw5qTBxicmkPeu2gmmWwuHBKOP/UCxINHPvHge0oD4Q0fhdXp2ljRLZUKNABc J1E7IbriqoHkf/LUEU3HPWsHQiwEz5+Z76YPm8S4Cr78fms+N0IP7FnPB8/riAdZgzJUv4lxx8SM fv5IUAUwojSKf1aAo9T4HI0vSTGi6qI1QC0JKAmm5vaVrnrrauXixUFsUcYYM4cldMjwPGORt+tC 1qB5YSvDSEFOPbfSyR1sBKqQ4SewfoGG/1iZftkNRfrphLqm8psbQHIAFkVDbZ9zQWzYTPw5YtSg EW/ug1RkoVj0afgU9iU+/sSmU/pksQV4LxjpvSdpGTrPev6brQdOMrRixCu+iBhF6BkfAXJXBGmp k5vyHiInlh9ZFJyUFxoE/3jvjW0j7YpS/dHpQ55eIlb3WafndgbCydWKptxziErVN/dcL4EjtMKN JzgeXbVYH55pPBQtTSaZ5LiSPx0/3DWXtA4TV7fn0GXC8bfzG6WU4dlX169ICjbxAG7YxOcVZxCY VbIDAeIcSDc2uhUCY/YbBXkfSmVds0J6XK79iab9lwYvHCgfxvca+m0ISwU2wORNDuNtLud7Wb0z zunJqs1ALYKSOH9g9kNm/yDCqhYVVqn5R2ufbx6rjhO4BXzSZtmbJvmkaV+ueVCNBmmifPetdac0 mQ5FEtQn2Pov3MwfXpAFpzoymiIBEbyJXgEE4D/kWN/xl6tDr6CsJv8mcJDTvcpINxHspo3gKDDo hAKLmwIDMz80Hm6RczMQ0rVsmzK0PMSzY3TVMMth4Blp8uCKu4W1pIeh3CI5b4TpjTkNmD9sFai3 TnDrcbxHNNKhB0I00R5E+XzDb/YSVqibB3uQEGw8r+E6OO/WnTmqpo1y18VCteujr6yaGi33FkVi BEHGMTSbyCy4oyynMDHhbH9WXEZqx+9afE042Dl8hCCWhP7jvi3xtHHsDAiuNuR4Y0JslVyKECia 5UCrtfhsdtfU6n8rrr/DpOhrXUQWAre6ne3zQJuB4Sq/7m4LZks0vs/26QwrrhiB90zbloBukNR4 PnmsadKiTCX9rBspop3ePAgXI+/YEPKBiLUTgeWHm+CzQEGicmqVi6eZcGmMtYxjeFxR1WSDujrc AinzG4DfTvdCAYG8p6PKgiKp4Dl0gUxFKh5NRGX7aiMWwDnzD1i7GdF0GjJp+bhp6R5df6Ksc8qc rGKd7BZmmVPxh34wWb6C73HTdBVzqaQ8yQmzF6XhlJWLZy1bVJ8KkYTU09l5L9/DXnqEitzN8XI0 FlQAxS4k2JgFzE7bUiTzYH119OMhuhOjfYTFXuGoJO0oTpaR5hOE4mkBXJTwq+l+DDebO+5n+FTv ZTQEbxR1LscadQBkDnFbyd0jLt+PdwQg9GqtJ3O6HoezGm6TKI/6W7ABa9mkmaUJ1T1FO5ZyfREH kwhEu4FxFuOS3vNkLe2Ax0a5dsx/GGZP3Q0ohYUjb7wUllTL3z4PEbCIzKPxyhHTJ1S67un3663L 8yo15qa3CYXgHCvOgIdPDO0a36XTG3LUy1lbuNvMTZ4E8CjLBl1sRHyujy848xRlJcNn5JTY0BuR E/5Td7wT4UJ7Uk0+SU7g5gggh5S47QKLZ3BdUUPufepF3iRy2aRwMegmGbRQG1juqSVZf1OCiQgo BOyMi5LMMZicp1XEdALUsWyISzSwFLgw9uSjCX3+ubuL2rKNjgIjIqB162jrhq5tk0YeRba1pEHC QoKKC2O5cn04anmKdClW0odqxMYmQkxNDZkUtvXiKBOnTA68gWcgJtqkMjsMNzBZbmRAQ9uOlet8 NmQdj6oF8jKI8S1TVnaRK1Uy6+rK0XZt344rw6AwWmJNFdfjiPJgmTbNDxuqIFnWsYXdyet8GyXw 3UcMFr867QKL7SBPy8vvJST/JZ1XKtubVHCX+8aBc8MU4HhO8FGDHctY6n/8UQIG8n38M44bOe+q Cu/RMyYrpVepFFlJXta+ez5EWDmIsLGfA8Q1yBAGeMiBGGu80SrqBWKf1mosqzEtWQzG1cNx7y+V +h0Y3RoWYcZ2ilIgWZVz77D2M+rAzvQcXZ0BMhIcuQShxgRJtM1dGLzYT24axAzd2uYKTmbFvcx3 zUVwzDDwQvlvw7ZkW0oYxCXozB/DICfGiEtmdQv+SWGNlSDZjlIQfxAgnbheom2LdkJEU0LBYaz/ zI4ADSjTmBuxk2ubM67RllSaliyzDKftLwe9zUnOZsuPYAPGqrbXDsZ5TgHbwtRXL1zHddOGwxqg lEUhfkn4TKuWSSkBmXqw4UYK9vIY0R8wlu7qtd1IM3Su/wn0BiEXEgvMcUBsVrJzfWj/YrlBel07 5j17xsrmWTTb6pxcNuldZA1Nt2q7AOO7B9eEnr7pRj1dvh3TqnLntP6e8SAaiV712x78sfVsSIrN U2wj6VTeu/VvLQwq3J5DFt0ZzugsfMCFhVkLYPCfr7BVltp61CjLyrOkBsotmyaFB1IKoodcwesJ A+P2fW286h7Y83XrJUZ+GWRsKeBCCv9CI4i+ub0aEyLg/82kh47hPXQy+sh94l89cea5k5qbZv5n RucjUduy/bdtBtnRk7nKLuPd46QfbiQJL+5fPXJGTvtciVJVktqcwFwjAq6mheA4yzI4FGB979/6 Geeuq1lH6uPdHCkGTKcInp9Y12iM8CS44ay+9z/3RVlvBU4ZlCtK4GW37i5S7B0rPILEJMtkEuno bVmd3hmjdeX8OU3aZaYmzW1yH+kV0RUc7eS2IrpSak0iqbdr5xW1/i4ov+ez/mPdgjxusT3ZoHo+ t5AhuKJHmQW69AzjANfDyrt7Jxol5WwUMjMUJlLj5l7IEAhiqSF9RWIMoxDIzh+yM11HcC2/g45p ZUU5Q1uDZPhC2ofQjXCyYXDWcKsIwwhbo9a5Qf758gCY1bLdlyVXvCM9T40NaN1w27IiMVyY0kyZ IhHkB4v/T/2knnOd6PXmJ4IMqdD4toxWUqs50j1ypi8ws9fBQBhXyAuGn1cvj79r4wHvp8h2SJjE FT/Q3ONkQiGcVEBIRQfC68HeVDxYayJJXtC7/KdwTUWSxB+fvr6A1R9MVxorALULcY/NswwgU/dd +bg5ZZtpsb6H1j37kGfu7J5Ql+iS8EBqlf2u+YfOnXcGlvF3+uahASi+a0ha5tKaN6TN2htrIpY6 DFFMX0mfRoq0v7s5UtVN/9q4Cmbv1pps/UtrAW1Y6Kq9S8ZmK+mDIbnqzvUgGBw0WHzaJ/dorq1p tGFGiORg/OjQgesuU83lhF2YChtLrUE0lHsrvrNZ1fMA3l3r6rIsIqlCap3gxqWzf0UU8MjCjYJB tGo3mW5f5uyOU8lTx7fAbtbMHYYK5m8JM2vb+plRSWL0xZDxUFNlGIuLkwFQOuasOeIe5yWsqla+ KTAiUwLlDePfhvqpxcu3tNaCUMDvwx8WEocVWWrtKXeU2KIfzwUK6cKWxvWML3ahDfloSVywzLN+ 9ybJh2PqOlG7WVGqE3QEkD8Mh7o6JU3RPBNCKVxK8dsxdWR+NhwkxdbZSpsuCGfxEBQiNYX//opQ f+ErrUOXeMfKfLhynas8lwujv4QtSjlTGUWneU1tmJWem4nPaRrg6iBusicmt2ZLQgn9/Zj7x06P 3NeDEizTX7EJI0p+Wy5GDpoYxkZ7YMCkzhnF6ikgnRu/gZP2bRuY9LwpqZ5yN4B64+G7KnUIeYgg sL5IVd1nH3WQaMruMkBfd7EKLQvwWTDVdBYOOGLYchKpw1x44sySrLHkmlobQrqft9xhWmW+yjQ6 09fW4cd52pugFb/39WzAbCaw+yGLAvx4mgomgTxA/wX2MYDeMZOhpF9tlL4Vsdz2TwG/BtBsKwEK dknsp6gfdwke4ifVOX+pJiUvaMSOQFaREDsX9q70ARLPMiHSCsI8qbIsBGS+LGbanjkFaSDfHvlJ IJB4xPZhB15LmfpKQNL52C0gAYYPRmXuiyMecWoK9fDqiBgt5oTzWvyU4O1lZeLYJbkho4ypfJmM AV9o+Yo2RJGXJkNRvz2VogGFUkemi0/hbLDiq9qzkr4c7+MRh59JPN5pJpNixvwlmjjoRbNZNGB1 FV69p2Fa8NnmR5NWsuvoMU0/WFnd3Vr32nhwsugtgc+2j5hWGe7ApZ4T+sYhduTPo3QDyBGo8b/i O5Wle2W7e3CzPJCCg2R1wiLFdgpcJmEszqqgpGgXRq6Lb/BFatrIHaoILVeBS7TZdQwdr26YXblO C+bmUiy6aoPfh+353NXGayD6ePS8uuluYWUZDEsq1HGS6bUaOOf/hGsRuCTdFQsWqVkrBXHFeCct RA83tbcEAGL9Yia+piO2FgQQ6Wru9tEZxHJzHIIKCkcpP22pfJDIM5FpEFYCQg7BlDnwu9R0v3lu mukorcNEVHta8rW+Ms2DZXKcjMaezAL4bHaUehqKkA5aZ/tAl0ZkWaRqUZti3LJc8wDYHZWbiQBO uj2QCEWpLxRM8I/nUl+16ZCgpN/0CBJnKzSCtypaVD+1gqS2DNKRAjL6aHlq9VMqAETcw6JoO4tN UCn6OWMX5MP2JcrgvWZKMv8pxCMCVIAo0Uup9gVGtNGiUmEFey60v5eVVI3RjJX60h+8a+d/HK1K EP8Q1YNOvq0OCoH405TwXGoSvsMlRXsJWYfdjzmvXKubZzO4ggvi3FPdUOU+XzaLHvKfnNtTh8Wj 0J/moJhhYfYDf5mEwOh13Lpj42FC4XFfXXxmH9dGgGNIsq2n3B/sR6uDkpPU911uFtmIue6QfBpY 92flMPgcTEmCOpXYa9EQXCAc4k3AlvYxN55Gr2k07sT/7xtvIYPnkBv+NebvbzIYsQog6EfkkpCC e148ry9cRKo8uKA5Sofgiim3TBCwi/09EUUsjHaNmBpg72ABiFoQ2l8SIx/7SVgpCKWHlh1gnVoE p5hV+GBo6jd6MW4ftOK/atoXyO9dZ4wqEmqPtxUvEoDQ9ZxpcJOtUZhtE+bzU+gz59OHHcP3Ie9K qSAk+pPr7bMh9fJVxAOv4DyqcGl0QBLRWmfYVZEp4GzO9JOobFDeW1dI7nQ+fZoRxoyLdydt11I5 kNVAeEHaQ1b2XcmENMB26HaaHzOY1M7/eA7h0vGTo3I4pZBUtEvfdd/EPy//KjFEBD/DYPMSl49k 4cLU7aDFBD066l8/titwpUh9CfBYZNpxiXA5Wi73LDScNr44DPrjVFIOP/vk/XKhHuf9NpKKL0BQ QWntpSVRWlYMRCKY9sef1LFrkQyg3Te3HtxzLC7qG6u1r0+Ugl55MgxUTgSvLgZ39rDGkQbmxGLL sJVjRFn4C+RedvjgPOJV/KTmytZejnYQOf6nznQKuGcomLsDwaCctjuqeXGagUbjm2ulJtPHesIx Gr6zj8tkiAE91uqDGTPQWQzwA0n7IyqavdhTzveD+T2aHbBP5vwag6ikRf7PCVmaP9D001/tHbLV kLpnrMpJCKVb9JcZxuVzQ2VTgNxElz/8SMTgGz46np8XSsirpK8ltp6YpNVinALMjlm1lZ3EJXIu uwz5w81sM2ETfkht/th7nJXJ+GzpyQjzRgUZFc59simIYoatmOCQ2ZMDbtzubiyM54NecHo4hRNb eHGCYh2YEn1oV//s4Vy9DeXoYYSn46gYnoXTR3EdeT9mG7BIWiu/6XEl4VAahbps1xJjNbw3Jujl CooQD++2GzWO49AGTN33Zu3Zs8y3TKAxd613cwx5WdHwLoF4DMkecyczHW/xk9Axzv773TVaK8zC B8T88DcVh5oLK6Pj7LQH3y+W50pWoEEjxMhdOrGHT3NV/JMdUiZgn590lyDiR6MCoDG0TIvEIikd aaBZysr7NgxgiKJbefdHqQNI1j0W9+i8RVhUo7ekee4yJsDbQ2bqvmnTgdwsa69jtIJ8/yP1yJZi kroaD2fhedaO2un3iXxX/pZPQhgdGaO4XNAjuI7k7ZUJQhxl6EUNxkY97jYCfB52F9yu5CkoV++i hv+34m9kV+csXdaKUhNoNa16CI/+sHGuWpr8CITs1sEv2CumLqOiDJrvUflEuBFu8F0Nh1wH3I6x FA3BJ/KAp1nmVjDYz4au2OlQ2Ldx1jC1nQh1S6qKIkls/o6XJp2XhjBTcYK/HjAwrGSLFjw/3YKL HoQTtKGJ0J5zv2MFWlyZJW1cgKk2VM0mUuInp6PGKhupC5a7ihh+hUxKQnEDGRcUpFd90Byl8BBa rNwka86zl+mwln6nhDRueUaercJ3znvEyUKpjn3OFhQLFj0h6T4MeHH2vU2zjs4S5ku2yyujbiHq jCtUFQp76ksylNEmcqlNAj6W5sioI0oLscwG5nHOFqtr/cKjAmnHTy+tiONl1CYbvtfOfVfVI9q0 6ECekA1IlVLQogDZ0ppaJDA51slESKFSqrbkrhCEUZ2EJi4kUeK0pRkwrSg1XqMru0o70ugd11AP U2CruayrULeMDmbTNdgajLdLCf+gqfjMh9ozjngoRayQx8xcGxtTi/OfImlY8i+OiOEkMvmElfnZ RFC9R95HLL+jNXS8hQlMlQjJV1ejtXvKS0puwU62oTMUdfw/fClW+Q9fppUN1qh4RxWs7sKBabjL pvrTAhkSdf3+HKQKDpvWB14pIGxm5P5rkQFZzmGqUswsjZ/KzWocK0OL/pwzZyJ3iCu5APTj8gRq bP2jwlQ9fQBrJHWD2Gs45zdHGP60b78MkY0ydRAx53t0leislaKu5KevkrShS4mV+UNclYMJjMB6 j/tug3BYgQ9ONwmF7d2qrACPOAQOHy2cl2c2AAKBM9MMSRrezh/VxfNcSTWrAse8IsjHAFPhURgS N+dxsxBDmLAGj+vppFtiLWQNTMAWQw3U5TFoJ8TDT/lfZ/yNeRkWNsVwyJ1rhEGzUjjmuIxS2VZP nSTMMUoDfeY6yC63UsA+a+0uvJrAOoaqFBwO4m6WqBMH5Xfgs2AtU2KqvGsFkhlaOknvieVZUxsi LZqlqnMgxcWkSGXb+VYM1LGJk9wyzVWuvE8R6lly/BKBsjKLhy5Zx41/P0lYFnR8QnaUlrKcDXpg CXM/N6R5teO7SoD7ZD138TVg+Ri9iT3ab7DdAE3ixxVmmbAbqj+CFubuSo8bq09yoLbUB5UWX15u ThAYCNC/BMdr+Y2aIFk3+I8qZooaJw/t/bhho8oWhmPZHFff4eELSwZ1xJImetW/A88Zi4mY7xEN yjMlf9oB7uR6t5JScxWyHtDPJNJpTiNDm3XAI7iFKncsd6PRxfXl4CuQuxX8Jil7jNgdKPFkFCl/ E/IzOV5OLjoZxYObmR0Ivxf7fzvPrcI5uSUkZklTz0pviIADWKS5NUyfUhoo62CzomQvctkxbPVA C6wMjayWcDyyUIfWFq9Vw9d8HPaGA87lOuJmVBY4Hcu+Y7sTazcBnRYq8AD3exqB4Qn7a0S4JYFO FndE/uiqNJ4wSCIFT62n7q8kGLaTMpgtSGRt5TXqqCuVXj0WjZJrg9+qbCzAw9b0hBQhpcgzKPKk SNl1lx4yIg0f86YxWmEUePuc7arAv0Y1h5sI8mF2XMbE2sAIwZniXSZVUI0f/bNPqzaYTkKr79WQ N7gQiyvZWBMYbmUBy2jkzKatP5MdNWdtYWvKaQoLryRqQy1iE3st/TGunxRQ1jKQuvJ25BftbHM3 dGQYKCPA2wztL/Z/yZ/F2Z6gQYTDwXbFAbhVnkyiSVwiaJC9UKlgL1NVgcTYnL//11lcqr+Fjb+Y rus4NZ1Hdl/OTX//zUI5bESyr0/fqkVRhbcipqaltyolCkbVECIKdsTRAx4uWl8AFte7+6muYqKn dCF2Pmv4hSJGNWl9ja8NWP7kWV9vkC+/DmHGbpISsgMiMAqvY0s5lZy+koD3UELyR16h0QO+/D3H MoN7jhWWo1HmVepxBkXx9zQoW0gCUrsXh/baNwHqTNGBFoxVCIo4mMa5SwwgMBF4m8xxacO7hBs2 3VXoRE2TUry/OU/Ue9aq7L4OD8EznMjO3Ro3cOKaLZUpLVDhekItJ3FGVJtN0BS+6IGKJlLhhS7b YJDdmi6GUvEFwlOVORkcw2R6TcZ2d+GE6V2YRpTExz0ATrWeMdCWC+eI6a8LcZhYagPP8I8aByoH UVz1cR4RTsBLoPi5YXO7RdVIdzb54h2kPd25vCDj8vLupW/f41vib7V0clA61IzMetvSAXu456y8 z7sFXl2bm0PAML/8AnsLh7dnEAR7NWTbgdg94wzABCOu7TpLQ3KAJDWQGReKsHXorw4L7LfFFZum sCieuylEfsJbjzmVxBlUuVMYJwYCgqh0HkSGrrYgz7DFi3HcvHA1R+O0Xjmk1criOvRHZ/5Ks6Fc 3L3U4Lj7e1HDcIevF+dNrR3ZCF47QZs7dzvuXgRl3ezLzlKuZY7TDG6xyzVlKcB1nJL5bML1+QCy 7MkWCl2ynbdt2Xw3N6nzDUJ9SQdclD1yk/cIkKTvOs7aKPYSLjiw9XCOuAVDpTQh8DiAu8uMhfqN 5O/6/z3zXXPGo4KDcZqjKyQank5EMCKY1hSbzFHmw9iewl5eZBci88u2iQKXpg8aBmdp2p5MGRvW 72IXuImzwQMhGCY8rNCjYJ9YVkT7s9t3tvk15Ekb7DytYmI3DZSdUoDfqnfdtdJdbnWZ8u3GrrsO CEaZ2+0VAGm1Y9Px8N0/4IBzudj3Dgv/PUDo+K+m6QcsW9QmA8h/FiPwa3U2ubmvROdffkZQ2/Aj EGfAXYR44lQrkP30SzA6L7zjMWjBtxAcgNwyUKdL1NZLppmLzW7NYAoAXRZryDw4QqruJ8KQ7QvV 9a6KL/ASAaD5CYPx9pcDuYwHnfSVMPLsVigH/D2Z84UvFF5jtMkKiOFoj2rZ5EPrh8uLs4SnIksH fukVvyzHGwSDqRQeUu/Lmy0yGYN9WeoHXXBTA7ezIhpJVEN9whC/e/VSyn/xOmf36wSH0ZWiIANU GWgkFyDpYX0BH8Vnne1htD2HuWliaHmI4SC7p2znBy5L/YTq3NHppj1k+YVUTa5aK1GnhsMxp7UQ q+TxtKAT6Imu9wBySoEguSH8VQmuaDPKj1qy4jgnKLZIGpEsvEOskHsoOWxOhISRMb2QrlyMseDB 05X/VM4XV9n9Ha81oxcfgiTO5k/wRC9hmF505tfHb9yoo16B05Mjo6VBFzL8Oe/l2TupI/757PRw AHWOfbsVm2IQ7nebECmwi6VK5ePwbJrNq11ZI9FM4WCMsyyOnSuVUEMCUiYsZ4mZXGV1mJAyec7m avnAdKY9J7xDu6uQh9Q/U8c/wcr9d1fOPSyl1ebiCMBW3+d28DweBUdd/UT9ST9xeja0vHL860j1 ZghW2pcBXOwH5ZspxJ6pSB4tmz7QIJ4TquskgjBvCrsJl8CitLh4jbxA7PEN5y/CCmnB26QXyGZG OFesYltB7y24DJyLd0tXhgGqLpZrua6ARqjsMLsFp8ss3BC1NcFnVjeYXh7SEXdcgo//3xiuVED4 k8jsDdBGgv/04EYe9CC6BVw95oQDnL6Xq1oKW9yCdxffW5Z2Xobt4Zj4GNl2JclFQZPmmO8fUHvr KNI5aJ2HyfpvvZqvKGpNEsxCPzP1VsxDwOy+ZVnH9rgrRjh/OC1PtMoZRZkMIgd6c5VHlqr2Wwhp jW+FVaKhJCO0CpuCzYnIFdDSjRAN8TKwFOTumaKZEstD2o+/cn5DI5gk66sUIhpgH13eOTuhkQdx wX7fjf++64ltbXuPDOHxI8WEhytrLedkexmpRQFKqK6w5ZCMKruNYMRKn/hW2wWe5rKk4CVZtBb1 +9SfZx9FBHXfKHdRgmc4zOMIXk+pVawJCSzoRS0DTsNk8jBlR9bDSmtl2FfVKkDC6XOJMIGIhb8Q An3Zeu6M/NnXNr74fTAhywQtlTC5L6HxE9OZcghhCqBROAjb1L0F0yqUDDuLfXWS+svJDETwoVTl +ZTaaj+KZOwj3GHikpeWoDB/bpy82oo8bXXfwXM4tZs/ZaFSxnFiNtV1LHMGrZ33elNh9JZM0Bnj T+6Ob4SJ97Ng0wTnjsuZ6nokK7glXH54abrDEOlAnQ5DVA5UlwEGApgUVi6X0Pigmc6lTcL1ic+C 586FEHdYhH1umh8qFxhqhokViPf5vVq232tB/wA114321eKu9IhoI8z7T0UxHu+I8xq1h9qX+Iu7 rT3hWMHNgQzL6inaaT1ZsJsSXoi95hoUQRYimetJkIx98zUmYfKJYRBfaNXivfWTg/1LYcTKiBle T9mWpJXQeU43iS72+orOl9aeRphCfxSYFgDn3Ft4nnIyXIP3yMZCWlRHpIwCkDf5VHxwovk0Y3/i pTULEGcOrZFItxqYjxWG9ZJlKqvfV9bCUhaIeTrPyDqXPZfTgNyKw/L9Tebs7vvFssSaMjuL6rZd EYNXjEkQeDK2qxv3Fq8V3/RFFeC9PuKn0dFg4ZKkJofF3q5kT8gFbI4s7nfklgXWNAFdHmfKkDql +jvldCQFBopO8mZFbh8tM1kRR2wDqMkYrSPxcnyZ4Ghm8ixQNLf7KWOVH7cyrPC9JZkrLAlQBDgM EdZ1kK9oVewX+f09axwTD8Pd3XW0z7SSbevgiHU4G8OKZYnWX7wA82tRE5ukWf1TqOsbUkJsgfJt 5xoIsgIwlvnkoMGESakFYsdVJwJSykymRPzzYAB3j3/uGhN2dselXh578HxWr0cZKpI7HzZCCqJL UvMwgmyZGNOsxp2miJBiLiSEqT9jm7X5pFE5PnGWDizc5Bwx2FE1d1vc1Hcvkw5/gDywPIkMeXDW O8s4Yrpg7eqlC5ujBy2z6rIyBuvx0u2HmOzQhU08ecgN43TtGCWEf39wngiRzqSuICHJCQZqw48+ c2aN0Yzh/BfiPFOfLJjqOF0Y3zZjIXU6Xe+k1Dj1PY1SU4OLCkMjdXv0RyV8yZhDvSVYi10NS51I QOYHzOy/GCFI0YQXvoSnGPI8RqHpCixh1pAD+Uq6iFy4MnU/c47LzhS4/u/z7OFQjBliPCyC3Eu4 zc7UyOa4h6ReI7mLtPx51t3yNuZ3AUzLLwsYCymTHHzbscrSN12YK6jLXdfvEjUCAp0mFbDy0EVV 3PqnqgYAXaRBelor7fJIA1ByPTnxeKOaOb8lSLd4mCifk16mG3NZBlztG1MfebnPGk6oW7ABPdK6 kfzD+YbXS7WSezSDhf+1ysV5NeIJkSnz+LfNhGC/Nh0mOmqEGwJBfDwKlP8kzLHA/4+AnFUUWbhX 2Jfr+3x6Mn6eJdb3MdWxrlaEaF/j7A8hO2opJIwM8+diAesK1mk8pPnaTozUExNXWm1HxT4CynXG H3abHAh+cGthPwmgRBNYplLzrU9g+T+ZN3OYxQTfRKd5KbcZA5iqKlJB4Q1MVnnR4Olf5PAkbS7O mEmOz3SdFhwMYydE7d/y/uVhwaz5UJrngcVC5elMC5BdLxDdvwMShFbjK+VrCnjyrBYU0qrjylPO D31U6jR5nYonKKlslGS6RPwzLA7S+vY3JQYRPJzaFB7Sy64GxyHIVh3/V7o7ky0xE/Ug8Dy6Uogl G9SrV5Jr6hKfyRmuaBnWuXtBogT6n0av04dbv1kTy9WF6fJHZxFJfTl/edol4DCkedNHCuE5NGtW zKGrwgpr4Szdne4RBmKRJ75FxRxhIiV9ZzL6SYps2wWyRxznh6XH0dlZhedV3T89d/sS5O0f89dj irM6EiDjvGL6g65Mq9Z5qegJE/U5hUYto78k2V7z8scftbue/RJRb0l5IaewY7zrrQGN60N/FZS6 efygPQAnZozYDy8w8d8LmfzpLsyn3vZddqbUbpOh6+/iKb4wMn0+VpnnCTaizE+CiIbOrAuNrbZx WPaaCvepqna8qpQWise/1yhH+p8RGMbVcRnYLMZBHnQjicbrre8st7EqaPnE+f6CB5BLP2NdTyrI xOnnOlJYm1nlsS4ICMJ1i1JXmNl2vcX7a70x5lDexmwtS2dsSXtnfVYLV8CC8yjesvbeJeQ7JYKO lWHFzHvzc4IqIVEb3mjJJapDawq0zxbBn9ccZ9U2Ki7afJwAvE/yy+sLQ037u0j1kQSSsycEt+HV lIwV/mm9kp0bKqKcsa0rOhO/c5w/98vgohIJ/hM8uQtO2eNXpe9neaKbAd7WS7v245333VgLr2bW uhLN51+7YzB52X6zT7dcdWAKNkRnbQ2HFJ8fWngyAzp4DKTK3yWhK1h8LPzq2cG6PR3QoiUyfckb cag14qd7KfwyqyG4jSCxZ07C4IvJ+AKunMSvXTK/ryYNbvNv3EmyYNVHRbmdC8rV368hQv6c4PYs 1KtdQBb1S7LNE6sT8vdyO9lWUfaCrJY/+EjNqvriPUIY/3PMLJNxmIUPfQBBUlIVV+2XXLvlLI/1 pJVS1ho0MbMX/hwlWjbi73wYk/5iRBWWZPwO2hP3O6rNqwSDNtFVQdKMadlwop/+aCB1U0BZFKr6 FJz+rv0DNdK5HcBQFwoREAK54APsve5gbRR5eBdn5rmm4jrc6wtYsvLquYgAsUIFf6ZoNczWozNV Tn8pIfH31vGUvTIoqM9Ng5t39uiOr4AQafHhYYcFdJQrwkm+3XchNROI0c2b1H8Kx/XONbXkUzjr c96W9Q8wbmg+ERMc38xw4XS9uM0so5qwt23569sm/6CIZqFUiwRrVL89Zm9qMsMoTbY68wJOfpE+ t66Z7KUGmXm/u7UsNEBZYwWnMlcF4GL333Af6fab6FsjMBq/7mpAQj5+0fh24SAhZmFeytvXIodF xjQJ6jeduQecb32WPhRFg6TXpL3tvDWKotlAaLMuKfkrAUAwdUmko1HpJJ0+7nYdO4tAAsE544Gu PbrVSOIA5LH1xaaDmUZ9WLy8bMx4blIC3poiSQuL0NfGfz9raAKk9lBsjeCV1VHElW7dqlDh20sN U6gzm5+QvzCodZ3jxo1qVdh/adJJFLky0vuNReYHXlkIWNBpkzIuae4oj50WtYmdcLSQGjb4RsRQ W2p8N3O5/26XwfS36yQsiGxg97IcgcGKECyODvLzZUhf3XNMTLF4vgDVmdaWfSfeXOV4C5n1PIfq UzB139JgxnPkl3lwdUYcnYvWgCbVaBAyBXIHQ34IbI3za3fAz+p/cR9iv+KGe37iXVlAr6cwVcFH turdDaJX0zMaOYkKLI/VjxcjZfWazCwvWcOpfQiM7iTkL6KnCdlJi/ZkgouBbWBi5i1ivg9sJL/s gZIXmszEKaY/6mPfQZyvjt8GT6TXYxraydeu3Xi6QgnS9ydCQECDK9TLT9EmfpS5wpdmzBc2gL6h vOs5rQSiP3baG3SmXlr55In4v8MhYjhhcCLhPO08ieRx4VBlYVhzMcNbJ3ZH/+FzPb836RlWQZqS 1i8ovCAJackpKUP4yW0rnITgQp6oTSANM9vFRc8C/JRGHQpuQbJ0WjFjna4gyZLZNCRoUdsmSCWL BSPHTcIo8rV+ZCqgQ0KlESMo7Mkm5moJhREPTR9IVSdRqwYXo3nX8Q2BELIhQfVDRLhRAHq+tqQP NG7vgbEMYiLKuZ9n5DxVVY5OLFmfnYYYrYphqR6RMBcWQpvwzL+F5tAqoHeQWnrmkdL9Cr3j9uah uxoJUD4EKunhy/+DGy9sOYIfY4tze+LZ9yVQcEcl0aZ54jBm1uF0DxgeaPq0rkF4ltYQURRZ58+S Vd1bQiu8GLn1vMqkk7hsWvNxJrf9L7iTPeluzt/P9DObgk3B407qjFQpTlwtHFthnoE9EN7eqth0 2unsABp6aRsO2LqH1jSyayvg+wO05K9rrtDAAiuu1SIjQPopwJmjLjuiYSMRZZcnxwdjFsVk5f42 3XYFVMxvLW6eto/DojdHilCaXvjgEixE4kcHp1U75L2Bs15S/Fb3X2LgnnQo8KNk/c94I92rrK8+ 4qah+lh7F6uG3nnfUbdah+L8wVXtPuY/ODcHpMwC18EV6SnzjexECe4Z5z5PKdFSW22NK8v47JoE OgUXFAm5KDtHUf6C/flY1XCrRe4qJmtxnapYDAbIx+M1WSeq24b7uONq8BbHyj4dVQ0SnZWxYUxX bPkdJ/dgrKh0Q9T92IdFZ9+7txN1hOVqqPAwmsaZhjUsCH2Pd7HDR9XxKGv9Q3iNd+jxaEjU9HPr D5TaERYMv8JUG3UeZaj9gQpV65+n8mAcN6Cv3dlk7S1WD13HIRjNvY7XlO6Q5awsuG1rFhiyqVhB +HU3JYuKQ0GerzG9+uTsWKaWzK1oNwwJAEGD+jwWpATg8CvdPhVoRAkr+zuBPp9JXPlYy4y88ln3 cTRaH3cXGz9hGZzSMAdg8NKX/PJQmVp2pnSF2I9OrLqgW/pNb+9h6tYVhRY/U2OqD8iDaFxE9VGS 7HXIaNBLR0vMOOU7mD8K6lQYrZNg/65icVT9m+ChJdKo0lnMZiP9uhW9IOBKfbNmNLVOZPY6rSGS uhhYnrb8S+wshrvLWtX/9FJpjpGL2m5UTwR4afwufNxHPsRsQHWJyc+z2dnxy9zha6WuyUxPC38V 5+pSuTrz4B9wP9eV/QwKgdxQ5SvzRMllvGb4o9oY2esXVREHmYMw451R4QGjLH4gi5uUa3et1p++ yVcM/fdV2HuCVJOKCBa6P2ZCs7tS3adcwSMW1gb5j+q0V1X3wPybKqdia25Cj8u/VX/+SdFUFxew xh01YGJdrmukNwQcgl0eOe5tFvRtt1ztp13SkLyDOzDVOPZ+zZWERkr1S9vAbCHK5IYVWVyJXsk4 4lp4+QAmK6qNZYweA0JLM4f6d5oosqQSV2v1oW7IHuo0I/FlFPhUpq0rK9dTlnqplbT4irBtM2Td VADuAevwjJGlvaDxLG/lA/VXAi6KwpLGdD3lH088GXQVYIkLoFDogo33gaQrGF4LeBlgvmPaXsxl dK76SOO5jVJSfFFbhZ1zIBzGq1sQoT6gQcDk5lggzbUErOsz4x52OEVI2JAG/viXqBfTYLe/5akY aNc1PLalmJam8jM5sqNBW93IU+Z5qzigVxVxKZAwm4qAAFop1kHK+ir2wOkFMUvwYixbPZKwIRfP AFmWgSeuwaIJ8d2gDtaNNBmDvdcrJy78w8bAlLi4+h57YjzVTB29VJTityyH6+/5nbNEPzUQRUCS dV2mgolb8ubtXrERnXedRoeDY0y31d3gktuAbISNl2/M/98FcNaO43RlTXgAYwrvK3oht8xBTnCV dcEHqOy0b2Z4rc+E67jkFZvO6YKAoAOz9JkQIq6ypg0u29l3Aqwpg7MtEsUbSEgiRiy2f7QeR2t+ POpYrZM045EtXauzqWbdOBmaDiPxS7XIZ19QcVZ/BDFeoszKYMQNi5wwH+J+g0VXuBWpm7Mb99Rj NAxyKM3fHJeOV8R7+36uF+7uD65vfIdhcRm6tvbbGuGVr5aZGAyWexgCBiJd75UeqPQ+vsDkXggO DK2eca8e2mt0vl3hOOvpOLRRz4/l7sYnpKRNjHFwhGUge0TVM3Bf8NbHf+CSBBwqB/qfQ/G2wGmI 9c+xvFPd3NlKrWqtj2iV1ApYhjcLVQraWUHxaQKMmCLd8yxmcBArad7JlUjRi79CpDViDDabuYAC bilaABplmRDpE8WzGP7U0tWtcqocQHUNgzHTH9ycOdX175vb4WDMUiwU/V/HhoGHNiIUNE4sKjCN AfHVbpf554eS+RUqY51pZUFUpkCa3eS5K9tFx/Ll458r4LwTNfI/Av69NAZzmPCEeWH/kzJkTMSj Zzy4tiBr9EGH5cU2qQfBjzCvPTwipoIT/PXk/14kDHWV+v1/iW3KOUWeZBsFaW2KQrlxUooB8rp8 fg/t1iQw/yFwQirfeSIydofU9ChnD0dlbPx1UqfprjjZyPEeKnxxews+Dof7RxntSjRk/39DlVVp 8FhTRFqrM3GQk7RkRmOYZBzcUgGNizxt3HlGYm5JrnKmWQkHrGTiEbPGrDUdKOxHI+KY0BNnC/cH XvKfZBK65q3cel+GoX1Bq0Pgy23LtW8EXm05/77yX3zscKhTvokkE6y8M1erPO9anXoah5uSI8nq FxDr2usX9/XraTeuqivskZpJp2wuzVw5IjJCf4dTw7Zu5kMLSbSL/9vxcPkTAA3rZlflia0+0J6E B0WB2abp5vKL0MmJv4hUiA/IhXc9wZB524grd+GE+VMcke8aLad+QR73iL/bIITpOw2kTpnt8f4X iuwDcZiKbm2yvNb3ZImHwBYV1rRc1Oz+QSK/e3crwlOP/0sbJnySk8iPE+c+JttGtmr3kQRheoVb LR/CXnwniEBtdJbIzF0T79WNq6Khj4CUsQJQTlxeb+EjxTVWd0Ot9UR8dhy5N9ncYFowZaCbFJjM fdXY2JLiEFQ0yH+CvdjPr84ubNWGZw5qSDruGIA8enoQR2O7MvIph0aiphqI1xbVPX59MBNb76Zb xMAY4ifT7Po7zc8Z62lsMxBAJMCGbNPOCkOMJWjOL9hp1AEetyJSkoPscF+Uo2m4mv6Ajft22XLT TjyeYGbSuxyUlZUgYbxHLIyQxZFwoyXuqFQRQXFSUOT+vedn7SvOcVXK9fn3yBN2fZG0+DxyTaxt vixB/s+IQMg7dY7spHtPYJK2ypqQLvk4j9CMXNJw2iOoBA1VLwLtXKGXC3wTWiV+d7UI9ld1Y7zX 75sifoENXdmryMlQSqkiXa6/KMVl8xLoxqDpHI0n2r7kpPXCRGgKExWE5mQD2fjd11f6JMLBo75c HW7wcgpzPYVTCUrLEMMqnYpE7uedvr4YbcPIieqsQTHdGdLqfq4LmDxxcm6NbBnT0RYsnCeOrE5e U0C+kuq06+MXF+saUu40e+hnPvbAzj7ZrcodKbEXeFto/dHgxtjxeieus5OwZ3qVboHvhf56vee8 h91uST1SLSPGUqUP7GGo7U9MNmQrr2tRejO27Bn0pwcz71MkJcyBrVpyUjtQdruQTs7ThLl2pb5K Aw9Eu0utbPAfAMPcRyit1b4BqZ5UNAAPRkK6uX4CPf9F6Lg0RhdcXsfg1Zr0bQzQhRAtVwo0tVS9 dF/SxCUvvWVOtpwVR01rh6kPLJFYqOu2+ivWGXuwCHiZX0dOSQT3peZxs1nShfmQh0BGNGTQb8M/ 33jfbc9h203eD/mF4/Jdx+bJWFqRIvb+zVghMOhSFy3Ah27a/M1dG+pGIrjbIlcpzxChXstbYLQu QLxMgx16H13EP5XnrP53ByX1OCtwCBQ1didpnhpW7IR8lHQcQPrbc/MvljUVvRm1eIxxWrMPubsS t9PbHwa41UpURlxjMvApIUhfQQhi7DKsOQX0EePlskejUcXSTgHYfZX3n40f0JUB7j/yaB+c1h4K U2IQYxVRFn3kh7p1+6mHSYt1nF73usYAa4zIxJqVZ3ib2SesuY0r6M0ZsGUsxI5bLsk6gGnCH0uS 2y84UFSzytA9iB9BWTppC2jsWGqkfUZmUos5D5JtKFj/a9bja4dMri4gdCT1MroCnXh7Bxx1P6o/ D0QHf3lg9b18hjTroJ18+dc2Au7LZEfzwqzDVVQQFSent4XdCLmcdcjlRBROcyEOf1xxM60krswY PQdV3WwRn/xTC/BrF2WUoaPABBRECa1K/k257D3YGC+czoLeS9Fa6bFLxcS37Y38q4N01gT+yhW2 3c4z4TcL4hQUZ2R2peDmNGVZneEPOqrb10yXIy99OkV3a41axoxk3lUJmiIM97RR4asEwRvPnMjm 6su9G2VKOn2CxJGGycYk5UaTJWaryA099fg8K6dNNZoPRGVgRupCskDt/R7MtlHO8CakiunjJ9CU 2AU8wAaccGKz+nOV1lb86d+XbPvalzBLTQx6Aq2Tkdgmk7z1gXDYGdH7sqbF730MBQssejEadPA9 L/xZZz4qPMZ4SgmGXsFjsumT5lwi/WNwY2b9fLHWuVViv+69o3hiAzN7DFLvN6fonZ/3T3vdlqMX 7tyjvvj2Fs+r+UvfKZimHBppNfoh7IumILfdjx4PTC25kxipP2cgXvuF7lTMqK9uaTXjbgP3QVd7 bsVk97X5QgdptXDP6fF9x9wvNdzUfMkEJ45tAXAN25WX/2aB4e2xNCQQ+SvFBIhPv4vwzD/fK9Xe L++CYNkV2gehAY5A2kpBQ4I33hFf3vWRtRWJTuniOsD59/7Ka/tIajrWUtu2dYx6vhX8kB+NRjZD xMclo6igU+FN9qB5mXniIijRaC6BiN5HZ3QKY3eJMj9g5KFDW5PMZ2m/9CrbdMQuLHK2KLPh4H70 ZULQnNy6m22ooyVpipgpvXnNj3iUJIMNfimX7jOn6r9dXwIEOBSDCq6tcNc9j+fkz+fazyvzURQz ihKo1tWDakNFtd1cXcBB7SrkgfOyY8Kv61kqa5b1tFW4GY+yWg/WainIIGvZghh7vbDRTbce5SbZ akonv62PoF3o7noLtR43wDySAGJhZKZ2TiwiZEPqfqafhzNf+6P2ST4hHKkSlPMTKfR9eXEQcpYI F6c5o60ibi1AUVL3B73zr1NEDajNO1DJQBhLKZpWyV8HZ96TzPyithmTasNmHjMCuuyEl8newyXu WTgAFss31EBq8S4YiEGtF3npq6xcW6VeqnTi2CWlsG2YoUlPykqQVULVHHNHosbRy98g5dbhafeI iC8uYVwxBW0Yqas8lnKbbLKF6yAB1/2YrOe2+yHuskmEIotNG/0IQtLtVKLH1Kpslq+eTrbf2PYH wPFzPklQ0/aPQp2mTEK4VTWPOc24h7jT+Djn3Zs+dH6xQnhJQwwJHGQCi8ejMLoz/nNdVG+6/sQu OkjNPBMBVhEBcFWwvz/26LbD0qWbBQOJ2VZpbsszguwPHkxrOqVb01QhmsCq1e6F/AE4IL0aKQcI bh72HZGcZgjeUH6u5VSKp90yreuoCzhOCWJO8r6yM4c53nt5btBON6cE1z+Z9Fzd88zHA7ASzyoO kw1v4qDLEDKkufi3FWATe6fAeK5gRBn6fRTsL5KBFgGI6rzCjV+KHFetjv4KAuOMIUKQ/xIEEWCL Jk69HCSsKRTyuENDbj7vWvd8Dm4R/nqNrjECEk7DClD4PMD8+43YQ7ugflUDxsKYX0O20HM2CrlX omQVGONwfhpYjBhJHlaJ+YvbidxA2pSZPn7vCfy+UUyAwEiLYVnLlw6xp3SSt3wnsXPUjLgg8a7h qKAUbJwy3oPHo4Z7RtUqRRbqBr5e8YFEbaf384zlGD9V3ShN60KMYzUYOr5R70uEjkTJXPo+XtFP 1vlF6dTrO0ImSjZG3mwqxziVz1I32pN5jdpjZ/uLNet++1JDeRRpVv67GqT+C/4rf9lfkGRK44QD NsJQnHuZKRlvWTRYW7Fo0jw8tWVad8OCqDu5VgRk2aI0Ay+IMY13E8usfAPwkbLPdrEKFm8LJHmi +iyqgdnsLDXOIRGLCxRZohRZ68IODSxhrmjhBRaxS51ro/Rz00Np+0SrGZ2NassUlVIQzK/Tp1h0 LcGgCNiO05KVDFBt4PfLQncmXVeBt9pBKVems2jy6PKIW4kg4wPQ6iGZ7JMlq2MlgDXdKpZDqaHF MYSmpUZsYD9q6lEs9LuDYS6uB+wKsm5gA+Wwl7NkOsD/voECcTcS3WWQrfUwr1FDM95b+q4YefJf x0I4uelZ5P3L49UoLiHJsj2bStI+uTIaYRcE1YqdM2yPPsEYmemi6Dp22G54faVjRQGzU+KZsrG7 +aF4HeD4uV5mLPRu3BBbAc9oaXfLW5brQ9ueB2VYFeyojoj9uFhu4ZnMAL7ebQeW8y+sKwnh2Alq V3MP/+3AwiAh5sWXKWF9xXT7OZqOTUJ0Zcd5nJ/h83L3i/97iWa3gu32u9IE2+4yipJnc9Qwq46l jDWYXCP3ea9JFyEcXkmNiQRZmRkmzTKiBV45fx8YqflDIm6pIC0ewKkymtrBF4ETyD7Y2HaKB33M Y1bSj1GJ5DwlhrWV1wg1I6wrMRSLkIz4+VLevEDNi5CpnzbzLJ12sbGvPtnc0/79hMoS1TtKCAwF LmL8keP8L2ntP3nvMw+xSIoj67cCAKpYYmAJ1OV3fGtlwFyz0GI3KXuqI0FQ46lkeOVaK3uVzn4H cVDmV1JLtlwUGhadgrXWHkBxWf+VsydudcBXHIQwbpaWYm7dSfZdQ8lwfMuC8BeFpbBP9eWdhTS8 SsfG8NO3suYwTAXBghPHjDR/7ICpE1qgoP3cJ7ThYZfkQ/x+kMrL3UdpdYsSoDqiBe3isEJ9WmTk P6foYjwyRFZte2VaADohuxXCtZBcoofR8xvvPpkHX/0FvjmnEeXduqECAk4wWMyafaEBNhR9W8Hi P4a8/1uAfr2AupCC8B6dkbv3SALyL5t3Nnd0gGXE3uevZGEPLA9+GXznt3P2ZFosVfRGPsgughEs qUZ1nOsvBLKKPYxjABc1tDsPLPg3d4G1qUiDBdJoqDXXSADamu4nu23DuAOua3+D/gbmyJyZ2fe2 rACktFMrtvZZGvyPXiuYZTktskba09LNTq0VsTcZ5zJa2RRLd06Y8O0iFrkqU1vCAragioghrCia rmoYvKuMzZTcJh7KrhUA2ZgGlEDfwbnnYam12EP6T+QWXPptx/3TAEBvy3v1lWQfY9XWWa10YjoC zbvBDQn4Ovn9b/4MdI2dOv1W9crt+JKVCF8drL3+IckAK/bTvY491uB9IFrnmJ8hw6onZQ+AIVvm 7VIBc8lmHaZzzXEUd1l1iEALVEBiW8ZfMsdL//agz6fhtjWGGv7S/L/LwqIPZEFJokRLu6+XuJJE 3Rdyd9MX3HLPmcXup6x89+Hy2MmvIcisdbKZZQLZO/8roDlMNMbyQQOH/eW8FfCs9hSHLRS+1MuS MumKYXE66rerrdykaE/XsmF8OyXj9OjlvVnh+uKsLjXhOG2tWtCtEJKZVPSwetAfmhnBz5dFIFdB NFehz6jNx4jzzflG3kTs/oKKPeZuwKa9jpImX8fxzUX3DUmr65aGR901q/Tr7UcbBxYQzB34uB0+ IocSUh/rA+S3MxCagwxEHY7DiDQXZR4ZOCUo3wmpdBZ8zz3x9Y3sepBEApS4ddeAT12oAoNcSd7Z LtDFmrfqGilhLk8bRZS94TtgFTr/qs33Z8TjzgLUrHuR8lKwK14h+9JffO4pDZh7u06GJqsWeP2k p93CUHxT3WBTE7EB5h02tne+FIa1a4bvbglSfmEx2eoOS5KsZWEgjvKqXtIv4yXwgepAVjrx4vq4 sfGBPbun8rUbKkrEcQ00LpYa0vj1Z9r6oouwMrLme8K6Wj3/kxLNY5aBdlsAUROsbUwkrnrM6Kt/ MYp74cVuJTi+gM2r+IxAhAF6mb5ZOUC2x1kHOKMCaNHQr8kfVCYhDmkFrNoRqQGo937TPUyVV/7G tXhPzrPU3wD9VG3dbS4G36CftUfvGaciBSNIp5XUgL4q72myZB2Q6hlAB33dJCF+bhJ8JQSllVut LI9jUzT22l3aADlLbEH6y9lkYM/j0p5MoBHSoBmb8T2Lm1MCFr6cibaz4G5MAZf3gT64S9NycNEp aS189ZYBlRSOpxpXcYz3qFQg4g6f85VRvyQ5+9/IR2aaz80Nhk+8fXviVQGYAKxXaeYOS0ZN7iTK ZJ5PX+F602+fK80YE2Jw8Tc/zRQS1MjSniea0sgLH7H4kVakOgnlxvENpxJI9kmCCsohyAKqh2vY HI1+pWsRNiifx6Oo0NYvdijrWS0q4Ow6H3WDxmU2Y0O5D9VogMduspbsnqq6YxiaN75TS/QlpAyh IiqrahQ8qplsLG5zzD3PRiQtxa+W9GvhQ/8fSqSHG6CwfQuDaipzYBxkebTqF3NbfO5TtQkHJ+5z VdSqoy7rhE6PpzoE+2s9c5YH7+J3JKHKqR/f2wEqSSdEZTP4znmIrTA7xDt2U1hV0meLaU7iioVV LhnezfjmC/YzViJiivo6u3+tXjjpyUoHKVR7I65GfuEneh638a0R3KzsllBLjFvgiS3axnzFQQ4A 9im2ppklKMu/BgkMgrl0ai2DcanvaLi6547FUsMufJ8QiV9zbsB+gVK8+A/2TCBX2A8L5VF+1igj Us5gh7WfL29kmZ/BqfRoW0qyQW4CIskaxsrPGtsv07OdKuY7t+cL8cjt3WoH7rOWnUKPyleC8Xoo HGJxX3UKeiIApiQVTHPCtNNIHxxbUWP84HtsUTxz3hjN2fvD5fm54ytJsSvLKSOpUHCtWLOw2bjJ WtAItC3Bd++1v/HOwMjQnJzyH6uO0JinVBxCmArswMu9zzksjXffiJI2VtLARZvEdM7w7Sazb6dy VGyr8Oqt2CAhfrrlGGp8lD9UJQp7JnCsCipjWNVRf0Fz8PE4MEAwYLoiOQemmmd685Ql8zDhgtz+ niX5Gqm8eibYWultipru75Y6szwq0yIU1avSw7bIKrp/kYwm7kcPrhYR6fYIq56URe42bL1qmA/e mIDpEN3/9a2PLNfSY8Ty0iq+bZ+GbnDGBSwsDCtxRwCLY/sc+OxepbTq2JeXKOuHwcuD1y2ypdRa bwgXO12Cf69Ts297oaUCyDQviw+ZoU1k4/T3HOwjYIQ/eP+8KKUW/E9An05mLlQ4n+vC8pgJbLW1 aDne4jOi1OFlvgCXgexjjcDoQPdknVSyfr1egqQkJHos/Qp9nD6KzUh9i88bq4KVS+AiEeWW/wzg IYhx9kvQ7EQY0X+KN5BvksIdpE84sDIMqP7/41+ohFtkI7o0wLRlPzPam3eFknt5+fOcz4owoLcZ l0SZAdV/2VmbNgrc/RLSaX4IOAHCv2TrkPE3IUdybt8pxIPi/AGPJmiNyUcby4xi6WGG/8SXtPXT /YhcIYzdko9hVLqxjPIctRXQH7Js4y/dYpblMZoz8saIQuCB5o7PJPo1thGllaJPswYaNxRV+Hm8 svK7jbKxY14HCAXA1bPcsJhgkSXq9a9Z3IPkWeqc51ptH4wm84ItroqEmJ92IlVEeJ696Q6jQQDl ZnUar6S14WlCZfSHHhpRvcDXkY04WADb/kCDHAh+CNlAXV03CVyrtvkJXopIzblEMGNgInD3fMro c6nM6ViPOcTUzMHRuFCupoBSfghO777xBZVFB2RnLEIXJJ29LayJz6sR9sHgEpWIKNydg3ey5VA+ UOvj5RyIeUgC7Zzf4xjWvtNmaM15i0GFncu+kqmuWQ/JAE95hmSjEDgbzADY5M5Aw02g1EWtFyVb dM2R7/LvzhmQWJ/bpMY3edaS2qYSHOypJs6IJnh+UwVUT13FNguyxyodjwGakb13xYZxn+TtW4Wa LzxkGq03cbHSp9ptQd++/gM9iJytUVDhcwgOoqv8NR0Q4GpfSzmxghnR3y/pjRfSMaby5g8ZXS05 2T+UjDRBfwGHaar3K71vmbgn72btOQdFGz6bCSltld5LcLuIwxZt8tr8bW4ns5rQkYuPcW2QVC4p 481ULdpIMrfsPxpp6BvKbqG4dhRejBqndoUM9TJAlTmKvhpCGEmojB0v9/qzkxFgIynS9IDKW0/f hqCYRMfQnktdxYfr/lo5EK+C86vjLUXawL5QrjagrgnNzykjaCNFHhL84wKEIrcbxQK8Ev78jdCT 6r5gf+lMW6TbtrexUgs6DQTZR55cW37lRQJpj/+1gqmRe3PB5/rEDxjgooi169J5DJAkuLxLrhlh 8B9mJoY0N9QurNNNyLPX3PFXNztX5x24lQY/8hcJ6Cv+heI023CwmOWx/dKLCbtnjeHnGaGCSUFW FehIZCIJqssrvyEznEZh7V1x6hN/ZFtkS5szHrTT4KRH/thv9n89hwMaA4ae+OWMEMGGCaRzYO7u 5YKrmOOi7zeNN1U8scEEelsg+Lri1SWV/VPaQFzvcN/yOihQ3AQAFiQRsq5xcFPAdOp2xUSqkVek V6sqKEoqVGozHis0MC+0gHW1nr79FqBIyhhqemf4a/npJdP2UZFQs+l1SoPDqsa5X+1sLADXTvJp L+Fz6TJ218yyHBvzDUId+ScxAfVHN+Q6+FN+X4WFlcOKL26+BZ2ev8wiAEuyPHzic2yzT99XUUoc yGk7VkbcoZ0PCoSOcgj3FCUT5OCY/aKJ7jqyFmc6dLUkxusSb8pJNgsmaa6joLKnZiO2wmNmzGtb Hi836wE7zkKGS7aWpQ9tYRV8/alXvm/KPLWeuCWHP2GRG9WxOJFMxnZ37SZX7a0mBIeBWG9bOESK GGwUulgp0JVdlpBH3RjTxHUrQnnuNxJ8LrvXhhN37Em76JACKbGg8UH0we2EhHfoyYEq4HqgcLv5 rM+i3lZk+uTAw498907xCZj/ypBAEmmHUaA6Rvjnz7Au3X9EfLVkJRG5Q2RZo9IC4+ZQ9LovHvZg sK6rSFWSAraU67t6rXNczM6+j+jszqQ1+hb+AXMI032lkt6mcdl5hAEBs5QfiWMjKJMCaBdaR61p Kavp2rMu2DS17haD/Q7ATX+Fy5z2IeQKOfCeOa0fJs4i9qqoIC3Urb083vElJ+/gvgEILEknZRMk fmubIuojaQVHFdSzSFvmdxvsBp3tBr3QqESM+BK3Y+/1zZ1CK9N9qXOEVt+mAR8HMPbJb6r6V7vf PkMVAJXTcC20bCr9y/g+VbYw0vgIOfCbdq2Lw6xfG5l6GHavEg80motPIM2E/kNDDm9vyvEul9ps yyP+XmEiBcIOvKt7ApFt22+U044NvfrFxBJw7cRic2a2vLAk8ot5Az/Lkg70GZJpWB0+lNvktspt Pse0Nih16NeD6MUjSw5lUwD0bno/Xaos/pClMdv2+RYppLcfvFJRgPvubr2C7AjePGF9QDyKO/CM RDNNxllzoJmeggoESiFT6UDk346/elkL711N/PcLUsgDY8te2xelBe0lE08Ehc9qeu2mvTWw43dC wWyrwjJzsdibttBjQlplSjyCjH2l9Qtlj2ZwCRzrRPInpd2x/FWY6gl/sn1DEGJajums+/pArjom 5EqgjfSY/25QaVUHoL7FKsMwwkbWizGK7zWcVnAhlU61xijteI42l1AsyEiuVIVJGSLW0BGWJHSW XnswnxzKGgKmrFRYkgA2UOJDWxlM7fpbMw3Vk3s1AIBSJtE4XPmvSKJd666J30UBjICg88WsdqXU Q97j7EQYWl+Cmelr+tDcH+soJc6FTPTAJZDqIlQoTHMRGnrWg2VINhDbEOdMV/vLn7Dqm/lTefoV hdSOa9HIA2PnyBO/54BITSyyUtcCjBz+m7Tof3S42vU4X2CeUMbd5Rio4pxl7ddIdKeQI/l3wpq7 ZZsFpe6ASfxA8eyyvHDeRwB43TrTibSH5ImW0QLT4n5LQhrfBnRZWJhUrv64jhV3mEUf0gILfWWH gbg9+eATh6iwcnN0Nt0itw05Whgb3Q0CBlNDc72247DYmG9nIjFB5kh7TnVJG62BO9LD5szgTfOM 5zMwrRf0h876KuR2NbE0h+0rhteAd8Z7iWDxIztNaIw5CI7QNYm/1/qSKMQsF/QWaDdSxqssQchn 43f1N+w4tcQEr0MLMe/vMY0yHS+FNL8/wg1rlBGwfgWMNgSe5iNPcNVXw8uCjFzfC3XvyyY7Cg9M TGNvOGhpx8lXR7qAO80ognJxrePYfPDGmmHtbyvPD7TIyLfivj8ZnpbY0WPOUx3+NIsL7cm1Fkc9 AxUytwUMpyj6RF6JLjtbn+qcrMO52GLV15cZFGcjj/dUMZUt5JfgbNmxi4+lJOYEnS0qWMtnOXEz ub5GMX/zABasVbM0YfOCiI5N1Lg5VcgQgXTDF0xBv2iZK6AFLkA3gVCC96p0CiLn4TuTo19rhtv/ 5giJY1ywrVmYnSGmXUoMkTx8ZOifqV6oj5kuzyiDwWCseqjgCaNA1m5cmJtwEzWV93q0LGdzlml0 XGD6XIf8qLGE/oiRgY0GAGgTgCdIXoaMkp4ysAbjoGB2fzoiqJYET2gOc3ZhRzp20X6A1nU6uD+q GNN9S/VduLiSYLAtD1LEVHkFemFAK1eGIHwWXz3xt5DEZU5fdnpRQ82bQqR5dM4Mkvb+V7t21J8P nFU1qcu+p+Fo/fQ3iIm6/KTBwOciMIs8JYjznKBxMgGXEm5/hCg9CB6sbNn7L38vzQdG0kHM0QnI gSM22YQk7vlFpof6GGdDDTighmWLF1wOYKn1taHXQg2aKbm78c6LtE1zkBjeQ+uY74eCuBNspJvS TogdmVh+uoBPaI8lrDXY4wyLHXDrIh9hwygD2kTcHfZtoVCwDDJYlOHUXg3O5JQC7vVJV++z+1ki a65gCN/3kliwYzD6CYr0oLj9B1ITyMM0ysXg8IxxhFBoD3cyDw7GWw8+8uM5/Zk41zE56+2Q2hyt d/kFruITiOVG+tQTr8YWxNQ6wyXEwG7rV2kUI1DvjuVn3LFVfDPVO9taTsE4RvP46/7nU6UMWD8B 1HH+36OAihWrtK2Uwfg3VzVn+mTjoyy63GAYxXaIvn+zyd2tQ/u72i53AfJ6JH9VmtMLegy6u6xf /4RsseO7HtpGNHR04/W4m87NixGuvk/dq66/oYfyUhdB7StIC53jhA11I1wCUKmoYjVque/lbm3n S8x3w54UCift1dSD+VzmixAgr1c+j4p0XxUSQ/ACe65AE8FouCvwxRc1EbxvEf5Ac2MxdagcnzK3 8yXr5L/LQ1APXp/JxJ5fe7P/0+iMpLp9XE5wS6QnPH5iCgmdLkbpt+vq2KJYeTwnEsuSWR/dK1yu 9JQXO8dgdJJWtc/7rtU77z8XQz8AqzqlBnJYBqoN0WsjxgVqjsrAhV6PFcoh36mWt2n6LN9TmRue VCdf11j96Q0IP6sIfl/5wle5LsnhzF8/ATir5nk4sN9lP5yZX+SvwbQ4VPoCD5IqPYlWpEQ/RygT G3E0ktOU8EWApBNw8SDrE8r/roEgoWxXSnT1i5k0gqJA03MPB31W8qjc/8xIQTFcJJXKi3HMrtrQ 0LMxQUS3sUAwWRR+2SrWhczvt7ADe77UE4+lFXqEoLOGojxo9fY7dhvIbcIi9NkUfZHN7X1DlO2U tl38xYtcrvQBCkHFhTbiAJJ1ee30vmcIKBbvdG7KRVivbUdbh9dTP8dpK1OvF76zD5hvgVq+eqXt xinrWReD6YMFS7N2gOnKBPQTyBUd4lfqSP30V9diGPs7ik0G6zD0w2HKWinTNKoyDozd53xXaoUP T8ttmhXsFL0kzwOKSlE4/LRjbAa8fYoNIFBRerD3GTBCTNHHBINBcpxCCXONJZ+Mm8t71OfqGwZK 8ePwflEM/tYuuE9tJM+9NCPNRIUUtsziVYk+23y8dTAOQ+kdtG2K3ZJihe4t9p9M1g8dgnM2/Fg/ LXf+QIAjC0lq8fiRbe+hWmpcoaLFS5TR6DnZ3RcxAfZzjRoGmvXGW/8hFrDbX2Pk6xhUwaw0x5JQ vUvFpbmpcw5l4VCFwuCyYMTE4UmsocuQYT2C/l+gW1PQ6m32zTZYARvTrfaY8oclL9UC8evEIU+F IIpNgLbm4MYOO3sL2tyfHrTun6xobIMGnL2QWPG91Ulv7BHpSzRIck8C3tCIYfwwuXKDwBJQoJlp guvbqw+optgVeWGkL+mZ5/dX77/e4f9YB6DN3NQH1ygxv5AhDKJ4MayGP5s3ZfDtyWZ07kQ35qRl Q5hRjmTOE4ZcZmFjuFLFU0II605SCVrxSiKnoClwrYBdfpzyNPEGxCBPqvKuq6RFJinY0I4KSbOi lMXdrlULClZoInFNFn5wc4fYbaztmZzcGFaMqdb5fz4z//vsWPkvHuxiCuMfrAOjlPtol7vCvBbS SY8Nv3nyjFh58iREHOiBTpc/InLG8wMoaziMpQX5GxLmIg6ba1zhacfvCCcp+xEgknMJzd5ZCNB7 qNPtQ0Ra4tSiGAM33k6GnyhiAzX1gFsqJedBulFAU3/hZogryln2dv/+jQSe7i/lYa4GJpCjUZI3 d3X6cNwrvzYqk9L7APFzuZbS86CAQ1alWD9s0x30feHV08PyITZ/Rv7XtRuuejEA4EiDWRljNU2S OU5Yl6AfSSAbhKdW29cjbkFJ5rwymEOhfzKDj7eFS8/cI14kMD8vaOhJkvDwChHbGQ7TRilhUaSp kpj/62oeW6XY5561+Q5l/IIodL0znntEajNSbyWfK8i6IMjerCBcFQ7e4WMBt4cJLG9Mclmc3dDO BLy4mU7d5748UZmUd+2MhHz35+mAq9r3+UqQcUEOOj0gcr72gVfYwmhgyFMmv7UPqYx1Hszndr3X cK+9e8UzwxyAq3cVH2sRdoKrzt5XfxRMZWiDPktLHHhgM7uW5zDG0Ii5DhfZdskpOeW/FJOjs0ik IylAAoroZ13bQndOSIw42Clct1yhSH0cA7H2RkSnR0aYNontnb9uHJcGkcB0sD6WfUEHSqJjnVQh YL+HbzhJNLI2SzyHb0s+mQ2Zl3CY4V/t+C59CRBJOZgSQTgNQINgPL3RJSXGKM53bW68Wb48MGW6 9mCypr2y66QoxUujd5RUL+oI1lvLlJgUpf674jWcOsComTDcht0+627sKAKNZIMTRpMqV5f14Y+j DMtJ/KNSjR4wfFh9CnP3ANqeZEqyxUhjzX+xLN2fqFhYWQa3sRRUbB/k4vdzEUE3dmNEB91jc5Yf qMRHYDOmqe1l56sNev6G32ksDab/k7OsyCQZtssHKsXtMuaY37c60qVCmqqGHd27JWkdrggUEu9k Ad8/TI7hnehOIXIVYGFubAX8bYJC7g9gYhLer17ti3DvF6X7aaW6PML7SI/NF1KOj/yuGJ/edE4B V+S52k3PZ/mzKj2PdCmDYV492A8NoSz5bSUJuOOQLysA6lX7WV93yR+AO9L9avXq8LYxUppnWbhU 5aepUNaVwPgWu4C+ygNmRyKMAniS3zXLWyzDP+BE3mZEh0MMp5qHuPfAjOSmKfjJ/fOSkGzCf1ll mR2qbTTRJwSnw7fSlTxgSd+2H+l4WgxKjowysNBnNcuPz6Q1YKdHovaPbZWtDe8AVpyXK+yYPjBF ixyGQ5IM+X/LUqCsOUL2vldHpNA0hzeWIgEX9PS1BgIO5onDIFSR1BQGRyEN5l/QR8czFQADS0wJ serE11QSSR0cUk4dNNRBQfMkyOdsi9t1yhxYhQzZEJMPcOLCTU/QIkvbEgkF44Ag3A/Rw7we5UTD CUHJTriqRa8E2R1WCczMdm25rIq8cvO17OrcWbbsMr8uyM8dS3YK7TfOmn4RhjAjksEJVdE/bZrH tW2diMvBj8u59Zz0NMbaLkYudsprtKtli1oG5yjnJkeAWNG2DKvmYAYxL7nyCwcjp/ciVY0FkE62 vA9IEUuI1MPSMuUJLlxY2DLHibwGdOwCQ3r9uBV1VNt3EtOnWAmgPUYRXUhlcd/SdCqGl1R8EttH urQHZOgwUPvFUIC2SgCAw+QKieXi1ZpCYCgjFae4MxR9zfmOd5FjZO8J7t3Q4762/Kahb5VYQCBw FY7ewbdlvprfVezbO8eyZ5ib1rgr5oiXjdZFvwUkybjDDqMczIoWWtZwlPEAn8H/Vf5+JwI2UEvc rM9PJlsHRvkkRTTlw3BnauCPoUJkTK869EK7QgpufM3ITkekXe7yXHRPPG3N8M+Dp7sQ/AWk35ME S5PPssBlpd+ITXOjkjfyszv9dZbkgSJxyL95o0QRJhxS4xvn/5gHAA7CbFDVEpFNoPANXoxk71tv RCTmFmocd39JvuWQDFA8OxP8lwuZzyOTRBNYH3BhLCqrphIlBIh3RuQsM1i9nGrWJ7PUik12DK5x J4nINXVP15iUrL431pfJqTc12uIWCBTErOQ5bA4OVZYUDFDV97t3i+u80TFQVkkv+MqEFJ6daSRj NpbQ1doPoK0I4UMKjoSzJVom7J/apJICLD/O4Qusr2D1VNh7ZQw3F9eNGyK5afIP9PC8kMW6jN5b hC5sX9qWCDFHgTS/Vi0edD0QnmZnV49db7maCdNfc0fJGmvT7/+FJYWVcsDiDC2eQYCmPCkVCiib PqHwCxIRCZoAIZqAnLAD0R+ibFIEeAwXdJ5lFtXDi+LTfdJILVyh+aHqS3f1FMciQbUe6jf0Jc9W /J8p8BHHG1Hd1Iw5us11PQ9VUWb5Ys8H87785UBe4x7UO+DAKH6sDggMtZwKuRfnDoqQpardHIKC ZoCMc7PVWFyVW9l7/U+vIMhzaAzPBYVmfehHNWzKyZUeeXhqokn/uiYA7MeTPcuwyDf7PYqMepZe FTEw4K+vFR6D8UCbGCTgGqEIAeVcCKTQS4btFemczfhBDLaq31Ir1woUmMCVx2jAlPzldHJPXfNo 8grG12DXUE8egzi757LA5YjBua7eZ5rJr41gE6sTRoxvEAGflqwbje1zLDyxSIdg13L2lE1ZO1Tp yWGpu6nj4wQKTq3L1lLH+V1GU28dLnsP6I8HXpj4VT6Vs1Lv3obQyUWKzNMmXXNteqlcXw7AJdrs P5JD9TdKHvCfmdgOQUBUds0SxePrj+PfZwxj8zYFSj96WmD96KQ0chG2O/fYSGtHXal4upx4i4Or cwE71YnMJ/f5BJGPo1SdnqJM2GkUewT2gMVbP3f74ntJG+Gz62eLzZPu4l7h8KoaHslMIP3R5ME+ 8h1RwKmkPGONrf741EgXDK6v59xyAhZszw1Q/dcIU1oIg2fl8a4Si+yCyHOcz0OtHHNRrmfMBP0z ssGGX+LEwBOr9J5HnlR/wHujdPXSpAtvjdCm5kAy+8/zWlMIx+MSwfB/IKntLuw6HnGNyUy+4Jqt iZeT8wf82E3vWCCvPlhDPI/14RVOHXmzWgZ9RWU+yqPrFcYwjwhwOySOrJF9WhLzOCGT/pUXvw/3 KnnuO2nzqsXRqc5CucqFPWr9tmjqN+wwpXIVVE0BOwfdfS8BvsBrc+xeKJ4ZJnifsbitWl/b+h6p Y/0xEbSeJDPKjznnvAbW5ft3gAhj3A0dU9wUpzC5VGvr2Z47yD9ug4jsNXr5/kfi3uCZuxlEY1Lx DmOm7LP1jdua6Do1Rl/l1idk6udawm5EeMceuZ9PnDKFqvaObUo9WAbnmh5vzNbvQdoJnWqUGBAb fX2R2jgaTENU2EyemDZvOf9ZdknvU0yWyazi438LtfQVyPHWZHXJjBEjPxqHi8SA0r2hKWnsoFV3 i10VfiVILEHuTYUvACiGGHRxI3TEzZR1RGSTweYcAuR3LUo0qtHffg8CjuY7l9ksgD8SEM8/ljHO iVgOGy7bdDCHjWBMvhDbtEmd1lOsohBKmTOokfLlxvmquRlJDL5SeLvNcyuLXZHJqUZK8FebEUfH vhlw1YnCxJnLQYqWy3Ht8PMMNHfwAr0WTpnZn49WnE2CYoxjFsgyXMkroLEKNDKez3R4vA7Ng7Wq jPeEEJ3+M7y+uFbtMuKlp+ljpvc/YnAJld8JFsuKmhWS5UGz1RyaqsBpxTaienDG7Nf0O4PxF1AY k8eohJMpHZb8YC5tIHxonq0LOM82epcsmvv5cbeaz41fGX6eBwwK4LKtTQUFHB8l9+ooTshGDxJY VZfYYGRkoCLI+YfINVHMDcpLbWAjumKvfRBI9v/+fQwX+Du6tLH0DjbOAv6iu///jyLiUicrRT0i OwEFUc8yXIHOdmqgBbQShFegwmR6GGFdD4XRuogrXHdj7LF+fQgRl/2Fp6mDTF2D3eCwZPNyRnc2 EEeQDjTUI7wEGb889Uftt6R/rrnpSTXKXu9vamq7rfOyFrPD08TAJ5O56tQrmGjQaJwUMrNES1eG GNazMzzkC+va7Lnb563ECAHzD350+dKBbCCrjvYjmfE377xOP5V7asfjJNwU4Y/8duTjzFowacxf Ds0ERE8gpzw3Q8oZ05zikHLStkuDMsfY5EVZle+YC4GB9Ws2J8cs50EZkFw3YFE6RybcmPwN8Spk /yJpZ5mWfn+86Jiw9zWezq1J2jJnmvjHTb8vjalLyEsLARYWkQ2yQXz1aojpOXIbpFESXOW28SFJ XqHwb5tipoOzV03HyG2u/KrkCUiXHimsYbHcuRRx0zyGCDn16GCINC2VrkZUrlYIN60qr/3f2SHZ OjDNGWeJoQr7JP6u1ogdX4hayxZ0A6wqwNET/6uK0eLn8VnKQ6etkzVGU27LgDJWNJMY/UcIXJoU QcuqIpxphtARjTfW2jFiCnETa7HmiQDJzD6851s4W7LFvW0AL2hER48d9ZVoc3OnfveNiyDwNOhN I4Zmsb3ZjNhXnVAYYO0OHfAdsJSQ9IjaFjcUyh54ENfvOofNp+iDKRV9OeFxifl2T9RSb7VOOqe4 PX7tLL7OU5kpbkyhdh0U+9c8zeZx/3jC1UTQfyY4v/fceUNNbhTWjjL27JXkX9KbMtQOlRhtfQfA Cg/wnRHRGlXjFWfX8gOMUMKm+lbbrzRVpYOBiIWInPA4UpTL7mtJoF51c7e37TQtpzwpxGtnyX69 B0xtenyP2hcy7MPmANU9tqRdQr8vLssOIKn7mHlfXokg3nwcotb5beNjz5B+KgPa/7unPoltxFNt y6A6vN+5RK3SqnZWgvcaRg7BFBgr6snO8iouNvijyHWriGSIrAT4RE+1s6axL8onRL+Zyr7coBE6 8Ci7Ms1YvM5GoqAYh7KuO6N2pSxUjT/qh7BJnqbwrgdn4H6b052GF7m6OxHiUUvCcNtXYoQcALXI rgiCJuNTU/oEoHvuw23Khqh7nyBCvRovWMID4Ta/dO7RAqeXd32NIvHaU/zn1udepBa/tKwoq7ji TM/QfJV9eYkrCPpC0NWMI+qYvD90phmQV75l1hN046msxk1z8Nx2sje48kVG6fmPLME5E8Jvn/nm 3teIpVFKsALWta4ZZgZcIweKLlSbK602wJbDPhMoFnt1ujPksdHzfHjHHzDUaZ+IZS5NJPrdaN7R tYn1luRa5p+Oat2futyeLqarBFYohp/sMksVH60/e1jXkLSu9HS5DhM8qGDZ2aCaYETYXNnAPlu1 zJUQSEDtxTz7t33uwuVtWraSEkyKHYtl3YjsrLjhkMaWhcElAzCj0qd/zjRrl+noIESCW5w+inlR 9mYChAfIgPapyMOdFDXqnxcAbLHglQqE6NU1KVDZ3XSIyvikYGz2NifOX3wOK7DPMBnY+E7FszwF 2fT+vGuKKGzbAyUkAPutwc5hlRyKKthUQBSbDXXC/HkHSv8+k7+VruSm0s3egVotKNZu50C2sSwW V1wi2jjyQT4L9isnB6uhJpVpfOcFWVMH0/GOwWkFqv0uUdyevroY4yu5KStZcputcENeQXe+zjK+ xQrhaqj4YnQYYoXuFvJjdoJ6UlkFDrS4W8d0J6uEqmQtsXR6SdY5yN95e5ATVxCiIwp1NwTIJcY3 lwRxmqB6FS8MhFszXOLOP5ejNDlrzoADX8JR7GeqPFkdmzjhAEoEYm/E0mKZ/1aPuNB1DQ5WUfGP 6TUa5RAVjZ7UBzfhsCZeb3Ur3ocQFdXyRBYHEfh3iEGIds3evrDw9Q55VKtIE/HE6msVSqFJ/Q+Q 5sc2qkpmruv8mPfwnPVdzCx8+klyETU+sScs1Kkk+i5SxF2YTgGx8TCTuKQi+5+OND3gcW19D8L6 AR0gDP7k0nn2s4ZqARsihSqtP7yGTnRJLQ51yQ6tqIYC3awkJotSfiuzCTUjdlup7urgX5wM6nTX a7Fn3jvzM/Yr6jB74NmtYMDBYprjbgDcqkw/Zl0SZOq3I+Ok/2a7KAqACF5MgzRbwl924t61t06m GP8S+zZpgU6OsguWgzsmgBLKPTk5D6fJfVpIyQ312NgAbhIIAwKrNwaojFTemLo6XFd20DErNXUJ /J9JRq/Ici+xhDF0NS8QuDB9JICoe/ebh553/Wdhx/+vLmb35q6radz08zA2e06OaTuWIZtHe7jc Zut802tB2Jr4BbiMQSbtJk7HGj/Xgy99EQ5DKIVOdT5HbTiOmajMuCe24EEkIbV7a9mr16XkoFau nEzL79QB0vxX9CMfXSR2+fZryJSJyRziKMEG6Gp3BR1vHZGqG7Idi5jZssUCP++ueRNFqRLSvjQF wSiVV/UnkRQUnEH4BLkiWbZicDTpBanCcfA0y001igHn2KXnb1wprrdv4EbTnIAN2KotCSkALSgn fiU9I2N+gpG5V80UJzE4kBLzMxLdc9y6+m6TLqILy4NLTXzT3ibZRmDll/I1eenuTzNhHL9GSPKE SjQZ813oVEI8v7V+E85o4RvdisLygOuoapIs6lBsGTBlVwofYrlruLnLzriRaUdnH86trwKt7HCj 4FdPKyc8X1EPNdNKlKkcBVMS4k3fJ/U+qcI8xg1T7xKHNBrDHqmvGvuejo7lWG9iqFbioATnwCLk 3X+15snrocQ5pof414YElhQtnh8fNaF2X6r2SyF0iN4MtR0zk7neJR9s8HggaytQQb/MV2v9cBxE cbIVQ2QuPBYNj0XIkDhB7b1RZKxz+M7wVwf48KFGNaSVKiJ4O3XufJ1cwDhvbBHx7dZcwOSTpiZI y+paz5gr7pXA0FqAGtJVRwG/MokWZa7GEDb8ZGIwUrNxilDsahtcws+H1ILKk9FM4/aSUZrCbX7k WLKjITpXSU2V7bzHE2TaO9fiEnzOcdDA//nxkBijZanvUdaA34/wE6MVH/XU+qgXmP65J+gDiBJX xUtFfnNiaE31t5WOHI5wfIoJmQJxdQi+nY+bEEI4EA+wjOv4igvlrGUAupvM3bCMlNVrVHRav6J/ 9fFTBwkXbM0Z9L1t+UgRU3rjWjEwHSOmtjlgayW66bQtIotV2iTERi+auSbZ22sJ/sJK91RwPgO0 kxqvLqB87Y2D54vzuDOGk0jvZZ21cH/ip5VQvd8ElIAaNVU3UnKr5ho3phmddgXmCb2vWuAddjX2 38AzBvviP0Acewd0zhAcJ09Pmi7AI3H2Tm2pOApEpXrf7hjx6VV8QE4RpXG3vFMvIfBG4a1//Pel nccBgRZsoUN+pdquqqTke5h34+NjlvQoHZqv8Ie5mcMqjw7oCrSbWwfr8jQa8JaTn71ED7n6h9KG aBTOKY6imTm5lMFObrj4IV2S0Hc7M69LG3RtScEcL8XJiEyD9LS3jf7l5FlruedJfxNZA4fDhe6j AugyKcVLZJBkGIRj9ta5KeX0AUlExhhY+TtwvzJH25mGme+UAx8GFykSvfJqyPKMZgubQ+aYuzIQ yxAznhaO3+Z9iU1qD4nAuDvWMX4IsopIG/70UOpyvM5EUkO0VcLyWDm5hXTSwLUYSHrLUAIT45Pd BRvaElh2dPSDXnsrjGYUzqs7ncEoDPw7AEqk0ygRbr7gx7TNzDnt+h9RPzJE8tWcYVSnHSdZvJer HhaQOo+zBMxKSMRrFMScgI7oxYT/tcm/jFFGm25YXEXhbaxbfctl87paktQh+5Qs6lVIpc3eQ+gF xMhMJWJXd1pbEbr/g/cB92CHnYd+5tW04SGli/Ap/WLhWrLWhPqA60GhT2BdFJG8o+7ew57aQsIr 9q6lU5FyOo9REyTSdRc2KQhWGXXveT2hV6Mu33WN2tzla3IPY0I+HyNKpq2bsDDxVMMjq+FM3ben tpRLizz5QRnrAoaxyBLVMXRU2XFoj0I+5H7GTIlPObBLXGY41GACwiq+ws3tLMPOUCGppPMSMr2s nty+64xkT/wioyqpa/eBqchX2HrrZQ4UA25iF4tgF+j+Uce+/Zu2KiIJs2R6MIt/5A6lz40m5GbJ 6hg0+5wzETusSYPWeGj2wjk83ONUUkSPJxHWKaOmVZ5/6g9nQwRa+aZ7ikmn/5upXSOzL/CKkbQh or2rjwtogu7U1piHwL2NWpOL2hV/mu/IBJmf3kgmEHVK+rZsyPhWlhzOMz99tVcE4DIKDSHUfOJV 1y+EXpcKDsQy/OlFjR3GOs1woScBzXsrsqL650mEC4n/54ALyOy7J5i1K3xHntDGqXrZVrsmtWwa DQcZWmbErJrXQsuwBb97lA7bAAgo5xsYCR8lNkkAg+4Zksi8Tmt0hvmZFoMG8ENdvgza2pzbdryW mMd5o3TRRhGULjBUWqntOK6usX7GJO6NK4I/gZL5fOg8u6+VH2StpahHGaXil5XaeLF3X9A4xh/j B+ZpJycdlF1QqeZTuQyeaih/tcx0qFZfrw2usK3+S/6smlNJgqwSjiti1jqZNscflNXbiEFcD+FK GlJKDlDb7xMvv9oDxWFm/NG/w3cefpd9daNxRXdEuXNW4GmmFOXYNzmL51SVHhuUnpIO6QLb/bXj KrnpB4UqyDIQatrAAcWC0aCOQ7PRe+F1jDCBlsdGK/Lfx8zG58hzcm1qVmlulfNIPLJcUh24C8M9 eA8vjI8eToRoK0ysU9e0ySAfsNIJMKWsEQxJQig/wWatIRs+Zo91Q7Eu8VW+0yIX/OfoCE2NtEK1 iRF9uWNU+3+KheM0H4m/RQyu7zG+snXNY7meGm0h+NL8DHonlmK5jm6RwTZZ62IZH9dqikJqMR/K g+pcWHJEJEe66OnFKHPbh9ysLO6lolC6EpmU6RGJsee6n1I4bykCJCoLomD2k/f5BTmsXTpPFNFF SeGvaBnF3Trpl6+rgvLI2k5bGYc7V0WFx8l7o3H6qakgId582m/2GHp+aOkaiASgHPAAYBU1uX0/ E9lfweZKjknaZ5j/bbt8TiN2MB8fLSgp1bdPd/YxzjSz2VJUehhcQStH2rMhFgsF0K4HSLoAriHY oZzeRlQUwCJSnZDAM7c0MjBrUemaK6ZQMvQwsv9GgZca9Shi2F9qGKgw1428ydLdW64ClrSMk3iy 8uMV48NqBKRlGJL8p4IerURSUo0kASvsJQZDRVXcxxa8U9euVB0cYe+yGZ9pReFdxZp38jCkS0QE FxMCwUkrWoQVBThWmrvlsxny//YzMGj/sQLzT1BXsfXqOnQISOdUbPHFDlo0zPoUWjFRKMPHVUTb LZ3CjTpTmzfbhv8WBB6cgd1B8h0uz6922oxGm3V627PAuSmh+sE5TMcuogdOYp3H3yIC4S+8labo nbtbsEEQMeEdZyTSV6uiphmmB8yWrcfPyMJNSfOj44HpLw+t65FREWVnqh8djNkFMx5Wc2WPvN8k 9/fstzC1DJQkAeQE37DRnrbf7Q3hLu+XWn7D7KElVWTmMtcudFgGMRiv6/zfL8HRKg0HMjiDY3Eb Ky3it+9+P0tmvt6x/KB5EyV+ZBgbhee7ajmHET4JTe7IE1n+TfTQoor29CJmJOhppdJU18an1+TZ GFHDz30FOXCm+41vJlmu2zjSKNN6mXqZ/Ng/1PHAvPXBr4jywTHdJrz25HnZ2MXHdvtUuswxcj0D 3DpUmsF7tZUH2UKntODAEurKnIDEae6EkYDrNMvrLle0/cxS1S2Hzttyv5afYDREAxgwfTvTDXyY GB03OgTB9M8oBPzejrSCCm61byIsi2oRNT8CtFHSZFZZ20RGDOv6OtmhdmeH0Pt15bs9zQ9CENFg 1orRY03DgKeVMd8bCbka+TWeUsZsS8FmLtKDR2njWx66pdwbG3SSxT9sVyWdWKbpfv8TUW1BPDQv JyCJEcDNd3hjgPQVg6hct2h4CbMJIzZiWz+iD7fSe0EC1/rrmxjO6w6TGgiqhjBqRnzQ9DQMRO0Z Fe5sBuMQwf3Alhk9LzMytK1CjqIJlckAKUO0G80k/78p3IMcdCy2VsHp3es9DA4OfHnpkZDabqsu Tmu46JxOURRps3PrtgDMSxJCrG/QHtpBEd9zU0b3n3j0gF5hMyceWQbeWqc5q9tMoXStM9QCiM6b eWhqpjYKWAu1vl2b4+ysARy6Uob/iMZ4FfU26OGKjJxNivu1LPJOYXTHHQ4Efvqbem/ZqGKr+NCG XnqXhowNtSxL1l8/KA3WWxGmzVvAfJnkpgO+9352llYgtIoPe6zeJnzY9/P9MqV1WDWirIJwk8+m FxYxakt+CpcdwGDZVtuS5DY5ja88z8/v4IDq3yK5x61B5XAnREf6eG/aT2s5O1rjJcE7cSRkRDwZ /1X28O86JuofkDzNYNuVXdIrOjgZOp7G7GDZP/IS2qKMt5i0YqYGndeacY9aBgHBpqrHTwIeAQ4A XmGNeToccpjsLmQ+dMobbvIFEln9NJ6WNxPd7vbIPN+4PdET3jjdX7bQj2x927GCEBZB/VA8UEZF AmbNI7yPlUnekLegJoE1PDcUgSykWjZhSMjdlDlksVDuCEZTEXnnYGTreRcARS2DZh64hiU/iGqb 4Nrui9qg9p71ef0C5W/TcbAEuRZ9+xf9SqNPTyBpHDxr0j+xXeAZVLU7/HHYxhvNPjWYhmZMPLqm Gb/VZQ//vLlwtALLVDOazQKFwlXKWhyi3/TBf0trQ6vEDn3ijYTpZt+S6BViOXq4Exnxm3WYpw4P A5RCdZmxIwybYBa5mvNYIayinOLdaBQuNLkm8LNJwpuup2xPCbVCp+ddovtIIoUAzazVSiPko2Yt yBw2K5ehRhulhc4MVoeojmN02IFu6itw50FyTI5UVt9Hmx2Sasm0CU1zb5EpaEXw26ssENdsOa5y iqJk9Hz/wG5RyusBoVnYDVE01mM4w+m8WyCy0oQ7E6bsD7oTnmGft8tR2D3sdrUb7x8Ulx0wdIaD 0I0Q+aevskMGpuqKJMo1z0ievrHpiyKty4ksQwT+70m8Awgbh1iAfMYgXWKuGnB+o03nXugCcH5o GhQLFFxZb5LECIEEn3lKm9e9RquF4dOEbR1WRYgYO/E8WMK4Fo+hMlGNWM+GDGhGpT0vPSyT8n0P AP1jRPkTqlaED4K/bM4/6K5RuNdjsBz9otVJY65OeUyOS0SHAxl5cTvPdOg1MBKeROXAj+86mact V5cM90CshEd/JI2a1EgYlsCngNJoCktzNxVQynDPWdz38qUZYfuDuEPgH6BPa7F9m5MKQxpsAAPG DvGKNfrvMl9r56Ij1gck/qm/YIFsxCxYtRFpM6iaw2dOJt2MGjdg/N544E+8GJ5PfWcoehXS6y0a jMQV7Q655fzaEuFVyU5r3KvWVSTAZKLDS7O3ezZlr+xzPrSmrS3WxLhuP0Ihr6EqvdF4RZJ3QsEW Lm+7EpSJ4xJBWa6lTRxCA3bAjJbPtE3Jb7eRgD9TYnErTaWyMzT5P/tLDQhIl2C/pcD5Mc7MAql0 T/sPMTiTQC/+7yLkV4TwiMW9sdcPTnI3DfXAYENHmruJHCU8XqOENoXyy/IKQv/9Yu3cArOnRbfB 0IGoNWQRCPvuljq5PryDqgL7o9Lwqp89SucksQwK/LJMPx8YxcIiSBL72Cd//srMwohLIrLJztdq qoTwFnatPGiBIQpoPH5G63Z0NiMvwo44bQxt7RUVkbBjpOcGDE6zKqn4Mnoo1RgyQDqU/yjybeWx XfJJCX0TuhBEDBOvQH5GXvo5iNbdY6E9UMsVmLOQV0IRLm0mmaRiFOLb/FmquxmrhvuBXBlKzAKd E7KJUu//u11tFtTezjfZQ7QpnNbL7gNI5+LYXxB4BNgBk/F48EDFLvourCSw7dXAOu4+wO7CXTTy hC8LdiCjTWC0221ZP03StI+dAOm9eWvt7C+/TEL5yG3XvUjMf13o9srHvdEliOSXdU+Mn/q2dZrJ Jymr82PNgUZvFpX988czmdqOH+5xaA0di91ieVAAfhELxJoZ3eVdhf3/fuNan9uXUQNpiQfYAZqY 1zQ6OAgZYCUb4DoZHQYVGExbhOoxD836vrDSkEimGOZRCxYmc198dFhef6oRnz1C2j6UtwoFBlOa tlwPnuvaBNVikkNtFXBZ1cwsdmkr4StB8iHXaNEfnSRzYMo0ykEvYBUTAUXY743MtHSBnH6fCqQl HCnKVbA3SrJujYn8reudzASpl+4R7VjS3POhfIiLOXHyH24zyOH01d2lpluhL7TXsj+oR9lXfi5+ 1X49jM0DyMG05DwEEkrOgm1WLNNusNVketVrjf5Ij8KbTtnTbOYkTbRbZjQUsCYFPY6CnEn7UrBj ccrN3MMnQb/cIhsIWz4AShVziJKNg5OFjz2xMx/+IttuBso2jVLmUaTi0mrTt5OLO6DrmtsmvnYX b4VyAbN7QtMqgzS1Wqps5JPx5Lk2kDPy29bU29EadlwGmXEt5JznW3EyzGs6WLXdbD7eMrYtZtzy 6baCN3LZO6gmsShCYIM2AGqzq0RwB23eUDt1YLgklVb2wBSaSpgClBkGdZTsaeyYdfDTQBzhUewt 90PG2qlJ+Np0nRPCRpEbo7Km6fnfYTKzqrXCO/QBh/k9igTygCj7/tdiw+1fwFmyQo8/vKPLkiIF qSSvNi/7o43SR4GZTm/NhhhPuxIDMjw+t8wcvsKP/cPUw5BkWn9sGPU6w0NhYLFRdR8tpnsIW+3Y N9GHu6bKL/2pvZOdjheSROdbruqxihzzHmEQz5/HuDCWn7G/lNFXt1HdUnLRDqyErJ9vCVjgOFUE dERYTrQqJ/xxJwlX+qfqYlfL8IhsyOZpGDOmUcjUkWS7X2RaaDiiRr6IfkF80cfc5P8fzJnRZv/K TjSCQv/Y8dUDJLDYlOHdcn3RzF/Lq0EHaUflLHMLncONXcfb5nlZV/pgT6OuigCMrWnh9VCYSPsV xio+ptLpn1PqEWeVFTJi+IkVpUjYb0R+THKqwk8Shnxnntu0CwuQPNbCQVlcsgmc5Bb6HaDBEqbD BGHzneNeBWnB/rsobkszcNsbAtNcDJpV50ZrKKyyjQQ4tJdHX6SarziGFva6ZDlX9xDxwSioGYsV hcjx5FW3DQRiOB+zTBkHQZJDy/sUmmD29hiD4tA/P2lJr+pcKfMmY5eL1keXhRsoA4JhQhGeXWlV fuhvXPBqlqRvcXro819C07n+kz8IsmBUcz08I5P4aw6uo2rowkSFzT8ymZCh8080tzB8AwWeDIpR JKT9GWqUUbM/Kv/8n1oJITRFJmNLfIHwZ2HqGhO8MCqmxBXCjzczw5KBP9XOAkikt6gN7BjzGK6Y WjX6VrtS+xE877j3X4Cvowq5CHz/I6Lh0WNPaLazp6Jjj7bfzIXSY6U1bUk2Ok/UTArNMjF00p+H FuNFFjOt/KirNDuTF7rRB7CnLs2wN0DBGB7dgbVH+sCKZuuMeNRuSi+ag8folH6ZyM7Rn0fWKipz glaMLJcb8K4fDFcBzIr6qSBTBZpwhYjDTJ2l0lJqcT5xWVnALVow5CI3gD7k1Unk+3ERbLr7x/fi +qnkFEIBc7huteHjhLvT2QE+dXtWv8AYU2MCG2TAE4UixXZjwodkBwrJEq10xcOdOoKwnVf6kZY6 7P6kvHQk91HvsDNSTiXAtwzqzNhePnI/ymPCAb5SHFwLmLX9ktTd6oNKkvpCMpcVmR8A4kKuf4pw K4fjVEpxIvIK502PaJWSLwsXwjvTlmOjhw6yMBpWYp7RLdLjdAd3jCr6dyJRWLJmZf3Ye7LSabd6 YbHNnTgSgg97RemAxA1LyGasGwZc7G0vrbsbY9CLminTVHE4/F1ioMY4HvSktFiiWgE0kkg5Te5C nzAY2SsnjypE7OsN6a0R0dNBx7DWL3mLgT704cojVJU/IPC7WDIZhF9wyyiAIXBbzz/1a3rjCYBv /OEzRD8re3+HWfJRaneiJSK9IkOz5qAyK4In9BA/Y+iiRjIHRxWPMu4h62tXGv57JKtcZuNlwJ5M tKDXCREpFjwN5BCIgT1Eboo++VFPcDv/gUubxMVIhXG4e7gB91sUor2jHtG9ukCq7KysKXnY+9se fiZbC4L+DmYEvEXrvHqWjkD1VL70O+Am19intCQbYV9SDSbE2eJl7S40HTu9sC0yy+HgQ3tcyLzZ ZlOFdlq2qDhWoIWKCq5x5vhTMht44ztVRTHIsTEVHjRMCy/TTmbheJz5YxPQa44rG0oCPw6I2EMd LRD47ko9ePK2YUb+L4yWlutTFpHO0c2FHiJd5Ds0MBWDRZNgm2fVESHUGwbJook9K/js8CM5tUuH ycxfRxNw4fGI4wFZ+rnhL2ea7+/prgRybhOWlU5IpW4A9mA2pER5kaDMzPqGBYrk9NUgaKtrkXf0 EWWckIrtK0JhIH59i1cU3TyCgHk/cWADLCk2Xtpen7iUZSM2B42ksRb4mgSoZ2P0/4lzdaWRRFnC i1wj3VKIbeNDJLetVYvDoinr27DHorzKQ1luoxmHykI0fWKwdq9ZFGt1O6Im3fzhNpslK3Bmc3XN /ak+uNNFesc2Ku81+knk852FqJ2wzhqDiVXY08AbZaWC/ne8sJNrJka1cy/8nOX460st+bu/oVgh vSdWf8yclYmsI18+0BaONvlp+vXpkterlamopF/EU1pVuOEix3zNWnwix4zngo1iS0y9F44zrmWk IwKAittZGIwcUyPD0DYypBN5gPUmtRKhQMMwqmdHeDuu/Pr+lZvMFo2lQg1uPUO2ap2k42g+Kutj VpLcfhGyqaVyhx+KyOl+r1t2IuoqF9mcXniQWzZDAP/oFcgX2ctFP51jmE5hy61A69JrFm4ioexv OqczJkP/G45QRCslSnjUmNrq5YbuNp9CuXF1anMEzga500ygXgSj6FBZBblzkJzjnDatbrravaQf 6Se01ZfyV6UT3IX0k0lzt76+JTaFFBm4tFa1VlJWSJlcecKZom/eZObFTBl/13SEQ4bUkrnmn1/E rvltYB2yXrXIEdex0e0m7Frp219wdtVffcpnEeaVz+BSrwQNrN6I0msDgnSMDdLvoAUVISbmy9/R kGqfefMBjdQxvDTY2tIcEQ+Md6exU6mLUTxQbilJHpJcoHti0chDEVMsjVVRDmQyPwzE8Hb7uVVO kpVYn8FTlPG4zz/Ck9pCwkRNufbKkz7Yw/YgqITb4dd+8D7hYvsRvfg8isVQzK7eDutnuS68WDGM 5VGzCzSjQDqltpal7Y4PbCM0j/l5W3sKjA0I1AyQOUHiPSKk7zkhm8OkZfmbcDwsbyWRBSDDg37O UJqvOWNkQ+yuqSr7qtxtO7DPetPUX3bu9hSSh5dPnAd1/LC0ylp1oiH3Z9NNzEnMSpEZkrzabJZk Da+aZShqdeUTqUPB3iPd3+W25Por3OSuR5L/d5+Id4huZfskGe6dS11aNmyP9RpEBywOBoh15J1G aDUTEi+kg43zTLuCDM7XjD1sMo/TA/uflsPkqn8PCMRWobvSMRhrWi7Q+HoE+QKLa5IrY4VNAdWc iDda2FyX3hhW3EKpfFMQCaRiPlHj2adbsI/5yJHwIsCWuWjcWcpkqxbzTVSzXywNyRZMCz0wA4qe bA4KoXF9jV/OazjRtFw3O2ow/pLsZhI8oFjZuF0//WHIcEAN7fv6v22LMIDBzY/rTcJ4vKFPTzdJ WJs9gckTIbAc6EbTL+XgL7cvqoihADghlFuknIYYj5osYvTEOTbEkvORDqgAJHxQEMroJdSUusBL SOVwkM2RsOq/qDxt3/V9NFeSAfW64pgCb2ljx+x9 `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); CE : in STD_LOGIC; SCLR : in STD_LOGIC; ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 ); P : out STD_LOGIC_VECTOR ( 15 downto 0 ); PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 ) ); attribute C_A_TYPE : integer; attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8; attribute C_B_TYPE : integer; attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 3; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 23; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is signal \<const0>\ : STD_LOGIC; signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE of i_mult : label is 1; attribute C_A_WIDTH of i_mult : label is 8; attribute C_B_TYPE of i_mult : label is 1; attribute C_B_VALUE of i_mult : label is "10000001"; attribute C_B_WIDTH of i_mult : label is 16; attribute C_CCM_IMP of i_mult : label is 0; attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0; attribute C_HAS_CE of i_mult : label is 0; attribute C_HAS_SCLR of i_mult : label is 0; attribute C_HAS_ZERO_DETECT of i_mult : label is 0; attribute C_LATENCY of i_mult : label is 3; attribute C_MODEL_TYPE of i_mult : label is 0; attribute C_MULT_TYPE of i_mult : label is 0; attribute C_OUT_HIGH of i_mult : label is 23; attribute C_OUT_LOW of i_mult : label is 8; attribute C_ROUND_OUTPUT of i_mult : label is 0; attribute C_ROUND_PT of i_mult : label is 0; attribute C_VERBOSITY of i_mult : label is 0; attribute C_XDEVICEFAMILY of i_mult : label is "kintexu"; attribute c_optimize_goal of i_mult : label is 1; attribute downgradeipidentifiedwarnings of i_mult : label is "yes"; begin PCASC(47) <= \<const0>\; PCASC(46) <= \<const0>\; PCASC(45) <= \<const0>\; PCASC(44) <= \<const0>\; PCASC(43) <= \<const0>\; PCASC(42) <= \<const0>\; PCASC(41) <= \<const0>\; PCASC(40) <= \<const0>\; PCASC(39) <= \<const0>\; PCASC(38) <= \<const0>\; PCASC(37) <= \<const0>\; PCASC(36) <= \<const0>\; PCASC(35) <= \<const0>\; PCASC(34) <= \<const0>\; PCASC(33) <= \<const0>\; PCASC(32) <= \<const0>\; PCASC(31) <= \<const0>\; PCASC(30) <= \<const0>\; PCASC(29) <= \<const0>\; PCASC(28) <= \<const0>\; PCASC(27) <= \<const0>\; PCASC(26) <= \<const0>\; PCASC(25) <= \<const0>\; PCASC(24) <= \<const0>\; PCASC(23) <= \<const0>\; PCASC(22) <= \<const0>\; PCASC(21) <= \<const0>\; PCASC(20) <= \<const0>\; PCASC(19) <= \<const0>\; PCASC(18) <= \<const0>\; PCASC(17) <= \<const0>\; PCASC(16) <= \<const0>\; PCASC(15) <= \<const0>\; PCASC(14) <= \<const0>\; PCASC(13) <= \<const0>\; PCASC(12) <= \<const0>\; PCASC(11) <= \<const0>\; PCASC(10) <= \<const0>\; PCASC(9) <= \<const0>\; PCASC(8) <= \<const0>\; PCASC(7) <= \<const0>\; PCASC(6) <= \<const0>\; PCASC(5) <= \<const0>\; PCASC(4) <= \<const0>\; PCASC(3) <= \<const0>\; PCASC(2) <= \<const0>\; PCASC(1) <= \<const0>\; PCASC(0) <= \<const0>\; ZERO_DETECT(1) <= \<const0>\; ZERO_DETECT(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv port map ( A(7 downto 0) => A(7 downto 0), B(15 downto 0) => B(15 downto 0), CE => '0', CLK => CLK, P(15 downto 0) => P(15 downto 0), PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); P : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mul8_16,mult_gen_v12_0_12,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE : integer; attribute C_A_TYPE of U0 : label is 1; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of U0 : label is 8; attribute C_B_TYPE : integer; attribute C_B_TYPE of U0 : label is 1; attribute C_B_VALUE : string; attribute C_B_VALUE of U0 : label is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of U0 : label is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of U0 : label is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 3; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of U0 : label is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of U0 : label is 0; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of U0 : label is 23; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of U0 : label is 8; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of U0 : label is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of U0 : label is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "kintexu"; attribute c_optimize_goal : integer; attribute c_optimize_goal of U0 : label is 1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 port map ( A(7 downto 0) => A(7 downto 0), B(15 downto 0) => B(15 downto 0), CE => '1', CLK => CLK, P(15 downto 0) => P(15 downto 0), PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE;
-- cb20.vhd -- Generated using ACDS version 13.0sp1 232 at 2020.06.03.16:36:13 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity cb20 is port ( clk_clk : in std_logic := '0'; -- clk.clk reset_reset_n : in std_logic := '0'; -- reset.reset_n eim_slave_to_avalon_master_0_conduit_end_ioslv_data : inout std_logic_vector(15 downto 0) := (others => '0'); -- eim_slave_to_avalon_master_0_conduit_end.ioslv_data eim_slave_to_avalon_master_0_conduit_end_isl_cs_n : in std_logic := '0'; -- .isl_cs_n eim_slave_to_avalon_master_0_conduit_end_isl_oe_n : in std_logic := '0'; -- .isl_oe_n eim_slave_to_avalon_master_0_conduit_end_isl_we_n : in std_logic := '0'; -- .isl_we_n eim_slave_to_avalon_master_0_conduit_end_osl_data_ack : out std_logic; -- .osl_data_ack eim_slave_to_avalon_master_0_conduit_end_islv_address : in std_logic_vector(15 downto 0) := (others => '0'); -- .islv_address dacad5668_0_conduit_end_osl_sclk : out std_logic; -- dacad5668_0_conduit_end.osl_sclk dacad5668_0_conduit_end_oslv_Ss : out std_logic; -- .oslv_Ss dacad5668_0_conduit_end_osl_mosi : out std_logic; -- .osl_mosi dacad5668_0_conduit_end_osl_LDAC_n : out std_logic; -- .osl_LDAC_n dacad5668_0_conduit_end_osl_CLR_n : out std_logic; -- .osl_CLR_n fqd_interface_0_conduit_end_B : in std_logic_vector(7 downto 0) := (others => '0'); -- fqd_interface_0_conduit_end.B fqd_interface_0_conduit_end_A : in std_logic_vector(7 downto 0) := (others => '0'); -- .A gpio_block_0_conduit_end_export : inout std_logic_vector(8 downto 0) := (others => '0'); -- gpio_block_0_conduit_end.export pwm_interface_0_conduit_end_export : out std_logic_vector(3 downto 0); -- pwm_interface_0_conduit_end.export gpio_block_1_conduit_end_export : inout std_logic_vector(7 downto 0) := (others => '0'); -- gpio_block_1_conduit_end.export watchdog_block_0_wd_signals_granted : out std_logic; -- watchdog_block_0_wd_signals.granted watchdog_block_0_wd_signals_watchdog_pwm : out std_logic; -- .watchdog_pwm ppwa_block_0_conduit_end_export : in std_logic_vector(1 downto 0) := (others => '0') -- ppwa_block_0_conduit_end.export ); end entity cb20; architecture rtl of cb20 is component cb20_altpll_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata c0 : out std_logic; -- clk areset : in std_logic := 'X'; -- export locked : out std_logic; -- export phasedone : out std_logic -- export ); end component cb20_altpll_0; component info_device is generic ( unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; description : std_logic_vector(223 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; dev_size : integer := 0 ); port ( isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n islv_avs_address : in std_logic_vector(4 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X') -- byteenable ); end component info_device; component eim_slave_to_avalon_master is generic ( TRANSFER_WIDTH : integer := 16 ); port ( ioslv_data : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export isl_cs_n : in std_logic := 'X'; -- export isl_oe_n : in std_logic := 'X'; -- export isl_we_n : in std_logic := 'X'; -- export osl_data_ack : out std_logic; -- export islv_address : in std_logic_vector(15 downto 0) := (others => 'X'); -- export isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n islv_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata islv_waitrequest : in std_logic := 'X'; -- waitrequest oslv_address : out std_logic_vector(15 downto 0); -- address oslv_read : out std_logic; -- read oslv_write : out std_logic; -- write oslv_writedata : out std_logic_vector(15 downto 0) -- writedata ); end component eim_slave_to_avalon_master; component avalon_dacad5668_interface is generic ( BASE_CLK : integer := 33000000; SCLK_FREQUENCY : integer := 10000000; INTERNAL_REFERENCE : std_logic := '0'; UNIQUE_ID : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n osl_sclk : out std_logic; -- export oslv_Ss : out std_logic; -- export osl_mosi : out std_logic; -- export osl_LDAC_n : out std_logic; -- export osl_CLR_n : out std_logic; -- export islv_avs_address : in std_logic_vector(4 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X') -- byteenable ); end component avalon_dacad5668_interface; component avalon_fqd_counter_interface is generic ( number_of_fqds : integer := 1; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata islv_avs_address : in std_logic_vector(4 downto 0) := (others => 'X'); -- address osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n islv_enc_B : in std_logic_vector(7 downto 0) := (others => 'X'); -- export islv_enc_A : in std_logic_vector(7 downto 0) := (others => 'X') -- export ); end component avalon_fqd_counter_interface; component avalon_pwm_interface is generic ( number_of_pwms : integer := 1; base_clk : integer := 125000000; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata islv_avs_address : in std_logic_vector(5 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n oslv_pwm : out std_logic_vector(3 downto 0) -- export ); end component avalon_pwm_interface; component avalon_watchdog_interface is generic ( base_clk : integer := 125000000; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata isl_avs_write : in std_logic := 'X'; -- write isl_avs_read : in std_logic := 'X'; -- read islv_avs_address : in std_logic_vector(4 downto 0) := (others => 'X'); -- address osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n osl_granted : out std_logic; -- export osl_watchdog_pwm : out std_logic -- export ); end component avalon_watchdog_interface; component avalon_ppwa_interface is generic ( number_of_ppwas : integer := 1; base_clk : integer := 125000000; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata islv_avs_address : in std_logic_vector(4 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n islv_signals_to_measure : in std_logic_vector(1 downto 0) := (others => 'X') -- export ); end component avalon_ppwa_interface; component altera_merlin_master_translator is generic ( AV_ADDRESS_W : integer := 32; AV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 38; UAV_BURSTCOUNT_W : integer := 10; USE_READ : integer := 1; USE_WRITE : integer := 1; USE_BEGINBURSTTRANSFER : integer := 0; USE_BEGINTRANSFER : integer := 0; USE_CHIPSELECT : integer := 0; USE_BURSTCOUNT : integer := 1; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_LINEWRAPBURSTS : integer := 0; AV_REGISTERINCOMINGSIGNALS : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : out std_logic_vector(16 downto 0); -- address uav_burstcount : out std_logic_vector(1 downto 0); -- burstcount uav_read : out std_logic; -- read uav_write : out std_logic; -- write uav_waitrequest : in std_logic := 'X'; -- waitrequest uav_readdatavalid : in std_logic := 'X'; -- readdatavalid uav_byteenable : out std_logic_vector(1 downto 0); -- byteenable uav_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata uav_writedata : out std_logic_vector(15 downto 0); -- writedata uav_lock : out std_logic; -- lock uav_debugaccess : out std_logic; -- debugaccess av_address : in std_logic_vector(15 downto 0) := (others => 'X'); -- address av_waitrequest : out std_logic; -- waitrequest av_read : in std_logic := 'X'; -- read av_readdata : out std_logic_vector(15 downto 0); -- readdata av_write : in std_logic := 'X'; -- write av_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata av_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount av_byteenable : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable av_beginbursttransfer : in std_logic := 'X'; -- beginbursttransfer av_begintransfer : in std_logic := 'X'; -- begintransfer av_chipselect : in std_logic := 'X'; -- chipselect av_readdatavalid : out std_logic; -- readdatavalid av_lock : in std_logic := 'X'; -- lock av_debugaccess : in std_logic := 'X'; -- debugaccess uav_clken : out std_logic; -- clken av_clken : in std_logic := 'X'; -- clken uav_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response av_response : out std_logic_vector(1 downto 0); -- response uav_writeresponserequest : out std_logic; -- writeresponserequest uav_writeresponsevalid : in std_logic := 'X'; -- writeresponsevalid av_writeresponserequest : in std_logic := 'X'; -- writeresponserequest av_writeresponsevalid : out std_logic -- writeresponsevalid ); end component altera_merlin_master_translator; component altera_merlin_master_agent is generic ( PKT_PROTECTION_H : integer := 80; PKT_PROTECTION_L : integer := 80; PKT_BEGIN_BURST : integer := 81; PKT_BURSTWRAP_H : integer := 79; PKT_BURSTWRAP_L : integer := 77; PKT_BURST_SIZE_H : integer := 86; PKT_BURST_SIZE_L : integer := 84; PKT_BURST_TYPE_H : integer := 94; PKT_BURST_TYPE_L : integer := 93; PKT_BYTE_CNT_H : integer := 76; PKT_BYTE_CNT_L : integer := 74; PKT_ADDR_H : integer := 73; PKT_ADDR_L : integer := 42; PKT_TRANS_COMPRESSED_READ : integer := 41; PKT_TRANS_POSTED : integer := 40; PKT_TRANS_WRITE : integer := 39; PKT_TRANS_READ : integer := 38; PKT_TRANS_LOCK : integer := 82; PKT_TRANS_EXCLUSIVE : integer := 83; PKT_DATA_H : integer := 37; PKT_DATA_L : integer := 6; PKT_BYTEEN_H : integer := 5; PKT_BYTEEN_L : integer := 2; PKT_SRC_ID_H : integer := 1; PKT_SRC_ID_L : integer := 1; PKT_DEST_ID_H : integer := 0; PKT_DEST_ID_L : integer := 0; PKT_THREAD_ID_H : integer := 88; PKT_THREAD_ID_L : integer := 87; PKT_CACHE_H : integer := 92; PKT_CACHE_L : integer := 89; PKT_DATA_SIDEBAND_H : integer := 105; PKT_DATA_SIDEBAND_L : integer := 98; PKT_QOS_H : integer := 109; PKT_QOS_L : integer := 106; PKT_ADDR_SIDEBAND_H : integer := 97; PKT_ADDR_SIDEBAND_L : integer := 93; PKT_RESPONSE_STATUS_H : integer := 111; PKT_RESPONSE_STATUS_L : integer := 110; ST_DATA_W : integer := 112; ST_CHANNEL_W : integer := 1; AV_BURSTCOUNT_W : integer := 3; SUPPRESS_0_BYTEEN_RSP : integer := 1; ID : integer := 1; BURSTWRAP_VALUE : integer := 4; CACHE_VALUE : integer := 0; SECURE_ACCESS_BIT : integer := 1; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset av_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address av_write : in std_logic := 'X'; -- write av_read : in std_logic := 'X'; -- read av_writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata av_readdata : out std_logic_vector(15 downto 0); -- readdata av_waitrequest : out std_logic; -- waitrequest av_readdatavalid : out std_logic; -- readdatavalid av_byteenable : in std_logic_vector(1 downto 0) := (others => 'X'); -- byteenable av_burstcount : in std_logic_vector(1 downto 0) := (others => 'X'); -- burstcount av_debugaccess : in std_logic := 'X'; -- debugaccess av_lock : in std_logic := 'X'; -- lock cp_valid : out std_logic; -- valid cp_data : out std_logic_vector(69 downto 0); -- data cp_startofpacket : out std_logic; -- startofpacket cp_endofpacket : out std_logic; -- endofpacket cp_ready : in std_logic := 'X'; -- ready rp_valid : in std_logic := 'X'; -- valid rp_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data rp_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel rp_startofpacket : in std_logic := 'X'; -- startofpacket rp_endofpacket : in std_logic := 'X'; -- endofpacket rp_ready : out std_logic; -- ready av_response : out std_logic_vector(1 downto 0); -- response av_writeresponserequest : in std_logic := 'X'; -- writeresponserequest av_writeresponsevalid : out std_logic -- writeresponsevalid ); end component altera_merlin_master_agent; component altera_merlin_slave_agent is generic ( PKT_DATA_H : integer := 31; PKT_DATA_L : integer := 0; PKT_BEGIN_BURST : integer := 81; PKT_SYMBOL_W : integer := 8; PKT_BYTEEN_H : integer := 71; PKT_BYTEEN_L : integer := 68; PKT_ADDR_H : integer := 63; PKT_ADDR_L : integer := 32; PKT_TRANS_COMPRESSED_READ : integer := 67; PKT_TRANS_POSTED : integer := 66; PKT_TRANS_WRITE : integer := 65; PKT_TRANS_READ : integer := 64; PKT_TRANS_LOCK : integer := 87; PKT_SRC_ID_H : integer := 74; PKT_SRC_ID_L : integer := 72; PKT_DEST_ID_H : integer := 77; PKT_DEST_ID_L : integer := 75; PKT_BURSTWRAP_H : integer := 85; PKT_BURSTWRAP_L : integer := 82; PKT_BYTE_CNT_H : integer := 81; PKT_BYTE_CNT_L : integer := 78; PKT_PROTECTION_H : integer := 86; PKT_PROTECTION_L : integer := 86; PKT_RESPONSE_STATUS_H : integer := 89; PKT_RESPONSE_STATUS_L : integer := 88; PKT_BURST_SIZE_H : integer := 92; PKT_BURST_SIZE_L : integer := 90; ST_CHANNEL_W : integer := 8; ST_DATA_W : integer := 93; AVS_BURSTCOUNT_W : integer := 4; SUPPRESS_0_BYTEEN_CMD : integer := 1; PREVENT_FIFO_OVERFLOW : integer := 0; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset m0_address : out std_logic_vector(16 downto 0); -- address m0_burstcount : out std_logic_vector(2 downto 0); -- burstcount m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess m0_lock : out std_logic; -- lock m0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_read : out std_logic; -- read m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_writedata : out std_logic_vector(31 downto 0); -- writedata m0_write : out std_logic; -- write rp_endofpacket : out std_logic; -- endofpacket rp_ready : in std_logic := 'X'; -- ready rp_valid : out std_logic; -- valid rp_data : out std_logic_vector(87 downto 0); -- data rp_startofpacket : out std_logic; -- startofpacket cp_ready : out std_logic; -- ready cp_valid : in std_logic := 'X'; -- valid cp_data : in std_logic_vector(87 downto 0) := (others => 'X'); -- data cp_startofpacket : in std_logic := 'X'; -- startofpacket cp_endofpacket : in std_logic := 'X'; -- endofpacket cp_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel rf_sink_ready : out std_logic; -- ready rf_sink_valid : in std_logic := 'X'; -- valid rf_sink_startofpacket : in std_logic := 'X'; -- startofpacket rf_sink_endofpacket : in std_logic := 'X'; -- endofpacket rf_sink_data : in std_logic_vector(88 downto 0) := (others => 'X'); -- data rf_source_ready : in std_logic := 'X'; -- ready rf_source_valid : out std_logic; -- valid rf_source_startofpacket : out std_logic; -- startofpacket rf_source_endofpacket : out std_logic; -- endofpacket rf_source_data : out std_logic_vector(88 downto 0); -- data rdata_fifo_sink_ready : out std_logic; -- ready rdata_fifo_sink_valid : in std_logic := 'X'; -- valid rdata_fifo_sink_data : in std_logic_vector(33 downto 0) := (others => 'X'); -- data rdata_fifo_src_ready : in std_logic := 'X'; -- ready rdata_fifo_src_valid : out std_logic; -- valid rdata_fifo_src_data : out std_logic_vector(33 downto 0); -- data m0_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response m0_writeresponserequest : out std_logic; -- writeresponserequest m0_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid ); end component altera_merlin_slave_agent; component altera_avalon_sc_fifo is generic ( SYMBOLS_PER_BEAT : integer := 1; BITS_PER_SYMBOL : integer := 8; FIFO_DEPTH : integer := 16; CHANNEL_WIDTH : integer := 0; ERROR_WIDTH : integer := 0; USE_PACKETS : integer := 0; USE_FILL_LEVEL : integer := 0; EMPTY_LATENCY : integer := 3; USE_MEMORY_BLOCKS : integer := 1; USE_STORE_FORWARD : integer := 0; USE_ALMOST_FULL_IF : integer := 0; USE_ALMOST_EMPTY_IF : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_data : in std_logic_vector(88 downto 0) := (others => 'X'); -- data in_valid : in std_logic := 'X'; -- valid in_ready : out std_logic; -- ready in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket out_data : out std_logic_vector(88 downto 0); -- data out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket out_endofpacket : out std_logic; -- endofpacket csr_address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address csr_read : in std_logic := 'X'; -- read csr_write : in std_logic := 'X'; -- write csr_readdata : out std_logic_vector(31 downto 0); -- readdata csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata almost_full_data : out std_logic; -- data almost_empty_data : out std_logic; -- data in_empty : in std_logic := 'X'; -- empty out_empty : out std_logic; -- empty in_error : in std_logic := 'X'; -- error out_error : out std_logic; -- error in_channel : in std_logic := 'X'; -- channel out_channel : out std_logic -- channel ); end component altera_avalon_sc_fifo; component cb20_addr_router is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(69 downto 0); -- data src_channel : out std_logic_vector(7 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component cb20_addr_router; component cb20_id_router is port ( sink_ready : out std_logic; -- ready sink_valid : in std_logic := 'X'; -- valid sink_data : in std_logic_vector(87 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(87 downto 0); -- data src_channel : out std_logic_vector(7 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic -- endofpacket ); end component cb20_id_router; component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_in2 : in std_logic := 'X'; -- reset reset_in3 : in std_logic := 'X'; -- reset reset_in4 : in std_logic := 'X'; -- reset reset_in5 : in std_logic := 'X'; -- reset reset_in6 : in std_logic := 'X'; -- reset reset_in7 : in std_logic := 'X'; -- reset reset_in8 : in std_logic := 'X'; -- reset reset_in9 : in std_logic := 'X'; -- reset reset_in10 : in std_logic := 'X'; -- reset reset_in11 : in std_logic := 'X'; -- reset reset_in12 : in std_logic := 'X'; -- reset reset_in13 : in std_logic := 'X'; -- reset reset_in14 : in std_logic := 'X'; -- reset reset_in15 : in std_logic := 'X' -- reset ); end component altera_reset_controller; component cb20_cmd_xbar_demux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(0 downto 0) := (others => 'X'); -- valid src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(69 downto 0); -- data src0_channel : out std_logic_vector(7 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic; -- endofpacket src1_ready : in std_logic := 'X'; -- ready src1_valid : out std_logic; -- valid src1_data : out std_logic_vector(69 downto 0); -- data src1_channel : out std_logic_vector(7 downto 0); -- channel src1_startofpacket : out std_logic; -- startofpacket src1_endofpacket : out std_logic; -- endofpacket src2_ready : in std_logic := 'X'; -- ready src2_valid : out std_logic; -- valid src2_data : out std_logic_vector(69 downto 0); -- data src2_channel : out std_logic_vector(7 downto 0); -- channel src2_startofpacket : out std_logic; -- startofpacket src2_endofpacket : out std_logic; -- endofpacket src3_ready : in std_logic := 'X'; -- ready src3_valid : out std_logic; -- valid src3_data : out std_logic_vector(69 downto 0); -- data src3_channel : out std_logic_vector(7 downto 0); -- channel src3_startofpacket : out std_logic; -- startofpacket src3_endofpacket : out std_logic; -- endofpacket src4_ready : in std_logic := 'X'; -- ready src4_valid : out std_logic; -- valid src4_data : out std_logic_vector(69 downto 0); -- data src4_channel : out std_logic_vector(7 downto 0); -- channel src4_startofpacket : out std_logic; -- startofpacket src4_endofpacket : out std_logic; -- endofpacket src5_ready : in std_logic := 'X'; -- ready src5_valid : out std_logic; -- valid src5_data : out std_logic_vector(69 downto 0); -- data src5_channel : out std_logic_vector(7 downto 0); -- channel src5_startofpacket : out std_logic; -- startofpacket src5_endofpacket : out std_logic; -- endofpacket src6_ready : in std_logic := 'X'; -- ready src6_valid : out std_logic; -- valid src6_data : out std_logic_vector(69 downto 0); -- data src6_channel : out std_logic_vector(7 downto 0); -- channel src6_startofpacket : out std_logic; -- startofpacket src6_endofpacket : out std_logic; -- endofpacket src7_ready : in std_logic := 'X'; -- ready src7_valid : out std_logic; -- valid src7_data : out std_logic_vector(69 downto 0); -- data src7_channel : out std_logic_vector(7 downto 0); -- channel src7_startofpacket : out std_logic; -- startofpacket src7_endofpacket : out std_logic -- endofpacket ); end component cb20_cmd_xbar_demux; component cb20_rsp_xbar_demux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset sink_ready : out std_logic; -- ready sink_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink_startofpacket : in std_logic := 'X'; -- startofpacket sink_endofpacket : in std_logic := 'X'; -- endofpacket sink_valid : in std_logic_vector(0 downto 0) := (others => 'X'); -- valid src0_ready : in std_logic := 'X'; -- ready src0_valid : out std_logic; -- valid src0_data : out std_logic_vector(69 downto 0); -- data src0_channel : out std_logic_vector(7 downto 0); -- channel src0_startofpacket : out std_logic; -- startofpacket src0_endofpacket : out std_logic -- endofpacket ); end component cb20_rsp_xbar_demux; component cb20_rsp_xbar_mux is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset src_ready : in std_logic := 'X'; -- ready src_valid : out std_logic; -- valid src_data : out std_logic_vector(69 downto 0); -- data src_channel : out std_logic_vector(7 downto 0); -- channel src_startofpacket : out std_logic; -- startofpacket src_endofpacket : out std_logic; -- endofpacket sink0_ready : out std_logic; -- ready sink0_valid : in std_logic := 'X'; -- valid sink0_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink0_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink0_startofpacket : in std_logic := 'X'; -- startofpacket sink0_endofpacket : in std_logic := 'X'; -- endofpacket sink1_ready : out std_logic; -- ready sink1_valid : in std_logic := 'X'; -- valid sink1_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink1_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink1_startofpacket : in std_logic := 'X'; -- startofpacket sink1_endofpacket : in std_logic := 'X'; -- endofpacket sink2_ready : out std_logic; -- ready sink2_valid : in std_logic := 'X'; -- valid sink2_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink2_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink2_startofpacket : in std_logic := 'X'; -- startofpacket sink2_endofpacket : in std_logic := 'X'; -- endofpacket sink3_ready : out std_logic; -- ready sink3_valid : in std_logic := 'X'; -- valid sink3_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink3_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink3_startofpacket : in std_logic := 'X'; -- startofpacket sink3_endofpacket : in std_logic := 'X'; -- endofpacket sink4_ready : out std_logic; -- ready sink4_valid : in std_logic := 'X'; -- valid sink4_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink4_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink4_startofpacket : in std_logic := 'X'; -- startofpacket sink4_endofpacket : in std_logic := 'X'; -- endofpacket sink5_ready : out std_logic; -- ready sink5_valid : in std_logic := 'X'; -- valid sink5_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink5_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink5_startofpacket : in std_logic := 'X'; -- startofpacket sink5_endofpacket : in std_logic := 'X'; -- endofpacket sink6_ready : out std_logic; -- ready sink6_valid : in std_logic := 'X'; -- valid sink6_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink6_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink6_startofpacket : in std_logic := 'X'; -- startofpacket sink6_endofpacket : in std_logic := 'X'; -- endofpacket sink7_ready : out std_logic; -- ready sink7_valid : in std_logic := 'X'; -- valid sink7_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel sink7_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data sink7_startofpacket : in std_logic := 'X'; -- startofpacket sink7_endofpacket : in std_logic := 'X' -- endofpacket ); end component cb20_rsp_xbar_mux; component cb20_info_device_0_avalon_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(4 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_waitrequest : in std_logic := 'X'; -- waitrequest av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic; -- outputenable uav_response : out std_logic_vector(1 downto 0); -- response av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest uav_writeresponsevalid : out std_logic; -- writeresponsevalid av_writeresponserequest : out std_logic; -- writeresponserequest av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid ); end component cb20_info_device_0_avalon_slave_translator; component cb20_gpio_block_0_avalon_slave_0_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(3 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_waitrequest : in std_logic := 'X'; -- waitrequest av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic; -- outputenable uav_response : out std_logic_vector(1 downto 0); -- response av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest uav_writeresponsevalid : out std_logic; -- writeresponsevalid av_writeresponserequest : out std_logic; -- writeresponserequest av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid ); end component cb20_gpio_block_0_avalon_slave_0_translator; component cb20_pwm_interface_0_avalon_slave_0_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; USE_READRESPONSE : integer := 0; USE_WRITERESPONSE : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(5 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_waitrequest : in std_logic := 'X'; -- waitrequest av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic; -- outputenable uav_response : out std_logic_vector(1 downto 0); -- response av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest uav_writeresponsevalid : out std_logic; -- writeresponsevalid av_writeresponserequest : out std_logic; -- writeresponserequest av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid ); end component cb20_pwm_interface_0_avalon_slave_0_translator; component cb20_width_adapter is generic ( IN_PKT_ADDR_H : integer := 60; IN_PKT_ADDR_L : integer := 36; IN_PKT_DATA_H : integer := 31; IN_PKT_DATA_L : integer := 0; IN_PKT_BYTEEN_H : integer := 35; IN_PKT_BYTEEN_L : integer := 32; IN_PKT_BYTE_CNT_H : integer := 63; IN_PKT_BYTE_CNT_L : integer := 61; IN_PKT_TRANS_COMPRESSED_READ : integer := 65; IN_PKT_BURSTWRAP_H : integer := 67; IN_PKT_BURSTWRAP_L : integer := 66; IN_PKT_BURST_SIZE_H : integer := 70; IN_PKT_BURST_SIZE_L : integer := 68; IN_PKT_RESPONSE_STATUS_H : integer := 72; IN_PKT_RESPONSE_STATUS_L : integer := 71; IN_PKT_TRANS_EXCLUSIVE : integer := 73; IN_PKT_BURST_TYPE_H : integer := 75; IN_PKT_BURST_TYPE_L : integer := 74; IN_ST_DATA_W : integer := 76; OUT_PKT_ADDR_H : integer := 60; OUT_PKT_ADDR_L : integer := 36; OUT_PKT_DATA_H : integer := 31; OUT_PKT_DATA_L : integer := 0; OUT_PKT_BYTEEN_H : integer := 35; OUT_PKT_BYTEEN_L : integer := 32; OUT_PKT_BYTE_CNT_H : integer := 63; OUT_PKT_BYTE_CNT_L : integer := 61; OUT_PKT_TRANS_COMPRESSED_READ : integer := 65; OUT_PKT_BURST_SIZE_H : integer := 68; OUT_PKT_BURST_SIZE_L : integer := 66; OUT_PKT_RESPONSE_STATUS_H : integer := 70; OUT_PKT_RESPONSE_STATUS_L : integer := 69; OUT_PKT_TRANS_EXCLUSIVE : integer := 71; OUT_PKT_BURST_TYPE_H : integer := 73; OUT_PKT_BURST_TYPE_L : integer := 72; OUT_ST_DATA_W : integer := 74; ST_CHANNEL_W : integer := 32; OPTIMIZE_FOR_RSP : integer := 0; RESPONSE_PATH : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(69 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(87 downto 0); -- data out_channel : out std_logic_vector(7 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket in_command_size_data : in std_logic_vector(2 downto 0) := (others => 'X') -- data ); end component cb20_width_adapter; component cb20_width_adapter_001 is generic ( IN_PKT_ADDR_H : integer := 60; IN_PKT_ADDR_L : integer := 36; IN_PKT_DATA_H : integer := 31; IN_PKT_DATA_L : integer := 0; IN_PKT_BYTEEN_H : integer := 35; IN_PKT_BYTEEN_L : integer := 32; IN_PKT_BYTE_CNT_H : integer := 63; IN_PKT_BYTE_CNT_L : integer := 61; IN_PKT_TRANS_COMPRESSED_READ : integer := 65; IN_PKT_BURSTWRAP_H : integer := 67; IN_PKT_BURSTWRAP_L : integer := 66; IN_PKT_BURST_SIZE_H : integer := 70; IN_PKT_BURST_SIZE_L : integer := 68; IN_PKT_RESPONSE_STATUS_H : integer := 72; IN_PKT_RESPONSE_STATUS_L : integer := 71; IN_PKT_TRANS_EXCLUSIVE : integer := 73; IN_PKT_BURST_TYPE_H : integer := 75; IN_PKT_BURST_TYPE_L : integer := 74; IN_ST_DATA_W : integer := 76; OUT_PKT_ADDR_H : integer := 60; OUT_PKT_ADDR_L : integer := 36; OUT_PKT_DATA_H : integer := 31; OUT_PKT_DATA_L : integer := 0; OUT_PKT_BYTEEN_H : integer := 35; OUT_PKT_BYTEEN_L : integer := 32; OUT_PKT_BYTE_CNT_H : integer := 63; OUT_PKT_BYTE_CNT_L : integer := 61; OUT_PKT_TRANS_COMPRESSED_READ : integer := 65; OUT_PKT_BURST_SIZE_H : integer := 68; OUT_PKT_BURST_SIZE_L : integer := 66; OUT_PKT_RESPONSE_STATUS_H : integer := 70; OUT_PKT_RESPONSE_STATUS_L : integer := 69; OUT_PKT_TRANS_EXCLUSIVE : integer := 71; OUT_PKT_BURST_TYPE_H : integer := 73; OUT_PKT_BURST_TYPE_L : integer := 72; OUT_ST_DATA_W : integer := 74; ST_CHANNEL_W : integer := 32; OPTIMIZE_FOR_RSP : integer := 0; RESPONSE_PATH : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset in_valid : in std_logic := 'X'; -- valid in_channel : in std_logic_vector(7 downto 0) := (others => 'X'); -- channel in_startofpacket : in std_logic := 'X'; -- startofpacket in_endofpacket : in std_logic := 'X'; -- endofpacket in_ready : out std_logic; -- ready in_data : in std_logic_vector(87 downto 0) := (others => 'X'); -- data out_endofpacket : out std_logic; -- endofpacket out_data : out std_logic_vector(69 downto 0); -- data out_channel : out std_logic_vector(7 downto 0); -- channel out_valid : out std_logic; -- valid out_ready : in std_logic := 'X'; -- ready out_startofpacket : out std_logic; -- startofpacket in_command_size_data : in std_logic_vector(2 downto 0) := (others => 'X') -- data ); end component cb20_width_adapter_001; component cb20_gpio_block_0 is generic ( number_of_gpios : integer := 1; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata islv_avs_address : in std_logic_vector(3 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n oslv_gpios : inout std_logic_vector(8 downto 0) := (others => 'X') -- export ); end component cb20_gpio_block_0; component cb20_gpio_block_1 is generic ( number_of_gpios : integer := 1; unique_id : std_logic_vector(31 downto 0) := "00000000000000000000000000000000" ); port ( oslv_avs_read_data : out std_logic_vector(31 downto 0); -- readdata islv_avs_address : in std_logic_vector(3 downto 0) := (others => 'X'); -- address isl_avs_read : in std_logic := 'X'; -- read isl_avs_write : in std_logic := 'X'; -- write osl_avs_waitrequest : out std_logic; -- waitrequest islv_avs_write_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata islv_avs_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable isl_clk : in std_logic := 'X'; -- clk isl_reset_n : in std_logic := 'X'; -- reset_n oslv_gpios : inout std_logic_vector(7 downto 0) := (others => 'X') -- export ); end component cb20_gpio_block_1; signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [EIM_Slave_to_Avalon_Master_0:isl_clk, EIM_Slave_to_Avalon_Master_0_avalon_master_translator:clk, EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:clk, addr_router:clk, cmd_xbar_demux:clk, dacad5668_0:isl_clk, dacad5668_0_avalon_slave_translator:clk, dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:clk, dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, fqd_interface_0:isl_clk, fqd_interface_0_avalon_slave_0_translator:clk, fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, gpio_block_0:isl_clk, gpio_block_0_avalon_slave_0_translator:clk, gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, gpio_block_1:isl_clk, gpio_block_1_avalon_slave_0_translator:clk, gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, id_router:clk, id_router_001:clk, id_router_002:clk, id_router_003:clk, id_router_004:clk, id_router_005:clk, id_router_006:clk, id_router_007:clk, info_device_0:isl_clk, info_device_0_avalon_slave_translator:clk, info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:clk, info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, ppwa_block_0:isl_clk, ppwa_block_0_avalon_slave_0_translator:clk, ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, pwm_interface_0:isl_clk, pwm_interface_0_avalon_slave_0_translator:clk, pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, rsp_xbar_demux:clk, rsp_xbar_demux_001:clk, rsp_xbar_demux_002:clk, rsp_xbar_demux_003:clk, rsp_xbar_demux_004:clk, rsp_xbar_demux_005:clk, rsp_xbar_demux_006:clk, rsp_xbar_demux_007:clk, rsp_xbar_mux:clk, rst_controller_001:clk, watchdog_block_0:isl_clk, watchdog_block_0_avalon_slave_0_translator:clk, watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:clk, watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:clk, width_adapter:clk, width_adapter_001:clk, width_adapter_002:clk, width_adapter_003:clk, width_adapter_004:clk, width_adapter_005:clk, width_adapter_006:clk, width_adapter_007:clk, width_adapter_008:clk, width_adapter_009:clk, width_adapter_010:clk, width_adapter_011:clk, width_adapter_012:clk, width_adapter_013:clk, width_adapter_014:clk, width_adapter_015:clk] signal eim_slave_to_avalon_master_0_avalon_master_waitrequest : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_waitrequest -> EIM_Slave_to_Avalon_Master_0:islv_waitrequest signal eim_slave_to_avalon_master_0_avalon_master_writedata : std_logic_vector(15 downto 0); -- EIM_Slave_to_Avalon_Master_0:oslv_writedata -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_writedata signal eim_slave_to_avalon_master_0_avalon_master_address : std_logic_vector(15 downto 0); -- EIM_Slave_to_Avalon_Master_0:oslv_address -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_address signal eim_slave_to_avalon_master_0_avalon_master_write : std_logic; -- EIM_Slave_to_Avalon_Master_0:oslv_write -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_write signal eim_slave_to_avalon_master_0_avalon_master_read : std_logic; -- EIM_Slave_to_Avalon_Master_0:oslv_read -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_read signal eim_slave_to_avalon_master_0_avalon_master_readdata : std_logic_vector(15 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:av_readdata -> EIM_Slave_to_Avalon_Master_0:islv_readdata signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest : std_logic; -- info_device_0:osl_avs_waitrequest -> info_device_0_avalon_slave_translator:av_waitrequest signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- info_device_0_avalon_slave_translator:av_writedata -> info_device_0:islv_avs_write_data signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_address : std_logic_vector(4 downto 0); -- info_device_0_avalon_slave_translator:av_address -> info_device_0:islv_avs_address signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_write : std_logic; -- info_device_0_avalon_slave_translator:av_write -> info_device_0:isl_avs_write signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_read : std_logic; -- info_device_0_avalon_slave_translator:av_read -> info_device_0:isl_avs_read signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- info_device_0:oslv_avs_read_data -> info_device_0_avalon_slave_translator:av_readdata signal info_device_0_avalon_slave_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- info_device_0_avalon_slave_translator:av_byteenable -> info_device_0:islv_avs_byteenable signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest : std_logic; -- dacad5668_0:osl_avs_waitrequest -> dacad5668_0_avalon_slave_translator:av_waitrequest signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- dacad5668_0_avalon_slave_translator:av_writedata -> dacad5668_0:islv_avs_write_data signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_address : std_logic_vector(4 downto 0); -- dacad5668_0_avalon_slave_translator:av_address -> dacad5668_0:islv_avs_address signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_write : std_logic; -- dacad5668_0_avalon_slave_translator:av_write -> dacad5668_0:isl_avs_write signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_read : std_logic; -- dacad5668_0_avalon_slave_translator:av_read -> dacad5668_0:isl_avs_read signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- dacad5668_0:oslv_avs_read_data -> dacad5668_0_avalon_slave_translator:av_readdata signal dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- dacad5668_0_avalon_slave_translator:av_byteenable -> dacad5668_0:islv_avs_byteenable signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- fqd_interface_0:osl_avs_waitrequest -> fqd_interface_0_avalon_slave_0_translator:av_waitrequest signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- fqd_interface_0_avalon_slave_0_translator:av_writedata -> fqd_interface_0:islv_avs_write_data signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(4 downto 0); -- fqd_interface_0_avalon_slave_0_translator:av_address -> fqd_interface_0:islv_avs_address signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- fqd_interface_0_avalon_slave_0_translator:av_write -> fqd_interface_0:isl_avs_write signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- fqd_interface_0_avalon_slave_0_translator:av_read -> fqd_interface_0:isl_avs_read signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- fqd_interface_0:oslv_avs_read_data -> fqd_interface_0_avalon_slave_0_translator:av_readdata signal fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- fqd_interface_0_avalon_slave_0_translator:av_byteenable -> fqd_interface_0:islv_avs_byteenable signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- gpio_block_0:osl_avs_waitrequest -> gpio_block_0_avalon_slave_0_translator:av_waitrequest signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- gpio_block_0_avalon_slave_0_translator:av_writedata -> gpio_block_0:islv_avs_write_data signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(3 downto 0); -- gpio_block_0_avalon_slave_0_translator:av_address -> gpio_block_0:islv_avs_address signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- gpio_block_0_avalon_slave_0_translator:av_write -> gpio_block_0:isl_avs_write signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- gpio_block_0_avalon_slave_0_translator:av_read -> gpio_block_0:isl_avs_read signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- gpio_block_0:oslv_avs_read_data -> gpio_block_0_avalon_slave_0_translator:av_readdata signal gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- gpio_block_0_avalon_slave_0_translator:av_byteenable -> gpio_block_0:islv_avs_byteenable signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- pwm_interface_0:osl_avs_waitrequest -> pwm_interface_0_avalon_slave_0_translator:av_waitrequest signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- pwm_interface_0_avalon_slave_0_translator:av_writedata -> pwm_interface_0:islv_avs_write_data signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(5 downto 0); -- pwm_interface_0_avalon_slave_0_translator:av_address -> pwm_interface_0:islv_avs_address signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- pwm_interface_0_avalon_slave_0_translator:av_write -> pwm_interface_0:isl_avs_write signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- pwm_interface_0_avalon_slave_0_translator:av_read -> pwm_interface_0:isl_avs_read signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- pwm_interface_0:oslv_avs_read_data -> pwm_interface_0_avalon_slave_0_translator:av_readdata signal pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- pwm_interface_0_avalon_slave_0_translator:av_byteenable -> pwm_interface_0:islv_avs_byteenable signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- gpio_block_1:osl_avs_waitrequest -> gpio_block_1_avalon_slave_0_translator:av_waitrequest signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- gpio_block_1_avalon_slave_0_translator:av_writedata -> gpio_block_1:islv_avs_write_data signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(3 downto 0); -- gpio_block_1_avalon_slave_0_translator:av_address -> gpio_block_1:islv_avs_address signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- gpio_block_1_avalon_slave_0_translator:av_write -> gpio_block_1:isl_avs_write signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- gpio_block_1_avalon_slave_0_translator:av_read -> gpio_block_1:isl_avs_read signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- gpio_block_1:oslv_avs_read_data -> gpio_block_1_avalon_slave_0_translator:av_readdata signal gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- gpio_block_1_avalon_slave_0_translator:av_byteenable -> gpio_block_1:islv_avs_byteenable signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- watchdog_block_0:osl_avs_waitrequest -> watchdog_block_0_avalon_slave_0_translator:av_waitrequest signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- watchdog_block_0_avalon_slave_0_translator:av_writedata -> watchdog_block_0:islv_avs_write_data signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(4 downto 0); -- watchdog_block_0_avalon_slave_0_translator:av_address -> watchdog_block_0:islv_avs_address signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- watchdog_block_0_avalon_slave_0_translator:av_write -> watchdog_block_0:isl_avs_write signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- watchdog_block_0_avalon_slave_0_translator:av_read -> watchdog_block_0:isl_avs_read signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- watchdog_block_0:oslv_avs_read_data -> watchdog_block_0_avalon_slave_0_translator:av_readdata signal watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- watchdog_block_0_avalon_slave_0_translator:av_byteenable -> watchdog_block_0:islv_avs_byteenable signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest : std_logic; -- ppwa_block_0:osl_avs_waitrequest -> ppwa_block_0_avalon_slave_0_translator:av_waitrequest signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata : std_logic_vector(31 downto 0); -- ppwa_block_0_avalon_slave_0_translator:av_writedata -> ppwa_block_0:islv_avs_write_data signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address : std_logic_vector(4 downto 0); -- ppwa_block_0_avalon_slave_0_translator:av_address -> ppwa_block_0:islv_avs_address signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write : std_logic; -- ppwa_block_0_avalon_slave_0_translator:av_write -> ppwa_block_0:isl_avs_write signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read : std_logic; -- ppwa_block_0_avalon_slave_0_translator:av_read -> ppwa_block_0:isl_avs_read signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata : std_logic_vector(31 downto 0); -- ppwa_block_0:oslv_avs_read_data -> ppwa_block_0_avalon_slave_0_translator:av_readdata signal ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable : std_logic_vector(3 downto 0); -- ppwa_block_0_avalon_slave_0_translator:av_byteenable -> ppwa_block_0:islv_avs_byteenable signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_waitrequest : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_waitrequest -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_waitrequest signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_burstcount : std_logic_vector(1 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_burstcount -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_burstcount signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_writedata : std_logic_vector(15 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_writedata -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_writedata signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_address : std_logic_vector(16 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_address -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_address signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_lock : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_lock -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_lock signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_write : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_write -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_write signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_read : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_read -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_read signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdata : std_logic_vector(15 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_readdata -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_readdata signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_debugaccess : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_debugaccess -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_debugaccess signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_byteenable : std_logic_vector(1 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_byteenable -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_byteenable signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdatavalid : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:av_readdatavalid -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator:uav_readdatavalid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- info_device_0_avalon_slave_translator:uav_waitrequest -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> info_device_0_avalon_slave_translator:uav_burstcount signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> info_device_0_avalon_slave_translator:uav_writedata signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_address -> info_device_0_avalon_slave_translator:uav_address signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_write -> info_device_0_avalon_slave_translator:uav_write signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_lock -> info_device_0_avalon_slave_translator:uav_lock signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_read -> info_device_0_avalon_slave_translator:uav_read signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- info_device_0_avalon_slave_translator:uav_readdata -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- info_device_0_avalon_slave_translator:uav_readdatavalid -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> info_device_0_avalon_slave_translator:uav_debugaccess signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> info_device_0_avalon_slave_translator:uav_byteenable signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- dacad5668_0_avalon_slave_translator:uav_waitrequest -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_waitrequest signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_burstcount -> dacad5668_0_avalon_slave_translator:uav_burstcount signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_writedata -> dacad5668_0_avalon_slave_translator:uav_writedata signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_address -> dacad5668_0_avalon_slave_translator:uav_address signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_write -> dacad5668_0_avalon_slave_translator:uav_write signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_lock -> dacad5668_0_avalon_slave_translator:uav_lock signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_read -> dacad5668_0_avalon_slave_translator:uav_read signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- dacad5668_0_avalon_slave_translator:uav_readdata -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_readdata signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- dacad5668_0_avalon_slave_translator:uav_readdatavalid -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_debugaccess -> dacad5668_0_avalon_slave_translator:uav_debugaccess signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:m0_byteenable -> dacad5668_0_avalon_slave_translator:uav_byteenable signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_valid -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_data -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_source_ready signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_valid signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_data signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rf_sink_ready -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- fqd_interface_0_avalon_slave_0_translator:uav_waitrequest -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> fqd_interface_0_avalon_slave_0_translator:uav_burstcount signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> fqd_interface_0_avalon_slave_0_translator:uav_writedata signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> fqd_interface_0_avalon_slave_0_translator:uav_address signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> fqd_interface_0_avalon_slave_0_translator:uav_write signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> fqd_interface_0_avalon_slave_0_translator:uav_lock signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> fqd_interface_0_avalon_slave_0_translator:uav_read signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- fqd_interface_0_avalon_slave_0_translator:uav_readdata -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- fqd_interface_0_avalon_slave_0_translator:uav_readdatavalid -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> fqd_interface_0_avalon_slave_0_translator:uav_debugaccess signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> fqd_interface_0_avalon_slave_0_translator:uav_byteenable signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- gpio_block_0_avalon_slave_0_translator:uav_waitrequest -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> gpio_block_0_avalon_slave_0_translator:uav_burstcount signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> gpio_block_0_avalon_slave_0_translator:uav_writedata signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> gpio_block_0_avalon_slave_0_translator:uav_address signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> gpio_block_0_avalon_slave_0_translator:uav_write signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> gpio_block_0_avalon_slave_0_translator:uav_lock signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> gpio_block_0_avalon_slave_0_translator:uav_read signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- gpio_block_0_avalon_slave_0_translator:uav_readdata -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- gpio_block_0_avalon_slave_0_translator:uav_readdatavalid -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> gpio_block_0_avalon_slave_0_translator:uav_debugaccess signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> gpio_block_0_avalon_slave_0_translator:uav_byteenable signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- pwm_interface_0_avalon_slave_0_translator:uav_waitrequest -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> pwm_interface_0_avalon_slave_0_translator:uav_burstcount signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> pwm_interface_0_avalon_slave_0_translator:uav_writedata signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> pwm_interface_0_avalon_slave_0_translator:uav_address signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> pwm_interface_0_avalon_slave_0_translator:uav_write signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> pwm_interface_0_avalon_slave_0_translator:uav_lock signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> pwm_interface_0_avalon_slave_0_translator:uav_read signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- pwm_interface_0_avalon_slave_0_translator:uav_readdata -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- pwm_interface_0_avalon_slave_0_translator:uav_readdatavalid -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> pwm_interface_0_avalon_slave_0_translator:uav_debugaccess signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> pwm_interface_0_avalon_slave_0_translator:uav_byteenable signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- gpio_block_1_avalon_slave_0_translator:uav_waitrequest -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> gpio_block_1_avalon_slave_0_translator:uav_burstcount signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> gpio_block_1_avalon_slave_0_translator:uav_writedata signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> gpio_block_1_avalon_slave_0_translator:uav_address signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> gpio_block_1_avalon_slave_0_translator:uav_write signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> gpio_block_1_avalon_slave_0_translator:uav_lock signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> gpio_block_1_avalon_slave_0_translator:uav_read signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- gpio_block_1_avalon_slave_0_translator:uav_readdata -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- gpio_block_1_avalon_slave_0_translator:uav_readdatavalid -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> gpio_block_1_avalon_slave_0_translator:uav_debugaccess signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> gpio_block_1_avalon_slave_0_translator:uav_byteenable signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- watchdog_block_0_avalon_slave_0_translator:uav_waitrequest -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> watchdog_block_0_avalon_slave_0_translator:uav_burstcount signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> watchdog_block_0_avalon_slave_0_translator:uav_writedata signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> watchdog_block_0_avalon_slave_0_translator:uav_address signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> watchdog_block_0_avalon_slave_0_translator:uav_write signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> watchdog_block_0_avalon_slave_0_translator:uav_lock signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> watchdog_block_0_avalon_slave_0_translator:uav_read signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- watchdog_block_0_avalon_slave_0_translator:uav_readdata -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- watchdog_block_0_avalon_slave_0_translator:uav_readdatavalid -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> watchdog_block_0_avalon_slave_0_translator:uav_debugaccess signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> watchdog_block_0_avalon_slave_0_translator:uav_byteenable signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest : std_logic; -- ppwa_block_0_avalon_slave_0_translator:uav_waitrequest -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_waitrequest signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount : std_logic_vector(2 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_burstcount -> ppwa_block_0_avalon_slave_0_translator:uav_burstcount signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata : std_logic_vector(31 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_writedata -> ppwa_block_0_avalon_slave_0_translator:uav_writedata signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address : std_logic_vector(16 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_address -> ppwa_block_0_avalon_slave_0_translator:uav_address signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_write -> ppwa_block_0_avalon_slave_0_translator:uav_write signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_lock -> ppwa_block_0_avalon_slave_0_translator:uav_lock signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_read -> ppwa_block_0_avalon_slave_0_translator:uav_read signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata : std_logic_vector(31 downto 0); -- ppwa_block_0_avalon_slave_0_translator:uav_readdata -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdata signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid : std_logic; -- ppwa_block_0_avalon_slave_0_translator:uav_readdatavalid -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_readdatavalid signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_debugaccess -> ppwa_block_0_avalon_slave_0_translator:uav_debugaccess signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable : std_logic_vector(3 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:m0_byteenable -> ppwa_block_0_avalon_slave_0_translator:uav_byteenable signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_endofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_endofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_valid -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_valid signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_startofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_startofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data : std_logic_vector(88 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_data -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_data signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:in_ready -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_source_ready signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_endofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_endofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_valid -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_valid signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_startofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_startofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data : std_logic_vector(88 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_data -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_data signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rf_sink_ready -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:out_ready signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_valid -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_valid signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data : std_logic_vector(33 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_data -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_data signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_sink_ready -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rdata_fifo_src_ready signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_endofpacket : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:cp_endofpacket -> addr_router:sink_endofpacket signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_valid : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:cp_valid -> addr_router:sink_valid signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_startofpacket : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:cp_startofpacket -> addr_router:sink_startofpacket signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_data : std_logic_vector(69 downto 0); -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:cp_data -> addr_router:sink_data signal eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_ready : std_logic; -- addr_router:sink_ready -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:cp_ready signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router:sink_endofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router:sink_valid signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router:sink_startofpacket signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router:sink_data signal info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router:sink_ready -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_ready signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_001:sink_endofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_001:sink_valid signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_001:sink_startofpacket signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_data -> id_router_001:sink_data signal dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_001:sink_ready -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:rp_ready signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_002:sink_endofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_002:sink_valid signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_002:sink_startofpacket signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_002:sink_data signal fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_002:sink_ready -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_003:sink_endofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_003:sink_valid signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_003:sink_startofpacket signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_003:sink_data signal gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_003:sink_ready -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_004:sink_endofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_004:sink_valid signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_004:sink_startofpacket signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_004:sink_data signal pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_004:sink_ready -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_005:sink_endofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_005:sink_valid signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_005:sink_startofpacket signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_005:sink_data signal gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_005:sink_ready -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_006:sink_endofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_006:sink_valid signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_006:sink_startofpacket signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_006:sink_data signal watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_006:sink_ready -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_endofpacket -> id_router_007:sink_endofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_valid -> id_router_007:sink_valid signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_startofpacket -> id_router_007:sink_startofpacket signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data : std_logic_vector(87 downto 0); -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_data -> id_router_007:sink_data signal ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready : std_logic; -- id_router_007:sink_ready -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:rp_ready signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> altpll_0:reset signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [EIM_Slave_to_Avalon_Master_0_avalon_master_translator:reset, EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:reset, addr_router:reset, cmd_xbar_demux:reset, dacad5668_0_avalon_slave_translator:reset, dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:reset, dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, fqd_interface_0_avalon_slave_0_translator:reset, fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, gpio_block_0_avalon_slave_0_translator:reset, gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, gpio_block_1_avalon_slave_0_translator:reset, gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, id_router:reset, id_router_001:reset, id_router_002:reset, id_router_003:reset, id_router_004:reset, id_router_005:reset, id_router_006:reset, id_router_007:reset, info_device_0_avalon_slave_translator:reset, info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:reset, info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, ppwa_block_0_avalon_slave_0_translator:reset, ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, pwm_interface_0_avalon_slave_0_translator:reset, pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, rsp_xbar_demux:reset, rsp_xbar_demux_001:reset, rsp_xbar_demux_002:reset, rsp_xbar_demux_003:reset, rsp_xbar_demux_004:reset, rsp_xbar_demux_005:reset, rsp_xbar_demux_006:reset, rsp_xbar_demux_007:reset, rsp_xbar_mux:reset, rst_controller_001_reset_out_reset:in, watchdog_block_0_avalon_slave_0_translator:reset, watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:reset, watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo:reset, width_adapter:reset, width_adapter_001:reset, width_adapter_002:reset, width_adapter_003:reset, width_adapter_004:reset, width_adapter_005:reset, width_adapter_006:reset, width_adapter_007:reset, width_adapter_008:reset, width_adapter_009:reset, width_adapter_010:reset, width_adapter_011:reset, width_adapter_012:reset, width_adapter_013:reset, width_adapter_014:reset, width_adapter_015:reset] signal cmd_xbar_demux_src0_endofpacket : std_logic; -- cmd_xbar_demux:src0_endofpacket -> width_adapter:in_endofpacket signal cmd_xbar_demux_src0_valid : std_logic; -- cmd_xbar_demux:src0_valid -> width_adapter:in_valid signal cmd_xbar_demux_src0_startofpacket : std_logic; -- cmd_xbar_demux:src0_startofpacket -> width_adapter:in_startofpacket signal cmd_xbar_demux_src0_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src0_data -> width_adapter:in_data signal cmd_xbar_demux_src0_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src0_channel -> width_adapter:in_channel signal cmd_xbar_demux_src1_endofpacket : std_logic; -- cmd_xbar_demux:src1_endofpacket -> width_adapter_002:in_endofpacket signal cmd_xbar_demux_src1_valid : std_logic; -- cmd_xbar_demux:src1_valid -> width_adapter_002:in_valid signal cmd_xbar_demux_src1_startofpacket : std_logic; -- cmd_xbar_demux:src1_startofpacket -> width_adapter_002:in_startofpacket signal cmd_xbar_demux_src1_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src1_data -> width_adapter_002:in_data signal cmd_xbar_demux_src1_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src1_channel -> width_adapter_002:in_channel signal cmd_xbar_demux_src2_endofpacket : std_logic; -- cmd_xbar_demux:src2_endofpacket -> width_adapter_004:in_endofpacket signal cmd_xbar_demux_src2_valid : std_logic; -- cmd_xbar_demux:src2_valid -> width_adapter_004:in_valid signal cmd_xbar_demux_src2_startofpacket : std_logic; -- cmd_xbar_demux:src2_startofpacket -> width_adapter_004:in_startofpacket signal cmd_xbar_demux_src2_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src2_data -> width_adapter_004:in_data signal cmd_xbar_demux_src2_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src2_channel -> width_adapter_004:in_channel signal cmd_xbar_demux_src3_endofpacket : std_logic; -- cmd_xbar_demux:src3_endofpacket -> width_adapter_006:in_endofpacket signal cmd_xbar_demux_src3_valid : std_logic; -- cmd_xbar_demux:src3_valid -> width_adapter_006:in_valid signal cmd_xbar_demux_src3_startofpacket : std_logic; -- cmd_xbar_demux:src3_startofpacket -> width_adapter_006:in_startofpacket signal cmd_xbar_demux_src3_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src3_data -> width_adapter_006:in_data signal cmd_xbar_demux_src3_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src3_channel -> width_adapter_006:in_channel signal cmd_xbar_demux_src4_endofpacket : std_logic; -- cmd_xbar_demux:src4_endofpacket -> width_adapter_008:in_endofpacket signal cmd_xbar_demux_src4_valid : std_logic; -- cmd_xbar_demux:src4_valid -> width_adapter_008:in_valid signal cmd_xbar_demux_src4_startofpacket : std_logic; -- cmd_xbar_demux:src4_startofpacket -> width_adapter_008:in_startofpacket signal cmd_xbar_demux_src4_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src4_data -> width_adapter_008:in_data signal cmd_xbar_demux_src4_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src4_channel -> width_adapter_008:in_channel signal cmd_xbar_demux_src5_endofpacket : std_logic; -- cmd_xbar_demux:src5_endofpacket -> width_adapter_010:in_endofpacket signal cmd_xbar_demux_src5_valid : std_logic; -- cmd_xbar_demux:src5_valid -> width_adapter_010:in_valid signal cmd_xbar_demux_src5_startofpacket : std_logic; -- cmd_xbar_demux:src5_startofpacket -> width_adapter_010:in_startofpacket signal cmd_xbar_demux_src5_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src5_data -> width_adapter_010:in_data signal cmd_xbar_demux_src5_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src5_channel -> width_adapter_010:in_channel signal cmd_xbar_demux_src6_endofpacket : std_logic; -- cmd_xbar_demux:src6_endofpacket -> width_adapter_012:in_endofpacket signal cmd_xbar_demux_src6_valid : std_logic; -- cmd_xbar_demux:src6_valid -> width_adapter_012:in_valid signal cmd_xbar_demux_src6_startofpacket : std_logic; -- cmd_xbar_demux:src6_startofpacket -> width_adapter_012:in_startofpacket signal cmd_xbar_demux_src6_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src6_data -> width_adapter_012:in_data signal cmd_xbar_demux_src6_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src6_channel -> width_adapter_012:in_channel signal cmd_xbar_demux_src7_endofpacket : std_logic; -- cmd_xbar_demux:src7_endofpacket -> width_adapter_014:in_endofpacket signal cmd_xbar_demux_src7_valid : std_logic; -- cmd_xbar_demux:src7_valid -> width_adapter_014:in_valid signal cmd_xbar_demux_src7_startofpacket : std_logic; -- cmd_xbar_demux:src7_startofpacket -> width_adapter_014:in_startofpacket signal cmd_xbar_demux_src7_data : std_logic_vector(69 downto 0); -- cmd_xbar_demux:src7_data -> width_adapter_014:in_data signal cmd_xbar_demux_src7_channel : std_logic_vector(7 downto 0); -- cmd_xbar_demux:src7_channel -> width_adapter_014:in_channel signal rsp_xbar_demux_src0_endofpacket : std_logic; -- rsp_xbar_demux:src0_endofpacket -> rsp_xbar_mux:sink0_endofpacket signal rsp_xbar_demux_src0_valid : std_logic; -- rsp_xbar_demux:src0_valid -> rsp_xbar_mux:sink0_valid signal rsp_xbar_demux_src0_startofpacket : std_logic; -- rsp_xbar_demux:src0_startofpacket -> rsp_xbar_mux:sink0_startofpacket signal rsp_xbar_demux_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux:src0_data -> rsp_xbar_mux:sink0_data signal rsp_xbar_demux_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux:src0_channel -> rsp_xbar_mux:sink0_channel signal rsp_xbar_demux_src0_ready : std_logic; -- rsp_xbar_mux:sink0_ready -> rsp_xbar_demux:src0_ready signal rsp_xbar_demux_001_src0_endofpacket : std_logic; -- rsp_xbar_demux_001:src0_endofpacket -> rsp_xbar_mux:sink1_endofpacket signal rsp_xbar_demux_001_src0_valid : std_logic; -- rsp_xbar_demux_001:src0_valid -> rsp_xbar_mux:sink1_valid signal rsp_xbar_demux_001_src0_startofpacket : std_logic; -- rsp_xbar_demux_001:src0_startofpacket -> rsp_xbar_mux:sink1_startofpacket signal rsp_xbar_demux_001_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_001:src0_data -> rsp_xbar_mux:sink1_data signal rsp_xbar_demux_001_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_001:src0_channel -> rsp_xbar_mux:sink1_channel signal rsp_xbar_demux_001_src0_ready : std_logic; -- rsp_xbar_mux:sink1_ready -> rsp_xbar_demux_001:src0_ready signal rsp_xbar_demux_002_src0_endofpacket : std_logic; -- rsp_xbar_demux_002:src0_endofpacket -> rsp_xbar_mux:sink2_endofpacket signal rsp_xbar_demux_002_src0_valid : std_logic; -- rsp_xbar_demux_002:src0_valid -> rsp_xbar_mux:sink2_valid signal rsp_xbar_demux_002_src0_startofpacket : std_logic; -- rsp_xbar_demux_002:src0_startofpacket -> rsp_xbar_mux:sink2_startofpacket signal rsp_xbar_demux_002_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_002:src0_data -> rsp_xbar_mux:sink2_data signal rsp_xbar_demux_002_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_002:src0_channel -> rsp_xbar_mux:sink2_channel signal rsp_xbar_demux_002_src0_ready : std_logic; -- rsp_xbar_mux:sink2_ready -> rsp_xbar_demux_002:src0_ready signal rsp_xbar_demux_003_src0_endofpacket : std_logic; -- rsp_xbar_demux_003:src0_endofpacket -> rsp_xbar_mux:sink3_endofpacket signal rsp_xbar_demux_003_src0_valid : std_logic; -- rsp_xbar_demux_003:src0_valid -> rsp_xbar_mux:sink3_valid signal rsp_xbar_demux_003_src0_startofpacket : std_logic; -- rsp_xbar_demux_003:src0_startofpacket -> rsp_xbar_mux:sink3_startofpacket signal rsp_xbar_demux_003_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_003:src0_data -> rsp_xbar_mux:sink3_data signal rsp_xbar_demux_003_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_003:src0_channel -> rsp_xbar_mux:sink3_channel signal rsp_xbar_demux_003_src0_ready : std_logic; -- rsp_xbar_mux:sink3_ready -> rsp_xbar_demux_003:src0_ready signal rsp_xbar_demux_004_src0_endofpacket : std_logic; -- rsp_xbar_demux_004:src0_endofpacket -> rsp_xbar_mux:sink4_endofpacket signal rsp_xbar_demux_004_src0_valid : std_logic; -- rsp_xbar_demux_004:src0_valid -> rsp_xbar_mux:sink4_valid signal rsp_xbar_demux_004_src0_startofpacket : std_logic; -- rsp_xbar_demux_004:src0_startofpacket -> rsp_xbar_mux:sink4_startofpacket signal rsp_xbar_demux_004_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_004:src0_data -> rsp_xbar_mux:sink4_data signal rsp_xbar_demux_004_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_004:src0_channel -> rsp_xbar_mux:sink4_channel signal rsp_xbar_demux_004_src0_ready : std_logic; -- rsp_xbar_mux:sink4_ready -> rsp_xbar_demux_004:src0_ready signal rsp_xbar_demux_005_src0_endofpacket : std_logic; -- rsp_xbar_demux_005:src0_endofpacket -> rsp_xbar_mux:sink5_endofpacket signal rsp_xbar_demux_005_src0_valid : std_logic; -- rsp_xbar_demux_005:src0_valid -> rsp_xbar_mux:sink5_valid signal rsp_xbar_demux_005_src0_startofpacket : std_logic; -- rsp_xbar_demux_005:src0_startofpacket -> rsp_xbar_mux:sink5_startofpacket signal rsp_xbar_demux_005_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_005:src0_data -> rsp_xbar_mux:sink5_data signal rsp_xbar_demux_005_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_005:src0_channel -> rsp_xbar_mux:sink5_channel signal rsp_xbar_demux_005_src0_ready : std_logic; -- rsp_xbar_mux:sink5_ready -> rsp_xbar_demux_005:src0_ready signal rsp_xbar_demux_006_src0_endofpacket : std_logic; -- rsp_xbar_demux_006:src0_endofpacket -> rsp_xbar_mux:sink6_endofpacket signal rsp_xbar_demux_006_src0_valid : std_logic; -- rsp_xbar_demux_006:src0_valid -> rsp_xbar_mux:sink6_valid signal rsp_xbar_demux_006_src0_startofpacket : std_logic; -- rsp_xbar_demux_006:src0_startofpacket -> rsp_xbar_mux:sink6_startofpacket signal rsp_xbar_demux_006_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_006:src0_data -> rsp_xbar_mux:sink6_data signal rsp_xbar_demux_006_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_006:src0_channel -> rsp_xbar_mux:sink6_channel signal rsp_xbar_demux_006_src0_ready : std_logic; -- rsp_xbar_mux:sink6_ready -> rsp_xbar_demux_006:src0_ready signal rsp_xbar_demux_007_src0_endofpacket : std_logic; -- rsp_xbar_demux_007:src0_endofpacket -> rsp_xbar_mux:sink7_endofpacket signal rsp_xbar_demux_007_src0_valid : std_logic; -- rsp_xbar_demux_007:src0_valid -> rsp_xbar_mux:sink7_valid signal rsp_xbar_demux_007_src0_startofpacket : std_logic; -- rsp_xbar_demux_007:src0_startofpacket -> rsp_xbar_mux:sink7_startofpacket signal rsp_xbar_demux_007_src0_data : std_logic_vector(69 downto 0); -- rsp_xbar_demux_007:src0_data -> rsp_xbar_mux:sink7_data signal rsp_xbar_demux_007_src0_channel : std_logic_vector(7 downto 0); -- rsp_xbar_demux_007:src0_channel -> rsp_xbar_mux:sink7_channel signal rsp_xbar_demux_007_src0_ready : std_logic; -- rsp_xbar_mux:sink7_ready -> rsp_xbar_demux_007:src0_ready signal addr_router_src_endofpacket : std_logic; -- addr_router:src_endofpacket -> cmd_xbar_demux:sink_endofpacket signal addr_router_src_valid : std_logic; -- addr_router:src_valid -> cmd_xbar_demux:sink_valid signal addr_router_src_startofpacket : std_logic; -- addr_router:src_startofpacket -> cmd_xbar_demux:sink_startofpacket signal addr_router_src_data : std_logic_vector(69 downto 0); -- addr_router:src_data -> cmd_xbar_demux:sink_data signal addr_router_src_channel : std_logic_vector(7 downto 0); -- addr_router:src_channel -> cmd_xbar_demux:sink_channel signal addr_router_src_ready : std_logic; -- cmd_xbar_demux:sink_ready -> addr_router:src_ready signal rsp_xbar_mux_src_endofpacket : std_logic; -- rsp_xbar_mux:src_endofpacket -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_endofpacket signal rsp_xbar_mux_src_valid : std_logic; -- rsp_xbar_mux:src_valid -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_valid signal rsp_xbar_mux_src_startofpacket : std_logic; -- rsp_xbar_mux:src_startofpacket -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_startofpacket signal rsp_xbar_mux_src_data : std_logic_vector(69 downto 0); -- rsp_xbar_mux:src_data -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_data signal rsp_xbar_mux_src_channel : std_logic_vector(7 downto 0); -- rsp_xbar_mux:src_channel -> EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_channel signal rsp_xbar_mux_src_ready : std_logic; -- EIM_Slave_to_Avalon_Master_0_avalon_master_translator_avalon_universal_master_0_agent:rp_ready -> rsp_xbar_mux:src_ready signal cmd_xbar_demux_src0_ready : std_logic; -- width_adapter:in_ready -> cmd_xbar_demux:src0_ready signal width_adapter_src_endofpacket : std_logic; -- width_adapter:out_endofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_src_valid : std_logic; -- width_adapter:out_valid -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_src_startofpacket : std_logic; -- width_adapter:out_startofpacket -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_src_data : std_logic_vector(87 downto 0); -- width_adapter:out_data -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_src_ready : std_logic; -- info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter:out_ready signal width_adapter_src_channel : std_logic_vector(7 downto 0); -- width_adapter:out_channel -> info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_src_endofpacket : std_logic; -- id_router:src_endofpacket -> width_adapter_001:in_endofpacket signal id_router_src_valid : std_logic; -- id_router:src_valid -> width_adapter_001:in_valid signal id_router_src_startofpacket : std_logic; -- id_router:src_startofpacket -> width_adapter_001:in_startofpacket signal id_router_src_data : std_logic_vector(87 downto 0); -- id_router:src_data -> width_adapter_001:in_data signal id_router_src_channel : std_logic_vector(7 downto 0); -- id_router:src_channel -> width_adapter_001:in_channel signal id_router_src_ready : std_logic; -- width_adapter_001:in_ready -> id_router:src_ready signal width_adapter_001_src_endofpacket : std_logic; -- width_adapter_001:out_endofpacket -> rsp_xbar_demux:sink_endofpacket signal width_adapter_001_src_valid : std_logic; -- width_adapter_001:out_valid -> rsp_xbar_demux:sink_valid signal width_adapter_001_src_startofpacket : std_logic; -- width_adapter_001:out_startofpacket -> rsp_xbar_demux:sink_startofpacket signal width_adapter_001_src_data : std_logic_vector(69 downto 0); -- width_adapter_001:out_data -> rsp_xbar_demux:sink_data signal width_adapter_001_src_ready : std_logic; -- rsp_xbar_demux:sink_ready -> width_adapter_001:out_ready signal width_adapter_001_src_channel : std_logic_vector(7 downto 0); -- width_adapter_001:out_channel -> rsp_xbar_demux:sink_channel signal cmd_xbar_demux_src1_ready : std_logic; -- width_adapter_002:in_ready -> cmd_xbar_demux:src1_ready signal width_adapter_002_src_endofpacket : std_logic; -- width_adapter_002:out_endofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_002_src_valid : std_logic; -- width_adapter_002:out_valid -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_002_src_startofpacket : std_logic; -- width_adapter_002:out_startofpacket -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_002_src_data : std_logic_vector(87 downto 0); -- width_adapter_002:out_data -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_002_src_ready : std_logic; -- dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_002:out_ready signal width_adapter_002_src_channel : std_logic_vector(7 downto 0); -- width_adapter_002:out_channel -> dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_001_src_endofpacket : std_logic; -- id_router_001:src_endofpacket -> width_adapter_003:in_endofpacket signal id_router_001_src_valid : std_logic; -- id_router_001:src_valid -> width_adapter_003:in_valid signal id_router_001_src_startofpacket : std_logic; -- id_router_001:src_startofpacket -> width_adapter_003:in_startofpacket signal id_router_001_src_data : std_logic_vector(87 downto 0); -- id_router_001:src_data -> width_adapter_003:in_data signal id_router_001_src_channel : std_logic_vector(7 downto 0); -- id_router_001:src_channel -> width_adapter_003:in_channel signal id_router_001_src_ready : std_logic; -- width_adapter_003:in_ready -> id_router_001:src_ready signal width_adapter_003_src_endofpacket : std_logic; -- width_adapter_003:out_endofpacket -> rsp_xbar_demux_001:sink_endofpacket signal width_adapter_003_src_valid : std_logic; -- width_adapter_003:out_valid -> rsp_xbar_demux_001:sink_valid signal width_adapter_003_src_startofpacket : std_logic; -- width_adapter_003:out_startofpacket -> rsp_xbar_demux_001:sink_startofpacket signal width_adapter_003_src_data : std_logic_vector(69 downto 0); -- width_adapter_003:out_data -> rsp_xbar_demux_001:sink_data signal width_adapter_003_src_ready : std_logic; -- rsp_xbar_demux_001:sink_ready -> width_adapter_003:out_ready signal width_adapter_003_src_channel : std_logic_vector(7 downto 0); -- width_adapter_003:out_channel -> rsp_xbar_demux_001:sink_channel signal cmd_xbar_demux_src2_ready : std_logic; -- width_adapter_004:in_ready -> cmd_xbar_demux:src2_ready signal width_adapter_004_src_endofpacket : std_logic; -- width_adapter_004:out_endofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_004_src_valid : std_logic; -- width_adapter_004:out_valid -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_004_src_startofpacket : std_logic; -- width_adapter_004:out_startofpacket -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_004_src_data : std_logic_vector(87 downto 0); -- width_adapter_004:out_data -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_004_src_ready : std_logic; -- fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_004:out_ready signal width_adapter_004_src_channel : std_logic_vector(7 downto 0); -- width_adapter_004:out_channel -> fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_002_src_endofpacket : std_logic; -- id_router_002:src_endofpacket -> width_adapter_005:in_endofpacket signal id_router_002_src_valid : std_logic; -- id_router_002:src_valid -> width_adapter_005:in_valid signal id_router_002_src_startofpacket : std_logic; -- id_router_002:src_startofpacket -> width_adapter_005:in_startofpacket signal id_router_002_src_data : std_logic_vector(87 downto 0); -- id_router_002:src_data -> width_adapter_005:in_data signal id_router_002_src_channel : std_logic_vector(7 downto 0); -- id_router_002:src_channel -> width_adapter_005:in_channel signal id_router_002_src_ready : std_logic; -- width_adapter_005:in_ready -> id_router_002:src_ready signal width_adapter_005_src_endofpacket : std_logic; -- width_adapter_005:out_endofpacket -> rsp_xbar_demux_002:sink_endofpacket signal width_adapter_005_src_valid : std_logic; -- width_adapter_005:out_valid -> rsp_xbar_demux_002:sink_valid signal width_adapter_005_src_startofpacket : std_logic; -- width_adapter_005:out_startofpacket -> rsp_xbar_demux_002:sink_startofpacket signal width_adapter_005_src_data : std_logic_vector(69 downto 0); -- width_adapter_005:out_data -> rsp_xbar_demux_002:sink_data signal width_adapter_005_src_ready : std_logic; -- rsp_xbar_demux_002:sink_ready -> width_adapter_005:out_ready signal width_adapter_005_src_channel : std_logic_vector(7 downto 0); -- width_adapter_005:out_channel -> rsp_xbar_demux_002:sink_channel signal cmd_xbar_demux_src3_ready : std_logic; -- width_adapter_006:in_ready -> cmd_xbar_demux:src3_ready signal width_adapter_006_src_endofpacket : std_logic; -- width_adapter_006:out_endofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_006_src_valid : std_logic; -- width_adapter_006:out_valid -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_006_src_startofpacket : std_logic; -- width_adapter_006:out_startofpacket -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_006_src_data : std_logic_vector(87 downto 0); -- width_adapter_006:out_data -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_006_src_ready : std_logic; -- gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_006:out_ready signal width_adapter_006_src_channel : std_logic_vector(7 downto 0); -- width_adapter_006:out_channel -> gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_003_src_endofpacket : std_logic; -- id_router_003:src_endofpacket -> width_adapter_007:in_endofpacket signal id_router_003_src_valid : std_logic; -- id_router_003:src_valid -> width_adapter_007:in_valid signal id_router_003_src_startofpacket : std_logic; -- id_router_003:src_startofpacket -> width_adapter_007:in_startofpacket signal id_router_003_src_data : std_logic_vector(87 downto 0); -- id_router_003:src_data -> width_adapter_007:in_data signal id_router_003_src_channel : std_logic_vector(7 downto 0); -- id_router_003:src_channel -> width_adapter_007:in_channel signal id_router_003_src_ready : std_logic; -- width_adapter_007:in_ready -> id_router_003:src_ready signal width_adapter_007_src_endofpacket : std_logic; -- width_adapter_007:out_endofpacket -> rsp_xbar_demux_003:sink_endofpacket signal width_adapter_007_src_valid : std_logic; -- width_adapter_007:out_valid -> rsp_xbar_demux_003:sink_valid signal width_adapter_007_src_startofpacket : std_logic; -- width_adapter_007:out_startofpacket -> rsp_xbar_demux_003:sink_startofpacket signal width_adapter_007_src_data : std_logic_vector(69 downto 0); -- width_adapter_007:out_data -> rsp_xbar_demux_003:sink_data signal width_adapter_007_src_ready : std_logic; -- rsp_xbar_demux_003:sink_ready -> width_adapter_007:out_ready signal width_adapter_007_src_channel : std_logic_vector(7 downto 0); -- width_adapter_007:out_channel -> rsp_xbar_demux_003:sink_channel signal cmd_xbar_demux_src4_ready : std_logic; -- width_adapter_008:in_ready -> cmd_xbar_demux:src4_ready signal width_adapter_008_src_endofpacket : std_logic; -- width_adapter_008:out_endofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_008_src_valid : std_logic; -- width_adapter_008:out_valid -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_008_src_startofpacket : std_logic; -- width_adapter_008:out_startofpacket -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_008_src_data : std_logic_vector(87 downto 0); -- width_adapter_008:out_data -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_008_src_ready : std_logic; -- pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_008:out_ready signal width_adapter_008_src_channel : std_logic_vector(7 downto 0); -- width_adapter_008:out_channel -> pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_004_src_endofpacket : std_logic; -- id_router_004:src_endofpacket -> width_adapter_009:in_endofpacket signal id_router_004_src_valid : std_logic; -- id_router_004:src_valid -> width_adapter_009:in_valid signal id_router_004_src_startofpacket : std_logic; -- id_router_004:src_startofpacket -> width_adapter_009:in_startofpacket signal id_router_004_src_data : std_logic_vector(87 downto 0); -- id_router_004:src_data -> width_adapter_009:in_data signal id_router_004_src_channel : std_logic_vector(7 downto 0); -- id_router_004:src_channel -> width_adapter_009:in_channel signal id_router_004_src_ready : std_logic; -- width_adapter_009:in_ready -> id_router_004:src_ready signal width_adapter_009_src_endofpacket : std_logic; -- width_adapter_009:out_endofpacket -> rsp_xbar_demux_004:sink_endofpacket signal width_adapter_009_src_valid : std_logic; -- width_adapter_009:out_valid -> rsp_xbar_demux_004:sink_valid signal width_adapter_009_src_startofpacket : std_logic; -- width_adapter_009:out_startofpacket -> rsp_xbar_demux_004:sink_startofpacket signal width_adapter_009_src_data : std_logic_vector(69 downto 0); -- width_adapter_009:out_data -> rsp_xbar_demux_004:sink_data signal width_adapter_009_src_ready : std_logic; -- rsp_xbar_demux_004:sink_ready -> width_adapter_009:out_ready signal width_adapter_009_src_channel : std_logic_vector(7 downto 0); -- width_adapter_009:out_channel -> rsp_xbar_demux_004:sink_channel signal cmd_xbar_demux_src5_ready : std_logic; -- width_adapter_010:in_ready -> cmd_xbar_demux:src5_ready signal width_adapter_010_src_endofpacket : std_logic; -- width_adapter_010:out_endofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_010_src_valid : std_logic; -- width_adapter_010:out_valid -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_010_src_startofpacket : std_logic; -- width_adapter_010:out_startofpacket -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_010_src_data : std_logic_vector(87 downto 0); -- width_adapter_010:out_data -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_010_src_ready : std_logic; -- gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_010:out_ready signal width_adapter_010_src_channel : std_logic_vector(7 downto 0); -- width_adapter_010:out_channel -> gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_005_src_endofpacket : std_logic; -- id_router_005:src_endofpacket -> width_adapter_011:in_endofpacket signal id_router_005_src_valid : std_logic; -- id_router_005:src_valid -> width_adapter_011:in_valid signal id_router_005_src_startofpacket : std_logic; -- id_router_005:src_startofpacket -> width_adapter_011:in_startofpacket signal id_router_005_src_data : std_logic_vector(87 downto 0); -- id_router_005:src_data -> width_adapter_011:in_data signal id_router_005_src_channel : std_logic_vector(7 downto 0); -- id_router_005:src_channel -> width_adapter_011:in_channel signal id_router_005_src_ready : std_logic; -- width_adapter_011:in_ready -> id_router_005:src_ready signal width_adapter_011_src_endofpacket : std_logic; -- width_adapter_011:out_endofpacket -> rsp_xbar_demux_005:sink_endofpacket signal width_adapter_011_src_valid : std_logic; -- width_adapter_011:out_valid -> rsp_xbar_demux_005:sink_valid signal width_adapter_011_src_startofpacket : std_logic; -- width_adapter_011:out_startofpacket -> rsp_xbar_demux_005:sink_startofpacket signal width_adapter_011_src_data : std_logic_vector(69 downto 0); -- width_adapter_011:out_data -> rsp_xbar_demux_005:sink_data signal width_adapter_011_src_ready : std_logic; -- rsp_xbar_demux_005:sink_ready -> width_adapter_011:out_ready signal width_adapter_011_src_channel : std_logic_vector(7 downto 0); -- width_adapter_011:out_channel -> rsp_xbar_demux_005:sink_channel signal cmd_xbar_demux_src6_ready : std_logic; -- width_adapter_012:in_ready -> cmd_xbar_demux:src6_ready signal width_adapter_012_src_endofpacket : std_logic; -- width_adapter_012:out_endofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_012_src_valid : std_logic; -- width_adapter_012:out_valid -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_012_src_startofpacket : std_logic; -- width_adapter_012:out_startofpacket -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_012_src_data : std_logic_vector(87 downto 0); -- width_adapter_012:out_data -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_012_src_ready : std_logic; -- watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_012:out_ready signal width_adapter_012_src_channel : std_logic_vector(7 downto 0); -- width_adapter_012:out_channel -> watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_006_src_endofpacket : std_logic; -- id_router_006:src_endofpacket -> width_adapter_013:in_endofpacket signal id_router_006_src_valid : std_logic; -- id_router_006:src_valid -> width_adapter_013:in_valid signal id_router_006_src_startofpacket : std_logic; -- id_router_006:src_startofpacket -> width_adapter_013:in_startofpacket signal id_router_006_src_data : std_logic_vector(87 downto 0); -- id_router_006:src_data -> width_adapter_013:in_data signal id_router_006_src_channel : std_logic_vector(7 downto 0); -- id_router_006:src_channel -> width_adapter_013:in_channel signal id_router_006_src_ready : std_logic; -- width_adapter_013:in_ready -> id_router_006:src_ready signal width_adapter_013_src_endofpacket : std_logic; -- width_adapter_013:out_endofpacket -> rsp_xbar_demux_006:sink_endofpacket signal width_adapter_013_src_valid : std_logic; -- width_adapter_013:out_valid -> rsp_xbar_demux_006:sink_valid signal width_adapter_013_src_startofpacket : std_logic; -- width_adapter_013:out_startofpacket -> rsp_xbar_demux_006:sink_startofpacket signal width_adapter_013_src_data : std_logic_vector(69 downto 0); -- width_adapter_013:out_data -> rsp_xbar_demux_006:sink_data signal width_adapter_013_src_ready : std_logic; -- rsp_xbar_demux_006:sink_ready -> width_adapter_013:out_ready signal width_adapter_013_src_channel : std_logic_vector(7 downto 0); -- width_adapter_013:out_channel -> rsp_xbar_demux_006:sink_channel signal cmd_xbar_demux_src7_ready : std_logic; -- width_adapter_014:in_ready -> cmd_xbar_demux:src7_ready signal width_adapter_014_src_endofpacket : std_logic; -- width_adapter_014:out_endofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_endofpacket signal width_adapter_014_src_valid : std_logic; -- width_adapter_014:out_valid -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_valid signal width_adapter_014_src_startofpacket : std_logic; -- width_adapter_014:out_startofpacket -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_startofpacket signal width_adapter_014_src_data : std_logic_vector(87 downto 0); -- width_adapter_014:out_data -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_data signal width_adapter_014_src_ready : std_logic; -- ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_ready -> width_adapter_014:out_ready signal width_adapter_014_src_channel : std_logic_vector(7 downto 0); -- width_adapter_014:out_channel -> ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent:cp_channel signal id_router_007_src_endofpacket : std_logic; -- id_router_007:src_endofpacket -> width_adapter_015:in_endofpacket signal id_router_007_src_valid : std_logic; -- id_router_007:src_valid -> width_adapter_015:in_valid signal id_router_007_src_startofpacket : std_logic; -- id_router_007:src_startofpacket -> width_adapter_015:in_startofpacket signal id_router_007_src_data : std_logic_vector(87 downto 0); -- id_router_007:src_data -> width_adapter_015:in_data signal id_router_007_src_channel : std_logic_vector(7 downto 0); -- id_router_007:src_channel -> width_adapter_015:in_channel signal id_router_007_src_ready : std_logic; -- width_adapter_015:in_ready -> id_router_007:src_ready signal width_adapter_015_src_endofpacket : std_logic; -- width_adapter_015:out_endofpacket -> rsp_xbar_demux_007:sink_endofpacket signal width_adapter_015_src_valid : std_logic; -- width_adapter_015:out_valid -> rsp_xbar_demux_007:sink_valid signal width_adapter_015_src_startofpacket : std_logic; -- width_adapter_015:out_startofpacket -> rsp_xbar_demux_007:sink_startofpacket signal width_adapter_015_src_data : std_logic_vector(69 downto 0); -- width_adapter_015:out_data -> rsp_xbar_demux_007:sink_data signal width_adapter_015_src_ready : std_logic; -- rsp_xbar_demux_007:sink_ready -> width_adapter_015:out_ready signal width_adapter_015_src_channel : std_logic_vector(7 downto 0); -- width_adapter_015:out_channel -> rsp_xbar_demux_007:sink_channel signal reset_reset_n_ports_inv : std_logic; -- reset_reset_n:inv -> [rst_controller:reset_in0, rst_controller_001:reset_in0] signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> [EIM_Slave_to_Avalon_Master_0:isl_reset_n, dacad5668_0:isl_reset_n, fqd_interface_0:isl_reset_n, gpio_block_0:isl_reset_n, gpio_block_1:isl_reset_n, info_device_0:isl_reset_n, ppwa_block_0:isl_reset_n, pwm_interface_0:isl_reset_n, watchdog_block_0:isl_reset_n] begin altpll_0 : component cb20_altpll_0 port map ( clk => clk_clk, -- inclk_interface.clk reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset read => open, -- pll_slave.read write => open, -- .write address => open, -- .address readdata => open, -- .readdata writedata => open, -- .writedata c0 => altpll_0_c0_clk, -- c0.clk areset => open, -- areset_conduit.export locked => open, -- locked_conduit.export phasedone => open -- phasedone_conduit.export ); info_device_0 : component info_device generic map ( unique_id => "00010010011100000000000000000001", description => "01100011011000100011001000110000001000000111011101101001011101000110100000100000011101110110010001110100001011000010000000110010001110000010111000110101001011100011001000110000001100100011000000000000000000000000000000000000", dev_size => 1024 ) port map ( isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n islv_avs_address => info_device_0_avalon_slave_translator_avalon_anti_slave_0_address, -- avalon_slave.address isl_avs_read => info_device_0_avalon_slave_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => info_device_0_avalon_slave_translator_avalon_anti_slave_0_write, -- .write islv_avs_write_data => info_device_0_avalon_slave_translator_avalon_anti_slave_0_writedata, -- .writedata oslv_avs_read_data => info_device_0_avalon_slave_translator_avalon_anti_slave_0_readdata, -- .readdata osl_avs_waitrequest => info_device_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => info_device_0_avalon_slave_translator_avalon_anti_slave_0_byteenable -- .byteenable ); eim_slave_to_avalon_master_0 : component eim_slave_to_avalon_master generic map ( TRANSFER_WIDTH => 16 ) port map ( ioslv_data => eim_slave_to_avalon_master_0_conduit_end_ioslv_data, -- conduit_end.export isl_cs_n => eim_slave_to_avalon_master_0_conduit_end_isl_cs_n, -- .export isl_oe_n => eim_slave_to_avalon_master_0_conduit_end_isl_oe_n, -- .export isl_we_n => eim_slave_to_avalon_master_0_conduit_end_isl_we_n, -- .export osl_data_ack => eim_slave_to_avalon_master_0_conduit_end_osl_data_ack, -- .export islv_address => eim_slave_to_avalon_master_0_conduit_end_islv_address, -- .export isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n islv_readdata => eim_slave_to_avalon_master_0_avalon_master_readdata, -- avalon_master.readdata islv_waitrequest => eim_slave_to_avalon_master_0_avalon_master_waitrequest, -- .waitrequest oslv_address => eim_slave_to_avalon_master_0_avalon_master_address, -- .address oslv_read => eim_slave_to_avalon_master_0_avalon_master_read, -- .read oslv_write => eim_slave_to_avalon_master_0_avalon_master_write, -- .write oslv_writedata => eim_slave_to_avalon_master_0_avalon_master_writedata -- .writedata ); dacad5668_0 : component avalon_dacad5668_interface generic map ( BASE_CLK => 200000000, SCLK_FREQUENCY => 10000000, INTERNAL_REFERENCE => '0', UNIQUE_ID => "00010010011100000010000000000001" ) port map ( isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n osl_sclk => dacad5668_0_conduit_end_osl_sclk, -- conduit_end.export oslv_Ss => dacad5668_0_conduit_end_oslv_Ss, -- .export osl_mosi => dacad5668_0_conduit_end_osl_mosi, -- .export osl_LDAC_n => dacad5668_0_conduit_end_osl_LDAC_n, -- .export osl_CLR_n => dacad5668_0_conduit_end_osl_CLR_n, -- .export islv_avs_address => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_address, -- avalon_slave.address isl_avs_read => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_write, -- .write islv_avs_write_data => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_writedata, -- .writedata oslv_avs_read_data => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_readdata, -- .readdata osl_avs_waitrequest => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_byteenable -- .byteenable ); fqd_interface_0 : component avalon_fqd_counter_interface generic map ( number_of_fqds => 8, unique_id => "00010010011100000110000000000001" ) port map ( oslv_avs_read_data => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- avalon_slave_0.readdata isl_avs_read => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write islv_avs_write_data => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata islv_avs_address => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address osl_avs_waitrequest => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n islv_enc_B => fqd_interface_0_conduit_end_B, -- conduit_end.export islv_enc_A => fqd_interface_0_conduit_end_A -- .export ); gpio_block_0 : component cb20_gpio_block_0 generic map ( number_of_gpios => 9, unique_id => "00010010011100000101000000000001" ) port map ( oslv_avs_read_data => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- avalon_slave_0.readdata islv_avs_address => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address isl_avs_read => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write osl_avs_waitrequest => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_write_data => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata islv_avs_byteenable => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n oslv_gpios => gpio_block_0_conduit_end_export -- conduit_end.export ); pwm_interface_0 : component avalon_pwm_interface generic map ( number_of_pwms => 4, base_clk => 200000000, unique_id => "00010010011100001100000000000001" ) port map ( oslv_avs_read_data => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- avalon_slave_0.readdata islv_avs_address => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address isl_avs_read => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write islv_avs_write_data => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata osl_avs_waitrequest => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n oslv_pwm => pwm_interface_0_conduit_end_export -- conduit_end.export ); gpio_block_1 : component cb20_gpio_block_1 generic map ( number_of_gpios => 8, unique_id => "00010010011100000101000000000010" ) port map ( oslv_avs_read_data => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- avalon_slave_0.readdata islv_avs_address => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address isl_avs_read => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write osl_avs_waitrequest => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_write_data => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata islv_avs_byteenable => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n oslv_gpios => gpio_block_1_conduit_end_export -- conduit_end.export ); watchdog_block_0 : component avalon_watchdog_interface generic map ( base_clk => 200000000, unique_id => "00010010011100010000000000000001" ) port map ( islv_avs_write_data => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- avalon_slave_0.writedata oslv_avs_read_data => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata isl_avs_write => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write isl_avs_read => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read islv_avs_address => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address osl_avs_waitrequest => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n osl_granted => watchdog_block_0_wd_signals_granted, -- wd_signals.export osl_watchdog_pwm => watchdog_block_0_wd_signals_watchdog_pwm -- .export ); ppwa_block_0 : component avalon_ppwa_interface generic map ( number_of_ppwas => 2, base_clk => 200000000, unique_id => "00010010011100001101000000000001" ) port map ( islv_avs_write_data => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- avalon_slave_0.writedata oslv_avs_read_data => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata islv_avs_address => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- .address isl_avs_read => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read isl_avs_write => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write osl_avs_waitrequest => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest islv_avs_byteenable => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable isl_clk => altpll_0_c0_clk, -- clock_sink.clk isl_reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset_sink.reset_n islv_signals_to_measure => ppwa_block_0_conduit_end_export -- conduit_end.export ); eim_slave_to_avalon_master_0_avalon_master_translator : component altera_merlin_master_translator generic map ( AV_ADDRESS_W => 16, AV_DATA_W => 16, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 2, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 2, USE_READ => 1, USE_WRITE => 1, USE_BEGINBURSTTRANSFER => 0, USE_BEGINTRANSFER => 0, USE_CHIPSELECT => 0, USE_BURSTCOUNT => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 2, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_LINEWRAPBURSTS => 0, AV_REGISTERINCOMINGSIGNALS => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_address, -- avalon_universal_master_0.address uav_burstcount => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_burstcount, -- .burstcount uav_read => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_read, -- .read uav_write => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_write, -- .write uav_waitrequest => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest uav_readdatavalid => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid uav_byteenable => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_byteenable, -- .byteenable uav_readdata => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdata, -- .readdata uav_writedata => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_writedata, -- .writedata uav_lock => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_lock, -- .lock uav_debugaccess => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_address => eim_slave_to_avalon_master_0_avalon_master_address, -- avalon_anti_master_0.address av_waitrequest => eim_slave_to_avalon_master_0_avalon_master_waitrequest, -- .waitrequest av_read => eim_slave_to_avalon_master_0_avalon_master_read, -- .read av_readdata => eim_slave_to_avalon_master_0_avalon_master_readdata, -- .readdata av_write => eim_slave_to_avalon_master_0_avalon_master_write, -- .write av_writedata => eim_slave_to_avalon_master_0_avalon_master_writedata, -- .writedata av_burstcount => "1", -- (terminated) av_byteenable => "11", -- (terminated) av_beginbursttransfer => '0', -- (terminated) av_begintransfer => '0', -- (terminated) av_chipselect => '0', -- (terminated) av_readdatavalid => open, -- (terminated) av_lock => '0', -- (terminated) av_debugaccess => '0', -- (terminated) uav_clken => open, -- (terminated) av_clken => '1', -- (terminated) uav_response => "00", -- (terminated) av_response => open, -- (terminated) uav_writeresponserequest => open, -- (terminated) uav_writeresponsevalid => '0', -- (terminated) av_writeresponserequest => '0', -- (terminated) av_writeresponsevalid => open -- (terminated) ); info_device_0_avalon_slave_translator : component cb20_info_device_0_avalon_slave_translator generic map ( AV_ADDRESS_W => 5, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => info_device_0_avalon_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => info_device_0_avalon_slave_translator_avalon_anti_slave_0_write, -- .write av_read => info_device_0_avalon_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => info_device_0_avalon_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => info_device_0_avalon_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => info_device_0_avalon_slave_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => info_device_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); dacad5668_0_avalon_slave_translator : component cb20_info_device_0_avalon_slave_translator generic map ( AV_ADDRESS_W => 5, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_write, -- .write av_read => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_read, -- .read av_readdata => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => dacad5668_0_avalon_slave_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); fqd_interface_0_avalon_slave_0_translator : component cb20_info_device_0_avalon_slave_translator generic map ( AV_ADDRESS_W => 5, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => fqd_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); gpio_block_0_avalon_slave_0_translator : component cb20_gpio_block_0_avalon_slave_0_translator generic map ( AV_ADDRESS_W => 4, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => gpio_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); pwm_interface_0_avalon_slave_0_translator : component cb20_pwm_interface_0_avalon_slave_0_translator generic map ( AV_ADDRESS_W => 6, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => pwm_interface_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); gpio_block_1_avalon_slave_0_translator : component cb20_gpio_block_0_avalon_slave_0_translator generic map ( AV_ADDRESS_W => 4, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => gpio_block_1_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); watchdog_block_0_avalon_slave_0_translator : component cb20_info_device_0_avalon_slave_translator generic map ( AV_ADDRESS_W => 5, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => watchdog_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); ppwa_block_0_avalon_slave_0_translator : component cb20_info_device_0_avalon_slave_translator generic map ( AV_ADDRESS_W => 5, AV_DATA_W => 32, UAV_DATA_W => 32, AV_BURSTCOUNT_W => 1, AV_BYTEENABLE_W => 4, UAV_BYTEENABLE_W => 4, UAV_ADDRESS_W => 17, UAV_BURSTCOUNT_W => 3, AV_READLATENCY => 0, USE_READDATAVALID => 0, USE_WAITREQUEST => 1, USE_UAV_CLKEN => 0, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0, AV_SYMBOLS_PER_WORD => 4, AV_ADDRESS_SYMBOLS => 0, AV_BURSTCOUNT_SYMBOLS => 0, AV_CONSTANT_BURST_BEHAVIOR => 0, UAV_CONSTANT_BURST_BEHAVIOR => 0, AV_REQUIRE_UNALIGNED_ADDRESSES => 0, CHIPSELECT_THROUGH_READLATENCY => 0, AV_READ_WAIT_CYCLES => 1, AV_WRITE_WAIT_CYCLES => 0, AV_SETUP_WAIT_CYCLES => 0, AV_DATA_HOLD_CYCLES => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- reset.reset uav_address => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- avalon_universal_slave_0.address uav_burstcount => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount uav_read => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read uav_write => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write uav_waitrequest => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest uav_readdatavalid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid uav_byteenable => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable uav_readdata => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata uav_writedata => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata uav_lock => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock uav_debugaccess => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess av_address => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_address, -- avalon_anti_slave_0.address av_write => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_write, -- .write av_read => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_read, -- .read av_readdata => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_readdata, -- .readdata av_writedata => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_writedata, -- .writedata av_byteenable => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_byteenable, -- .byteenable av_waitrequest => ppwa_block_0_avalon_slave_0_translator_avalon_anti_slave_0_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open, -- (terminated) uav_response => open, -- (terminated) av_response => "00", -- (terminated) uav_writeresponserequest => '0', -- (terminated) uav_writeresponsevalid => open, -- (terminated) av_writeresponserequest => open, -- (terminated) av_writeresponsevalid => '0' -- (terminated) ); eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent : component altera_merlin_master_agent generic map ( PKT_PROTECTION_H => 63, PKT_PROTECTION_L => 61, PKT_BEGIN_BURST => 52, PKT_BURSTWRAP_H => 44, PKT_BURSTWRAP_L => 44, PKT_BURST_SIZE_H => 47, PKT_BURST_SIZE_L => 45, PKT_BURST_TYPE_H => 49, PKT_BURST_TYPE_L => 48, PKT_BYTE_CNT_H => 43, PKT_BYTE_CNT_L => 41, PKT_ADDR_H => 34, PKT_ADDR_L => 18, PKT_TRANS_COMPRESSED_READ => 35, PKT_TRANS_POSTED => 36, PKT_TRANS_WRITE => 37, PKT_TRANS_READ => 38, PKT_TRANS_LOCK => 39, PKT_TRANS_EXCLUSIVE => 40, PKT_DATA_H => 15, PKT_DATA_L => 0, PKT_BYTEEN_H => 17, PKT_BYTEEN_L => 16, PKT_SRC_ID_H => 56, PKT_SRC_ID_L => 54, PKT_DEST_ID_H => 59, PKT_DEST_ID_L => 57, PKT_THREAD_ID_H => 60, PKT_THREAD_ID_L => 60, PKT_CACHE_H => 67, PKT_CACHE_L => 64, PKT_DATA_SIDEBAND_H => 51, PKT_DATA_SIDEBAND_L => 51, PKT_QOS_H => 53, PKT_QOS_L => 53, PKT_ADDR_SIDEBAND_H => 50, PKT_ADDR_SIDEBAND_L => 50, PKT_RESPONSE_STATUS_H => 69, PKT_RESPONSE_STATUS_L => 68, ST_DATA_W => 70, ST_CHANNEL_W => 8, AV_BURSTCOUNT_W => 2, SUPPRESS_0_BYTEEN_RSP => 1, ID => 0, BURSTWRAP_VALUE => 1, CACHE_VALUE => 0, SECURE_ACCESS_BIT => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset av_address => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_address, -- av.address av_write => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_write, -- .write av_read => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_read, -- .read av_writedata => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_writedata, -- .writedata av_readdata => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdata, -- .readdata av_waitrequest => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_waitrequest, -- .waitrequest av_readdatavalid => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_readdatavalid, -- .readdatavalid av_byteenable => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_byteenable, -- .byteenable av_burstcount => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_burstcount, -- .burstcount av_debugaccess => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_debugaccess, -- .debugaccess av_lock => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_lock, -- .lock cp_valid => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_valid, -- cp.valid cp_data => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_data, -- .data cp_startofpacket => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket cp_endofpacket => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket cp_ready => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_ready, -- .ready rp_valid => rsp_xbar_mux_src_valid, -- rp.valid rp_data => rsp_xbar_mux_src_data, -- .data rp_channel => rsp_xbar_mux_src_channel, -- .channel rp_startofpacket => rsp_xbar_mux_src_startofpacket, -- .startofpacket rp_endofpacket => rsp_xbar_mux_src_endofpacket, -- .endofpacket rp_ready => rsp_xbar_mux_src_ready, -- .ready av_response => open, -- (terminated) av_writeresponserequest => '0', -- (terminated) av_writeresponsevalid => open -- (terminated) ); info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_src_ready, -- cp.ready cp_valid => width_adapter_src_valid, -- .valid cp_data => width_adapter_src_data, -- .data cp_startofpacket => width_adapter_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_src_endofpacket, -- .endofpacket cp_channel => width_adapter_src_channel, -- .channel rf_sink_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_002_src_ready, -- cp.ready cp_valid => width_adapter_002_src_valid, -- .valid cp_data => width_adapter_002_src_data, -- .data cp_startofpacket => width_adapter_002_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_002_src_endofpacket, -- .endofpacket cp_channel => width_adapter_002_src_channel, -- .channel rf_sink_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_004_src_ready, -- cp.ready cp_valid => width_adapter_004_src_valid, -- .valid cp_data => width_adapter_004_src_data, -- .data cp_startofpacket => width_adapter_004_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_004_src_endofpacket, -- .endofpacket cp_channel => width_adapter_004_src_channel, -- .channel rf_sink_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_006_src_ready, -- cp.ready cp_valid => width_adapter_006_src_valid, -- .valid cp_data => width_adapter_006_src_data, -- .data cp_startofpacket => width_adapter_006_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_006_src_endofpacket, -- .endofpacket cp_channel => width_adapter_006_src_channel, -- .channel rf_sink_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_008_src_ready, -- cp.ready cp_valid => width_adapter_008_src_valid, -- .valid cp_data => width_adapter_008_src_data, -- .data cp_startofpacket => width_adapter_008_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_008_src_endofpacket, -- .endofpacket cp_channel => width_adapter_008_src_channel, -- .channel rf_sink_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_010_src_ready, -- cp.ready cp_valid => width_adapter_010_src_valid, -- .valid cp_data => width_adapter_010_src_data, -- .data cp_startofpacket => width_adapter_010_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_010_src_endofpacket, -- .endofpacket cp_channel => width_adapter_010_src_channel, -- .channel rf_sink_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_012_src_ready, -- cp.ready cp_valid => width_adapter_012_src_valid, -- .valid cp_data => width_adapter_012_src_data, -- .data cp_startofpacket => width_adapter_012_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_012_src_endofpacket, -- .endofpacket cp_channel => width_adapter_012_src_channel, -- .channel rf_sink_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent : component altera_merlin_slave_agent generic map ( PKT_DATA_H => 31, PKT_DATA_L => 0, PKT_BEGIN_BURST => 70, PKT_SYMBOL_W => 8, PKT_BYTEEN_H => 35, PKT_BYTEEN_L => 32, PKT_ADDR_H => 52, PKT_ADDR_L => 36, PKT_TRANS_COMPRESSED_READ => 53, PKT_TRANS_POSTED => 54, PKT_TRANS_WRITE => 55, PKT_TRANS_READ => 56, PKT_TRANS_LOCK => 57, PKT_SRC_ID_H => 74, PKT_SRC_ID_L => 72, PKT_DEST_ID_H => 77, PKT_DEST_ID_L => 75, PKT_BURSTWRAP_H => 62, PKT_BURSTWRAP_L => 62, PKT_BYTE_CNT_H => 61, PKT_BYTE_CNT_L => 59, PKT_PROTECTION_H => 81, PKT_PROTECTION_L => 79, PKT_RESPONSE_STATUS_H => 87, PKT_RESPONSE_STATUS_L => 86, PKT_BURST_SIZE_H => 65, PKT_BURST_SIZE_L => 63, ST_CHANNEL_W => 8, ST_DATA_W => 88, AVS_BURSTCOUNT_W => 3, SUPPRESS_0_BYTEEN_CMD => 0, PREVENT_FIFO_OVERFLOW => 1, USE_READRESPONSE => 0, USE_WRITERESPONSE => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset m0_address => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_address, -- m0.address m0_burstcount => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_burstcount, -- .burstcount m0_byteenable => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_byteenable, -- .byteenable m0_debugaccess => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_debugaccess, -- .debugaccess m0_lock => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_lock, -- .lock m0_readdata => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdata, -- .readdata m0_readdatavalid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_readdatavalid, -- .readdatavalid m0_read => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_read, -- .read m0_waitrequest => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_waitrequest, -- .waitrequest m0_writedata => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_writedata, -- .writedata m0_write => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_m0_write, -- .write rp_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- rp.endofpacket rp_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- .ready rp_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid rp_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data rp_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket cp_ready => width_adapter_014_src_ready, -- cp.ready cp_valid => width_adapter_014_src_valid, -- .valid cp_data => width_adapter_014_src_data, -- .data cp_startofpacket => width_adapter_014_src_startofpacket, -- .startofpacket cp_endofpacket => width_adapter_014_src_endofpacket, -- .endofpacket cp_channel => width_adapter_014_src_channel, -- .channel rf_sink_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- rf_sink.ready rf_sink_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid rf_sink_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket rf_sink_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket rf_sink_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- .data rf_source_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- rf_source.ready rf_source_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid rf_source_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket rf_source_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket rf_source_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- .data rdata_fifo_sink_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_sink.ready rdata_fifo_sink_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_sink_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data rdata_fifo_src_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_ready, -- rdata_fifo_src.ready rdata_fifo_src_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_valid, -- .valid rdata_fifo_src_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rdata_fifo_src_data, -- .data m0_response => "00", -- (terminated) m0_writeresponserequest => open, -- (terminated) m0_writeresponsevalid => '0' -- (terminated) ); ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo : component altera_avalon_sc_fifo generic map ( SYMBOLS_PER_BEAT => 1, BITS_PER_SYMBOL => 89, FIFO_DEPTH => 2, CHANNEL_WIDTH => 0, ERROR_WIDTH => 0, USE_PACKETS => 1, USE_FILL_LEVEL => 0, EMPTY_LATENCY => 1, USE_MEMORY_BLOCKS => 0, USE_STORE_FORWARD => 0, USE_ALMOST_FULL_IF => 0, USE_ALMOST_EMPTY_IF => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_data, -- in.data in_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_valid, -- .valid in_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_ready, -- .ready in_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_startofpacket, -- .startofpacket in_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rf_source_endofpacket, -- .endofpacket out_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_data, -- out.data out_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_valid, -- .valid out_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_ready, -- .ready out_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_startofpacket, -- .startofpacket out_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rsp_fifo_out_endofpacket, -- .endofpacket csr_address => "00", -- (terminated) csr_read => '0', -- (terminated) csr_write => '0', -- (terminated) csr_readdata => open, -- (terminated) csr_writedata => "00000000000000000000000000000000", -- (terminated) almost_full_data => open, -- (terminated) almost_empty_data => open, -- (terminated) in_empty => '0', -- (terminated) out_empty => open, -- (terminated) in_error => '0', -- (terminated) out_error => open, -- (terminated) in_channel => '0', -- (terminated) out_channel => open -- (terminated) ); addr_router : component cb20_addr_router port map ( sink_ready => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_ready, -- sink.ready sink_valid => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_valid, -- .valid sink_data => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_data, -- .data sink_startofpacket => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_startofpacket, -- .startofpacket sink_endofpacket => eim_slave_to_avalon_master_0_avalon_master_translator_avalon_universal_master_0_agent_cp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => addr_router_src_ready, -- src.ready src_valid => addr_router_src_valid, -- .valid src_data => addr_router_src_data, -- .data src_channel => addr_router_src_channel, -- .channel src_startofpacket => addr_router_src_startofpacket, -- .startofpacket src_endofpacket => addr_router_src_endofpacket -- .endofpacket ); id_router : component cb20_id_router port map ( sink_ready => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => info_device_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_src_ready, -- src.ready src_valid => id_router_src_valid, -- .valid src_data => id_router_src_data, -- .data src_channel => id_router_src_channel, -- .channel src_startofpacket => id_router_src_startofpacket, -- .startofpacket src_endofpacket => id_router_src_endofpacket -- .endofpacket ); id_router_001 : component cb20_id_router port map ( sink_ready => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => dacad5668_0_avalon_slave_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_001_src_ready, -- src.ready src_valid => id_router_001_src_valid, -- .valid src_data => id_router_001_src_data, -- .data src_channel => id_router_001_src_channel, -- .channel src_startofpacket => id_router_001_src_startofpacket, -- .startofpacket src_endofpacket => id_router_001_src_endofpacket -- .endofpacket ); id_router_002 : component cb20_id_router port map ( sink_ready => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => fqd_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_002_src_ready, -- src.ready src_valid => id_router_002_src_valid, -- .valid src_data => id_router_002_src_data, -- .data src_channel => id_router_002_src_channel, -- .channel src_startofpacket => id_router_002_src_startofpacket, -- .startofpacket src_endofpacket => id_router_002_src_endofpacket -- .endofpacket ); id_router_003 : component cb20_id_router port map ( sink_ready => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => gpio_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_003_src_ready, -- src.ready src_valid => id_router_003_src_valid, -- .valid src_data => id_router_003_src_data, -- .data src_channel => id_router_003_src_channel, -- .channel src_startofpacket => id_router_003_src_startofpacket, -- .startofpacket src_endofpacket => id_router_003_src_endofpacket -- .endofpacket ); id_router_004 : component cb20_id_router port map ( sink_ready => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => pwm_interface_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_004_src_ready, -- src.ready src_valid => id_router_004_src_valid, -- .valid src_data => id_router_004_src_data, -- .data src_channel => id_router_004_src_channel, -- .channel src_startofpacket => id_router_004_src_startofpacket, -- .startofpacket src_endofpacket => id_router_004_src_endofpacket -- .endofpacket ); id_router_005 : component cb20_id_router port map ( sink_ready => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => gpio_block_1_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_005_src_ready, -- src.ready src_valid => id_router_005_src_valid, -- .valid src_data => id_router_005_src_data, -- .data src_channel => id_router_005_src_channel, -- .channel src_startofpacket => id_router_005_src_startofpacket, -- .startofpacket src_endofpacket => id_router_005_src_endofpacket -- .endofpacket ); id_router_006 : component cb20_id_router port map ( sink_ready => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => watchdog_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_006_src_ready, -- src.ready src_valid => id_router_006_src_valid, -- .valid src_data => id_router_006_src_data, -- .data src_channel => id_router_006_src_channel, -- .channel src_startofpacket => id_router_006_src_startofpacket, -- .startofpacket src_endofpacket => id_router_006_src_endofpacket -- .endofpacket ); id_router_007 : component cb20_id_router port map ( sink_ready => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_ready, -- sink.ready sink_valid => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_valid, -- .valid sink_data => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_data, -- .data sink_startofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_startofpacket, -- .startofpacket sink_endofpacket => ppwa_block_0_avalon_slave_0_translator_avalon_universal_slave_0_agent_rp_endofpacket, -- .endofpacket clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => id_router_007_src_ready, -- src.ready src_valid => id_router_007_src_valid, -- .valid src_data => id_router_007_src_data, -- .data src_channel => id_router_007_src_channel, -- .channel src_startofpacket => id_router_007_src_startofpacket, -- .startofpacket src_endofpacket => id_router_007_src_endofpacket -- .endofpacket ); rst_controller : component altera_reset_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => clk_clk, -- clk.clk reset_out => rst_controller_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_in15 => '0' -- (terminated) ); rst_controller_001 : component altera_reset_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c0_clk, -- clk.clk reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_in15 => '0' -- (terminated) ); cmd_xbar_demux : component cb20_cmd_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => addr_router_src_ready, -- sink.ready sink_channel => addr_router_src_channel, -- .channel sink_data => addr_router_src_data, -- .data sink_startofpacket => addr_router_src_startofpacket, -- .startofpacket sink_endofpacket => addr_router_src_endofpacket, -- .endofpacket sink_valid(0) => addr_router_src_valid, -- .valid src0_ready => cmd_xbar_demux_src0_ready, -- src0.ready src0_valid => cmd_xbar_demux_src0_valid, -- .valid src0_data => cmd_xbar_demux_src0_data, -- .data src0_channel => cmd_xbar_demux_src0_channel, -- .channel src0_startofpacket => cmd_xbar_demux_src0_startofpacket, -- .startofpacket src0_endofpacket => cmd_xbar_demux_src0_endofpacket, -- .endofpacket src1_ready => cmd_xbar_demux_src1_ready, -- src1.ready src1_valid => cmd_xbar_demux_src1_valid, -- .valid src1_data => cmd_xbar_demux_src1_data, -- .data src1_channel => cmd_xbar_demux_src1_channel, -- .channel src1_startofpacket => cmd_xbar_demux_src1_startofpacket, -- .startofpacket src1_endofpacket => cmd_xbar_demux_src1_endofpacket, -- .endofpacket src2_ready => cmd_xbar_demux_src2_ready, -- src2.ready src2_valid => cmd_xbar_demux_src2_valid, -- .valid src2_data => cmd_xbar_demux_src2_data, -- .data src2_channel => cmd_xbar_demux_src2_channel, -- .channel src2_startofpacket => cmd_xbar_demux_src2_startofpacket, -- .startofpacket src2_endofpacket => cmd_xbar_demux_src2_endofpacket, -- .endofpacket src3_ready => cmd_xbar_demux_src3_ready, -- src3.ready src3_valid => cmd_xbar_demux_src3_valid, -- .valid src3_data => cmd_xbar_demux_src3_data, -- .data src3_channel => cmd_xbar_demux_src3_channel, -- .channel src3_startofpacket => cmd_xbar_demux_src3_startofpacket, -- .startofpacket src3_endofpacket => cmd_xbar_demux_src3_endofpacket, -- .endofpacket src4_ready => cmd_xbar_demux_src4_ready, -- src4.ready src4_valid => cmd_xbar_demux_src4_valid, -- .valid src4_data => cmd_xbar_demux_src4_data, -- .data src4_channel => cmd_xbar_demux_src4_channel, -- .channel src4_startofpacket => cmd_xbar_demux_src4_startofpacket, -- .startofpacket src4_endofpacket => cmd_xbar_demux_src4_endofpacket, -- .endofpacket src5_ready => cmd_xbar_demux_src5_ready, -- src5.ready src5_valid => cmd_xbar_demux_src5_valid, -- .valid src5_data => cmd_xbar_demux_src5_data, -- .data src5_channel => cmd_xbar_demux_src5_channel, -- .channel src5_startofpacket => cmd_xbar_demux_src5_startofpacket, -- .startofpacket src5_endofpacket => cmd_xbar_demux_src5_endofpacket, -- .endofpacket src6_ready => cmd_xbar_demux_src6_ready, -- src6.ready src6_valid => cmd_xbar_demux_src6_valid, -- .valid src6_data => cmd_xbar_demux_src6_data, -- .data src6_channel => cmd_xbar_demux_src6_channel, -- .channel src6_startofpacket => cmd_xbar_demux_src6_startofpacket, -- .startofpacket src6_endofpacket => cmd_xbar_demux_src6_endofpacket, -- .endofpacket src7_ready => cmd_xbar_demux_src7_ready, -- src7.ready src7_valid => cmd_xbar_demux_src7_valid, -- .valid src7_data => cmd_xbar_demux_src7_data, -- .data src7_channel => cmd_xbar_demux_src7_channel, -- .channel src7_startofpacket => cmd_xbar_demux_src7_startofpacket, -- .startofpacket src7_endofpacket => cmd_xbar_demux_src7_endofpacket -- .endofpacket ); rsp_xbar_demux : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_001_src_ready, -- sink.ready sink_channel => width_adapter_001_src_channel, -- .channel sink_data => width_adapter_001_src_data, -- .data sink_startofpacket => width_adapter_001_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_001_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_001_src_valid, -- .valid src0_ready => rsp_xbar_demux_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_src0_valid, -- .valid src0_data => rsp_xbar_demux_src0_data, -- .data src0_channel => rsp_xbar_demux_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_001 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_003_src_ready, -- sink.ready sink_channel => width_adapter_003_src_channel, -- .channel sink_data => width_adapter_003_src_data, -- .data sink_startofpacket => width_adapter_003_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_003_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_003_src_valid, -- .valid src0_ready => rsp_xbar_demux_001_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_001_src0_valid, -- .valid src0_data => rsp_xbar_demux_001_src0_data, -- .data src0_channel => rsp_xbar_demux_001_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_001_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_001_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_002 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_005_src_ready, -- sink.ready sink_channel => width_adapter_005_src_channel, -- .channel sink_data => width_adapter_005_src_data, -- .data sink_startofpacket => width_adapter_005_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_005_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_005_src_valid, -- .valid src0_ready => rsp_xbar_demux_002_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_002_src0_valid, -- .valid src0_data => rsp_xbar_demux_002_src0_data, -- .data src0_channel => rsp_xbar_demux_002_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_002_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_002_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_003 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_007_src_ready, -- sink.ready sink_channel => width_adapter_007_src_channel, -- .channel sink_data => width_adapter_007_src_data, -- .data sink_startofpacket => width_adapter_007_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_007_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_007_src_valid, -- .valid src0_ready => rsp_xbar_demux_003_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_003_src0_valid, -- .valid src0_data => rsp_xbar_demux_003_src0_data, -- .data src0_channel => rsp_xbar_demux_003_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_003_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_003_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_004 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_009_src_ready, -- sink.ready sink_channel => width_adapter_009_src_channel, -- .channel sink_data => width_adapter_009_src_data, -- .data sink_startofpacket => width_adapter_009_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_009_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_009_src_valid, -- .valid src0_ready => rsp_xbar_demux_004_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_004_src0_valid, -- .valid src0_data => rsp_xbar_demux_004_src0_data, -- .data src0_channel => rsp_xbar_demux_004_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_004_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_004_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_005 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_011_src_ready, -- sink.ready sink_channel => width_adapter_011_src_channel, -- .channel sink_data => width_adapter_011_src_data, -- .data sink_startofpacket => width_adapter_011_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_011_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_011_src_valid, -- .valid src0_ready => rsp_xbar_demux_005_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_005_src0_valid, -- .valid src0_data => rsp_xbar_demux_005_src0_data, -- .data src0_channel => rsp_xbar_demux_005_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_005_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_005_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_006 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_013_src_ready, -- sink.ready sink_channel => width_adapter_013_src_channel, -- .channel sink_data => width_adapter_013_src_data, -- .data sink_startofpacket => width_adapter_013_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_013_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_013_src_valid, -- .valid src0_ready => rsp_xbar_demux_006_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_006_src0_valid, -- .valid src0_data => rsp_xbar_demux_006_src0_data, -- .data src0_channel => rsp_xbar_demux_006_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_006_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_006_src0_endofpacket -- .endofpacket ); rsp_xbar_demux_007 : component cb20_rsp_xbar_demux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset sink_ready => width_adapter_015_src_ready, -- sink.ready sink_channel => width_adapter_015_src_channel, -- .channel sink_data => width_adapter_015_src_data, -- .data sink_startofpacket => width_adapter_015_src_startofpacket, -- .startofpacket sink_endofpacket => width_adapter_015_src_endofpacket, -- .endofpacket sink_valid(0) => width_adapter_015_src_valid, -- .valid src0_ready => rsp_xbar_demux_007_src0_ready, -- src0.ready src0_valid => rsp_xbar_demux_007_src0_valid, -- .valid src0_data => rsp_xbar_demux_007_src0_data, -- .data src0_channel => rsp_xbar_demux_007_src0_channel, -- .channel src0_startofpacket => rsp_xbar_demux_007_src0_startofpacket, -- .startofpacket src0_endofpacket => rsp_xbar_demux_007_src0_endofpacket -- .endofpacket ); rsp_xbar_mux : component cb20_rsp_xbar_mux port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset src_ready => rsp_xbar_mux_src_ready, -- src.ready src_valid => rsp_xbar_mux_src_valid, -- .valid src_data => rsp_xbar_mux_src_data, -- .data src_channel => rsp_xbar_mux_src_channel, -- .channel src_startofpacket => rsp_xbar_mux_src_startofpacket, -- .startofpacket src_endofpacket => rsp_xbar_mux_src_endofpacket, -- .endofpacket sink0_ready => rsp_xbar_demux_src0_ready, -- sink0.ready sink0_valid => rsp_xbar_demux_src0_valid, -- .valid sink0_channel => rsp_xbar_demux_src0_channel, -- .channel sink0_data => rsp_xbar_demux_src0_data, -- .data sink0_startofpacket => rsp_xbar_demux_src0_startofpacket, -- .startofpacket sink0_endofpacket => rsp_xbar_demux_src0_endofpacket, -- .endofpacket sink1_ready => rsp_xbar_demux_001_src0_ready, -- sink1.ready sink1_valid => rsp_xbar_demux_001_src0_valid, -- .valid sink1_channel => rsp_xbar_demux_001_src0_channel, -- .channel sink1_data => rsp_xbar_demux_001_src0_data, -- .data sink1_startofpacket => rsp_xbar_demux_001_src0_startofpacket, -- .startofpacket sink1_endofpacket => rsp_xbar_demux_001_src0_endofpacket, -- .endofpacket sink2_ready => rsp_xbar_demux_002_src0_ready, -- sink2.ready sink2_valid => rsp_xbar_demux_002_src0_valid, -- .valid sink2_channel => rsp_xbar_demux_002_src0_channel, -- .channel sink2_data => rsp_xbar_demux_002_src0_data, -- .data sink2_startofpacket => rsp_xbar_demux_002_src0_startofpacket, -- .startofpacket sink2_endofpacket => rsp_xbar_demux_002_src0_endofpacket, -- .endofpacket sink3_ready => rsp_xbar_demux_003_src0_ready, -- sink3.ready sink3_valid => rsp_xbar_demux_003_src0_valid, -- .valid sink3_channel => rsp_xbar_demux_003_src0_channel, -- .channel sink3_data => rsp_xbar_demux_003_src0_data, -- .data sink3_startofpacket => rsp_xbar_demux_003_src0_startofpacket, -- .startofpacket sink3_endofpacket => rsp_xbar_demux_003_src0_endofpacket, -- .endofpacket sink4_ready => rsp_xbar_demux_004_src0_ready, -- sink4.ready sink4_valid => rsp_xbar_demux_004_src0_valid, -- .valid sink4_channel => rsp_xbar_demux_004_src0_channel, -- .channel sink4_data => rsp_xbar_demux_004_src0_data, -- .data sink4_startofpacket => rsp_xbar_demux_004_src0_startofpacket, -- .startofpacket sink4_endofpacket => rsp_xbar_demux_004_src0_endofpacket, -- .endofpacket sink5_ready => rsp_xbar_demux_005_src0_ready, -- sink5.ready sink5_valid => rsp_xbar_demux_005_src0_valid, -- .valid sink5_channel => rsp_xbar_demux_005_src0_channel, -- .channel sink5_data => rsp_xbar_demux_005_src0_data, -- .data sink5_startofpacket => rsp_xbar_demux_005_src0_startofpacket, -- .startofpacket sink5_endofpacket => rsp_xbar_demux_005_src0_endofpacket, -- .endofpacket sink6_ready => rsp_xbar_demux_006_src0_ready, -- sink6.ready sink6_valid => rsp_xbar_demux_006_src0_valid, -- .valid sink6_channel => rsp_xbar_demux_006_src0_channel, -- .channel sink6_data => rsp_xbar_demux_006_src0_data, -- .data sink6_startofpacket => rsp_xbar_demux_006_src0_startofpacket, -- .startofpacket sink6_endofpacket => rsp_xbar_demux_006_src0_endofpacket, -- .endofpacket sink7_ready => rsp_xbar_demux_007_src0_ready, -- sink7.ready sink7_valid => rsp_xbar_demux_007_src0_valid, -- .valid sink7_channel => rsp_xbar_demux_007_src0_channel, -- .channel sink7_data => rsp_xbar_demux_007_src0_data, -- .data sink7_startofpacket => rsp_xbar_demux_007_src0_startofpacket, -- .startofpacket sink7_endofpacket => rsp_xbar_demux_007_src0_endofpacket -- .endofpacket ); width_adapter : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src0_valid, -- sink.valid in_channel => cmd_xbar_demux_src0_channel, -- .channel in_startofpacket => cmd_xbar_demux_src0_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src0_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src0_ready, -- .ready in_data => cmd_xbar_demux_src0_data, -- .data out_endofpacket => width_adapter_src_endofpacket, -- src.endofpacket out_data => width_adapter_src_data, -- .data out_channel => width_adapter_src_channel, -- .channel out_valid => width_adapter_src_valid, -- .valid out_ready => width_adapter_src_ready, -- .ready out_startofpacket => width_adapter_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_001 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_src_valid, -- sink.valid in_channel => id_router_src_channel, -- .channel in_startofpacket => id_router_src_startofpacket, -- .startofpacket in_endofpacket => id_router_src_endofpacket, -- .endofpacket in_ready => id_router_src_ready, -- .ready in_data => id_router_src_data, -- .data out_endofpacket => width_adapter_001_src_endofpacket, -- src.endofpacket out_data => width_adapter_001_src_data, -- .data out_channel => width_adapter_001_src_channel, -- .channel out_valid => width_adapter_001_src_valid, -- .valid out_ready => width_adapter_001_src_ready, -- .ready out_startofpacket => width_adapter_001_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_002 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src1_valid, -- sink.valid in_channel => cmd_xbar_demux_src1_channel, -- .channel in_startofpacket => cmd_xbar_demux_src1_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src1_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src1_ready, -- .ready in_data => cmd_xbar_demux_src1_data, -- .data out_endofpacket => width_adapter_002_src_endofpacket, -- src.endofpacket out_data => width_adapter_002_src_data, -- .data out_channel => width_adapter_002_src_channel, -- .channel out_valid => width_adapter_002_src_valid, -- .valid out_ready => width_adapter_002_src_ready, -- .ready out_startofpacket => width_adapter_002_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_003 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_001_src_valid, -- sink.valid in_channel => id_router_001_src_channel, -- .channel in_startofpacket => id_router_001_src_startofpacket, -- .startofpacket in_endofpacket => id_router_001_src_endofpacket, -- .endofpacket in_ready => id_router_001_src_ready, -- .ready in_data => id_router_001_src_data, -- .data out_endofpacket => width_adapter_003_src_endofpacket, -- src.endofpacket out_data => width_adapter_003_src_data, -- .data out_channel => width_adapter_003_src_channel, -- .channel out_valid => width_adapter_003_src_valid, -- .valid out_ready => width_adapter_003_src_ready, -- .ready out_startofpacket => width_adapter_003_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_004 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src2_valid, -- sink.valid in_channel => cmd_xbar_demux_src2_channel, -- .channel in_startofpacket => cmd_xbar_demux_src2_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src2_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src2_ready, -- .ready in_data => cmd_xbar_demux_src2_data, -- .data out_endofpacket => width_adapter_004_src_endofpacket, -- src.endofpacket out_data => width_adapter_004_src_data, -- .data out_channel => width_adapter_004_src_channel, -- .channel out_valid => width_adapter_004_src_valid, -- .valid out_ready => width_adapter_004_src_ready, -- .ready out_startofpacket => width_adapter_004_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_005 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_002_src_valid, -- sink.valid in_channel => id_router_002_src_channel, -- .channel in_startofpacket => id_router_002_src_startofpacket, -- .startofpacket in_endofpacket => id_router_002_src_endofpacket, -- .endofpacket in_ready => id_router_002_src_ready, -- .ready in_data => id_router_002_src_data, -- .data out_endofpacket => width_adapter_005_src_endofpacket, -- src.endofpacket out_data => width_adapter_005_src_data, -- .data out_channel => width_adapter_005_src_channel, -- .channel out_valid => width_adapter_005_src_valid, -- .valid out_ready => width_adapter_005_src_ready, -- .ready out_startofpacket => width_adapter_005_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_006 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src3_valid, -- sink.valid in_channel => cmd_xbar_demux_src3_channel, -- .channel in_startofpacket => cmd_xbar_demux_src3_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src3_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src3_ready, -- .ready in_data => cmd_xbar_demux_src3_data, -- .data out_endofpacket => width_adapter_006_src_endofpacket, -- src.endofpacket out_data => width_adapter_006_src_data, -- .data out_channel => width_adapter_006_src_channel, -- .channel out_valid => width_adapter_006_src_valid, -- .valid out_ready => width_adapter_006_src_ready, -- .ready out_startofpacket => width_adapter_006_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_007 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_003_src_valid, -- sink.valid in_channel => id_router_003_src_channel, -- .channel in_startofpacket => id_router_003_src_startofpacket, -- .startofpacket in_endofpacket => id_router_003_src_endofpacket, -- .endofpacket in_ready => id_router_003_src_ready, -- .ready in_data => id_router_003_src_data, -- .data out_endofpacket => width_adapter_007_src_endofpacket, -- src.endofpacket out_data => width_adapter_007_src_data, -- .data out_channel => width_adapter_007_src_channel, -- .channel out_valid => width_adapter_007_src_valid, -- .valid out_ready => width_adapter_007_src_ready, -- .ready out_startofpacket => width_adapter_007_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_008 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src4_valid, -- sink.valid in_channel => cmd_xbar_demux_src4_channel, -- .channel in_startofpacket => cmd_xbar_demux_src4_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src4_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src4_ready, -- .ready in_data => cmd_xbar_demux_src4_data, -- .data out_endofpacket => width_adapter_008_src_endofpacket, -- src.endofpacket out_data => width_adapter_008_src_data, -- .data out_channel => width_adapter_008_src_channel, -- .channel out_valid => width_adapter_008_src_valid, -- .valid out_ready => width_adapter_008_src_ready, -- .ready out_startofpacket => width_adapter_008_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_009 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_004_src_valid, -- sink.valid in_channel => id_router_004_src_channel, -- .channel in_startofpacket => id_router_004_src_startofpacket, -- .startofpacket in_endofpacket => id_router_004_src_endofpacket, -- .endofpacket in_ready => id_router_004_src_ready, -- .ready in_data => id_router_004_src_data, -- .data out_endofpacket => width_adapter_009_src_endofpacket, -- src.endofpacket out_data => width_adapter_009_src_data, -- .data out_channel => width_adapter_009_src_channel, -- .channel out_valid => width_adapter_009_src_valid, -- .valid out_ready => width_adapter_009_src_ready, -- .ready out_startofpacket => width_adapter_009_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_010 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src5_valid, -- sink.valid in_channel => cmd_xbar_demux_src5_channel, -- .channel in_startofpacket => cmd_xbar_demux_src5_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src5_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src5_ready, -- .ready in_data => cmd_xbar_demux_src5_data, -- .data out_endofpacket => width_adapter_010_src_endofpacket, -- src.endofpacket out_data => width_adapter_010_src_data, -- .data out_channel => width_adapter_010_src_channel, -- .channel out_valid => width_adapter_010_src_valid, -- .valid out_ready => width_adapter_010_src_ready, -- .ready out_startofpacket => width_adapter_010_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_011 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_005_src_valid, -- sink.valid in_channel => id_router_005_src_channel, -- .channel in_startofpacket => id_router_005_src_startofpacket, -- .startofpacket in_endofpacket => id_router_005_src_endofpacket, -- .endofpacket in_ready => id_router_005_src_ready, -- .ready in_data => id_router_005_src_data, -- .data out_endofpacket => width_adapter_011_src_endofpacket, -- src.endofpacket out_data => width_adapter_011_src_data, -- .data out_channel => width_adapter_011_src_channel, -- .channel out_valid => width_adapter_011_src_valid, -- .valid out_ready => width_adapter_011_src_ready, -- .ready out_startofpacket => width_adapter_011_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_012 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src6_valid, -- sink.valid in_channel => cmd_xbar_demux_src6_channel, -- .channel in_startofpacket => cmd_xbar_demux_src6_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src6_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src6_ready, -- .ready in_data => cmd_xbar_demux_src6_data, -- .data out_endofpacket => width_adapter_012_src_endofpacket, -- src.endofpacket out_data => width_adapter_012_src_data, -- .data out_channel => width_adapter_012_src_channel, -- .channel out_valid => width_adapter_012_src_valid, -- .valid out_ready => width_adapter_012_src_ready, -- .ready out_startofpacket => width_adapter_012_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_013 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_006_src_valid, -- sink.valid in_channel => id_router_006_src_channel, -- .channel in_startofpacket => id_router_006_src_startofpacket, -- .startofpacket in_endofpacket => id_router_006_src_endofpacket, -- .endofpacket in_ready => id_router_006_src_ready, -- .ready in_data => id_router_006_src_data, -- .data out_endofpacket => width_adapter_013_src_endofpacket, -- src.endofpacket out_data => width_adapter_013_src_data, -- .data out_channel => width_adapter_013_src_channel, -- .channel out_valid => width_adapter_013_src_valid, -- .valid out_ready => width_adapter_013_src_ready, -- .ready out_startofpacket => width_adapter_013_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_014 : component cb20_width_adapter generic map ( IN_PKT_ADDR_H => 34, IN_PKT_ADDR_L => 18, IN_PKT_DATA_H => 15, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 17, IN_PKT_BYTEEN_L => 16, IN_PKT_BYTE_CNT_H => 43, IN_PKT_BYTE_CNT_L => 41, IN_PKT_TRANS_COMPRESSED_READ => 35, IN_PKT_BURSTWRAP_H => 44, IN_PKT_BURSTWRAP_L => 44, IN_PKT_BURST_SIZE_H => 47, IN_PKT_BURST_SIZE_L => 45, IN_PKT_RESPONSE_STATUS_H => 69, IN_PKT_RESPONSE_STATUS_L => 68, IN_PKT_TRANS_EXCLUSIVE => 40, IN_PKT_BURST_TYPE_H => 49, IN_PKT_BURST_TYPE_L => 48, IN_ST_DATA_W => 70, OUT_PKT_ADDR_H => 52, OUT_PKT_ADDR_L => 36, OUT_PKT_DATA_H => 31, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 35, OUT_PKT_BYTEEN_L => 32, OUT_PKT_BYTE_CNT_H => 61, OUT_PKT_BYTE_CNT_L => 59, OUT_PKT_TRANS_COMPRESSED_READ => 53, OUT_PKT_BURST_SIZE_H => 65, OUT_PKT_BURST_SIZE_L => 63, OUT_PKT_RESPONSE_STATUS_H => 87, OUT_PKT_RESPONSE_STATUS_L => 86, OUT_PKT_TRANS_EXCLUSIVE => 58, OUT_PKT_BURST_TYPE_H => 67, OUT_PKT_BURST_TYPE_L => 66, OUT_ST_DATA_W => 88, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 0, RESPONSE_PATH => 0 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => cmd_xbar_demux_src7_valid, -- sink.valid in_channel => cmd_xbar_demux_src7_channel, -- .channel in_startofpacket => cmd_xbar_demux_src7_startofpacket, -- .startofpacket in_endofpacket => cmd_xbar_demux_src7_endofpacket, -- .endofpacket in_ready => cmd_xbar_demux_src7_ready, -- .ready in_data => cmd_xbar_demux_src7_data, -- .data out_endofpacket => width_adapter_014_src_endofpacket, -- src.endofpacket out_data => width_adapter_014_src_data, -- .data out_channel => width_adapter_014_src_channel, -- .channel out_valid => width_adapter_014_src_valid, -- .valid out_ready => width_adapter_014_src_ready, -- .ready out_startofpacket => width_adapter_014_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); width_adapter_015 : component cb20_width_adapter_001 generic map ( IN_PKT_ADDR_H => 52, IN_PKT_ADDR_L => 36, IN_PKT_DATA_H => 31, IN_PKT_DATA_L => 0, IN_PKT_BYTEEN_H => 35, IN_PKT_BYTEEN_L => 32, IN_PKT_BYTE_CNT_H => 61, IN_PKT_BYTE_CNT_L => 59, IN_PKT_TRANS_COMPRESSED_READ => 53, IN_PKT_BURSTWRAP_H => 62, IN_PKT_BURSTWRAP_L => 62, IN_PKT_BURST_SIZE_H => 65, IN_PKT_BURST_SIZE_L => 63, IN_PKT_RESPONSE_STATUS_H => 87, IN_PKT_RESPONSE_STATUS_L => 86, IN_PKT_TRANS_EXCLUSIVE => 58, IN_PKT_BURST_TYPE_H => 67, IN_PKT_BURST_TYPE_L => 66, IN_ST_DATA_W => 88, OUT_PKT_ADDR_H => 34, OUT_PKT_ADDR_L => 18, OUT_PKT_DATA_H => 15, OUT_PKT_DATA_L => 0, OUT_PKT_BYTEEN_H => 17, OUT_PKT_BYTEEN_L => 16, OUT_PKT_BYTE_CNT_H => 43, OUT_PKT_BYTE_CNT_L => 41, OUT_PKT_TRANS_COMPRESSED_READ => 35, OUT_PKT_BURST_SIZE_H => 47, OUT_PKT_BURST_SIZE_L => 45, OUT_PKT_RESPONSE_STATUS_H => 69, OUT_PKT_RESPONSE_STATUS_L => 68, OUT_PKT_TRANS_EXCLUSIVE => 40, OUT_PKT_BURST_TYPE_H => 49, OUT_PKT_BURST_TYPE_L => 48, OUT_ST_DATA_W => 70, ST_CHANNEL_W => 8, OPTIMIZE_FOR_RSP => 1, RESPONSE_PATH => 1 ) port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset in_valid => id_router_007_src_valid, -- sink.valid in_channel => id_router_007_src_channel, -- .channel in_startofpacket => id_router_007_src_startofpacket, -- .startofpacket in_endofpacket => id_router_007_src_endofpacket, -- .endofpacket in_ready => id_router_007_src_ready, -- .ready in_data => id_router_007_src_data, -- .data out_endofpacket => width_adapter_015_src_endofpacket, -- src.endofpacket out_data => width_adapter_015_src_data, -- .data out_channel => width_adapter_015_src_channel, -- .channel out_valid => width_adapter_015_src_valid, -- .valid out_ready => width_adapter_015_src_ready, -- .ready out_startofpacket => width_adapter_015_src_startofpacket, -- .startofpacket in_command_size_data => "000" -- (terminated) ); reset_reset_n_ports_inv <= not reset_reset_n; rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset; end architecture rtl; -- of cb20
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3126.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b01x02p12n01i03126ent_a IS generic ( g1 : integer := 3 ); END c05s02b01x02p12n01i03126ent_a; ARCHITECTURE c05s02b01x02p12n01i03126arch_a OF c05s02b01x02p12n01i03126ent_a IS BEGIN TESTING: PROCESS BEGIN assert g1 /= 2 report "g1 = 2" severity FAILURE; assert g1 /= 3 report "g1 = 3" severity FAILURE; assert g1 = 4 report "g1 /= 4" severity FAILURE; assert g1 /= 5 report "g1 = 5" severity FAILURE; assert g1 /= 6 report "g1 = 6" severity FAILURE; assert NOT( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***PASSED TEST: c05s02b01x02p12n01i03126" severity NOTE; assert ( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***FAILED TEST: c05s02b01x02p12n01i03126 - An actual associated with a formal generic in a generic map aspect be an expression test failed." severity ERROR; wait; END PROCESS TESTING; END c05s02b01x02p12n01i03126arch_a; ENTITY c05s02b01x02p12n01i03126ent IS END c05s02b01x02p12n01i03126ent; ARCHITECTURE c05s02b01x02p12n01i03126arch OF c05s02b01x02p12n01i03126ent IS component ic_socket generic ( g1 : integer := 2 ); end component; for instance : ic_socket use entity work.c05s02b01x02p12n01i03126ent_a (c05s02b01x02p12n01i03126arch_a) generic map ( g1 => g1 + g1 ); BEGIN instance : ic_socket ; END c05s02b01x02p12n01i03126arch; configuration c05s02b01x02p12n01i03126cfg of c05s02b01x02p12n01i03126ent is for c05s02b01x02p12n01i03126arch end for; end c05s02b01x02p12n01i03126cfg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3126.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b01x02p12n01i03126ent_a IS generic ( g1 : integer := 3 ); END c05s02b01x02p12n01i03126ent_a; ARCHITECTURE c05s02b01x02p12n01i03126arch_a OF c05s02b01x02p12n01i03126ent_a IS BEGIN TESTING: PROCESS BEGIN assert g1 /= 2 report "g1 = 2" severity FAILURE; assert g1 /= 3 report "g1 = 3" severity FAILURE; assert g1 = 4 report "g1 /= 4" severity FAILURE; assert g1 /= 5 report "g1 = 5" severity FAILURE; assert g1 /= 6 report "g1 = 6" severity FAILURE; assert NOT( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***PASSED TEST: c05s02b01x02p12n01i03126" severity NOTE; assert ( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***FAILED TEST: c05s02b01x02p12n01i03126 - An actual associated with a formal generic in a generic map aspect be an expression test failed." severity ERROR; wait; END PROCESS TESTING; END c05s02b01x02p12n01i03126arch_a; ENTITY c05s02b01x02p12n01i03126ent IS END c05s02b01x02p12n01i03126ent; ARCHITECTURE c05s02b01x02p12n01i03126arch OF c05s02b01x02p12n01i03126ent IS component ic_socket generic ( g1 : integer := 2 ); end component; for instance : ic_socket use entity work.c05s02b01x02p12n01i03126ent_a (c05s02b01x02p12n01i03126arch_a) generic map ( g1 => g1 + g1 ); BEGIN instance : ic_socket ; END c05s02b01x02p12n01i03126arch; configuration c05s02b01x02p12n01i03126cfg of c05s02b01x02p12n01i03126ent is for c05s02b01x02p12n01i03126arch end for; end c05s02b01x02p12n01i03126cfg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3126.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b01x02p12n01i03126ent_a IS generic ( g1 : integer := 3 ); END c05s02b01x02p12n01i03126ent_a; ARCHITECTURE c05s02b01x02p12n01i03126arch_a OF c05s02b01x02p12n01i03126ent_a IS BEGIN TESTING: PROCESS BEGIN assert g1 /= 2 report "g1 = 2" severity FAILURE; assert g1 /= 3 report "g1 = 3" severity FAILURE; assert g1 = 4 report "g1 /= 4" severity FAILURE; assert g1 /= 5 report "g1 = 5" severity FAILURE; assert g1 /= 6 report "g1 = 6" severity FAILURE; assert NOT( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***PASSED TEST: c05s02b01x02p12n01i03126" severity NOTE; assert ( g1 /= 2 and g1 /= 3 and g1 = 4 and g1 /= 5 and g1 /= 6 ) report "***FAILED TEST: c05s02b01x02p12n01i03126 - An actual associated with a formal generic in a generic map aspect be an expression test failed." severity ERROR; wait; END PROCESS TESTING; END c05s02b01x02p12n01i03126arch_a; ENTITY c05s02b01x02p12n01i03126ent IS END c05s02b01x02p12n01i03126ent; ARCHITECTURE c05s02b01x02p12n01i03126arch OF c05s02b01x02p12n01i03126ent IS component ic_socket generic ( g1 : integer := 2 ); end component; for instance : ic_socket use entity work.c05s02b01x02p12n01i03126ent_a (c05s02b01x02p12n01i03126arch_a) generic map ( g1 => g1 + g1 ); BEGIN instance : ic_socket ; END c05s02b01x02p12n01i03126arch; configuration c05s02b01x02p12n01i03126cfg of c05s02b01x02p12n01i03126ent is for c05s02b01x02p12n01i03126arch end for; end c05s02b01x02p12n01i03126cfg;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_top.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_top IS END ENTITY; ARCHITECTURE fg_tb_arch OF fg_tb_top IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 48 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 960 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from fg_tb_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of fg_tb_synth fg_tb_synth_inst:fg_tb_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 21 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3142.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b02x00p10n01i03142ent_a IS generic ( g1 : real := 22.0 ); END c05s02b02x00p10n01i03142ent_a; ARCHITECTURE c05s02b02x00p10n01i03142arch_a OF c05s02b02x00p10n01i03142ent_a IS BEGIN TESTING: PROCESS BEGIN assert NOT( g1 = 22.0 ) report "***PASSED TEST: c05s02b02x00p10n01i03142" severity NOTE; assert ( g1 = 22.0 ) report "***FAILED TEST: c05s02b02x00p10n01i03142 - The formal generics take on implicit OPENs." severity ERROR; wait; END PROCESS TESTING; END c05s02b02x00p10n01i03142arch_a; -- ENTITY c05s02b02x00p10n01i03142ent IS END c05s02b02x00p10n01i03142ent; ARCHITECTURE c05s02b02x00p10n01i03142arch OF c05s02b02x00p10n01i03142ent IS begin l : block component c05s02b02x00p10n01i03142ent_a end component; for comp1 : c05s02b02x00p10n01i03142ent_a use entity work.c05s02b02x00p10n01i03142ent_a(c05s02b02x00p10n01i03142arch_a); BEGIN comp1 : c05s02b02x00p10n01i03142ent_a; end block; END c05s02b02x00p10n01i03142arch; configuration c05s02b02x00p10n01i03142cfg of c05s02b02x00p10n01i03142ent is for c05s02b02x00p10n01i03142arch end for; end c05s02b02x00p10n01i03142cfg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3142.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b02x00p10n01i03142ent_a IS generic ( g1 : real := 22.0 ); END c05s02b02x00p10n01i03142ent_a; ARCHITECTURE c05s02b02x00p10n01i03142arch_a OF c05s02b02x00p10n01i03142ent_a IS BEGIN TESTING: PROCESS BEGIN assert NOT( g1 = 22.0 ) report "***PASSED TEST: c05s02b02x00p10n01i03142" severity NOTE; assert ( g1 = 22.0 ) report "***FAILED TEST: c05s02b02x00p10n01i03142 - The formal generics take on implicit OPENs." severity ERROR; wait; END PROCESS TESTING; END c05s02b02x00p10n01i03142arch_a; -- ENTITY c05s02b02x00p10n01i03142ent IS END c05s02b02x00p10n01i03142ent; ARCHITECTURE c05s02b02x00p10n01i03142arch OF c05s02b02x00p10n01i03142ent IS begin l : block component c05s02b02x00p10n01i03142ent_a end component; for comp1 : c05s02b02x00p10n01i03142ent_a use entity work.c05s02b02x00p10n01i03142ent_a(c05s02b02x00p10n01i03142arch_a); BEGIN comp1 : c05s02b02x00p10n01i03142ent_a; end block; END c05s02b02x00p10n01i03142arch; configuration c05s02b02x00p10n01i03142cfg of c05s02b02x00p10n01i03142ent is for c05s02b02x00p10n01i03142arch end for; end c05s02b02x00p10n01i03142cfg;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3142.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s02b02x00p10n01i03142ent_a IS generic ( g1 : real := 22.0 ); END c05s02b02x00p10n01i03142ent_a; ARCHITECTURE c05s02b02x00p10n01i03142arch_a OF c05s02b02x00p10n01i03142ent_a IS BEGIN TESTING: PROCESS BEGIN assert NOT( g1 = 22.0 ) report "***PASSED TEST: c05s02b02x00p10n01i03142" severity NOTE; assert ( g1 = 22.0 ) report "***FAILED TEST: c05s02b02x00p10n01i03142 - The formal generics take on implicit OPENs." severity ERROR; wait; END PROCESS TESTING; END c05s02b02x00p10n01i03142arch_a; -- ENTITY c05s02b02x00p10n01i03142ent IS END c05s02b02x00p10n01i03142ent; ARCHITECTURE c05s02b02x00p10n01i03142arch OF c05s02b02x00p10n01i03142ent IS begin l : block component c05s02b02x00p10n01i03142ent_a end component; for comp1 : c05s02b02x00p10n01i03142ent_a use entity work.c05s02b02x00p10n01i03142ent_a(c05s02b02x00p10n01i03142arch_a); BEGIN comp1 : c05s02b02x00p10n01i03142ent_a; end block; END c05s02b02x00p10n01i03142arch; configuration c05s02b02x00p10n01i03142cfg of c05s02b02x00p10n01i03142ent is for c05s02b02x00p10n01i03142arch end for; end c05s02b02x00p10n01i03142cfg;
package wishbone_types is type wb_slave_out_t is record dat : bit_vector; ack : bit; stall : bit; end record; -- Common subtypes constant wb_cpu_data_bits : integer := 64; subtype wb_cpu_in_t is wb_slave_out_t(dat(wb_cpu_data_bits-1 downto 0)); -- GHDL: Works -- subtype wishbone_slave_out is wb_slave_out_t(dat(wb_cpu_data_bits-1 downto 0)); -- GHDL: Breaks subtype wishbone_slave_out is wb_cpu_in_t; type wishbone_slave_out_vector is array (natural range <>) of wb_cpu_in_t; end package wishbone_types;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 16:20:42 06/01/2011 -- Design Name: -- Module Name: IPv4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple IP RX and TX -- doesnt handle seg & reass -- dest MAC addr resolution through ARP layer -- Handle IPv4 protocol -- Respond to ARP requests and replies -- Ignore pkts that are not IP -- Ignore pkts that are not addressed to us-- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - separated RX and TX clocks -- Revision 0.03 - Added mac_data_out_first -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity IPv4 is Port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system control signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- system status signals rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end IPv4; architecture structural of IPv4 is COMPONENT IPv4_TX PORT( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data -- system signals clk : in std_logic; -- same clock used to clock mac data and ip data reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); END COMPONENT; COMPONENT IPv4_RX PORT( -- IP Layer signals ip_rx : out ipv4_rx_type; ip_rx_start : out std_logic; -- indicates receipt of ip frame. -- system signals clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic -- indicates last data in frame ); END COMPONENT; begin TX : IPv4_TX PORT MAP ( ip_tx_start => ip_tx_start, ip_tx => ip_tx, ip_tx_result => ip_tx_result, ip_tx_data_out_ready=> ip_tx_data_out_ready, clk => tx_clk, reset => reset, our_ip_address => our_ip_address, our_mac_address => our_mac_address, arp_req_req => arp_req_req, arp_req_rslt => arp_req_rslt, mac_tx_req => mac_tx_req, mac_tx_granted => mac_tx_granted, mac_data_out_ready => mac_data_out_ready, mac_data_out_valid => mac_data_out_valid, mac_data_out_first => mac_data_out_first, mac_data_out_last => mac_data_out_last, mac_data_out => mac_data_out ); RX : IPv4_RX PORT MAP ( ip_rx => ip_rx, ip_rx_start => ip_rx_start, clk => rx_clk, reset => reset, our_ip_address => our_ip_address, rx_pkt_count => rx_pkt_count, mac_data_in => mac_data_in, mac_data_in_valid => mac_data_in_valid, mac_data_in_last => mac_data_in_last ); end structural;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 16:20:42 06/01/2011 -- Design Name: -- Module Name: IPv4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple IP RX and TX -- doesnt handle seg & reass -- dest MAC addr resolution through ARP layer -- Handle IPv4 protocol -- Respond to ARP requests and replies -- Ignore pkts that are not IP -- Ignore pkts that are not addressed to us-- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - separated RX and TX clocks -- Revision 0.03 - Added mac_data_out_first -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity IPv4 is Port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data ip_rx_start : out std_logic; -- indicates receipt of ip frame. ip_rx : out ipv4_rx_type; -- system control signals rx_clk : in std_logic; tx_clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- system status signals rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic; -- indicates last data in frame -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end IPv4; architecture structural of IPv4 is COMPONENT IPv4_TX PORT( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data -- system signals clk : in std_logic; -- same clock used to clock mac data and ip data reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); END COMPONENT; COMPONENT IPv4_RX PORT( -- IP Layer signals ip_rx : out ipv4_rx_type; ip_rx_start : out std_logic; -- indicates receipt of ip frame. -- system signals clk : in std_logic; reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_last : in std_logic -- indicates last data in frame ); END COMPONENT; begin TX : IPv4_TX PORT MAP ( ip_tx_start => ip_tx_start, ip_tx => ip_tx, ip_tx_result => ip_tx_result, ip_tx_data_out_ready=> ip_tx_data_out_ready, clk => tx_clk, reset => reset, our_ip_address => our_ip_address, our_mac_address => our_mac_address, arp_req_req => arp_req_req, arp_req_rslt => arp_req_rslt, mac_tx_req => mac_tx_req, mac_tx_granted => mac_tx_granted, mac_data_out_ready => mac_data_out_ready, mac_data_out_valid => mac_data_out_valid, mac_data_out_first => mac_data_out_first, mac_data_out_last => mac_data_out_last, mac_data_out => mac_data_out ); RX : IPv4_RX PORT MAP ( ip_rx => ip_rx, ip_rx_start => ip_rx_start, clk => rx_clk, reset => reset, our_ip_address => our_ip_address, rx_pkt_count => rx_pkt_count, mac_data_in => mac_data_in, mac_data_in_valid => mac_data_in_valid, mac_data_in_last => mac_data_in_last ); end structural;
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.4 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fifo_fwft_64x512_top_wrapper.vhd -- -- Description: -- This file is needed for core instantiation in production testbench -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity fifo_fwft_64x512_top_wrapper is PORT ( CLK : IN STD_LOGIC; BACKUP : IN STD_LOGIC; BACKUP_MARKER : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(64-1 downto 0); PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(9-1 downto 0); PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(9-1 downto 0); PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(9-1 downto 0); PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(9-1 downto 0); PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(9-1 downto 0); PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(9-1 downto 0); RD_CLK : IN STD_LOGIC; RD_EN : IN STD_LOGIC; RD_RST : IN STD_LOGIC; RST : IN STD_LOGIC; SRST : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; WR_EN : IN STD_LOGIC; WR_RST : IN STD_LOGIC; INJECTDBITERR : IN STD_LOGIC; INJECTSBITERR : IN STD_LOGIC; ALMOST_EMPTY : OUT STD_LOGIC; ALMOST_FULL : OUT STD_LOGIC; DATA_COUNT : OUT STD_LOGIC_VECTOR(9-1 downto 0); DOUT : OUT STD_LOGIC_VECTOR(64-1 downto 0); EMPTY : OUT STD_LOGIC; FULL : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; PROG_EMPTY : OUT STD_LOGIC; PROG_FULL : OUT STD_LOGIC; VALID : OUT STD_LOGIC; RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(9-1 downto 0); UNDERFLOW : OUT STD_LOGIC; WR_ACK : OUT STD_LOGIC; WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(9-1 downto 0); SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; -- AXI Global Signal M_ACLK : IN std_logic; S_ACLK : IN std_logic; S_ARESETN : IN std_logic; M_ACLK_EN : IN std_logic; S_ACLK_EN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic; S_AXIS_TREADY : OUT std_logic; S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TLAST : IN std_logic; S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0); S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic; M_AXIS_TREADY : IN std_logic; M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TLAST : OUT std_logic; M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0); M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic; AXI_AW_INJECTDBITERR : IN std_logic; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_SBITERR : OUT std_logic; AXI_AW_DBITERR : OUT std_logic; AXI_AW_OVERFLOW : OUT std_logic; AXI_AW_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic; AXI_W_INJECTDBITERR : IN std_logic; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_SBITERR : OUT std_logic; AXI_W_DBITERR : OUT std_logic; AXI_W_OVERFLOW : OUT std_logic; AXI_W_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic; AXI_B_INJECTDBITERR : IN std_logic; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_SBITERR : OUT std_logic; AXI_B_DBITERR : OUT std_logic; AXI_B_OVERFLOW : OUT std_logic; AXI_B_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic; AXI_AR_INJECTDBITERR : IN std_logic; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_SBITERR : OUT std_logic; AXI_AR_DBITERR : OUT std_logic; AXI_AR_OVERFLOW : OUT std_logic; AXI_AR_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic; AXI_R_INJECTDBITERR : IN std_logic; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_SBITERR : OUT std_logic; AXI_R_DBITERR : OUT std_logic; AXI_R_OVERFLOW : OUT std_logic; AXI_R_UNDERFLOW : OUT std_logic; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic; AXIS_INJECTDBITERR : IN std_logic; AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_SBITERR : OUT std_logic; AXIS_DBITERR : OUT std_logic; AXIS_OVERFLOW : OUT std_logic; AXIS_UNDERFLOW : OUT std_logic); end fifo_fwft_64x512_top_wrapper; architecture xilinx of fifo_fwft_64x512_top_wrapper is SIGNAL clk_i : std_logic; component fifo_fwft_64x512_top is PORT ( CLK : IN std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(64-1 DOWNTO 0); DOUT : OUT std_logic_vector(64-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin clk_i <= CLK; fg1 : fifo_fwft_64x512_top PORT MAP ( CLK => clk_i, RST => rst, PROG_FULL => prog_full, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
library verilog; use verilog.vl_types.all; entity MF_pll_reg is port( q : out vl_logic; clk : in vl_logic; ena : in vl_logic; d : in vl_logic; clrn : in vl_logic; prn : in vl_logic ); end MF_pll_reg;
-- Luz uC memory mapper - connects the internal Wishbone bus -- between the CPU, user memory, and the various peripherals. -- Serves as a crossbar, routing bus transactions from the CPU -- to different modules depending on address mapping. -- -- Luz micro-controller implementation -- Eli Bendersky (C) 2008-2010 -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; entity memorymapper is port ( clk: in std_logic; reset_n: in std_logic; -- CPU master port -- cpu_cyc_o: in std_logic; cpu_stb_o: in std_logic; cpu_we_o: in std_logic; cpu_sel_o: in std_logic_vector(3 downto 0); cpu_adr_o: in word; cpu_data_o: in word; cpu_ack_i: out std_logic; cpu_err_i: out std_logic; cpu_data_i: out word; -- User memory slave port -- mem_cyc_i: out std_logic; mem_stb_i: out std_logic; mem_we_i: out std_logic; mem_sel_i: out std_logic_vector(3 downto 0); mem_adr_i: out std_logic_vector(17 downto 0); mem_data_i: out word; mem_ack_o: in std_logic; mem_err_o: in std_logic; mem_data_o: in word; -- Core registers slave port -- core_reg_cyc_i: out std_logic; core_reg_stb_i: out std_logic; core_reg_we_i: out std_logic; core_reg_sel_i: out std_logic_vector(3 downto 0); core_reg_adr_i: out std_logic_vector(11 downto 0); core_reg_data_i: out word; core_reg_ack_o: in std_logic; core_reg_err_o: in std_logic; core_reg_data_o: in word ); end memorymapper; architecture memorymapper_arc of memorymapper is signal bus_strobe: std_logic; signal core_reg_access: boolean; signal mem_access: boolean; begin bus_strobe <= cpu_cyc_o and cpu_stb_o; core_reg_access <= bus_strobe = '1' and cpu_adr_o >= CORE_REG_ADDR_START and cpu_adr_o <= CORE_REG_ADDR_END; mem_access <= bus_strobe = '1' and cpu_adr_o >= MEM_ADDR_START and cpu_adr_o <= MEM_ADDR_END; -- -- Signals from master to slaves -- mem_cyc_i <= cpu_cyc_o when mem_access else '0'; mem_stb_i <= cpu_stb_o when mem_access else '0'; mem_we_i <= cpu_we_o when mem_access else '0'; mem_sel_i <= cpu_sel_o; mem_adr_i <= cpu_adr_o(MEM_ADDR_SIZE - 1 downto 0); mem_data_i <= cpu_data_o; core_reg_cyc_i <= cpu_cyc_o when core_reg_access else '0'; core_reg_stb_i <= cpu_stb_o when core_reg_access else '0'; core_reg_we_i <= cpu_we_o when core_reg_access else '0'; core_reg_sel_i <= cpu_sel_o; core_reg_adr_i <= cpu_adr_o(CORE_REG_ADDR_SIZE - 1 downto 0); core_reg_data_i <= cpu_data_o; -- -- Signals from slaves to master -- cpu_ack_i <= mem_ack_o when mem_access else core_reg_ack_o when core_reg_access else '0'; cpu_err_i <= mem_err_o when mem_access else core_reg_err_o when core_reg_access else '1'; cpu_data_i <= mem_data_o when mem_access else core_reg_data_o when core_reg_access else (others => '0'); end;
-- Luz uC memory mapper - connects the internal Wishbone bus -- between the CPU, user memory, and the various peripherals. -- Serves as a crossbar, routing bus transactions from the CPU -- to different modules depending on address mapping. -- -- Luz micro-controller implementation -- Eli Bendersky (C) 2008-2010 -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; entity memorymapper is port ( clk: in std_logic; reset_n: in std_logic; -- CPU master port -- cpu_cyc_o: in std_logic; cpu_stb_o: in std_logic; cpu_we_o: in std_logic; cpu_sel_o: in std_logic_vector(3 downto 0); cpu_adr_o: in word; cpu_data_o: in word; cpu_ack_i: out std_logic; cpu_err_i: out std_logic; cpu_data_i: out word; -- User memory slave port -- mem_cyc_i: out std_logic; mem_stb_i: out std_logic; mem_we_i: out std_logic; mem_sel_i: out std_logic_vector(3 downto 0); mem_adr_i: out std_logic_vector(17 downto 0); mem_data_i: out word; mem_ack_o: in std_logic; mem_err_o: in std_logic; mem_data_o: in word; -- Core registers slave port -- core_reg_cyc_i: out std_logic; core_reg_stb_i: out std_logic; core_reg_we_i: out std_logic; core_reg_sel_i: out std_logic_vector(3 downto 0); core_reg_adr_i: out std_logic_vector(11 downto 0); core_reg_data_i: out word; core_reg_ack_o: in std_logic; core_reg_err_o: in std_logic; core_reg_data_o: in word ); end memorymapper; architecture memorymapper_arc of memorymapper is signal bus_strobe: std_logic; signal core_reg_access: boolean; signal mem_access: boolean; begin bus_strobe <= cpu_cyc_o and cpu_stb_o; core_reg_access <= bus_strobe = '1' and cpu_adr_o >= CORE_REG_ADDR_START and cpu_adr_o <= CORE_REG_ADDR_END; mem_access <= bus_strobe = '1' and cpu_adr_o >= MEM_ADDR_START and cpu_adr_o <= MEM_ADDR_END; -- -- Signals from master to slaves -- mem_cyc_i <= cpu_cyc_o when mem_access else '0'; mem_stb_i <= cpu_stb_o when mem_access else '0'; mem_we_i <= cpu_we_o when mem_access else '0'; mem_sel_i <= cpu_sel_o; mem_adr_i <= cpu_adr_o(MEM_ADDR_SIZE - 1 downto 0); mem_data_i <= cpu_data_o; core_reg_cyc_i <= cpu_cyc_o when core_reg_access else '0'; core_reg_stb_i <= cpu_stb_o when core_reg_access else '0'; core_reg_we_i <= cpu_we_o when core_reg_access else '0'; core_reg_sel_i <= cpu_sel_o; core_reg_adr_i <= cpu_adr_o(CORE_REG_ADDR_SIZE - 1 downto 0); core_reg_data_i <= cpu_data_o; -- -- Signals from slaves to master -- cpu_ack_i <= mem_ack_o when mem_access else core_reg_ack_o when core_reg_access else '0'; cpu_err_i <= mem_err_o when mem_access else core_reg_err_o when core_reg_access else '1'; cpu_data_i <= mem_data_o when mem_access else core_reg_data_o when core_reg_access else (others => '0'); end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1360.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01360ent IS END c08s05b00x00p03n01i01360ent; ARCHITECTURE c08s05b00x00p03n01i01360arch OF c08s05b00x00p03n01i01360ent IS BEGIN TESTING: PROCESS -- -- Define constants for package -- constant lowb : integer := 1 ; constant highb : integer := 5 ; constant lowb_i2 : integer := 0 ; constant highb_i2 : integer := 1000 ; constant lowb_p : integer := -100 ; constant highb_p : integer := 1000 ; constant lowb_r : real := 0.0 ; constant highb_r : real := 1000.0 ; constant lowb_r2 : real := 8.0 ; constant highb_r2 : real := 80.0 ; constant c_boolean_1 : boolean := false ; constant c_boolean_2 : boolean := true ; -- -- bit constant c_bit_1 : bit := '0' ; constant c_bit_2 : bit := '1' ; -- severity_level constant c_severity_level_1 : severity_level := NOTE ; constant c_severity_level_2 : severity_level := WARNING ; -- -- character constant c_character_1 : character := 'A' ; constant c_character_2 : character := 'a' ; -- integer types -- predefined constant c_integer_1 : integer := lowb ; constant c_integer_2 : integer := highb ; -- -- user defined integer type type t_int1 is range 0 to 100 ; constant c_t_int1_1 : t_int1 := 0 ; constant c_t_int1_2 : t_int1 := 10 ; subtype st_int1 is t_int1 range 8 to 60 ; constant c_st_int1_1 : st_int1 := 8 ; constant c_st_int1_2 : st_int1 := 9 ; -- -- physical types -- predefined constant c_time_1 : time := 1 ns ; constant c_time_2 : time := 2 ns ; -- -- -- floating point types -- predefined constant c_real_1 : real := 0.0 ; constant c_real_2 : real := 1.0 ; -- -- simple record type t_rec1 is record f1 : integer range lowb_i2 to highb_i2 ; f2 : time ; f3 : boolean ; f4 : real ; end record ; constant c_t_rec1_1 : t_rec1 := (c_integer_1, c_time_1, c_boolean_1, c_real_1) ; constant c_t_rec1_2 : t_rec1 := (c_integer_2, c_time_2, c_boolean_2, c_real_2) ; subtype st_rec1 is t_rec1 ; constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ; constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ; -- -- more complex record type t_rec2 is record f1 : boolean ; f2 : st_rec1 ; f3 : time ; end record ; constant c_t_rec2_1 : t_rec2 := (c_boolean_1, c_st_rec1_1, c_time_1) ; constant c_t_rec2_2 : t_rec2 := (c_boolean_2, c_st_rec1_2, c_time_2) ; subtype st_rec2 is t_rec2 ; constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ; constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ; -- -- simple array type t_arr1 is array (integer range <>) of st_int1 ; subtype t_arr1_range1 is integer range lowb to highb ; subtype st_arr1 is t_arr1 (t_arr1_range1) ; constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ; constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ; constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ; constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ; -- -- more complex array type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ; subtype t_arr2_range1 is integer range lowb to highb ; subtype t_arr2_range2 is boolean range false to true ; subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2); constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ; constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ; constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ; constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ; -- -- most complex record type t_rec3 is record f1 : boolean ; f2 : st_rec2 ; f3 : st_arr2 ; end record ; constant c_t_rec3_1 : t_rec3 := (c_boolean_1, c_st_rec2_1, c_st_arr2_1) ; constant c_t_rec3_2 : t_rec3 := (c_boolean_2, c_st_rec2_2, c_st_arr2_2) ; subtype st_rec3 is t_rec3 ; constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ; constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ; -- -- most complex array type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ; subtype t_arr3_range1 is integer range lowb to highb ; subtype t_arr3_range2 is boolean range true downto false ; subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ; constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ; constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ; constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ; constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ; -- variable v_st_arr1 : st_arr1 :=c_st_arr1_1 ; -- BEGIN v_st_arr1(st_arr1'Left) := c_st_arr1_2(st_arr1'Right) ; assert NOT(v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***PASSED TEST: c08s05b00x00p03n01i01360" severity NOTE; assert (v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***FAILED TEST: c08s05b00x00p03n01i01360 - The types of the variable and the assigned variable must match." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01360arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1360.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01360ent IS END c08s05b00x00p03n01i01360ent; ARCHITECTURE c08s05b00x00p03n01i01360arch OF c08s05b00x00p03n01i01360ent IS BEGIN TESTING: PROCESS -- -- Define constants for package -- constant lowb : integer := 1 ; constant highb : integer := 5 ; constant lowb_i2 : integer := 0 ; constant highb_i2 : integer := 1000 ; constant lowb_p : integer := -100 ; constant highb_p : integer := 1000 ; constant lowb_r : real := 0.0 ; constant highb_r : real := 1000.0 ; constant lowb_r2 : real := 8.0 ; constant highb_r2 : real := 80.0 ; constant c_boolean_1 : boolean := false ; constant c_boolean_2 : boolean := true ; -- -- bit constant c_bit_1 : bit := '0' ; constant c_bit_2 : bit := '1' ; -- severity_level constant c_severity_level_1 : severity_level := NOTE ; constant c_severity_level_2 : severity_level := WARNING ; -- -- character constant c_character_1 : character := 'A' ; constant c_character_2 : character := 'a' ; -- integer types -- predefined constant c_integer_1 : integer := lowb ; constant c_integer_2 : integer := highb ; -- -- user defined integer type type t_int1 is range 0 to 100 ; constant c_t_int1_1 : t_int1 := 0 ; constant c_t_int1_2 : t_int1 := 10 ; subtype st_int1 is t_int1 range 8 to 60 ; constant c_st_int1_1 : st_int1 := 8 ; constant c_st_int1_2 : st_int1 := 9 ; -- -- physical types -- predefined constant c_time_1 : time := 1 ns ; constant c_time_2 : time := 2 ns ; -- -- -- floating point types -- predefined constant c_real_1 : real := 0.0 ; constant c_real_2 : real := 1.0 ; -- -- simple record type t_rec1 is record f1 : integer range lowb_i2 to highb_i2 ; f2 : time ; f3 : boolean ; f4 : real ; end record ; constant c_t_rec1_1 : t_rec1 := (c_integer_1, c_time_1, c_boolean_1, c_real_1) ; constant c_t_rec1_2 : t_rec1 := (c_integer_2, c_time_2, c_boolean_2, c_real_2) ; subtype st_rec1 is t_rec1 ; constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ; constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ; -- -- more complex record type t_rec2 is record f1 : boolean ; f2 : st_rec1 ; f3 : time ; end record ; constant c_t_rec2_1 : t_rec2 := (c_boolean_1, c_st_rec1_1, c_time_1) ; constant c_t_rec2_2 : t_rec2 := (c_boolean_2, c_st_rec1_2, c_time_2) ; subtype st_rec2 is t_rec2 ; constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ; constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ; -- -- simple array type t_arr1 is array (integer range <>) of st_int1 ; subtype t_arr1_range1 is integer range lowb to highb ; subtype st_arr1 is t_arr1 (t_arr1_range1) ; constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ; constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ; constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ; constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ; -- -- more complex array type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ; subtype t_arr2_range1 is integer range lowb to highb ; subtype t_arr2_range2 is boolean range false to true ; subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2); constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ; constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ; constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ; constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ; -- -- most complex record type t_rec3 is record f1 : boolean ; f2 : st_rec2 ; f3 : st_arr2 ; end record ; constant c_t_rec3_1 : t_rec3 := (c_boolean_1, c_st_rec2_1, c_st_arr2_1) ; constant c_t_rec3_2 : t_rec3 := (c_boolean_2, c_st_rec2_2, c_st_arr2_2) ; subtype st_rec3 is t_rec3 ; constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ; constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ; -- -- most complex array type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ; subtype t_arr3_range1 is integer range lowb to highb ; subtype t_arr3_range2 is boolean range true downto false ; subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ; constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ; constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ; constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ; constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ; -- variable v_st_arr1 : st_arr1 :=c_st_arr1_1 ; -- BEGIN v_st_arr1(st_arr1'Left) := c_st_arr1_2(st_arr1'Right) ; assert NOT(v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***PASSED TEST: c08s05b00x00p03n01i01360" severity NOTE; assert (v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***FAILED TEST: c08s05b00x00p03n01i01360 - The types of the variable and the assigned variable must match." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01360arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1360.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01360ent IS END c08s05b00x00p03n01i01360ent; ARCHITECTURE c08s05b00x00p03n01i01360arch OF c08s05b00x00p03n01i01360ent IS BEGIN TESTING: PROCESS -- -- Define constants for package -- constant lowb : integer := 1 ; constant highb : integer := 5 ; constant lowb_i2 : integer := 0 ; constant highb_i2 : integer := 1000 ; constant lowb_p : integer := -100 ; constant highb_p : integer := 1000 ; constant lowb_r : real := 0.0 ; constant highb_r : real := 1000.0 ; constant lowb_r2 : real := 8.0 ; constant highb_r2 : real := 80.0 ; constant c_boolean_1 : boolean := false ; constant c_boolean_2 : boolean := true ; -- -- bit constant c_bit_1 : bit := '0' ; constant c_bit_2 : bit := '1' ; -- severity_level constant c_severity_level_1 : severity_level := NOTE ; constant c_severity_level_2 : severity_level := WARNING ; -- -- character constant c_character_1 : character := 'A' ; constant c_character_2 : character := 'a' ; -- integer types -- predefined constant c_integer_1 : integer := lowb ; constant c_integer_2 : integer := highb ; -- -- user defined integer type type t_int1 is range 0 to 100 ; constant c_t_int1_1 : t_int1 := 0 ; constant c_t_int1_2 : t_int1 := 10 ; subtype st_int1 is t_int1 range 8 to 60 ; constant c_st_int1_1 : st_int1 := 8 ; constant c_st_int1_2 : st_int1 := 9 ; -- -- physical types -- predefined constant c_time_1 : time := 1 ns ; constant c_time_2 : time := 2 ns ; -- -- -- floating point types -- predefined constant c_real_1 : real := 0.0 ; constant c_real_2 : real := 1.0 ; -- -- simple record type t_rec1 is record f1 : integer range lowb_i2 to highb_i2 ; f2 : time ; f3 : boolean ; f4 : real ; end record ; constant c_t_rec1_1 : t_rec1 := (c_integer_1, c_time_1, c_boolean_1, c_real_1) ; constant c_t_rec1_2 : t_rec1 := (c_integer_2, c_time_2, c_boolean_2, c_real_2) ; subtype st_rec1 is t_rec1 ; constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ; constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ; -- -- more complex record type t_rec2 is record f1 : boolean ; f2 : st_rec1 ; f3 : time ; end record ; constant c_t_rec2_1 : t_rec2 := (c_boolean_1, c_st_rec1_1, c_time_1) ; constant c_t_rec2_2 : t_rec2 := (c_boolean_2, c_st_rec1_2, c_time_2) ; subtype st_rec2 is t_rec2 ; constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ; constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ; -- -- simple array type t_arr1 is array (integer range <>) of st_int1 ; subtype t_arr1_range1 is integer range lowb to highb ; subtype st_arr1 is t_arr1 (t_arr1_range1) ; constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ; constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ; constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ; constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ; -- -- more complex array type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ; subtype t_arr2_range1 is integer range lowb to highb ; subtype t_arr2_range2 is boolean range false to true ; subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2); constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ; constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ; constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ; constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ; -- -- most complex record type t_rec3 is record f1 : boolean ; f2 : st_rec2 ; f3 : st_arr2 ; end record ; constant c_t_rec3_1 : t_rec3 := (c_boolean_1, c_st_rec2_1, c_st_arr2_1) ; constant c_t_rec3_2 : t_rec3 := (c_boolean_2, c_st_rec2_2, c_st_arr2_2) ; subtype st_rec3 is t_rec3 ; constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ; constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ; -- -- most complex array type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ; subtype t_arr3_range1 is integer range lowb to highb ; subtype t_arr3_range2 is boolean range true downto false ; subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ; constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ; constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ; constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ; constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ; -- variable v_st_arr1 : st_arr1 :=c_st_arr1_1 ; -- BEGIN v_st_arr1(st_arr1'Left) := c_st_arr1_2(st_arr1'Right) ; assert NOT(v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***PASSED TEST: c08s05b00x00p03n01i01360" severity NOTE; assert (v_st_arr1(st_arr1'Left) = c_st_int1_2) report "***FAILED TEST: c08s05b00x00p03n01i01360 - The types of the variable and the assigned variable must match." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01360arch;
package pkg is procedure other_proc(value : boolean); procedure other_proc(value : integer); procedure proc(other_proc : integer); procedure proc; end package; package body pkg is procedure proc2 is begin proc(other_proc => 0); end; end package body;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library bitvis_uart; use bitvis_uart.uart_pif_pkg.all; library bitvis_vip_sbi; use bitvis_vip_sbi.sbi_bfm_pkg.all; library bitvis_vip_uart; use bitvis_vip_uart.uart_bfm_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.slv8_sb_pkg.all; use bitvis_vip_scoreboard.generic_sb_support_pkg.all; --hdlunit:tb -- Test harness entity entity sb_uart_sbi_demo_tb is end entity sb_uart_sbi_demo_tb; -- Test harness architecture architecture func of sb_uart_sbi_demo_tb is constant C_SCOPE : string := "TB"; constant C_ADDR_WIDTH : integer := 3; constant C_DATA_WIDTH : integer := 8; -- DSP interface and general control signals signal clk : std_logic := '0'; signal clk_ena : boolean := false; signal arst : std_logic := '0'; -- SBI signals signal sbi_if : t_sbi_if(addr(C_ADDR_WIDTH-1 downto 0), wdata(C_DATA_WIDTH-1 downto 0), rdata(C_DATA_WIDTH-1 downto 0)) := init_sbi_if_signals(addr_width => C_ADDR_WIDTH, data_width => C_DATA_WIDTH); signal terminate_loop : std_logic := '0'; -- UART signals signal uart_rx : std_logic := '1'; signal uart_tx : std_logic := '1'; constant C_CLK_PERIOD : time := 10 ns; -- 100 MHz -- One SB for each side of the DUT shared variable v_uart_sb : t_generic_sb; shared variable v_sbi_sb : t_generic_sb; begin ----------------------------------------------------------------------------- -- Instantiate DUT ----------------------------------------------------------------------------- i_uart: entity bitvis_uart.uart port map ( -- DSP interface and general control signals clk => clk, arst => arst, -- CPU interface cs => sbi_if.cs, addr => sbi_if.addr, wr => sbi_if.wena, rd => sbi_if.rena, wdata => sbi_if.wdata, rdata => sbi_if.rdata, -- UART signals rx_a => uart_tx, tx => uart_rx ); ----------------------------------------------------------------------------- -- Clock generator ----------------------------------------------------------------------------- p_clk: clock_generator(clk, clk_ena, C_CLK_PERIOD, "tb clock"); -- Static '1' ready signal for the SBI VVC sbi_if.ready <= '1'; -- Toggle the reset after 5 clock periods p_arst: arst <= '1', '0' after 5 *C_CLK_PERIOD; ----------------------------------------------------------------------------- -- Sequencer ----------------------------------------------------------------------------- p_sequencer : process variable v_data : std_logic_vector(7 downto 0); variable v_uart_config : t_uart_bfm_config; begin set_log_file_name("sb_uart_sbi_demo_log.txt"); set_alert_file_name("sb_uart_sbi_demo_alert.txt"); -- Print the configuration to the log report_global_ctrl(VOID); report_msg_id_panel(VOID); --enable_log_msg(ALL_MESSAGES); disable_log_msg(ID_POS_ACK); --disable_log_msg(ID_SEQUENCER_SUB); log(ID_LOG_HDR_XL, "SCOREBOARD UART-SBI DEMO ", C_SCOPE); ------------------------------------------------------------ clk_ena <= true; wait for 1 ns; await_value(arst, '0', 0 ns, 6*C_CLK_PERIOD, TB_ERROR, "await deassertion of arst", C_SCOPE); wait for C_CLK_PERIOD; ------------------------------------------------------------ -- Config ------------------------------------------------------------ -- Set scope of SBs v_uart_sb.set_scope("SB UART"); v_sbi_sb.set_scope( "SB SBI"); log(ID_LOG_HDR, "Set configuration", C_SCOPE); v_uart_sb.config(C_SB_CONFIG_DEFAULT, "Set config for SB UART"); v_sbi_sb.config( C_SB_CONFIG_DEFAULT, "Set config for SB SBI"); log(ID_LOG_HDR, "Enable SBs", C_SCOPE); v_uart_sb.enable(VOID); v_sbi_sb.enable(VOID); -- Enable log msg for data v_uart_sb.enable_log_msg(ID_DATA); v_sbi_sb.enable_log_msg( ID_DATA); v_uart_config := C_UART_BFM_CONFIG_DEFAULT; v_uart_config.bit_time := C_CLK_PERIOD*16; ------------------------------------------------------------ -- UART --> SBI ------------------------------------------------------------ log(ID_LOG_HDR_LARGE, "Send data UART --> SBI", C_SCOPE); for i in 1 to 5 loop for j in 1 to 4 loop v_data := random(8); v_sbi_sb.add_expected(v_data); uart_transmit(v_data, "data to DUT", uart_tx, v_uart_config); end loop; for j in 1 to 4 loop sbi_poll_until(to_unsigned(C_ADDR_RX_DATA_VALID, 3), x"01", 0, 100 ns, "wait on data valid", clk, sbi_if, terminate_loop); sbi_read(to_unsigned(C_ADDR_RX_DATA, 3), v_data, "read data from DUT", clk, sbi_if); v_sbi_sb.check_received(v_data); end loop; end loop; -- print report of counters v_sbi_sb.report_counters(VOID); ------------------------------------------------------------ -- SBI --> UART ------------------------------------------------------------ log(ID_LOG_HDR_LARGE, "Send data SBI --> UART", C_SCOPE); for i in 1 to 5 loop for j in 1 to 4 loop v_data := random(8); v_uart_sb.add_expected(v_data); sbi_poll_until(to_unsigned(C_ADDR_TX_READY, 3), x"01", 0, 100 ns, "wait on TX ready", clk, sbi_if, terminate_loop); sbi_write(to_unsigned(C_ADDR_TX_DATA, 3), v_data, "write data to DUT", clk, sbi_if); uart_receive(v_data, "data from DUT", uart_rx, terminate_loop, v_uart_config); v_uart_sb.check_received(v_data); end loop; end loop; -- print report of counters v_uart_sb.report_counters(VOID); --================================================================================================== -- Ending the simulation -------------------------------------------------------------------------------------- wait for 1000 ns; -- to allow some time for completion report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail) log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE); std.env.stop; wait; end process; end architecture func;
-- ********************************************** -- Banco de prueba para Circuitos combinacionales -- ********************************************** -- En inglés se llama testbench library ieee; use ieee.std_logic_1164.all; entity ig2_bp is end ig2_bp; architecture arq_bp of ig2_bp is signal prueba_e1, prueba_e2: std_logic_vector(1 downto 0); -- Entradas signal prueba_s: std_logic; -- Salida begin -- Instanciar la unidad bajo prueba ubp: entity work.ig2(arq_est) port map( a => prueba_e1, b => prueba_e2, aigb => prueba_s ); process begin -- Vector de prueba 1 prueba_e1 <= "00"; prueba_e2 <= "00"; wait for 200 ns; -- Vector de prueba 2 prueba_e1 <= "01"; prueba_e2 <= "00"; wait for 200 ns; -- Vector de prueba 3 prueba_e1 <= "01"; prueba_e2 <= "11"; wait for 200 ns; -- Vector de prueba 4 prueba_e1 <= "10"; prueba_e2 <= "10"; wait for 200 ns; -- Vector de prueba 5 prueba_e1 <= "10"; prueba_e2 <= "00"; wait for 200 ns; -- Vector de prueba 6 prueba_e1 <= "11"; prueba_e2 <= "11"; wait for 200 ns; -- Vector de prueba 7 prueba_e1 <= "11"; prueba_e2 <= "01"; wait for 200 ns; -- Terminar la simulación assert false report "Simulación Completada" severity failure; end process; end arq_bp;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY uart_top IS PORT( BR_clk : IN std_logic; CTSn : IN std_logic := '1'; DCDn : IN std_logic := '1'; DSRn : IN std_logic := '1'; RIn : IN std_logic := '1'; abus : IN std_logic_vector (2 DOWNTO 0); clk : IN std_logic; csn : IN std_logic; dbus_in : IN std_logic_vector (7 DOWNTO 0); rdn : IN std_logic; resetn : IN std_logic; sRX : IN std_logic; wrn : IN std_logic; B_CLK : OUT std_logic; DTRn : OUT std_logic; IRQ : OUT std_logic; OUT1n : OUT std_logic; OUT2n : OUT std_logic; RTSn : OUT std_logic; dbus_out : OUT std_logic_vector (7 DOWNTO 0); stx : OUT std_logic ); -- Declarations END uart_top ; ARCHITECTURE struct OF uart_top IS -- Internal signal declarations SIGNAL BAUDCE : std_logic; SIGNAL CS : std_logic; SIGNAL RD : std_logic; SIGNAL WR : std_logic; SIGNAL rst : std_logic; -- Component Declarations COMPONENT uart_16750 PORT ( A : IN std_logic_vector (2 DOWNTO 0); BAUDCE : IN std_logic; CLK : IN std_logic; CS : IN std_logic; CTSN : IN std_logic; DCDN : IN std_logic; DIN : IN std_logic_vector (7 DOWNTO 0); DSRN : IN std_logic; RCLK : IN std_logic; RD : IN std_logic; RIN : IN std_logic; RST : IN std_logic; SIN : IN std_logic; WR : IN std_logic; BAUDOUTN : OUT std_logic; DDIS : OUT std_logic; DOUT : OUT std_logic_vector (7 DOWNTO 0); DTRN : OUT std_logic; INT : OUT std_logic; OUT1N : OUT std_logic; OUT2N : OUT std_logic; RTSN : OUT std_logic; SOUT : OUT std_logic ); END COMPONENT; BEGIN rst <= not resetn; -- externally use active low reset rd <= not rdn; wr <= not wrn; cs <= not csn; BAUDCE <= '1'; -- Instance port mappings. U_0 : uart_16750 PORT MAP ( CLK => clk, RST => rst, BAUDCE => BAUDCE, CS => CS, WR => WR, RD => RD, A => abus, DIN => dbus_in, DOUT => dbus_out, DDIS => OPEN, INT => IRQ, OUT1N => OUT1n, OUT2N => OUT2n, RCLK => BR_clk, BAUDOUTN => B_CLK, RTSN => RTSn, DTRN => DTRn, CTSN => CTSn, DSRN => DSRn, DCDN => DCDn, RIN => RIn, SIN => sRX, SOUT => stx ); END struct;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY uart_top IS PORT( BR_clk : IN std_logic; CTSn : IN std_logic := '1'; DCDn : IN std_logic := '1'; DSRn : IN std_logic := '1'; RIn : IN std_logic := '1'; abus : IN std_logic_vector (2 DOWNTO 0); clk : IN std_logic; csn : IN std_logic; dbus_in : IN std_logic_vector (7 DOWNTO 0); rdn : IN std_logic; resetn : IN std_logic; sRX : IN std_logic; wrn : IN std_logic; B_CLK : OUT std_logic; DTRn : OUT std_logic; IRQ : OUT std_logic; OUT1n : OUT std_logic; OUT2n : OUT std_logic; RTSn : OUT std_logic; dbus_out : OUT std_logic_vector (7 DOWNTO 0); stx : OUT std_logic ); -- Declarations END uart_top ; ARCHITECTURE struct OF uart_top IS -- Internal signal declarations SIGNAL BAUDCE : std_logic; SIGNAL CS : std_logic; SIGNAL RD : std_logic; SIGNAL WR : std_logic; SIGNAL rst : std_logic; -- Component Declarations COMPONENT uart_16750 PORT ( A : IN std_logic_vector (2 DOWNTO 0); BAUDCE : IN std_logic; CLK : IN std_logic; CS : IN std_logic; CTSN : IN std_logic; DCDN : IN std_logic; DIN : IN std_logic_vector (7 DOWNTO 0); DSRN : IN std_logic; RCLK : IN std_logic; RD : IN std_logic; RIN : IN std_logic; RST : IN std_logic; SIN : IN std_logic; WR : IN std_logic; BAUDOUTN : OUT std_logic; DDIS : OUT std_logic; DOUT : OUT std_logic_vector (7 DOWNTO 0); DTRN : OUT std_logic; INT : OUT std_logic; OUT1N : OUT std_logic; OUT2N : OUT std_logic; RTSN : OUT std_logic; SOUT : OUT std_logic ); END COMPONENT; BEGIN rst <= not resetn; -- externally use active low reset rd <= not rdn; wr <= not wrn; cs <= not csn; BAUDCE <= '1'; -- Instance port mappings. U_0 : uart_16750 PORT MAP ( CLK => clk, RST => rst, BAUDCE => BAUDCE, CS => CS, WR => WR, RD => RD, A => abus, DIN => dbus_in, DOUT => dbus_out, DDIS => OPEN, INT => IRQ, OUT1N => OUT1n, OUT2N => OUT2n, RCLK => BR_clk, BAUDOUTN => B_CLK, RTSN => RTSn, DTRN => DTRn, CTSN => CTSn, DSRN => DSRn, DCDN => DCDn, RIN => RIn, SIN => sRX, SOUT => stx ); END struct;
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY uart_top IS PORT( BR_clk : IN std_logic; CTSn : IN std_logic := '1'; DCDn : IN std_logic := '1'; DSRn : IN std_logic := '1'; RIn : IN std_logic := '1'; abus : IN std_logic_vector (2 DOWNTO 0); clk : IN std_logic; csn : IN std_logic; dbus_in : IN std_logic_vector (7 DOWNTO 0); rdn : IN std_logic; resetn : IN std_logic; sRX : IN std_logic; wrn : IN std_logic; B_CLK : OUT std_logic; DTRn : OUT std_logic; IRQ : OUT std_logic; OUT1n : OUT std_logic; OUT2n : OUT std_logic; RTSn : OUT std_logic; dbus_out : OUT std_logic_vector (7 DOWNTO 0); stx : OUT std_logic ); -- Declarations END uart_top ; ARCHITECTURE struct OF uart_top IS -- Internal signal declarations SIGNAL BAUDCE : std_logic; SIGNAL CS : std_logic; SIGNAL RD : std_logic; SIGNAL WR : std_logic; SIGNAL rst : std_logic; -- Component Declarations COMPONENT uart_16750 PORT ( A : IN std_logic_vector (2 DOWNTO 0); BAUDCE : IN std_logic; CLK : IN std_logic; CS : IN std_logic; CTSN : IN std_logic; DCDN : IN std_logic; DIN : IN std_logic_vector (7 DOWNTO 0); DSRN : IN std_logic; RCLK : IN std_logic; RD : IN std_logic; RIN : IN std_logic; RST : IN std_logic; SIN : IN std_logic; WR : IN std_logic; BAUDOUTN : OUT std_logic; DDIS : OUT std_logic; DOUT : OUT std_logic_vector (7 DOWNTO 0); DTRN : OUT std_logic; INT : OUT std_logic; OUT1N : OUT std_logic; OUT2N : OUT std_logic; RTSN : OUT std_logic; SOUT : OUT std_logic ); END COMPONENT; BEGIN rst <= not resetn; -- externally use active low reset rd <= not rdn; wr <= not wrn; cs <= not csn; BAUDCE <= '1'; -- Instance port mappings. U_0 : uart_16750 PORT MAP ( CLK => clk, RST => rst, BAUDCE => BAUDCE, CS => CS, WR => WR, RD => RD, A => abus, DIN => dbus_in, DOUT => dbus_out, DDIS => OPEN, INT => IRQ, OUT1N => OUT1n, OUT2N => OUT2n, RCLK => BR_clk, BAUDOUTN => B_CLK, RTSN => RTSn, DTRN => DTRn, CTSN => CTSn, DSRN => DSRn, DCDN => DCDn, RIN => RIn, SIN => sRX, SOUT => stx ); END struct;
-- ----------------------------------------------------------------- -- -- Copyright 2019 IEEE P1076 WG Authors -- -- See the LICENSE file distributed with this work for copyright and -- licensing information and the AUTHORS file. -- -- This file to you under the Apache License, Version 2.0 (the "License"). -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or -- implied. See the License for the specific language governing -- permissions and limitations under the License. -- -- Title : Standard VHDL Synthesis Packages -- : (NUMERIC_BIT_UNSIGNED package body) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Values of type BIT_VECTOR -- : are interpreted as unsigned numbers in vector form. -- : The leftmost bit is treated as the most significant bit. -- : This package contains overloaded arithmetic operators on -- : the BIT_VECTOR type. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array -- : is returned (exceptions, if any, are noted individually). -- -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- library ieee; use ieee.numeric_bit.all; package body NUMERIC_BIT_UNSIGNED is -- Id: A.3 function "+" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + UNSIGNED(R)); end function "+"; -- Id: A.3R function "+"(L : BIT_VECTOR; R : BIT) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.3L function "+"(L : BIT; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L + UNSIGNED(R)); end function "+"; -- Id: A.5 function "+" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.6 function "+" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L + UNSIGNED(R)); end function "+"; --============================================================================ -- Id: A.9 function "-" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - UNSIGNED(R)); end function "-"; -- Id: A.9R function "-"(L : BIT_VECTOR; R : BIT) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.9L function "-"(L : BIT; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L - UNSIGNED(R)); end function "-"; -- Id: A.11 function "-" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.12 function "-" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L - UNSIGNED(R)); end function "-"; --============================================================================ -- Id: A.15 function "*" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) * UNSIGNED(R)); end function "*"; -- Id: A.17 function "*" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) * R); end function "*"; -- Id: A.18 function "*" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L * UNSIGNED(R)); end function "*"; --============================================================================ -- Id: A.21 function "/" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) / UNSIGNED(R)); end function "/"; -- Id: A.23 function "/" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) / R); end function "/"; -- Id: A.24 function "/" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L / UNSIGNED(R)); end function "/"; --============================================================================ -- Id: A.27 function "rem" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) rem UNSIGNED(R)); end function "rem"; -- Id: A.29 function "rem" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) rem R); end function "rem"; -- Id: A.30 function "rem" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L rem UNSIGNED(R)); end function "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) mod UNSIGNED(R)); end function "mod"; -- Id: A.35 function "mod" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) mod R); end function "mod"; -- Id: A.36 function "mod" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L mod UNSIGNED(R)); end function "mod"; --============================================================================ -- Id: A.39 function find_leftmost (ARG: BIT_VECTOR; Y: BIT) return INTEGER is begin return find_leftmost(UNSIGNED(ARG), Y); end function find_leftmost; -- Id: A.41 function find_rightmost (ARG: BIT_VECTOR; Y: BIT) return INTEGER is begin return find_rightmost(UNSIGNED(ARG), Y); end function find_rightmost; --============================================================================ -- Id: C.1 function ">" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) > UNSIGNED(R); end function ">"; -- Id: C.3 function ">" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L > UNSIGNED(R); end function ">"; -- Id: C.5 function ">" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) > R; end function ">"; --============================================================================ -- Id: C.7 function "<" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) < UNSIGNED(R); end function "<"; -- Id: C.9 function "<" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L < UNSIGNED(R); end function "<"; -- Id: C.11 function "<" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) < R; end function "<"; --============================================================================ -- Id: C.13 function "<=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) <= UNSIGNED(R); end function "<="; -- Id: C.15 function "<=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L <= UNSIGNED(R); end function "<="; -- Id: C.17 function "<=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) <= R; end function "<="; --============================================================================ -- Id: C.19 function ">=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) >= UNSIGNED(R); end function ">="; -- Id: C.21 function ">=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L >= UNSIGNED(R); end function ">="; -- Id: C.23 function ">=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) >= R; end function ">="; --============================================================================ -- Id: C.25 function "=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) = UNSIGNED(R); end function "="; -- Id: C.27 function "=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L = UNSIGNED(R); end function "="; -- Id: C.29 function "=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) = R; end function "="; --============================================================================ -- Id: C.31 function "/=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) /= UNSIGNED(R); end function "/="; -- Id: C.33 function "/=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L /= UNSIGNED(R); end function "/="; -- Id: C.35 function "/=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) /= R; end function "/="; --============================================================================ -- Id: C.37 function MINIMUM (L, R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(UNSIGNED(L), UNSIGNED(R))); end function MINIMUM; -- Id: C.39 function MINIMUM (L: NATURAL; R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(L, UNSIGNED(R))); end function MINIMUM; -- Id: C.41 function MINIMUM (L: BIT_VECTOR; R: NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(UNSIGNED(L), R)); end function MINIMUM; --============================================================================ -- Id: C.43 function MAXIMUM (L, R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(UNSIGNED(L), UNSIGNED(R))); end function MAXIMUM; -- Id: C.45 function MAXIMUM (L: NATURAL; R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(L, UNSIGNED(R))); end function MAXIMUM; -- Id: C.47 function MAXIMUM (L: BIT_VECTOR; R: NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(UNSIGNED(L), R)); end function MAXIMUM; --============================================================================ -- Id: C.49 function "?>" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?> UNSIGNED(R); end function "?>"; -- Id: C.51 function "?>" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?> UNSIGNED(R); end function "?>"; -- Id: C.53 function "?>" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?> R; end function "?>"; --============================================================================ -- Id: C.55 function "?<" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?< UNSIGNED(R); end function "?<"; -- Id: C.57 function "?<" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?< UNSIGNED(R); end function "?<"; -- Id: C.59 function "?<" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?< R; end function "?<"; --============================================================================ -- Id: C.61 function "?<=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?<= UNSIGNED(R); end function "?<="; -- Id: C.63 function "?<=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?<= UNSIGNED(R); end function "?<="; -- Id: C.65 function "?<=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?<= R; end function "?<="; --============================================================================ -- Id: C.67 function "?>=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?>= UNSIGNED(R); end function "?>="; -- Id: C.69 function "?>=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?>= UNSIGNED(R); end function "?>="; -- Id: C.71 function "?>=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?>= R; end function "?>="; --============================================================================ -- Id: C.73 function "?=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?= UNSIGNED(R); end function "?="; -- Id: C.75 function "?=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?= UNSIGNED(R); end function "?="; -- Id: C.77 function "?=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?= R; end function "?="; --============================================================================ -- Id: C.79 function "?/=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?/= UNSIGNED(R); end function "?/="; -- Id: C.81 function "?/=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?/= UNSIGNED(R); end function "?/="; -- Id: C.83 function "?/=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?/= R; end function "?/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (shift_left (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (shift_right (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (rotate_left (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (rotate_right (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function ROTATE_RIGHT; --============================================================================ -- Id: S.9 function "sll" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sll COUNT); end function "sll"; -- Id: S.11 function "srl" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) srl COUNT); end function "srl"; -- Id: S.13 function "rol" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) rol COUNT); end function "rol"; -- Id: S.15 function "ror" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) ror COUNT); end function "ror"; -- Id: S.17 function "sla" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sla COUNT); end function "sla"; -- Id: S.19 function "sra" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sra COUNT); end function "sra"; --============================================================================ -- Id: R.2 function RESIZE (ARG : BIT_VECTOR; NEW_SIZE : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR ( resize (arg => UNSIGNED(ARG), NEW_SIZE => NEW_SIZE)); end function RESIZE; function RESIZE (ARG, SIZE_RES : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR ( RESIZE (ARG => UNSIGNED(ARG), NEW_SIZE => SIZE_RES'length)); end function RESIZE; --============================================================================ -- Id: D.1 function TO_INTEGER (ARG : BIT_VECTOR) return NATURAL is begin return TO_INTEGER (UNSIGNED(ARG)); end function TO_INTEGER; -- Id: D.3 function To_BitVector (ARG, SIZE : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (TO_UNSIGNED(ARG, SIZE)); end function To_BitVector; function To_BitVector (ARG : NATURAL; SIZE_RES : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (TO_UNSIGNED(ARG, SIZE_RES'length)); end function To_BitVector; end package body NUMERIC_BIT_UNSIGNED;
-- ----------------------------------------------------------------- -- -- Copyright 2019 IEEE P1076 WG Authors -- -- See the LICENSE file distributed with this work for copyright and -- licensing information and the AUTHORS file. -- -- This file to you under the Apache License, Version 2.0 (the "License"). -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or -- implied. See the License for the specific language governing -- permissions and limitations under the License. -- -- Title : Standard VHDL Synthesis Packages -- : (NUMERIC_BIT_UNSIGNED package body) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Values of type BIT_VECTOR -- : are interpreted as unsigned numbers in vector form. -- : The leftmost bit is treated as the most significant bit. -- : This package contains overloaded arithmetic operators on -- : the BIT_VECTOR type. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array -- : is returned (exceptions, if any, are noted individually). -- -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- library ieee; use ieee.numeric_bit.all; package body NUMERIC_BIT_UNSIGNED is -- Id: A.3 function "+" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + UNSIGNED(R)); end function "+"; -- Id: A.3R function "+"(L : BIT_VECTOR; R : BIT) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.3L function "+"(L : BIT; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L + UNSIGNED(R)); end function "+"; -- Id: A.5 function "+" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) + R); end function "+"; -- Id: A.6 function "+" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L + UNSIGNED(R)); end function "+"; --============================================================================ -- Id: A.9 function "-" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - UNSIGNED(R)); end function "-"; -- Id: A.9R function "-"(L : BIT_VECTOR; R : BIT) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.9L function "-"(L : BIT; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L - UNSIGNED(R)); end function "-"; -- Id: A.11 function "-" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) - R); end function "-"; -- Id: A.12 function "-" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L - UNSIGNED(R)); end function "-"; --============================================================================ -- Id: A.15 function "*" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) * UNSIGNED(R)); end function "*"; -- Id: A.17 function "*" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) * R); end function "*"; -- Id: A.18 function "*" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L * UNSIGNED(R)); end function "*"; --============================================================================ -- Id: A.21 function "/" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) / UNSIGNED(R)); end function "/"; -- Id: A.23 function "/" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) / R); end function "/"; -- Id: A.24 function "/" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L / UNSIGNED(R)); end function "/"; --============================================================================ -- Id: A.27 function "rem" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) rem UNSIGNED(R)); end function "rem"; -- Id: A.29 function "rem" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) rem R); end function "rem"; -- Id: A.30 function "rem" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L rem UNSIGNED(R)); end function "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) mod UNSIGNED(R)); end function "mod"; -- Id: A.35 function "mod" (L : BIT_VECTOR; R : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(L) mod R); end function "mod"; -- Id: A.36 function "mod" (L : NATURAL; R : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (L mod UNSIGNED(R)); end function "mod"; --============================================================================ -- Id: A.39 function find_leftmost (ARG: BIT_VECTOR; Y: BIT) return INTEGER is begin return find_leftmost(UNSIGNED(ARG), Y); end function find_leftmost; -- Id: A.41 function find_rightmost (ARG: BIT_VECTOR; Y: BIT) return INTEGER is begin return find_rightmost(UNSIGNED(ARG), Y); end function find_rightmost; --============================================================================ -- Id: C.1 function ">" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) > UNSIGNED(R); end function ">"; -- Id: C.3 function ">" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L > UNSIGNED(R); end function ">"; -- Id: C.5 function ">" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) > R; end function ">"; --============================================================================ -- Id: C.7 function "<" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) < UNSIGNED(R); end function "<"; -- Id: C.9 function "<" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L < UNSIGNED(R); end function "<"; -- Id: C.11 function "<" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) < R; end function "<"; --============================================================================ -- Id: C.13 function "<=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) <= UNSIGNED(R); end function "<="; -- Id: C.15 function "<=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L <= UNSIGNED(R); end function "<="; -- Id: C.17 function "<=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) <= R; end function "<="; --============================================================================ -- Id: C.19 function ">=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) >= UNSIGNED(R); end function ">="; -- Id: C.21 function ">=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L >= UNSIGNED(R); end function ">="; -- Id: C.23 function ">=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) >= R; end function ">="; --============================================================================ -- Id: C.25 function "=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) = UNSIGNED(R); end function "="; -- Id: C.27 function "=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L = UNSIGNED(R); end function "="; -- Id: C.29 function "=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) = R; end function "="; --============================================================================ -- Id: C.31 function "/=" (L, R : BIT_VECTOR) return BOOLEAN is begin return UNSIGNED(L) /= UNSIGNED(R); end function "/="; -- Id: C.33 function "/=" (L : NATURAL; R : BIT_VECTOR) return BOOLEAN is begin return L /= UNSIGNED(R); end function "/="; -- Id: C.35 function "/=" (L : BIT_VECTOR; R : NATURAL) return BOOLEAN is begin return UNSIGNED(L) /= R; end function "/="; --============================================================================ -- Id: C.37 function MINIMUM (L, R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(UNSIGNED(L), UNSIGNED(R))); end function MINIMUM; -- Id: C.39 function MINIMUM (L: NATURAL; R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(L, UNSIGNED(R))); end function MINIMUM; -- Id: C.41 function MINIMUM (L: BIT_VECTOR; R: NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (MINIMUM(UNSIGNED(L), R)); end function MINIMUM; --============================================================================ -- Id: C.43 function MAXIMUM (L, R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(UNSIGNED(L), UNSIGNED(R))); end function MAXIMUM; -- Id: C.45 function MAXIMUM (L: NATURAL; R: BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(L, UNSIGNED(R))); end function MAXIMUM; -- Id: C.47 function MAXIMUM (L: BIT_VECTOR; R: NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (MAXIMUM(UNSIGNED(L), R)); end function MAXIMUM; --============================================================================ -- Id: C.49 function "?>" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?> UNSIGNED(R); end function "?>"; -- Id: C.51 function "?>" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?> UNSIGNED(R); end function "?>"; -- Id: C.53 function "?>" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?> R; end function "?>"; --============================================================================ -- Id: C.55 function "?<" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?< UNSIGNED(R); end function "?<"; -- Id: C.57 function "?<" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?< UNSIGNED(R); end function "?<"; -- Id: C.59 function "?<" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?< R; end function "?<"; --============================================================================ -- Id: C.61 function "?<=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?<= UNSIGNED(R); end function "?<="; -- Id: C.63 function "?<=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?<= UNSIGNED(R); end function "?<="; -- Id: C.65 function "?<=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?<= R; end function "?<="; --============================================================================ -- Id: C.67 function "?>=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?>= UNSIGNED(R); end function "?>="; -- Id: C.69 function "?>=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?>= UNSIGNED(R); end function "?>="; -- Id: C.71 function "?>=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?>= R; end function "?>="; --============================================================================ -- Id: C.73 function "?=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?= UNSIGNED(R); end function "?="; -- Id: C.75 function "?=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?= UNSIGNED(R); end function "?="; -- Id: C.77 function "?=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?= R; end function "?="; --============================================================================ -- Id: C.79 function "?/=" (L, R: BIT_VECTOR) return BIT is begin return UNSIGNED(L) ?/= UNSIGNED(R); end function "?/="; -- Id: C.81 function "?/=" (L: NATURAL; R: BIT_VECTOR) return BIT is begin return L ?/= UNSIGNED(R); end function "?/="; -- Id: C.83 function "?/=" (L: BIT_VECTOR; R: NATURAL) return BIT is begin return UNSIGNED(L) ?/= R; end function "?/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (shift_left (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (shift_right (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (rotate_left (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG : BIT_VECTOR; COUNT : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (rotate_right (ARG => UNSIGNED(ARG), COUNT => COUNT)); end function ROTATE_RIGHT; --============================================================================ -- Id: S.9 function "sll" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sll COUNT); end function "sll"; -- Id: S.11 function "srl" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) srl COUNT); end function "srl"; -- Id: S.13 function "rol" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) rol COUNT); end function "rol"; -- Id: S.15 function "ror" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) ror COUNT); end function "ror"; -- Id: S.17 function "sla" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sla COUNT); end function "sla"; -- Id: S.19 function "sra" (ARG: BIT_VECTOR; COUNT: INTEGER) return BIT_VECTOR is begin return BIT_VECTOR (UNSIGNED(ARG) sra COUNT); end function "sra"; --============================================================================ -- Id: R.2 function RESIZE (ARG : BIT_VECTOR; NEW_SIZE : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR ( resize (arg => UNSIGNED(ARG), NEW_SIZE => NEW_SIZE)); end function RESIZE; function RESIZE (ARG, SIZE_RES : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR ( RESIZE (ARG => UNSIGNED(ARG), NEW_SIZE => SIZE_RES'length)); end function RESIZE; --============================================================================ -- Id: D.1 function TO_INTEGER (ARG : BIT_VECTOR) return NATURAL is begin return TO_INTEGER (UNSIGNED(ARG)); end function TO_INTEGER; -- Id: D.3 function To_BitVector (ARG, SIZE : NATURAL) return BIT_VECTOR is begin return BIT_VECTOR (TO_UNSIGNED(ARG, SIZE)); end function To_BitVector; function To_BitVector (ARG : NATURAL; SIZE_RES : BIT_VECTOR) return BIT_VECTOR is begin return BIT_VECTOR (TO_UNSIGNED(ARG, SIZE_RES'length)); end function To_BitVector; end package body NUMERIC_BIT_UNSIGNED;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2331.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02331ent IS END c07s02b07x00p02n02i02331ent; ARCHITECTURE c07s02b07x00p02n02i02331arch OF c07s02b07x00p02n02i02331ent IS BEGIN TESTING: PROCESS type NEW_INT is range INTEGER'LOW to INTEGER'HIGH; variable k : NEW_INT := 5; BEGIN k := 2 ** 2; assert NOT(k=4) report "***PASSED TEST: c07s02b07x00p02n02i02331" severity NOTE; assert (k=4) report "***FAILED TEST: c07s02b07x00p02n02i02331 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02331arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2331.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02331ent IS END c07s02b07x00p02n02i02331ent; ARCHITECTURE c07s02b07x00p02n02i02331arch OF c07s02b07x00p02n02i02331ent IS BEGIN TESTING: PROCESS type NEW_INT is range INTEGER'LOW to INTEGER'HIGH; variable k : NEW_INT := 5; BEGIN k := 2 ** 2; assert NOT(k=4) report "***PASSED TEST: c07s02b07x00p02n02i02331" severity NOTE; assert (k=4) report "***FAILED TEST: c07s02b07x00p02n02i02331 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02331arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2331.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02331ent IS END c07s02b07x00p02n02i02331ent; ARCHITECTURE c07s02b07x00p02n02i02331arch OF c07s02b07x00p02n02i02331ent IS BEGIN TESTING: PROCESS type NEW_INT is range INTEGER'LOW to INTEGER'HIGH; variable k : NEW_INT := 5; BEGIN k := 2 ** 2; assert NOT(k=4) report "***PASSED TEST: c07s02b07x00p02n02i02331" severity NOTE; assert (k=4) report "***FAILED TEST: c07s02b07x00p02n02i02331 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02331arch;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Tb_Codeword Generator 1 -- Module Name: Tb_Codeword_Generator_1 -- Project Name: McEliece QD-Goppa Encoder -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- Test bench for codeword_generator_1 circuit. -- -- The circuits parameters -- -- PERIOD : -- -- Input clock period to be applied on the test. -- -- length_message : -- -- Length in bits of message size and also part of matrix size. -- -- size_message : -- -- The number of bits necessary to store the message. The ceil(log2(lenght_message)) -- -- length_codeword : -- -- Length in bits of codeword size and also part of matrix size. -- -- size_codeword : -- -- The number of bits necessary to store the codeword. The ceil(log2(length_codeword)) -- -- size_dyadic_matrix : -- -- The number of bits necessary to store one row of the dyadic matrix. -- It is also the ceil(log2(number of errors in the code)) -- -- number_dyadic_matrices : -- -- The number of dyadic matrices present in matrix A. -- -- size_number_dyadic_matrices : -- -- The number of bits necessary to store the number of dyadic matrices. -- The ceil(log2(number_dyadic_matrices)) -- -- message_memory_file : -- -- File that holds the message to be encoded. -- -- codeword_memory_file : -- -- File that holds the encoded message. -- This will be used to verify if the circuit worked correctly. -- -- generator_matrix_memory_file : -- -- File that holds the public key, matrix A, in a reduced form. -- -- dump_test_codeword_file : -- -- File that will hold the encoded message computed by the circuit. -- -- -- Dependencies: -- -- VHDL-93 -- IEEE.NUMERIC_STD_ALL; -- -- codeword_generator_1 Rev 1.0 -- ram Rev 1.0 -- -- Revision: -- Revision 1.00 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity tb_codeword_generator_1 is Generic ( PERIOD : time := 10 ns; -- QD-GOPPA [52, 28, 4, 6] -- -- length_message : integer := 28; -- size_message : integer := 5; -- length_codeword : integer := 52; -- size_codeword : integer := 6; -- size_dyadic_matrix : integer := 2; -- number_dyadic_matrices : integer := 42; -- size_number_dyadic_matrices : integer := 6; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_52_28_4_6.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_52_28_4_6.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_52_28_4_6.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_52_28_4_6.dat" -- QD-GOPPA [2528, 2144, 32, 12] -- length_message : integer := 2144; size_message : integer := 12; length_codeword : integer := 2528; size_codeword : integer := 12; size_dyadic_matrix : integer := 5; number_dyadic_matrices : integer := 804; size_number_dyadic_matrices : integer := 10; message_memory_file : string := "mceliece/data_tests/message_qdgoppa_2528_2144_32_12.dat"; codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_2528_2144_32_12.dat"; generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_2528_2144_32_12.dat"; dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_2528_2144_32_12.dat" -- QD-GOPPA [2816, 2048, 64, 12] -- -- length_message : integer := 2048; -- size_message : integer := 12; -- length_codeword : integer := 2816; -- size_codeword : integer := 12; -- size_dyadic_matrix : integer := 6; -- number_dyadic_matrices : integer := 384; -- size_number_dyadic_matrices : integer := 9; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_2816_2048_64_12.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_2816_2048_64_12.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_2816_2048_64_12.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_2816_2048_64_12.dat" -- QD-GOPPA [3328, 2560, 64, 12] -- -- length_message : integer := 2560; -- size_message : integer := 12; -- length_codeword : integer := 3328; -- size_codeword : integer := 12; -- size_dyadic_matrix : integer := 6; -- number_dyadic_matrices : integer := 480; -- size_number_dyadic_matrices : integer := 9; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_3328_2560_64_12.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_3328_2560_64_12.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_3328_2560_64_12.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_3328_2560_64_12.dat" -- QD-GOPPA [7296, 5632, 128, 13] -- -- length_message : integer := 5632; -- size_message : integer := 13; -- length_codeword : integer := 7296; -- size_codeword : integer := 13; -- size_dyadic_matrix : integer := 7; -- number_dyadic_matrices : integer := 572; -- size_number_dyadic_matrices : integer := 10; -- message_memory_file : string := "mceliece/data_tests/message_qdgoppa_7296_5632_128_13.dat"; -- codeword_memory_file : string := "mceliece/data_tests/plaintext_qdgoppa_7296_5632_128_13.dat"; -- generator_matrix_memory_file : string := "mceliece/data_tests/generator_matrix_qdgoppa_7296_5632_128_13.dat"; -- dump_test_codeword_file : string := "mceliece/data_tests/dump_plaintext_qdgoppa_7296_5632_128_13.dat" ); end tb_codeword_generator_1; architecture Behavioral of tb_codeword_generator_1 is component codeword_generator_1 Generic( length_message : integer; size_message : integer; length_codeword : integer; size_codeword : integer; size_dyadic_matrix : integer; number_dyadic_matrices : integer; size_number_dyadic_matrices : integer ); Port( codeword : in STD_LOGIC; matrix : in STD_LOGIC; message : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; new_codeword : out STD_LOGIC; write_enable_new_codeword : out STD_LOGIC; codeword_finalized : out STD_LOGIC; address_codeword : out STD_LOGIC_VECTOR((size_codeword - 1) downto 0); address_message : out STD_LOGIC_VECTOR((size_message - 1) downto 0); address_matrix : out STD_LOGIC_VECTOR((size_dyadic_matrix + size_number_dyadic_matrices - 1) downto 0) ); end component; component ram Generic ( ram_address_size : integer; ram_word_size : integer; file_ram_word_size : integer; load_file_name : string := "ram.dat"; dump_file_name : string := "ram.dat" ); Port ( data_in : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; dump : in STD_LOGIC; address : in STD_LOGIC_VECTOR ((ram_address_size - 1) downto 0); rst_value : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out : out STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0) ); end component; signal clk : STD_LOGIC := '0'; signal rst : STD_LOGIC; signal codeword : STD_LOGIC; signal matrix : STD_LOGIC; signal message : STD_LOGIC; signal new_codeword : STD_LOGIC; signal write_enable_new_codeword : STD_LOGIC; signal codeword_finalized : STD_LOGIC; signal address_codeword : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal address_message : STD_LOGIC_VECTOR((size_message - 1) downto 0); signal address_matrix : STD_LOGIC_VECTOR((size_dyadic_matrix + size_number_dyadic_matrices - 1) downto 0); signal test_address_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal final_address_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal true_acc : STD_LOGIC; signal error : STD_LOGIC; signal dump_test_codeword : STD_LOGIC; signal test_bench_finish : STD_LOGIC := '0'; signal cycle_count : integer range 0 to 2000000000 := 0; for message_memory : ram use entity work.ram(file_load); for generator_matrix_memory : ram use entity work.ram(file_load); for test_codeword_memory : ram use entity work.ram(simple); for true_codeword_memory : ram use entity work.ram(file_load); begin test : codeword_generator_1 Generic Map( length_message => length_message, size_message => size_message, length_codeword => length_codeword, size_codeword => size_codeword, size_dyadic_matrix => size_dyadic_matrix, number_dyadic_matrices => number_dyadic_matrices, size_number_dyadic_matrices => size_number_dyadic_matrices ) Port Map( codeword => codeword, matrix => matrix, message => message, clk => clk, rst => rst, new_codeword => new_codeword, write_enable_new_codeword => write_enable_new_codeword, codeword_finalized => codeword_finalized, address_codeword => address_codeword, address_message => address_message, address_matrix => address_matrix ); message_memory : ram Generic Map( ram_address_size => size_message, ram_word_size => 1, file_ram_word_size => 1, load_file_name => message_memory_file, dump_file_name => "" ) Port Map( data_in => "0", rw => '0', clk => clk, rst => rst, dump => '0', address => address_message, rst_value => "0", data_out(0) => message ); generator_matrix_memory : ram Generic Map( ram_address_size => size_dyadic_matrix + size_number_dyadic_matrices, ram_word_size => 1, file_ram_word_size => 1, load_file_name => generator_matrix_memory_file, dump_file_name => "" ) Port Map( data_in => "0", rw => '0', clk => clk, rst => rst, dump => '0', address => address_matrix, rst_value => "0", data_out(0) => matrix ); test_codeword_memory : ram Generic Map( ram_address_size => size_codeword, ram_word_size => 1, file_ram_word_size => 1, load_file_name => "", dump_file_name => dump_test_codeword_file ) Port Map( data_in(0) => new_codeword, rw => write_enable_new_codeword, clk => clk, rst => rst, dump => dump_test_codeword, address => final_address_acc, rst_value => "0", data_out(0) => codeword ); true_codeword_memory : ram Generic Map( ram_address_size => size_codeword, ram_word_size => 1, file_ram_word_size => 1, load_file_name => codeword_memory_file, dump_file_name => "" ) Port Map( data_in => "0", rw => '0', clk => clk, rst => rst, dump => '0', address => final_address_acc, rst_value => "0", data_out(0) => true_acc ); clock : process begin while ( test_bench_finish /= '1') loop clk <= not clk; wait for PERIOD/2; cycle_count <= cycle_count+1; end loop; wait; end process; final_address_acc <= address_codeword when codeword_finalized = '0' else test_address_acc; process variable i : integer; begin test_address_acc <= (others => '0'); rst <= '1'; error <= '0'; dump_test_codeword <= '0'; wait for PERIOD*2; rst <= '0'; wait until codeword_finalized = '1'; report "Circuit finish = " & integer'image((cycle_count - 2)/2) & " cycles"; wait for PERIOD; i := 0; while (i < (length_codeword)) loop test_address_acc <= std_logic_vector(to_unsigned(i, test_address_acc'Length)); wait for PERIOD*2; if (true_acc = codeword) then error <= '0'; else error <= '1'; report "Computed values do not match expected ones"; end if; wait for PERIOD; error <= '0'; wait for PERIOD; i := i + 1; end loop; dump_test_codeword <= '1'; wait for PERIOD; dump_test_codeword <= '0'; test_bench_finish <= '1'; wait; end process; end Behavioral;
entity foo is end foo; use std.textio.all; architecture only of foo is begin -- only process variable x : integer := 0; begin -- process x := 4/2; assert x = 2 report "TEST FAILED - x does not equal 2" severity failure; assert x /= 2 report "TEST PASSED" severity note; wait; end process; end only;
entity foo is end foo; use std.textio.all; architecture only of foo is begin -- only process variable x : integer := 0; begin -- process x := 4/2; assert x = 2 report "TEST FAILED - x does not equal 2" severity failure; assert x /= 2 report "TEST PASSED" severity note; wait; end process; end only;
entity foo is end foo; use std.textio.all; architecture only of foo is begin -- only process variable x : integer := 0; begin -- process x := 4/2; assert x = 2 report "TEST FAILED - x does not equal 2" severity failure; assert x /= 2 report "TEST PASSED" severity note; wait; end process; end only;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12/01/2014 09:41:53 AM -- Design Name: -- Module Name: mmc_clk_manager - rtl -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mmc_clk_manager is Port ( clk : in std_logic; clk_en : in std_logic; reset : in std_logic; prescaler : in std_logic_vector (7 downto 0); mmc_clk : out std_logic; mmc_clk_rise : out std_logic; mmc_clk_fall : out std_logic); end mmc_clk_manager; architecture rtl of mmc_clk_manager is signal mmc_clk_i : std_logic := '0'; signal mmc_clk_rise_i : std_logic := '0'; signal mmc_clk_fall_i : std_logic := '0'; begin mmc_clk <= mmc_clk_i; mmc_clk_rise <= mmc_clk_rise_i; mmc_clk_fall <= mmc_clk_fall_i; -- MMC clock manager process variable pre_counter : integer range 0 to 2**8-1 := 0; begin wait until rising_edge(clk); if clk_en='1' then mmc_clk_rise_i <= '0'; mmc_clk_fall_i <= '0'; if pre_counter=0 then pre_counter := TO_INTEGER(unsigned(prescaler)); if mmc_clk_i='0' then mmc_clk_rise_i <= '1'; else mmc_clk_fall_i <= '1'; end if; else pre_counter := pre_counter - 1; end if; end if; if mmc_clk_rise_i='1' then mmc_clk_i <= '1'; elsif mmc_clk_fall_i='1' then mmc_clk_i <='0'; end if; end process; end rtl;
-- Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your -- use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any -- output files any of the foregoing (including device programming or -- simulation files), and any associated documentation or information are -- expressly subject to the terms and conditions of the Altera Program -- License Subscription Agreement or other applicable license agreement, -- including, without limitation, that your use is for the sole purpose -- of programming logic devices manufactured by Altera and sold by Altera -- or its authorized distributors. Please refer to the applicable -- agreement for further details. --synthesis library work LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE STD.textio.ALL; PACKAGE alt_vipvfr131_common_package IS CONSTANT SIMULATION_ON : INTEGER := 1; CONSTANT SIMULATION_OFF : INTEGER := 0; CONSTANT OPTIMIZED_ON : INTEGER := 1; CONSTANT OPTIMIZED_OFF : INTEGER := 0; CONSTANT FAMILY_NONE : INTEGER := 0; CONSTANT FAMILY_STRATIX : INTEGER := 10; CONSTANT FAMILY_STRATIXII : INTEGER := 11; CONSTANT FAMILY_STRATIXIII : INTEGER := 12; CONSTANT FAMILY_STRATIXIV : INTEGER := 13; CONSTANT FAMILY_CYCLONE : INTEGER := 30; CONSTANT FAMILY_CYCLONEII : INTEGER := 31; CONSTANT FAMILY_CYCLONEIII : INTEGER := 32; CONSTANT FAMILY_CYCLONELPS : INTEGER := 33; CONSTANT FAMILY_HARDCOPYII : INTEGER := 40; CONSTANT FAMILY_HARDCOPYIII : INTEGER := 41; CONSTANT ALT_MEM_MODE_AUTO : INTEGER := -1; CONSTANT ALT_MEM_MODE_LE : INTEGER := 0; CONSTANT ALT_MEM_MODE_M512 : INTEGER := 1; CONSTANT ALT_MEM_MODE_M4K : INTEGER := 2; CONSTANT ALT_MEM_MODE_MRAM : INTEGER := 3; CONSTANT ALT_SHIFT_MODE_LOGICAL : INTEGER := 0; CONSTANT ALT_SHIFT_MODE_ARITH : INTEGER := 1; CONSTANT ALT_SHIFT_MODE_ROTATE : INTEGER := 2; CONSTANT ALT_SHIFT_DIREC_LEFT : INTEGER := 0; CONSTANT ALT_SHIFT_DIREC_RIGHT : INTEGER := 1; CONSTANT ALT_SHIFT_DIREC_BOTH : INTEGER := 2; -------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- function wide_enough_for(max : integer) return integer; function two_to_the_power(v : integer) return integer; function dead_bits(b : integer) return std_logic_vector; function maximum(a, b : integer) return integer; function minimum(a, b : integer) return integer; function calculate_be_width(be_used : boolean; data_width : integer) return integer; function calculate_be_width(be_used : integer; data_width : integer) return integer; function calculate_be_width(data_width : integer) return integer; function family_string(f : integer) return string; function to_string(slv : std_logic_vector) return string; function boolean_to_int(value : boolean) return integer; COMPONENT alt_vipvfr131_common_avalon_mm_master IS GENERIC ( -- NAME : STRING := ""; -- OPTIMIZED : INTEGER := OPTIMIZED_ON; -- FAMILY : INTEGER := FAMILY_STRATIX; ADDR_WIDTH : INTEGER := 16; DATA_WIDTH : INTEGER := 16; -- BYTEENABLE_WIDTH : INTEGER := 2; -- BYTEENABLE_USED : INTEGER := 1; READ_USED : INTEGER := 1; WRITE_USED : INTEGER := 1; -- CLOCKS_ARE_SYNC : INTEGER := 0; -- ADDRESS_GROUP : integer := 1; -- INTERRUPT_USED : INTEGER := 1; -- INTERRUPT_WIDTH : INTEGER := 8 -- new: MAX_BURST_LENGTH : INTEGER := 1024; READ_FIFO_DEPTH : INTEGER := 8; WRITE_FIFO_DEPTH : INTEGER := 8; COMMAND_FIFO_DEPTH : INTEGER := 8; WRITE_TARGET_BURST_SIZE : INTEGER := 5; READ_TARGET_BURST_SIZE : INTEGER := 5; BURST_WIDTH : INTEGER := 6; CLOCKS_ARE_SAME : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; -- ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR(ADDR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); command : IN STD_LOGIC; is_write_not_read : IN STD_LOGIC; is_burst : IN STD_LOGIC; burst_length : IN STD_LOGIC_VECTOR(11 DOWNTO 0); -- addr_en : IN STD_LOGIC := '0'; writedata : IN STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); write : IN STD_LOGIC := '0'; -- byteenable : IN STD_LOGIC_VECTOR(BYTEENABLE_WIDTH-1 DOWNTO 0) := (OTHERS=>'1'); -- byteenable_en : IN STD_LOGIC := '0'; readdata : OUT STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0); read : IN STD_LOGIC := '0'; -- ready : OUT STD_LOGIC; stall_command : OUT STD_LOGIC; stall_out : OUT STD_LOGIC; stall_in : OUT STD_LOGIC; -- activeirqs : OUT STD_LOGIC_VECTOR(INTERRUPT_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); av_address : OUT STD_LOGIC_VECTOR(ADDR_WIDTH-1 DOWNTO 0) ; av_writedata : OUT STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0); -- av_byteenable : OUT STD_LOGIC_VECTOR(BYTEENABLE_WIDTH-1 DOWNTO 0); av_write : OUT STD_LOGIC; av_read : OUT STD_LOGIC; av_clock : IN STD_LOGIC; -- av_reset : IN STD_LOGIC := '0'; av_readdata : IN STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); av_readdatavalid : IN STD_LOGIC; av_waitrequest : IN STD_LOGIC := '0'; av_burstcount : OUT STD_LOGIC_VECTOR(BURST_WIDTH-1 DOWNTO 0) := (OTHERS=>'0') -- av_interrupt : IN STD_LOGIC_VECTOR(INTERRUPT_WIDTH-1 DOWNTO 0) := (OTHERS=>'0') ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_mm_master_fifo IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; ADDR_WIDTH : INTEGER := 16; DATA_WIDTH : INTEGER := 16; BYTEENABLE_WIDTH : INTEGER := 2; BYTEENABLE_USED : INTEGER := 1; READ_USED : INTEGER := 1; WRITE_USED : INTEGER := 1; OLD_STYLE : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR( ADDR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); addr_en : IN STD_LOGIC := '0'; wdata : IN STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); wdata_en : IN STD_LOGIC := '0'; rdata_en : IN STD_LOGIC := '0'; byteenable : IN STD_LOGIC_VECTOR( BYTEENABLE_WIDTH-1 DOWNTO 0) := (OTHERS=>'1'); byteenable_en : IN STD_LOGIC := '0'; rdata : OUT STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0); ready : OUT STD_LOGIC; stall : OUT STD_LOGIC; av_address : OUT STD_LOGIC_VECTOR( ADDR_WIDTH-1 DOWNTO 0) ; av_writedata : OUT STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0); av_byteenable : OUT STD_LOGIC_VECTOR( BYTEENABLE_WIDTH-1 DOWNTO 0); av_write : OUT STD_LOGIC; av_read : OUT STD_LOGIC; av_clock : IN STD_LOGIC; av_reset : IN STD_LOGIC := '0'; av_readdata : IN STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0):= (OTHERS=>'0'); av_readdatavalid : IN STD_LOGIC := '0'; av_waitrequest : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_mm_mem_slave IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DEPTH : INTEGER := -1; INTERRUPT_USED : INTEGER := 1; DELAY_SLOTS : INTEGER := 0; LATENCY : INTEGER := 1; MODE : INTEGER := ALT_MEM_MODE_AUTO; CLOCKS_ARE_SYNC : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); addr_en : IN STD_LOGIC := '0'; rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); wdata_en : IN STD_LOGIC := '0'; setirq : IN STD_LOGIC := '0'; setirq_en : IN STD_LOGIC := '0'; irqactive : IN STD_LOGIC := '0'; av_address : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); av_writedata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); av_readdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); av_clock : IN STD_LOGIC := '0'; av_write : IN STD_LOGIC := '0'; av_chipselect: IN STD_LOGIC := '0'; av_reset : IN STD_LOGIC := '0'; av_waitrequest : OUT STD_LOGIC := '0'; av_interrupt : OUT STD_LOGIC := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_st_credit_user IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; ADDR_WIDTH : INTEGER := 32; DATA_WIDTH : INTEGER := 32; CREDIT_WIDTH : INTEGER := 10; CREDIT_INCREMENT : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; hascredit : OUT STD_LOGIC; getcredit : IN STD_LOGIC := '0'; getcredit_en : IN STD_LOGIC := '0'; trygetcredit : IN STD_LOGIC := '0'; trygetcredit_en: IN STD_LOGIC := '0'; gotcredit : OUT STD_LOGIC; stall : OUT STD_LOGIC; read : OUT STD_LOGIC; address : OUT STD_LOGIC_VECTOR( ADDR_WIDTH-1 DOWNTO 0); waitrequest : IN STD_LOGIC := '0'; readdatavalid : IN STD_LOGIC := '0'; readdata : IN STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0):= (OTHERS=>'0') ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_st_input IS generic ( NAME : string := ""; OPTIMIZED : integer := OPTIMIZED_ON; FAMILY : integer := FAMILY_STRATIX; WIDTH : integer := 16; END_PACKET_USED : integer := 0; SYM_PER_BEAT : integer := 0; READY_LATENCY : integer := 1 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; stall : out std_logic; dataavail : out std_logic; datavalid : out std_logic; rdata : out std_logic_vector(WIDTH - 1 downto 0); takeb : in std_logic; takeb_en : in std_logic; takenb : in std_logic; takenb_en : in std_logic; expecteop : in std_logic := '1'; eop : out std_logic; ready : out std_logic; valid : in std_logic := '1'; data : in std_logic_vector(width-1 downto 0); startofpacket : in std_logic := '0'; endofpacket : in std_logic := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_st_output IS GENERIC ( NAME : STRING := ""; WIDTH : INTEGER := 16; READY_USED : INTEGER := 1; END_PACKET_USED : INTEGER := 0; SYM_PER_BEAT : integer := 0; READY_LATENCY : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; spaceavail : OUT STD_LOGIC; wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); wdata_en : IN STD_LOGIC := '0'; takeb : IN STD_LOGIC := '0'; takeb_en : IN STD_LOGIC := '0'; takenb : IN STD_LOGIC := '0'; takenb_en : IN STD_LOGIC := '0'; eop : IN STD_LOGIC := '0'; seteop : IN STD_LOGIC := '0'; seteop_en : IN STD_LOGIC := '0'; stall : OUT STD_LOGIC; ready : IN STD_LOGIC := '1'; valid : OUT STD_LOGIC; data : OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0); startofpacket : OUT STD_LOGIC; endofpacket : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_select IS GENERIC ( NAME : STRING := ""; WIDTH_D : INTEGER := 16; WIDTH_Q : INTEGER := 16; SELECT_LOW : INTEGER := 0; SIGN_EXTEND : INTEGER := 1 ); PORT ( d : IN STD_LOGIC_VECTOR( WIDTH_D-1 DOWNTO 0) := (others =>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH_Q-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_stack IS GENERIC ( NAME : STRING := ""; DEPTH : INTEGER := 16; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; enable : IN STD_LOGIC := '0'; enable_en : IN STD_LOGIC := '0'; pushNpop : IN STD_LOGIC := '0'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_trigger_to_synced_pulse IS port ( signal reset : IN STD_LOGIC; signal clk : IN STD_LOGIC; signal sync_reset : IN STD_LOGIC; signal sync_clk : IN STD_LOGIC; signal trigger : IN STD_LOGIC; signal return_pulse : IN STD_LOGIC; signal synced_pulse : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_loadable_pc IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PROGRAM_FILE : STRING := "program.mif"; PROGRAM_TRACE: STRING := "program.trace"; LATENCY : INTEGER := 3; PC_WIDTH : INTEGER := 16; PC_NUM_WORDS : INTEGER := 256; PCW_WIDTH : INTEGER := 32; PCW_ROUND : INTEGER := 0; TTA_WIDTH : INTEGER := 16; TTA_NUM_WORDS : INTEGER := 256; TTAW_WIDTH : INTEGER := 32; AV_WIDTH : INTEGER := 15; AV_NUM_WORDS : INTEGER := 128; AVW_WIDTH : INTEGER := 32 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; reset_req : OUT STD_LOGIC; ena : IN STD_LOGIC := '1'; pcw : OUT STD_LOGIC_VECTOR( PCW_WIDTH-1 DOWNTO 0); pc : OUT STD_LOGIC_VECTOR( PC_WIDTH-1 DOWNTO 0); stall : OUT STD_LOGIC; nextpc : IN STD_LOGIC_VECTOR( PC_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); usenextpc : IN STD_LOGIC := '0'; usenextpc_en : IN STD_LOGIC := '0'; hold : IN STD_LOGIC := '0'; hold_en : IN STD_LOGIC := '0'; av_address : IN STD_LOGIC_VECTOR( AV_WIDTH-1 DOWNTO 0) := (others=>'0'); av_writedata : IN STD_LOGIC_VECTOR( AVW_WIDTH-1 DOWNTO 0) := (others=>'0'); av_readdata : OUT STD_LOGIC_VECTOR( AVW_WIDTH-1 DOWNTO 0); av_clock : IN STD_LOGIC := '0'; av_write : IN STD_LOGIC := '0'; av_chipselect: IN STD_LOGIC := '0'; av_reset : IN STD_LOGIC := '0'; av_byteenable : IN STD_LOGIC_VECTOR( (AVW_WIDTH/8)-1 DOWNTO 0) := (others=>'1') ); END COMPONENT; COMPONENT tta_x_addwithsload IS GENERIC ( SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; L : INTEGER ); PORT ( clk, reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; sreset : IN STD_LOGIC := '0'; sload : IN STD_LOGIC; loadval_in : IN UNSIGNED(L-1 DOWNTO 0); doAddnSub : IN STD_LOGIC := '1'; addL_in : IN UNSIGNED(L-1 DOWNTO 0); addR_in : IN UNSIGNED(L-1 DOWNTO 0); sum_out : OUT UNSIGNED(L-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_acounter IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); d_en : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_en : IN STD_LOGIC := '1' ); END COMPONENT; COMPONENT tta_x_au IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; LATENCY : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC := '0'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); c : IN STD_LOGIC := '0'; c_en : IN STD_LOGIC := '0'; l : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); l_en : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); S : OUT STD_LOGIC; nS : OUT STD_LOGIC; CC : OUT STD_LOGIC; nCC : OUT STD_LOGIC; sclr : IN STD_LOGIC := '0'; subNadd : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_av_master_fifo_16_16 IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); wrreq : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; rdclk : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; aclr : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); rdfull : OUT STD_LOGIC ; rdempty : OUT STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); wrfull : OUT STD_LOGIC ; wrempty : OUT STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_av_master_fifo_16_16_cii IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); wrreq : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; rdclk : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; aclr : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); rdfull : OUT STD_LOGIC ; rdempty : OUT STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); wrfull : OUT STD_LOGIC ; wrempty : OUT STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_av_master_fifo_36_16 IS PORT ( data : IN STD_LOGIC_VECTOR (35 DOWNTO 0); wrreq : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; rdclk : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; aclr : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (35 DOWNTO 0); rdfull : OUT STD_LOGIC ; rdempty : OUT STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); wrfull : OUT STD_LOGIC ; wrempty : OUT STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_av_master_fifo_36_16_cii IS PORT ( data : IN STD_LOGIC_VECTOR (35 DOWNTO 0); wrreq : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; rdclk : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; aclr : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (35 DOWNTO 0); rdfull : OUT STD_LOGIC ; rdempty : OUT STD_LOGIC ; rdusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); wrfull : OUT STD_LOGIC ; wrempty : OUT STD_LOGIC ; wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_bshift IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; SEL_WIDTH : INTEGER := 2; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); d_en : IN STD_LOGIC := '0'; sel : IN STD_LOGIC_VECTOR( SEL_WIDTH-1 DOWNTO 0) := (others=>'0'); arithNlogic : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); sclr : IN STD_LOGIC := '0'; sclr_en : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_channel IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WAIT_STATES : INTEGER := 0; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); d_en : IN STD_LOGIC := '0'; d_ena : IN STD_LOGIC := '1'; d_ready: OUT STD_LOGIC; d_stall: OUT STD_LOGIC; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_ready: OUT STD_LOGIC; q_en : IN STD_LOGIC := '0'; q_ena : IN STD_LOGIC := '1'; q_stall: OUT STD_LOGIC ); END COMPONENT; COMPONENT tta_x_cmult IS generic ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; DELAY_SLOTS : integer := 2; WIDTH : integer := 32; USE_COMPLEX_INPUT_PORTS : STRING := "TRUE" ); port ( clock : in std_logic; ena : in std_logic := '1'; reset : in std_logic; aR_en : in std_logic := '0'; aR: in std_logic_vector((WIDTH/2)-1 downto 0) := (others=>'0'); aI: in std_logic_vector((WIDTH/2)-1 downto 0) := (others=>'0'); bR: in std_logic_vector((WIDTH/2)-1 downto 0) := (others=>'0'); bI: in std_logic_vector((WIDTH/2)-1 downto 0) := (others=>'0'); a_en : in std_logic := '0'; a: in std_logic_vector(WIDTH-1 downto 0) := (others=>'0'); b: in std_logic_vector(WIDTH-1 downto 0) := (others=>'0'); q : out std_logic_vector((2*WIDTH)-1 downto 0); q_hi: out std_logic_vector(WIDTH-1 downto 0); q_lo: out std_logic_vector(WIDTH-1 downto 0); qs : out std_logic_vector((2*WIDTH)-1 downto 0); qs_hi: out std_logic_vector(WIDTH-1 downto 0); qs_lo: out std_logic_vector(WIDTH-1 downto 0); qR: out std_logic_vector(WIDTH-1 downto 0); qI: out std_logic_vector(WIDTH-1 downto 0); qR_hi: out std_logic_vector((WIDTH/2)-1 downto 0); qI_hi: out std_logic_vector((WIDTH/2)-1 downto 0); qR_lo: out std_logic_vector((WIDTH/2)-1 downto 0); qI_lo: out std_logic_vector((WIDTH/2)-1 downto 0); qsR: out std_logic_vector(WIDTH-1 downto 0); qsI: out std_logic_vector(WIDTH-1 downto 0); qsR_hi: out std_logic_vector((WIDTH/2)-1 downto 0); qsI_hi: out std_logic_vector((WIDTH/2)-1 downto 0); qsR_lo: out std_logic_vector((WIDTH/2)-1 downto 0); qsI_lo: out std_logic_vector((WIDTH/2)-1 downto 0) ); END COMPONENT; COMPONENT tta_x_combine_vec_vec IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; A_WIDTH : INTEGER := 16; B_WIDTH : INTEGER := 16 ); PORT ( a : IN STD_LOGIC_VECTOR( A_WIDTH-1 DOWNTO 0) := (others=>'0'); b : IN STD_LOGIC_VECTOR( B_WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( A_WIDTH+B_WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_constant IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; VALUE : INTEGER := 0 ); PORT ( q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_constant_accumulator IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; CSEL : INTEGER := 0; CNSEL : INTEGER := 1; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); d_en : IN STD_LOGIC := '0'; l : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); l_en : IN STD_LOGIC := '0'; vsel : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); S : OUT STD_LOGIC; nS : OUT STD_LOGIC; sclr : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_dmem IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DELAY_SLOTS : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; Aaddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); Aaddr_en : IN STD_LOGIC := '0'; Ardata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); Awdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); Awdata_en : IN STD_LOGIC := '0'; Baddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); Baddr_en : IN STD_LOGIC := '0'; Brdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); Bwdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); Bwdata_en : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_hdmem IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 8; DELAY_SLOTS : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; Aaddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); Aaddr_en : IN STD_LOGIC := '0'; Ardata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); Awdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); Awdata_en : IN STD_LOGIC := '0'; Baddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); Baddr_en : IN STD_LOGIC := '0'; Brdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_immed IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( value : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_immed_wire IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX ); PORT ( value : IN STD_LOGIC := '0'; q : OUT STD_LOGIC ); END COMPONENT; COMPONENT tta_x_mac IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH_2 : INTEGER := 32; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q_hi : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_lo : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR( (2*WIDTH)-1 DOWNTO 0); qs_hi : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); qs_lo : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); qs : OUT STD_LOGIC_VECTOR( (2*WIDTH)-1 DOWNTO 0); mulNmac : IN STD_LOGIC := '0'; subNadd : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_mod_counter IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; MODULO : INTEGER := 66; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC ; ena : IN STD_LOGIC := '1'; reset : IN STD_LOGIC := '0' ; cnt : IN STD_LOGIC := '0'; cnt_en : IN STD_LOGIC := '0'; sclr : IN STD_LOGIC := '0'; sclr_en : IN STD_LOGIC := '0'; d : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others => '0'); d_en : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_mult IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; DELAY_SLOTS : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q_hi : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_lo : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR( WIDTH*2-1 DOWNTO 0); qs_hi : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); qs_lo : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); qs : OUT STD_LOGIC_VECTOR( WIDTH*2-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_register IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); d_en : IN STD_LOGIC := '1' ); END COMPONENT; COMPONENT tta_x_register3 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); b_en : IN STD_LOGIC := '0'; c : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); c_en : IN STD_LOGIC := '0'; sclr : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT tta_x_rshift IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); logicalNarithmetic : IN STD_LOGIC := '1'; l : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); l_en : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); d_en : IN STD_LOGIC := '1' ); END COMPONENT; COMPONENT tta_x_smem IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DELAY_SLOTS : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); addr_en : IN STD_LOGIC := '0'; rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); wdata_en : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_smem_av IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DEPTH : INTEGER := -1; DELAY_SLOTS : INTEGER := 0; MODE : INTEGER := ALT_MEM_MODE_AUTO; ASYNC : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); addr_en : IN STD_LOGIC := '0'; rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); wdata_en : IN STD_LOGIC := '0'; av_address : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); av_writedata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); av_readdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); av_clock : IN STD_LOGIC := '0'; av_write : IN STD_LOGIC := '0'; av_chipselect: IN STD_LOGIC := '0'; av_reset : IN STD_LOGIC := '0'; av_waitrequest : OUT STD_LOGIC := '0'; test_writetog : OUT STD_LOGIC; test_writeack : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_smem_av_db IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DELAY_SLOTS : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); addr_en : IN STD_LOGIC := '0'; rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); wdata_en : IN STD_LOGIC := '0'; setHalf : IN STD_LOGIC := '0'; setHalf_en : IN STD_LOGIC := '0'; getHalf : OUT STD_LOGIC ; machineHalf : OUT STD_LOGIC := '0'; av_address : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH DOWNTO 0) := (others=>'0'); av_writedata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); av_readdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); av_clock : IN STD_LOGIC := '0'; av_write : IN STD_LOGIC := '0'; av_chipselect: IN STD_LOGIC := '0'; av_reset : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT tta_x_wire IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_addsubcarry IS GENERIC ( SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; L : INTEGER ); PORT ( clk, reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; sreset : IN STD_LOGIC := '0'; sload : IN STD_LOGIC; loadval_in : IN UNSIGNED(L-1 DOWNTO 0); doAddnSub : IN STD_LOGIC := '1'; addL_in : IN UNSIGNED(L-1 DOWNTO 0); addR_in : IN UNSIGNED(L-1 DOWNTO 0); sum_out : OUT UNSIGNED(L-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_atlantic_reporter IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; ISSIGNED : INTEGER := 1; CONSTANT WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); valid : IN STD_LOGIC := '1'; ready : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_au IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; LATENCY : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); l : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); enable : IN STD_LOGIC := '0'; enable_en : IN STD_LOGIC := '0'; sclr : IN STD_LOGIC := '0'; sload : IN STD_LOGIC := '0'; subNadd : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_mm_bursting_master_fifo IS generic ( NAME : string := ""; OPTIMIZED : integer := OPTIMIZED_ON; FAMILY : integer := FAMILY_STRATIX; ADDR_WIDTH : integer := 16; DATA_WIDTH : integer := 16; READ_USED : integer := 1; WRITE_USED : integer := 1; CMD_FIFO_DEPTH : integer := 8; RDATA_FIFO_DEPTH : integer := 8; WDATA_FIFO_DEPTH : integer := 8; WDATA_TARGET_BURST_SIZE : integer := 5; RDATA_TARGET_BURST_SIZE : integer := 5; CLOCKS_ARE_SYNC : integer := 1; ADDRESS_GROUP : integer := 1; BYTEENABLE_USED : integer := 1; LEN_BE_WIDTH : integer := 11; BURST_WIDTH : integer := 6; INTERRUPT_USED : INTEGER := 1; INTERRUPT_WIDTH : INTEGER := 8 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; ready : out std_logic; stall : out std_logic; addr : in std_logic_vector(ADDR_WIDTH-1 downto 0) := (others => '0'); write : in std_logic := '0'; burst : in std_logic := '0'; len_be : in std_logic_vector(LEN_BE_WIDTH-1 downto 0) := (others => '0'); cenable : in std_logic; cenable_en : in std_logic; wdata : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others => '0'); wenable : in std_logic; wenable_en : in std_logic := '0'; rdata : out std_logic_vector(DATA_WIDTH-1 downto 0); renable : in std_logic := '0'; renable_en : in std_logic := '0'; activeirqs : out std_logic_vector(INTERRUPT_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); av_address : out std_logic_vector(ADDR_WIDTH-1 downto 0); av_burstcount : out std_logic_vector(BURST_WIDTH-1 downto 0); av_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); av_byteenable : out std_logic_vector((DATA_WIDTH/8)-1 downto 0); av_write : out std_logic; av_read : out std_logic; av_clock : in std_logic; av_reset : in std_logic := '0'; av_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others => '0'); av_readdatavalid : in std_logic := '0'; av_waitrequest : in std_logic := '0'; av_interrupt : in std_logic_vector(INTERRUPT_WIDTH-1 DOWNTO 0) := (OTHERS=>'0') ); END COMPONENT; COMPONENT alt_vipvfr131_common_avalon_mm_raw_slave IS GENERIC ( NAME : STRING := ""; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; READ_USED : INTEGER := 1; WRITE_USED : INTEGER := 1; INTERRUPT_USED : INTEGER := 1; CLOCKS_ARE_SYNC : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; stall : OUT STD_LOGIC; waitaccess : IN STD_LOGIC := '0'; waitaccess_en: IN STD_LOGIC := '0'; finish : IN STD_LOGIC := '0'; finish_en : IN STD_LOGIC := '0'; hasaccess : OUT STD_LOGIC; isread : OUT STD_LOGIC; address : OUT STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0); wdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); rdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); setirq : IN STD_LOGIC := '0'; setirq_en : IN STD_LOGIC := '0'; setirq_ena : IN STD_LOGIC := '1'; av_address : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); av_writedata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); av_readdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); av_clock : IN STD_LOGIC := '0'; av_write : IN STD_LOGIC := '0'; av_chipselect: IN STD_LOGIC := '0'; av_reset : IN STD_LOGIC := '0'; av_waitrequest : OUT STD_LOGIC := '0'; av_interrupt : OUT STD_LOGIC := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_clock_reset IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PERIOD : TIME := 10 ns ); PORT ( clock : OUT STD_LOGIC; reset : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_cmp IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( a : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); b : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); sign : IN STD_LOGIC :='0'; equals : IN STD_LOGIC := '1'; less : IN STD_LOGIC := '0'; invert : IN STD_LOGIC := '0'; q : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_debug IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; LATENCY : INTEGER := 1; RESTART : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC := '0'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0') ); END COMPONENT; COMPONENT alt_vipvfr131_common_exit IS GENERIC ( NAME : STRING := ""; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; return_code : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); return_code_en : IN STD_LOGIC := '0'; test_stopped : OUT STD_LOGIC; test_return_code : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fifo IS generic ( NAME : string := ""; OPTIMIZED : integer := OPTIMIZED_ON; FAMILY : integer := FAMILY_STRATIX; WIDTH : integer := 16; READ_TRIGGER_TO_READ_DATA_CHANGE_CYCLES : integer := 2; DEPTH : integer := 16 ); port ( clock : in std_logic; reset : in std_logic := '0'; ena_read : in std_logic := '1'; stall_read : out std_logic := '0'; readnext : in std_logic := '0'; readnext_en : in std_logic := '0'; rdata : out std_logic_vector(width - 1 downto 0); ena_write : in std_logic := '1'; stall_write : out std_logic := '0'; writenext : in std_logic := '0'; writenext_en : in std_logic := '0'; wdata : in std_logic_vector(width - 1 downto 0) := (others => '0'); dataavail : out std_logic; spaceavail : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_fifo_paged IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 8; PAGE_SIZE : INTEGER := 8; PAGES : INTEGER := 4; LOG2_PAGES : INTEGER := 2; FULL_BIDIR : INTEGER := 1; SINK_ACTIVE_PAGES : INTEGER := 1; SOURCE_ACTIVE_PAGES : INTEGER := 1; FULL_AT_START : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; a_ena : IN STD_LOGIC := '1'; a_addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); a_rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); a_wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); a_wdataen : IN STD_LOGIC := '0'; a_wdataen_en : IN STD_LOGIC := '0'; a_takeb : IN STD_LOGIC := '0'; a_takeb_en : IN STD_LOGIC := '0'; a_takenb : IN STD_LOGIC := '0'; a_takenb_en : IN STD_LOGIC := '0'; a_returnnb : IN STD_LOGIC := '0'; a_returnnb_en : IN STD_LOGIC := '0'; a_pagesel : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others=>'0'); a_pagesel_en : IN STD_LOGIC := '0'; a_page_to_take : OUT STD_LOGIC; a_stall : OUT STD_LOGIC; b_ena : IN STD_LOGIC := '1'; b_addr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (others=>'0'); b_rdata : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); b_wdata : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); b_wdataen : IN STD_LOGIC := '0'; b_wdataen_en : IN STD_LOGIC := '0'; b_takeb : IN STD_LOGIC := '0'; b_takeb_en : IN STD_LOGIC := '0'; b_takenb : IN STD_LOGIC := '0'; b_takenb_en : IN STD_LOGIC := '0'; b_returnnb : IN STD_LOGIC := '0'; b_returnnb_en : IN STD_LOGIC := '0'; b_pagesel : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (others=>'0'); b_pagesel_en : IN STD_LOGIC := '0'; b_page_to_take : OUT STD_LOGIC; b_stall : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_fifo_usedw_calculator IS generic ( WIDTH : integer := 8; DEPTH : integer := 9; READ_TO_WRITE_DELAY : integer := 3; WRITE_TO_READ_DELAY : integer := 3; CLOCKS_ARE_SAME : boolean := TRUE ); port ( rdclock : in std_logic; rdena : in std_logic; wrclock : in std_logic; wrena : in std_logic; reset : in std_logic; wrreq : in std_logic; rdreq : in std_logic; wrusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); full : out std_logic; almost_full : out std_logic; rdusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); empty : out std_logic; almost_empty : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_addsub_dp_l7 IS PORT ( add_sub : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clk_en : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; overflow : OUT STD_LOGIC ; nan : OUT STD_LOGIC ; underflow : OUT STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_addsub_dp_l8 IS PORT ( add_sub : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clk_en : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; overflow : OUT STD_LOGIC ; nan : OUT STD_LOGIC ; underflow : OUT STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_addsub_sp_l7 IS PORT ( add_sub : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); clk_en : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; overflow : OUT STD_LOGIC ; nan : OUT STD_LOGIC ; underflow : OUT STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_addsub_sp_l8 IS PORT ( add_sub : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); clk_en : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; overflow : OUT STD_LOGIC ; nan : OUT STD_LOGIC ; underflow : OUT STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_au IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 32; LATENCY : INTEGER := 5 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; enable : IN STD_LOGIC := '0'; enable_en : IN STD_LOGIC := '0'; subNadd : IN STD_LOGIC := '0'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_cmp IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; LATENCY : INTEGER := 7; WIDTH : INTEGER := 32 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); a_en : IN STD_LOGIC; b : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); sel : IN STD_LOGIC_VECTOR(2 DOWNTO 0); q : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_fp_mult IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 32; LATENCY : INTEGER := 5; RESTART : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); a_en : IN STD_LOGIC := '0'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_general_fifo IS generic ( WIDTH : integer := 8; DEPTH : integer := 4; CLOCKS_ARE_SAME : boolean := TRUE; DEVICE_FAMILY : string; RDREQ_TO_Q_LATENCY : integer := 1 ); port ( rdclock : in std_logic; rdena : in std_logic; wrclock : in std_logic; wrena : in std_logic; reset : in std_logic; wrusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); full : out std_logic; almost_full : out std_logic; rdusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); empty : out std_logic; almost_empty : out std_logic; wrreq : in std_logic; data : in std_logic_vector(WIDTH - 1 downto 0); rdreq : in std_logic; q : out std_logic_vector(WIDTH - 1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_gpi IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; DATATYPE : STRING := ""; MODE : STRING := "REGISTERED"; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; gpio_in : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_en: IN STD_LOGIC := '0'; wait_change: IN STD_LOGIC := '0'; wait_change_en: IN STD_LOGIC := '0'; stall : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_gpio IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; DATATYPE : STRING := ""; MODE : STRING := "REGISTERED"; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; enable : IN STD_LOGIC := '1'; enable_en : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0); q_en: IN STD_LOGIC := '0'; wait_change: IN STD_LOGIC := '0'; wait_change_en: IN STD_LOGIC := '0'; stall : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_gpo IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; DATATYPE : STRING := ""; MODE : STRING := "REGISTERED"; WIDTH : INTEGER := 16 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; enable : IN STD_LOGIC := '1'; enable_en : IN STD_LOGIC := '1'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); gpio_out : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_gray_clock_crosser IS generic ( WIDTH : integer := 8 ); port ( inclock : in std_logic; outclock : in std_logic; inena : in std_logic; outena : in std_logic; reset : in std_logic; data : in std_logic_vector(WIDTH - 1 downto 0); q : out std_logic_vector(WIDTH - 1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_logic_fifo IS generic ( WIDTH : integer := 8; DEPTH : integer := 3 ); port ( clock : in std_logic; rdena : in std_logic := '1'; wrena : in std_logic := '1'; reset : in std_logic; wrusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); full : out std_logic; almost_full : out std_logic; rdusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); empty : out std_logic; almost_empty : out std_logic; wrreq : in std_logic; data : in std_logic_vector(WIDTH - 1 downto 0); rdreq : in std_logic; q : out std_logic_vector(WIDTH - 1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_lu IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); andNor : IN STD_LOGIC := '0'; invert : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_lu_wire IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( a : IN STD_LOGIC := '0'; b : IN STD_LOGIC := '0'; andNor : IN STD_LOGIC := '0'; invert : IN STD_LOGIC := '0'; q : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_mac IS GENERIC ( NAME : STRinG := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; WIDTHOUT : INTEGER := 32; LATENCY : INTEGER := 3 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); signa : IN STD_LOGIC := '0'; signb : IN STD_LOGIC := '0'; mulNmac : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTHOUT-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mem IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; INIT_FILE : STRING := "UNUSED"; INIT_CONTENTS : STRING := "UNUSED"; DATA_WIDTH : INTEGER := 16; ADDRESS_WIDTH : INTEGER := 16; DEPTH : INTEGER := 16; LATENCY : INTEGER := 2; READ_PORTS : INTEGER := 0; WRITE_PORTS : INTEGER := 0; READ_WRITE_PORTS : INTEGER := 2; MODE : INTEGER := ALT_MEM_MODE_AUTO; ALLOW_MULTI_THREAD : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; Aena : IN STD_LOGIC := '1'; Bena : IN STD_LOGIC := '0'; Cena : IN STD_LOGIC := '0'; Aenable : IN STD_LOGIC := '0'; Aenable_en : IN STD_LOGIC := '0'; Aaddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); Awdata : IN STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); Awdata_en : IN STD_LOGIC := '0'; Ardata : OUT STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0); Benable : IN STD_LOGIC := '0'; Benable_en : IN STD_LOGIC := '0'; Baddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); Bwdata : IN STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); Bwdata_en : IN STD_LOGIC := '0'; Brdata : OUT STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0); Cenable : IN STD_LOGIC := '0'; Cenable_en : IN STD_LOGIC := '0'; Caddr : IN STD_LOGIC_VECTOR( ADDRESS_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); Crdata : OUT STD_LOGIC_VECTOR( DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mult IS GENERIC ( NAME : STRinG := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; WIDTHX2 : INTEGER := 32; LATENCY : INTEGER := 2; RESTART : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); signa : IN STD_LOGIC := '0'; signb : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTHx2-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_multadd IS GENERIC ( NAME : STRinG := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIXII; WIDTH : INTEGER := 16; WIDTHOUT : INTEGER := 33; LATENCY : INTEGER := 3; RESTART : INTEGER := 1 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; a : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); a_en : IN STD_LOGIC := '1'; b : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); c : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); signa : IN STD_LOGIC := '0'; signb : IN STD_LOGIC := '0'; signc : IN STD_LOGIC := '0'; signd : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR( WIDTHOUT-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux2 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC := '0'; data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux2_wire IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; CONSTANT PORTS : INTEGER := 2 ); PORT ( sel : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0):= (others => '0'); q : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux3 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( 2 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux4 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( 3 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux5 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( 4 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data4 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux_wire IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0):= (others => '0'); data : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0):= (others => '0'); q : OUT STD_LOGIC ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux_x20 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 21; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data4 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data5 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data6 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data7 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data8 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data9 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data10 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data11 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data12 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data13 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data14 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data15 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data16 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data17 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data18 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data19 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data20 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux_x40 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 41; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data4 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data5 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data6 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data7 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data8 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data9 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data10 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data11 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data12 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data13 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data14 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data15 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data16 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data17 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data18 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data19 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data20 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data21 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data22 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data23 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data24 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data25 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data26 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data27 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data28 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data29 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data30 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data31 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data32 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data33 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data34 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data35 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data36 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data37 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data38 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data39 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data40 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_mux_x80 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 81; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR( PORTS-1 DOWNTO 0) := (others=>'0'); data0 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data4 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data5 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data6 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data7 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data8 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data9 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data10 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data11 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data12 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data13 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data14 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data15 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data16 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data17 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data18 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data19 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data20 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data21 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data22 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data23 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data24 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data25 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data26 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data27 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data28 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data29 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data30 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data31 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data32 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data33 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data34 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data35 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data36 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data37 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data38 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data39 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data40 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data41 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data42 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data43 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data44 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data45 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data46 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data47 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data48 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data49 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data50 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data51 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data52 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data53 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data54 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data55 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data56 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data57 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data58 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data59 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data60 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data61 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data62 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data63 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data64 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data65 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data66 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data67 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data68 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data69 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data70 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data71 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data72 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data73 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data74 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data75 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data76 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data77 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data78 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data79 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data80 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); data81 : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxfast4 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 4; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR(1 DOWNTO 0); data0 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxfast8 IS GENERIC ( NAME : STRING := ""; SIMULATION : INTEGER := SIMULATION_OFF; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PORTS : INTEGER := 8; WIDTH : INTEGER := 16 ); PORT ( sel : IN STD_LOGIC_VECTOR(4 DOWNTO 0); data0 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data1 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data2 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data3 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data4 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data5 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data6 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); data7 : IN STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) := (others=>'0'); q : OUT STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_one_bit_delay IS generic ( DELAY : integer := 0 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; data : in std_logic; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_pc IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; PROGRAM_FILE : STRING := ""; PROGRAM_TRACE: STRING := "program.trace"; LATENCY : INTEGER := 3; DECODE_LATENCY : INTEGER := 2; INFER_MEMORY : INTEGER := 0; PC_WIDTH : INTEGER := 16; PC_NUM_WORDS : INTEGER := 256; PCW_WIDTH : INTEGER := 32 ); PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; pcw : OUT STD_LOGIC_VECTOR( PCW_WIDTH-1 DOWNTO 0); pc : OUT STD_LOGIC_VECTOR( PC_WIDTH-1 DOWNTO 0); pcf : OUT STD_LOGIC_VECTOR( PC_WIDTH-1 DOWNTO 0); step : OUT STD_LOGIC; stallnext : OUT STD_LOGIC; nextpc : IN STD_LOGIC_VECTOR( PC_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); usenextpc : IN STD_LOGIC := '0'; usenextpc_en : IN STD_LOGIC := '0'; hold : IN STD_LOGIC := '0'; hold_en : IN STD_LOGIC := '0' ); END COMPONENT; COMPONENT alt_vipvfr131_common_pulling_width_adapter IS generic ( NAME : string := ""; OPTIMIZED : integer := OPTIMIZED_ON; FAMILY : integer := FAMILY_STRATIX; IN_WIDTH : integer := 16; OUT_WIDTH : integer := 16 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; input : in std_logic_vector(IN_WIDTH - 1 downto 0) := (others => '0'); need_input : out std_logic; output : out std_logic_vector(OUT_WIDTH - 1 downto 0) := (others => '0'); pull : in std_logic; pull_en : in std_logic; discard : in std_logic; discard_en : in std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_pushing_width_adapter IS generic ( NAME : string := ""; OPTIMIZED : integer := OPTIMIZED_ON; FAMILY : integer := FAMILY_STRATIX; IN_WIDTH : integer := 16; OUT_WIDTH : integer := 16 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; input : in std_logic_vector(IN_WIDTH - 1 downto 0) := (others => '0'); push : in std_logic; push_en : in std_logic; flush : in std_logic; flush_en : in std_logic; output : out std_logic_vector(OUT_WIDTH - 1 downto 0) := (others => '0'); output_valid : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_ram_fifo IS generic ( WIDTH : integer := 8; DEPTH : integer := 3; CLOCKS_ARE_SAME : boolean := TRUE; DEVICE_FAMILY : string ); port ( rdclock : in std_logic; rdena : in std_logic; wrclock : in std_logic; wrena : in std_logic; reset : in std_logic; wrusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); full : out std_logic; almost_full : out std_logic; rdusedw : out std_logic_vector(wide_enough_for(DEPTH) - 1 downto 0); empty : out std_logic; almost_empty : out std_logic; wrreq : in std_logic; data : in std_logic_vector(WIDTH - 1 downto 0); rdreq : in std_logic; q : out std_logic_vector(WIDTH - 1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_reg IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; WIDTH : INTEGER := 16; RESET_VALUE : INTEGER := 0 ); PORT ( clock : IN STD_LOGIC; ena : IN STD_LOGIC := '1'; enable : IN STD_LOGIC := '0'; enable_en : IN STD_LOGIC := '0'; reset : IN STD_LOGIC := '0'; sclr : IN STD_LOGIC := '0'; d : IN STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); q : OUT STD_LOGIC_VECTOR( WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_shift IS GENERIC ( NAME : STRING := ""; OPTIMIZED : INTEGER := OPTIMIZED_ON; FAMILY : INTEGER := FAMILY_STRATIX; DATA_WIDTH : POSITIVE := 16; SHIFT_WIDTH : POSITIVE := 4; LATENCY : INTEGER := 0; MODE : INTEGER := ALT_SHIFT_MODE_LOGICAL; DIRECTION : INTEGER := ALT_SHIFT_DIREC_RIGHT ); PORT ( clock : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '0'; reset : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); data_en : IN STD_LOGIC := '1'; shift : IN STD_LOGIC_VECTOR(SHIFT_WIDTH-1 DOWNTO 0) := (OTHERS=>'0'); direc : IN STD_LOGIC := '0'; result : OUT STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_std_logic_vector_delay IS generic ( WIDTH : integer := 1; DELAY : integer := 0 ); port ( clock : in std_logic; reset : in std_logic; ena : in std_logic := '1'; data : in std_logic_vector(WIDTH - 1 downto 0); q : out std_logic_vector(WIDTH - 1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin2_wire IS generic ( NAME : string := ""; PORTS : integer := 2; WIDTH : integer := 1 ); port ( sel : in std_logic := '0'; data0 : in std_logic := '0'; data1 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin2 IS generic ( NAME : string := ""; PORTS : integer := 2; WIDTH : integer := 16 ); port ( sel : in std_logic := '0'; data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin4_wire IS generic ( NAME : string := ""; PORTS : integer := 4; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(1 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin4 IS generic ( NAME : string := ""; PORTS : integer := 4; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(1 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin8_wire IS generic ( NAME : string := ""; PORTS : integer := 8; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(2 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin8 IS generic ( NAME : string := ""; PORTS : integer := 8; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(2 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin16_wire IS generic ( NAME : string := ""; PORTS : integer := 16; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(3 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin16 IS generic ( NAME : string := ""; PORTS : integer := 16; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(3 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin32_wire IS generic ( NAME : string := ""; PORTS : integer := 32; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(4 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin32 IS generic ( NAME : string := ""; PORTS : integer := 32; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(4 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin64_wire IS generic ( NAME : string := ""; PORTS : integer := 64; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(5 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; data32 : in std_logic := '0'; data33 : in std_logic := '0'; data34 : in std_logic := '0'; data35 : in std_logic := '0'; data36 : in std_logic := '0'; data37 : in std_logic := '0'; data38 : in std_logic := '0'; data39 : in std_logic := '0'; data40 : in std_logic := '0'; data41 : in std_logic := '0'; data42 : in std_logic := '0'; data43 : in std_logic := '0'; data44 : in std_logic := '0'; data45 : in std_logic := '0'; data46 : in std_logic := '0'; data47 : in std_logic := '0'; data48 : in std_logic := '0'; data49 : in std_logic := '0'; data50 : in std_logic := '0'; data51 : in std_logic := '0'; data52 : in std_logic := '0'; data53 : in std_logic := '0'; data54 : in std_logic := '0'; data55 : in std_logic := '0'; data56 : in std_logic := '0'; data57 : in std_logic := '0'; data58 : in std_logic := '0'; data59 : in std_logic := '0'; data60 : in std_logic := '0'; data61 : in std_logic := '0'; data62 : in std_logic := '0'; data63 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin64 IS generic ( NAME : string := ""; PORTS : integer := 64; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(5 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data32 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data33 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data34 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data35 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data36 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data37 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data38 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data39 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data40 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data41 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data42 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data43 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data44 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data45 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data46 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data47 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data48 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data49 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data50 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data51 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data52 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data53 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data54 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data55 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data56 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data57 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data58 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data59 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data60 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data61 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data62 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data63 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin128_wire IS generic ( NAME : string := ""; PORTS : integer := 128; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(6 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; data32 : in std_logic := '0'; data33 : in std_logic := '0'; data34 : in std_logic := '0'; data35 : in std_logic := '0'; data36 : in std_logic := '0'; data37 : in std_logic := '0'; data38 : in std_logic := '0'; data39 : in std_logic := '0'; data40 : in std_logic := '0'; data41 : in std_logic := '0'; data42 : in std_logic := '0'; data43 : in std_logic := '0'; data44 : in std_logic := '0'; data45 : in std_logic := '0'; data46 : in std_logic := '0'; data47 : in std_logic := '0'; data48 : in std_logic := '0'; data49 : in std_logic := '0'; data50 : in std_logic := '0'; data51 : in std_logic := '0'; data52 : in std_logic := '0'; data53 : in std_logic := '0'; data54 : in std_logic := '0'; data55 : in std_logic := '0'; data56 : in std_logic := '0'; data57 : in std_logic := '0'; data58 : in std_logic := '0'; data59 : in std_logic := '0'; data60 : in std_logic := '0'; data61 : in std_logic := '0'; data62 : in std_logic := '0'; data63 : in std_logic := '0'; data64 : in std_logic := '0'; data65 : in std_logic := '0'; data66 : in std_logic := '0'; data67 : in std_logic := '0'; data68 : in std_logic := '0'; data69 : in std_logic := '0'; data70 : in std_logic := '0'; data71 : in std_logic := '0'; data72 : in std_logic := '0'; data73 : in std_logic := '0'; data74 : in std_logic := '0'; data75 : in std_logic := '0'; data76 : in std_logic := '0'; data77 : in std_logic := '0'; data78 : in std_logic := '0'; data79 : in std_logic := '0'; data80 : in std_logic := '0'; data81 : in std_logic := '0'; data82 : in std_logic := '0'; data83 : in std_logic := '0'; data84 : in std_logic := '0'; data85 : in std_logic := '0'; data86 : in std_logic := '0'; data87 : in std_logic := '0'; data88 : in std_logic := '0'; data89 : in std_logic := '0'; data90 : in std_logic := '0'; data91 : in std_logic := '0'; data92 : in std_logic := '0'; data93 : in std_logic := '0'; data94 : in std_logic := '0'; data95 : in std_logic := '0'; data96 : in std_logic := '0'; data97 : in std_logic := '0'; data98 : in std_logic := '0'; data99 : in std_logic := '0'; data100 : in std_logic := '0'; data101 : in std_logic := '0'; data102 : in std_logic := '0'; data103 : in std_logic := '0'; data104 : in std_logic := '0'; data105 : in std_logic := '0'; data106 : in std_logic := '0'; data107 : in std_logic := '0'; data108 : in std_logic := '0'; data109 : in std_logic := '0'; data110 : in std_logic := '0'; data111 : in std_logic := '0'; data112 : in std_logic := '0'; data113 : in std_logic := '0'; data114 : in std_logic := '0'; data115 : in std_logic := '0'; data116 : in std_logic := '0'; data117 : in std_logic := '0'; data118 : in std_logic := '0'; data119 : in std_logic := '0'; data120 : in std_logic := '0'; data121 : in std_logic := '0'; data122 : in std_logic := '0'; data123 : in std_logic := '0'; data124 : in std_logic := '0'; data125 : in std_logic := '0'; data126 : in std_logic := '0'; data127 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxbin128 IS generic ( NAME : string := ""; PORTS : integer := 128; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(6 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data32 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data33 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data34 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data35 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data36 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data37 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data38 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data39 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data40 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data41 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data42 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data43 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data44 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data45 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data46 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data47 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data48 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data49 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data50 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data51 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data52 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data53 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data54 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data55 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data56 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data57 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data58 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data59 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data60 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data61 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data62 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data63 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data64 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data65 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data66 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data67 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data68 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data69 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data70 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data71 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data72 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data73 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data74 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data75 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data76 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data77 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data78 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data79 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data80 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data81 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data82 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data83 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data84 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data85 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data86 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data87 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data88 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data89 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data90 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data91 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data92 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data93 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data94 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data95 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data96 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data97 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data98 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data99 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data100 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data101 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data102 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data103 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data104 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data105 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data106 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data107 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data108 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data109 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data110 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data111 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data112 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data113 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data114 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data115 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data116 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data117 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data118 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data119 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data120 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data121 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data122 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data123 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data124 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data125 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data126 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data127 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot16_wire IS generic ( NAME : string := ""; PORTS : integer := 16; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot16 IS generic ( NAME : string := ""; PORTS : integer := 16; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot32_wire IS generic ( NAME : string := ""; PORTS : integer := 32; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot32 IS generic ( NAME : string := ""; PORTS : integer := 32; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot64_wire IS generic ( NAME : string := ""; PORTS : integer := 64; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; data32 : in std_logic := '0'; data33 : in std_logic := '0'; data34 : in std_logic := '0'; data35 : in std_logic := '0'; data36 : in std_logic := '0'; data37 : in std_logic := '0'; data38 : in std_logic := '0'; data39 : in std_logic := '0'; data40 : in std_logic := '0'; data41 : in std_logic := '0'; data42 : in std_logic := '0'; data43 : in std_logic := '0'; data44 : in std_logic := '0'; data45 : in std_logic := '0'; data46 : in std_logic := '0'; data47 : in std_logic := '0'; data48 : in std_logic := '0'; data49 : in std_logic := '0'; data50 : in std_logic := '0'; data51 : in std_logic := '0'; data52 : in std_logic := '0'; data53 : in std_logic := '0'; data54 : in std_logic := '0'; data55 : in std_logic := '0'; data56 : in std_logic := '0'; data57 : in std_logic := '0'; data58 : in std_logic := '0'; data59 : in std_logic := '0'; data60 : in std_logic := '0'; data61 : in std_logic := '0'; data62 : in std_logic := '0'; data63 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot64 IS generic ( NAME : string := ""; PORTS : integer := 64; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data32 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data33 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data34 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data35 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data36 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data37 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data38 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data39 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data40 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data41 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data42 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data43 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data44 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data45 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data46 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data47 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data48 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data49 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data50 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data51 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data52 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data53 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data54 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data55 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data56 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data57 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data58 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data59 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data60 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data61 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data62 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data63 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot128_wire IS generic ( NAME : string := ""; PORTS : integer := 128; WIDTH : integer := 1 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic := '0'; data1 : in std_logic := '0'; data2 : in std_logic := '0'; data3 : in std_logic := '0'; data4 : in std_logic := '0'; data5 : in std_logic := '0'; data6 : in std_logic := '0'; data7 : in std_logic := '0'; data8 : in std_logic := '0'; data9 : in std_logic := '0'; data10 : in std_logic := '0'; data11 : in std_logic := '0'; data12 : in std_logic := '0'; data13 : in std_logic := '0'; data14 : in std_logic := '0'; data15 : in std_logic := '0'; data16 : in std_logic := '0'; data17 : in std_logic := '0'; data18 : in std_logic := '0'; data19 : in std_logic := '0'; data20 : in std_logic := '0'; data21 : in std_logic := '0'; data22 : in std_logic := '0'; data23 : in std_logic := '0'; data24 : in std_logic := '0'; data25 : in std_logic := '0'; data26 : in std_logic := '0'; data27 : in std_logic := '0'; data28 : in std_logic := '0'; data29 : in std_logic := '0'; data30 : in std_logic := '0'; data31 : in std_logic := '0'; data32 : in std_logic := '0'; data33 : in std_logic := '0'; data34 : in std_logic := '0'; data35 : in std_logic := '0'; data36 : in std_logic := '0'; data37 : in std_logic := '0'; data38 : in std_logic := '0'; data39 : in std_logic := '0'; data40 : in std_logic := '0'; data41 : in std_logic := '0'; data42 : in std_logic := '0'; data43 : in std_logic := '0'; data44 : in std_logic := '0'; data45 : in std_logic := '0'; data46 : in std_logic := '0'; data47 : in std_logic := '0'; data48 : in std_logic := '0'; data49 : in std_logic := '0'; data50 : in std_logic := '0'; data51 : in std_logic := '0'; data52 : in std_logic := '0'; data53 : in std_logic := '0'; data54 : in std_logic := '0'; data55 : in std_logic := '0'; data56 : in std_logic := '0'; data57 : in std_logic := '0'; data58 : in std_logic := '0'; data59 : in std_logic := '0'; data60 : in std_logic := '0'; data61 : in std_logic := '0'; data62 : in std_logic := '0'; data63 : in std_logic := '0'; data64 : in std_logic := '0'; data65 : in std_logic := '0'; data66 : in std_logic := '0'; data67 : in std_logic := '0'; data68 : in std_logic := '0'; data69 : in std_logic := '0'; data70 : in std_logic := '0'; data71 : in std_logic := '0'; data72 : in std_logic := '0'; data73 : in std_logic := '0'; data74 : in std_logic := '0'; data75 : in std_logic := '0'; data76 : in std_logic := '0'; data77 : in std_logic := '0'; data78 : in std_logic := '0'; data79 : in std_logic := '0'; data80 : in std_logic := '0'; data81 : in std_logic := '0'; data82 : in std_logic := '0'; data83 : in std_logic := '0'; data84 : in std_logic := '0'; data85 : in std_logic := '0'; data86 : in std_logic := '0'; data87 : in std_logic := '0'; data88 : in std_logic := '0'; data89 : in std_logic := '0'; data90 : in std_logic := '0'; data91 : in std_logic := '0'; data92 : in std_logic := '0'; data93 : in std_logic := '0'; data94 : in std_logic := '0'; data95 : in std_logic := '0'; data96 : in std_logic := '0'; data97 : in std_logic := '0'; data98 : in std_logic := '0'; data99 : in std_logic := '0'; data100 : in std_logic := '0'; data101 : in std_logic := '0'; data102 : in std_logic := '0'; data103 : in std_logic := '0'; data104 : in std_logic := '0'; data105 : in std_logic := '0'; data106 : in std_logic := '0'; data107 : in std_logic := '0'; data108 : in std_logic := '0'; data109 : in std_logic := '0'; data110 : in std_logic := '0'; data111 : in std_logic := '0'; data112 : in std_logic := '0'; data113 : in std_logic := '0'; data114 : in std_logic := '0'; data115 : in std_logic := '0'; data116 : in std_logic := '0'; data117 : in std_logic := '0'; data118 : in std_logic := '0'; data119 : in std_logic := '0'; data120 : in std_logic := '0'; data121 : in std_logic := '0'; data122 : in std_logic := '0'; data123 : in std_logic := '0'; data124 : in std_logic := '0'; data125 : in std_logic := '0'; data126 : in std_logic := '0'; data127 : in std_logic := '0'; q : out std_logic ); END COMPONENT; COMPONENT alt_vipvfr131_common_muxhot128 IS generic ( NAME : string := ""; PORTS : integer := 128; WIDTH : integer := 16 ); port ( sel : in std_logic_vector(PORTS-1 downto 0) := (others => '0'); data0 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data1 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data2 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data3 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data4 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data5 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data6 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data7 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data8 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data9 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data10 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data11 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data12 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data13 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data14 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data15 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data16 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data17 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data18 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data19 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data20 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data21 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data22 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data23 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data24 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data25 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data26 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data27 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data28 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data29 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data30 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data31 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data32 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data33 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data34 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data35 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data36 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data37 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data38 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data39 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data40 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data41 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data42 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data43 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data44 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data45 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data46 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data47 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data48 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data49 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data50 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data51 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data52 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data53 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data54 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data55 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data56 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data57 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data58 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data59 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data60 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data61 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data62 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data63 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data64 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data65 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data66 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data67 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data68 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data69 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data70 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data71 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data72 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data73 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data74 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data75 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data76 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data77 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data78 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data79 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data80 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data81 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data82 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data83 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data84 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data85 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data86 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data87 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data88 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data89 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data90 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data91 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data92 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data93 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data94 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data95 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data96 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data97 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data98 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data99 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data100 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data101 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data102 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data103 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data104 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data105 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data106 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data107 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data108 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data109 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data110 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data111 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data112 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data113 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data114 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data115 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data116 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data117 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data118 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data119 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data120 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data121 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data122 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data123 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data124 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data125 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data126 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); data127 : in std_logic_vector(WIDTH-1 downto 0) := (others => '0'); q : out std_logic_vector(WIDTH-1 downto 0) ); END COMPONENT; -------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- -- synopsys synthesis_off TYPE TTA_X_D_STRING_PTR IS ACCESS STRING; TYPE TTA_X_D_SLV_PTR IS ACCESS STD_LOGIC_VECTOR; TYPE TTA_X_D_RN_T IS ARRAY ( INTEGER RANGE <> ) OF TTA_X_D_STRING_PTR; TYPE TTA_X_D_RV_T IS ARRAY ( INTEGER RANGE <> ) OF TTA_X_D_SLV_PTR; PROCEDURE TTA_X_D_registerTrace ( opAddress : IN STRING; opCode : IN STRING; opDecode: IN STRING ); PROCEDURE TTA_X_D_registerDump ( fuName : IN STRING; registerNames : INOUT TTA_X_D_RN_T; registerValues : INOUT TTA_X_D_RV_T ); PROCEDURE TTA_X_D_openTrace ( fileName : IN STRING := "trace.out"; shortFileName : IN STRING := "shorttrace.out" ); -- synopsys synthesis_on -------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- END alt_vipvfr131_common_package; PACKAGE BODY alt_vipvfr131_common_package IS -- ----------------------------------------------------------- -- Fifo functions -- ----------------------------------------------------------- -- find the width of a signal of "unsigned" type wide -- enough to hold numbers as big as "max" -- this is equivalent to log-2 max rounded up function wide_enough_for(max : integer) return integer is variable r : integer := 0; variable m : integer := max; begin while m > 0 loop m := m / 2; r := r + 1; end loop; return r; end function wide_enough_for; function two_to_the_power(v : integer) return integer is variable r : integer := 1; variable i : integer := v; begin while i > 0 loop r := r * 2; i := i - 1; end loop; return r; end function two_to_the_power; -- generate as many repeating copies of the hexadecimal string -- DEAD as will fit into a "b" bit std_logic_vector function dead_bits(b : integer) return std_logic_vector is variable r : std_logic_vector(b - 1 downto 0); constant DEAD : std_logic_vector(15 downto 0) := "1101111010101101"; begin for i in 0 to b - 1 loop r(i) := DEAD(i mod 16); end loop; return r; end function dead_bits; -- return the higher of the two passed integers -- can't believe there isn't a handy library function for this, -- but a quick google couldn't find it function maximum(a, b : integer) return integer is begin if (a > b) then return a; else return b; end if; end function maximum; -- find the minimum, likewise function minimum(a, b : integer) return integer is begin if (a > b) then return b; else return a; end if; end function minimum; -- calculate the width of a byte enable port -- depends on whether byte enables are required and what the -- data width of the quantity to be byte enabled is function calculate_be_width(be_used : boolean; data_width : integer) return integer is begin if not be_used then return 0; else assert data_width mod 8 = 0 report "Tried to calculate the byte enable width of a data width not divisble by 8" severity warning; return data_width / 8; end if; end function calculate_be_width; function calculate_be_width(be_used : integer; data_width : integer) return integer is begin if (be_used = 1) then return calculate_be_width(true, data_width); else return calculate_be_width(false, data_width); end if; end function calculate_be_width; function calculate_be_width(data_width : integer) return integer is begin return calculate_be_width(true, data_width); end function calculate_be_width; -- translate from cusp integer representation of families to the -- string representation used by altera megafunctions and the like function family_string(f : integer) return string is begin case f is when FAMILY_STRATIX => return "Stratix"; when FAMILY_STRATIXII => return "Stratix II"; when FAMILY_STRATIXIII => return "Stratix III"; when FAMILY_CYCLONE => return "Cyclone"; when FAMILY_CYCLONEII => return "Cyclone II"; when FAMILY_HARDCOPYII => return "HardCopy II"; when others => return "Stratix"; end case; end function family_string; -- translate a std_logic_vector into a binary string represenation of same function to_string(slv : std_logic_vector) return string is variable s : string(slv'LENGTH downto 1); begin for i in slv'HIGH downto slv'LOW loop if slv(i) = '1' then s(1 + i) := '1'; else s(1 + i) := '0'; end if; end loop; return s; end function to_string; function boolean_to_int(value : boolean) return integer is begin if value then return 1; else return 0; end if; end function boolean_to_int; -- synopsys synthesis_off type reg_list_t; type reg_list_ptr_t is access reg_list_t; type reg_list_t is record reg_name : TTA_X_D_string_ptr; reg_value : TTA_X_D_slv_ptr; next_elem : reg_list_ptr_t; end record; type fu_list_t; type fu_list_ptr_t is access fu_list_t; type fu_list_t is record fu_name : TTA_X_D_string_ptr; reg_values : reg_list_ptr_t; next_elem : fu_list_ptr_t; end record; shared variable fu_state : fu_list_ptr_t := null; shared variable fu_state_previous : fu_list_ptr_t := null; shared variable ENABLE_DEBUG_TRACE : INTEGER := 0; constant CURRENT_STATE : INTEGER := 0; constant PREVIOUS_STATE : INTEGER := 1; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------------- -- Convert Integer to Hex ----------------------------------------- FUNCTION TIntegerToHex(i : INTEGER; ndigits : INTEGER) RETURN STRING IS VARIABLE s : STRING(1 TO 256) := (others => '0'); VARIABLE Num, ThisDigit, si : INTEGER; BEGIN Num := i; si := 256; WHILE (Num > 0) LOOP ThisDigit := Num - ((Num / 16) * 16); CASE ThisDigit IS WHEN 1 => s(si) := '1'; WHEN 2 => s(si) := '2'; WHEN 3 => s(si) := '3'; WHEN 4 => s(si) := '4'; WHEN 5 => s(si) := '5'; WHEN 6 => s(si) := '6'; WHEN 7 => s(si) := '7'; WHEN 8 => s(si) := '8'; WHEN 9 => s(si) := '9'; WHEN 10 => s(si) := 'A'; WHEN 11 => s(si) := 'B'; WHEN 12 => s(si) := 'C'; WHEN 13 => s(si) := 'D'; WHEN 14 => s(si) := 'E'; WHEN 15 => s(si) := 'F'; WHEN OTHERS => s(si) := '0'; END CASE; si := si - 1; Num := Num / 16; END LOOP; IF (i = 0) THEN si := si - 1; END IF; RETURN s(257-ndigits TO 256); END ; ----------------------------------------- -- convert integer to string function TIntegerToString( value : integer ) return string is variable ivalue : integer := 0; variable index : integer := 1; variable digit : integer := 0; variable temp: string(10 downto 1) := "0000000000"; begin index := 1; if (value < 0 ) then ivalue := -value; else ivalue := value; end if; while (ivalue > 0) loop digit := ivalue mod 10; ivalue := ivalue/10; case digit is when 0 => temp(index) := '0'; when 1 => temp(index) := '1'; when 2 => temp(index) := '2'; when 3 => temp(index) := '3'; when 4 => temp(index) := '4'; when 5 => temp(index) := '5'; when 6 => temp(index) := '6'; when 7 => temp(index) := '7'; when 8 => temp(index) := '8'; when 9 => temp(index) := '9'; when others => ASSERT FALSE REPORT "Illegal number!" SEVERITY ERROR; end case; index := index + 1; end loop; if value /= 0 then index := index - 1; end if; if (value < 0) then return ('-'& temp(index downto 1)); else return temp(index downto 1); end if; end ; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- search along the state looking for an FU with name fu_name -- if not found create a new fu record, set the name, and -- place it at the head of the list procedure findFU ( fu_name : IN STRING; fu : OUT fu_list_ptr_t ) is variable iter : fu_list_ptr_t; begin iter := fu_state; find: loop if iter = null then iter := new fu_list_t; iter.fu_name := new String(fu_name'high downto fu_name'low); iter.fu_name.all := fu_name; iter.next_elem := fu_state; fu_state := iter; end if; exit when iter.fu_name.all = fu_name; iter := iter.next_elem; end loop; fu := iter; end; -- like FU - works on _previous state procedure findFU_previous ( fu_name : IN STRING; fu : OUT fu_list_ptr_t ) is variable iter : fu_list_ptr_t; begin iter := fu_state_previous; find: loop if iter = null then iter := new fu_list_t; iter.fu_name := new String(fu_name'high downto fu_name'low); iter.fu_name.all := fu_name; iter.next_elem := fu_state; fu_state_previous := iter; end if; exit when iter.fu_name.all = fu_name; iter := iter.next_elem; end loop; fu := iter; end; -- search along the FU looking for an reg with name reg_name -- if not found create a new reg record, set the name, and -- place it at the head of the list procedure findReg ( fu_name : IN STRING; state : IN INTEGER; reg_name : INOUT TTA_X_D_string_ptr; reg : OUT reg_list_ptr_t ) is variable iter : reg_list_ptr_t; variable fu : fu_list_ptr_t; begin if state = CURRENT_STATE then findFu(fu_name, fu); else findFu_previous(fu_name, fu); end if; iter := fu.reg_values; find: loop if iter = null then iter := new reg_list_t; iter.reg_name := new String(reg_name.all'high downto reg_name.all'low); iter.reg_name.all := reg_name.all; iter.next_elem := fu.reg_values; fu.reg_values := iter; end if; exit when iter.reg_name.all = reg_name.all; iter := iter.next_elem; end loop; reg := iter; end; -- update the value for (fu_name, reg_name) creating a new record if one is needed procedure updateReg ( fu_name : IN STRING; state : IN INTEGER; reg_name : INOUT TTA_X_D_string_ptr; reg_value : INOUT TTA_X_D_slv_ptr ) is variable reg : reg_list_ptr_t; begin findReg(fu_name, state, reg_name, reg); if reg.reg_value = null then reg.reg_value := new std_logic_vector(reg_value.all'high downto reg_value.all'low); end if; reg.reg_value.all := reg_value.all; end; PROCEDURE TTA_X_D_registerDump ( fuName : IN STRING; registerNames : INOUT TTA_X_D_RN_T; registerValues : INOUT TTA_X_D_RV_T ) IS BEGIN if ENABLE_DEBUG_TRACE /= 0 then for count in registerNames'high downto registerNames'low loop updateReg ( fuName, CURRENT_STATE, registerNames(count), registerValues(count) ); end loop; end if; -- debug trace enabled END; -- ---------------------------------------------- FILE traceFile : TEXT; FILE shortTraceFile : TEXT; shared variable traceFileOpen : integer := 0; PROCEDURE TTA_X_D_openTrace ( fileName : IN STRING := "trace.out"; shortFileName : IN STRING := "shorttrace.out" ) IS BEGIN if ENABLE_DEBUG_TRACE /= 0 then FILE_OPEN (traceFile, fileName, WRITE_MODE); FILE_OPEN (shorttraceFile, shortFileName, WRITE_MODE); traceFileOpen := 1; end if; -- debug trace enabled END; -- create / update procedure save_fu_state is variable last_fu_iter : fu_list_ptr_t; variable last_reg_iter : reg_list_ptr_t; variable fu_iter : fu_list_ptr_t; variable reg_iter : reg_list_ptr_t; begin fu_iter := fu_state; fu_loop: loop exit fu_loop when fu_iter = null; reg_iter := fu_iter.reg_values; reg_loop: loop exit reg_loop when reg_iter = null; updateReg ( fu_iter.fu_name.all, PREVIOUS_STATE, reg_iter.reg_name, reg_iter.reg_value ); reg_iter := reg_iter.next_elem; end loop; fu_iter := fu_iter.next_elem; end loop; end; -- generate trace information for the specified address, opcode, operation -- PROCEDURE TTA_X_D_registerTrace ( opAddress : IN STRING; opCode : IN STRING; opDecode: IN STRING ) IS variable fu_iter : fu_list_ptr_t; variable reg_iter : reg_list_ptr_t; variable l:line; variable current_reg_value : reg_list_ptr_t; BEGIN if ENABLE_DEBUG_TRACE /= 0 then if traceFileOpen = 0 then TTA_X_D_openTrace; end if; -- ----------------------------------------------------------- -- COMPLETE REGISTER DUMP -- ----------------------------------------------------------- fu_iter := fu_state; write(l, opAddress); write(l, STRING'(" ") ); write(l, opCode); write(l, STRING'(" ") ); fu_loop: loop exit fu_loop when fu_iter = null; reg_iter := fu_iter.reg_values; reg_loop: loop exit reg_loop when reg_iter = null; write(l, fu_iter.fu_name.all); write(l, STRING'(".")); write(l, reg_iter.reg_name.all); write(l, STRING'("=")); -- write(l, TIntegerToHex(To_Integer(unsigned(reg_iter.reg_value.all)), -- (reg_iter.reg_value.all'length+3)/4) ); if reg_iter.reg_value.all'length = 1 then write(l, TIntegerToString(To_Integer(unsigned(reg_iter.reg_value.all)))); elsif Is_X(reg_iter.reg_value.all) then assert false report ("Register " & fu_iter.fu_name.all & STRING'(".") & reg_iter.reg_name.all & " contains undefined value trace shows 0" ) severity warning; write(l, STRING'(".")); else write(l, TIntegerToString(To_Integer(signed(reg_iter.reg_value.all)))); end if; write(l, STRING'(" ")); reg_iter := reg_iter.next_elem; end loop; fu_iter := fu_iter.next_elem; end loop; write(l, opDecode); writeline(traceFile, l); -- ----------------------------------------------------------- -- REGISTER CHANGE DUMP -- ----------------------------------------------------------- if fu_state_previous /= null then fu_iter := fu_state_previous; write(l, "0x" & opAddress); write(l, STRING'(" ") ); -- write(l, opCode); -- write(l, STRING'(" ") ); write(l, opDecode); writeline(shortTraceFile, l); short_fu_loop: loop exit short_fu_loop when fu_iter = null; reg_iter := fu_iter.reg_values; short_reg_loop: loop exit short_reg_loop when reg_iter = null; findReg( fu_iter.fu_name.all, CURRENT_STATE, reg_iter.reg_name, current_reg_value ); if current_reg_value.reg_value /= null and reg_iter.reg_value /= null then if current_reg_value.reg_value.all /= reg_iter.reg_value.all then write(l, fu_iter.fu_name.all); write(l, STRING'(".")); write(l, reg_iter.reg_name.all); write(l, STRING'("=")); -- write(l, TIntegerToHex(To_Integer(unsigned(current_reg_value.reg_value.all)), -- (reg_iter.reg_value.all'length+3)/4) ); if current_reg_value.reg_value.all'length = 1 then write(l, TIntegerToString(To_Integer(unsigned(current_reg_value.reg_value.all)))); else write(l, TIntegerToString(To_Integer(signed(current_reg_value.reg_value.all)))); end if; write(l, STRING'(" ")); end if; end if; reg_iter := reg_iter.next_elem; end loop; fu_iter := fu_iter.next_elem; end loop; writeline(shortTraceFile, l); end if; -- ----------------------------------------------------------- -- SAVE STATE TO COMPUTE REGISTER CHANGES -- ----------------------------------------------------------- save_fu_state; end if; -- debug trace enabled END; -- synopsys synthesis_on END alt_vipvfr131_common_package;
library IEEE; use IEEE.Std_Logic_1164.all; entity C4 is port (A: in std_logic_vector(7 downto 0); B: in std_logic_vector(7 downto 0); F: out std_logic_vector(7 downto 0) ); end C4; architecture circuito of C4 is begin F <= not(A); end circuito;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := kintex7; constant CFG_MEMTECH : integer := kintex7; constant CFG_PADTECH : integer := kintex7; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := kintex7; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (8); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 1 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 16; constant CFG_DTLBNUM : integer := 16; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4 + 64*0; constant CFG_ATBSZ : integer := 4; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 1 + 0; constant CFG_PCLOW : integer := 0; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- L2 Cache constant CFG_L2_EN : integer := 0; constant CFG_L2_SIZE : integer := 64; constant CFG_L2_WAYS : integer := 1; constant CFG_L2_HPROT : integer := 0; constant CFG_L2_PEN : integer := 0; constant CFG_L2_WT : integer := 0; constant CFG_L2_RAN : integer := 0; constant CFG_L2_SHARE : integer := 0; constant CFG_L2_LSZ : integer := 32; constant CFG_L2_MAP : integer := 16#00F0#; constant CFG_L2_MTRR : integer := (0); constant CFG_L2_EDAC : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#00AA#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000009#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- Xilinx MIG 7-Series constant CFG_MIG_7SERIES : integer := 1; constant CFG_MIG_7SERIES_MODEL : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 0; constant CFG_AHBSTATN : integer := 1; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 64; constant CFG_GRETH_FT : integer := 0; constant CFG_GRETH_EDCLFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 32; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (7); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 0; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 0; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
------------------------------------------------------------------------------- -- -- SNESpad controller core -- -- $Id: snespad_ctrl.vhd,v 1.3 2005/09/15 17:28:17 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/gamepads/ -- -- The project homepage is located at: -- http://www.opencores.org/projects.cgi/web/gamepads/overview -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity snespad_ctrl is generic ( reset_level_g : natural := 0; clocks_per_6us_g : natural := 6 ); port ( -- System Interface ------------------------------------------------------- clk_i : in std_logic; reset_i : in std_logic; clk_en_o : out boolean; -- Control Interface ------------------------------------------------------ shift_buttons_o : out boolean; save_buttons_o : out boolean; -- Pad Interface ---------------------------------------------------------- pad_clk_o : out std_logic; pad_latch_o : out std_logic ); end snespad_ctrl; use work.snespad_pack.all; architecture rtl of snespad_ctrl is subtype clocks_per_6us_t is natural range 0 to clocks_per_6us_g; type state_t is (IDLE, IDLE2, LATCH, READ_PAD); signal pad_latch_q, pad_latch_s : std_logic; signal pad_clk_q, pad_clk_s : std_logic; signal num_buttons_read_q : num_buttons_read_t; signal clocks_per_6us_q : clocks_per_6us_t; signal state_q, state_s : state_t; signal clk_en_s : boolean; signal shift_buttons_s : boolean; begin -- pragma translate_off ----------------------------------------------------------------------------- -- Check generics ----------------------------------------------------------------------------- assert (reset_level_g = 0) or (reset_level_g = 1) report "reset_level_g must be either 0 or 1!" severity failure; assert clocks_per_6us_g > 1 report "clocks_per_6us_g must be at least 2!" severity failure; -- pragma translate_on seq: process (reset_i, clk_i) begin if reset_i = reset_level_g then pad_latch_q <= '1'; pad_clk_q <= '1'; num_buttons_read_q <= num_buttons_c-1; clocks_per_6us_q <= 0; state_q <= IDLE; elsif clk_i'event and clk_i = '1' then if clk_en_s then clocks_per_6us_q <= 0; else clocks_per_6us_q <= clocks_per_6us_q + 1; end if; if clk_en_s and shift_buttons_s then if num_buttons_read_q = 0 then num_buttons_read_q <= num_buttons_c-1; else num_buttons_read_q <= num_buttons_read_q - 1; end if; end if; if clk_en_s then state_q <= state_s; end if; pad_clk_q <= pad_clk_s; pad_latch_q <= pad_latch_s; end if; end process; clk_en_s <= clocks_per_6us_q = clocks_per_6us_g-1; fsm: process (state_q, num_buttons_read_q) begin -- default assignments pad_clk_s <= '1'; pad_latch_s <= '1'; shift_buttons_s <= false; save_buttons_o <= false; state_s <= IDLE; case state_q is when IDLE => save_buttons_o <= true; state_s <= IDLE2; when IDLE2 => state_s <= LATCH; when LATCH => pad_latch_s <= '0'; state_s <= READ_PAD; when READ_PAD => pad_latch_s <= '0'; -- set clock low -- pad data will be read at end of 6us cycle pad_clk_s <= '0'; shift_buttons_s <= true; if num_buttons_read_q = 0 then -- return to IDLE after last button bit has been read state_s <= IDLE; else state_s <= LATCH; end if; when others => null; end case; end process fsm; ----------------------------------------------------------------------------- -- Output Mapping ----------------------------------------------------------------------------- clk_en_o <= clk_en_s; shift_buttons_o <= shift_buttons_s; pad_clk_o <= pad_clk_q; pad_latch_o <= pad_latch_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: snespad_ctrl.vhd,v $ -- Revision 1.3 2005/09/15 17:28:17 arniml -- remove obsolete variable -- -- Revision 1.2 2005/07/03 21:36:00 arniml -- removed obsolete state CLOCK -- -- Revision 1.1 2004/10/05 17:01:27 arniml -- initial check-in -- -------------------------------------------------------------------------------
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: FALLING_EDGE_DETECTOR -- AUTHORS: Jakub Cabal <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity FALLING_EDGE_DETECTOR is Port ( CLK : in std_logic; -- Hodinovy signal VSTUP : in std_logic; -- Vstup na detekci sestupne hrany VYSTUP : out std_logic -- Aktivni, pokud byla detekovana sestupna hrana ); end FALLING_EDGE_DETECTOR; architecture FULL of FALLING_EDGE_DETECTOR is signal predchozi_vstup : std_logic; signal sig_vystup : std_logic; begin -- Registr, ktery uchovava hodnotu vstupu z predchoziho taktu process (CLK) begin if (rising_edge(CLK)) then predchozi_vstup <= VSTUP; VYSTUP <= sig_vystup; end if; end process; sig_vystup <= NOT vstup AND predchozi_vstup; end FULL;
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-05-2016 -- Module Name: p6-2.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity adder_1_mux is port (a, b, c : in std_logic; sel : in std_logic; o : out std_logic); end entity; architecture rtl of addr_1_mux is signal t : std_logic; begin t <= a when sel = '0' else b; o <= c + t; end architecture;
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY tb_nand_gate_busInput IS END tb_nand_gate_busInput; ARCHITECTURE test OF tb_nand_gate_busInput IS CONSTANT size: INTEGER:=4; COMPONENT nand_gate_busInput IS GENERIC(size: INTEGER); PORT (a:IN STD_LOGIC_VECTOR(size-1 DOWNTO 0); b:IN STD_LOGIC_VECTOR(size-1 DOWNTO 0); q:OUT STD_LOGIC_VECTOR(size-1 DOWNTO 0)); END COMPONENT; SIGNAL test_vector1, test_vector2: STD_LOGIC_VECTOR(size-1 DOWNTO 0); SIGNAL result: STD_LOGIC_VECTOR(size-1 DOWNTO 0); BEGIN T1: nand_gate_busInput GENERIC MAP(size) PORT MAP(a=>test_vector1, b=>test_vector2, q=>result); test_vector1<="0000", "0001" AFTER 10 ns, "0010" AFTER 20 ns, "0011" AFTER 30 ns; test_vector2<="1111", "1000" AFTER 10 ns, "1100" AFTER 20 ns, "1010" AFTER 30 ns; END test;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_rx - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle receipt of arp pkt -- ignores other types of pkt -- -- When it receives an ARP pkt that is either addressed to our IP or is a global request, -- it outputs for a single clock cycle either recv_who_has or recv_I_have along -- with associated mac or arp entry data. -- -- Note that if recv who_has and we have it, then we also assert I_have so that we can cache the rev lookup -- on the expectation that we will want to reply to this host. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created - refactored from arp v0.02 module -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_rx is port ( -- MAC layer RX signals data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) data_in_valid : in std_logic; -- indicates data_in valid on clock data_in_last : in std_logic; -- indicates last data in frame -- ARP output signals recv_who_has : out std_logic; -- pulse will be latched arp_entry_for_who_has : out arp_entry_t; -- target for who_has msg (Iie, who to reply to) recv_I_have : out std_logic; -- pulse will be latched arp_entry_for_I_have : out arp_entry_t; -- arp target for I_have msg -- control and status signals req_count : out std_logic_vector(7 downto 0); -- count of arp pkts received -- system signals our_ip_address : in std_logic_vector (31 downto 0); rx_clk : in std_logic; reset : in std_logic ); end arp_rx; architecture Behavioral of arp_rx is type rx_state_t is (IDLE, PARSE, PROCESS_ARP, WAIT_END); type rx_event_t is (NO_EVENT, DATA); type count_mode_t is (RST, INCR, HOLD); type arp_oper_t is (NOP, REQUEST, REPLY); type tx_state_type is (IDLE, WAIT_MAC, SEND); -- state variables signal send_request_needed : std_logic; signal tx_mac_chn_reqd : std_logic; signal rx_state : rx_state_t; signal rx_count : unsigned (7 downto 0); signal arp_operation : arp_oper_t; signal arp_req_count : unsigned (7 downto 0); signal new_arp_entry : arp_entry_t; -- FIXME - remove these debug state signals signal arp_err_data : std_logic_vector (7 downto 0); signal set_err_data : std_logic; attribute keep : string; attribute keep of arp_err_data : signal is "true"; -- rx control signals signal next_rx_state : rx_state_t; signal set_rx_state : std_logic; signal rx_event : rx_event_t; signal rx_count_mode : count_mode_t; signal set_arp_oper : std_logic; signal arp_oper_set_val : arp_oper_t; signal dataval : std_logic_vector (7 downto 0); signal count_arp_rcvd : std_logic; signal set_mac5 : std_logic; signal set_mac4 : std_logic; signal set_mac3 : std_logic; signal set_mac2 : std_logic; signal set_mac1 : std_logic; signal set_mac0 : std_logic; signal set_ip3 : std_logic; signal set_ip2 : std_logic; signal set_ip1 : std_logic; signal set_ip0 : std_logic; -- function to determine whether the rx pkt is an arp pkt and whether we want to process it -- Returns 1 if we should discard -- The following will make us ignore the frame (all values hexadecimal): -- PDU type /= 0806 -- Protocol Type /= 0800 -- Hardware Type /= 1 -- Hardware Length /= 6 -- Protocol Length /= 4 -- Operation /= 1 or 2 -- Target IP /= our IP (i.er. message is not meant for us) -- function not_our_arp(data : std_logic_vector; count : unsigned; our_ip : std_logic_vector) return std_logic is begin if (count = 12 and data /= x"08") or -- PDU type 0806 : ARP (count = 13 and data /= x"06") or (count = 14 and data /= x"00") or -- HW type 1 : eth (count = 15 and data /= x"01") or (count = 16 and data /= x"08") or -- Protocol 0800 : IP (count = 17 and data /= x"00") or (count = 18 and data /= x"06") or -- HW Length 6 (count = 19 and data /= x"04") or -- protocol length 4 (count = 20 and data /= x"00") or -- operation 1 or 2 (req or reply) (count = 21 and data /= x"01" and data /= x"02") or (count = 38 and data /= our_ip(31 downto 24)) or -- target IP is ours (count = 39 and data /= our_ip(23 downto 16)) or (count = 40 and data /= our_ip(15 downto 8)) or (count = 41 and data /= our_ip(7 downto 0)) then return '1'; else return '0'; end if; end function not_our_arp; begin rx_combinatorial : process ( -- input signals data_in, data_in_valid, data_in_last, our_ip_address, -- state variables rx_state, rx_count, arp_operation, arp_req_count, arp_err_data, new_arp_entry, -- control signals next_rx_state, set_rx_state, rx_event, rx_count_mode, set_arp_oper, arp_oper_set_val, dataval, set_mac5, set_mac4, set_mac3, set_mac2, set_mac1, set_mac0, set_ip3, set_ip2, set_ip1, set_ip0, set_err_data, count_arp_rcvd ) begin -- set output followers req_count <= std_logic_vector(arp_req_count); -- set defaults for combinatorial outputs recv_who_has <= '0'; arp_entry_for_who_has.ip <= (others => '0'); arp_entry_for_who_has.mac <= (others => '0'); recv_I_have <= '0'; arp_entry_for_I_have.ip <= (others => '0'); arp_entry_for_I_have.mac <= (others => '0'); -- set signal defaults next_rx_state <= IDLE; set_rx_state <= '0'; rx_event <= NO_EVENT; rx_count_mode <= HOLD; set_arp_oper <= '0'; arp_oper_set_val <= NOP; dataval <= (others => '0'); set_mac5 <= '0'; set_mac4 <= '0'; set_mac3 <= '0'; set_mac2 <= '0'; set_mac1 <= '0'; set_mac0 <= '0'; set_ip3 <= '0'; set_ip2 <= '0'; set_ip1 <= '0'; set_ip0 <= '0'; count_arp_rcvd <= '0'; set_err_data <= '0'; -- determine event (if any) if data_in_valid = '1' then rx_event <= DATA; end if; -- RX FSM case rx_state is when IDLE => rx_count_mode <= RST; case rx_event is when NO_EVENT => -- (nothing to do) when DATA => next_rx_state <= PARSE; set_rx_state <= '1'; rx_count_mode <= INCR; end case; when PARSE => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => rx_count_mode <= INCR; -- handle early frame termination if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; --else end if; -- check for end of frame. Also, detect and discard if not our frame if rx_count = 41 then -- TB 2013-01-14 15:09:45 was 42 next_rx_state <= PROCESS_ARP; set_rx_state <= '1'; elsif not_our_arp(data_in, rx_count, our_ip_address) = '1' then dataval <= data_in; set_err_data <= '1'; next_rx_state <= WAIT_END; set_rx_state <= '1'; elsif rx_count = 21 then -- capture ARP operation case data_in is when x"01" => arp_oper_set_val <= REQUEST; set_arp_oper <= '1'; when x"02" => arp_oper_set_val <= REPLY; set_arp_oper <= '1'; when others => -- ignore other values end case; -- capture source mac addr elsif rx_count = 22 then set_mac5 <= '1'; dataval <= data_in; elsif rx_count = 23 then set_mac4 <= '1'; dataval <= data_in; elsif rx_count = 24 then set_mac3 <= '1'; dataval <= data_in; elsif rx_count = 25 then set_mac2 <= '1'; dataval <= data_in; elsif rx_count = 26 then set_mac1 <= '1'; dataval <= data_in; elsif rx_count = 27 then set_mac0 <= '1'; dataval <= data_in; -- capture source ip addr elsif rx_count = 28 then set_ip3 <= '1'; dataval <= data_in; elsif rx_count = 29 then set_ip2 <= '1'; dataval <= data_in; elsif rx_count = 30 then set_ip1 <= '1'; dataval <= data_in; elsif rx_count = 31 then set_ip0 <= '1'; dataval <= data_in; end if; -- end if; end case; when PROCESS_ARP => next_rx_state <= WAIT_END; set_rx_state <= '1'; arp_oper_set_val <= NOP; set_arp_oper <= '1'; case arp_operation is when NOP => -- (nothing to do) when REQUEST => count_arp_rcvd <= '1'; recv_who_has <= '1'; arp_entry_for_who_has <= new_arp_entry; -- setting I_Have as well allows us to cache the remote node's entry immediately recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; when REPLY => count_arp_rcvd <= '1'; recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; end case; when WAIT_END => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end if; end case; end case; end process; rx_sequential : process (rx_clk) begin if rising_edge(rx_clk) then if reset = '1' then -- reset state variables rx_state <= IDLE; rx_count <= x"00"; arp_operation <= NOP; arp_req_count <= x"00"; arp_err_data <= (others => '0'); else -- Next rx_state processing if set_rx_state = '1' then rx_state <= next_rx_state; else rx_state <= rx_state; end if; -- rx_count processing case rx_count_mode is when RST => rx_count <= x"00"; when INCR => rx_count <= rx_count + 1; when HOLD => rx_count <= rx_count; end case; -- err data if set_err_data = '1' then arp_err_data <= data_in; else arp_err_data <= arp_err_data; end if; -- arp operation processing if set_arp_oper = '1' then arp_operation <= arp_oper_set_val; else arp_operation <= arp_operation; end if; -- source mac capture if (set_mac5 = '1') then new_arp_entry.mac(47 downto 40) <= dataval; end if; if (set_mac4 = '1') then new_arp_entry.mac(39 downto 32) <= dataval; end if; if (set_mac3 = '1') then new_arp_entry.mac(31 downto 24) <= dataval; end if; if (set_mac2 = '1') then new_arp_entry.mac(23 downto 16) <= dataval; end if; if (set_mac1 = '1') then new_arp_entry.mac(15 downto 8) <= dataval; end if; if (set_mac0 = '1') then new_arp_entry.mac(7 downto 0) <= dataval; end if; -- source ip capture if (set_ip3 = '1') then new_arp_entry.ip(31 downto 24) <= dataval; end if; if (set_ip2 = '1') then new_arp_entry.ip(23 downto 16) <= dataval; end if; if (set_ip1 = '1') then new_arp_entry.ip(15 downto 8) <= dataval; end if; if (set_ip0 = '1') then new_arp_entry.ip(7 downto 0) <= dataval; end if; -- set arp entry request if count_arp_rcvd = '1' then -- count another ARP pkt received arp_req_count <= arp_req_count + 1; else arp_req_count <= arp_req_count; end if; end if; end if; end process; end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZH3+EZ/rjndJWLqlpuAhGcrq+xL0Jcq1+MGK0HClMqZ+VSJM5xF0dih/JiImuN6T1YbqvenuGVX9 CArY/pEtiA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QnfGOHcQ+aowACpUOWJxEYK/B1/HFREWsUSTPpRoJ4i3/MiMaJnu4Qy3D3yTYsOw9dM/24v78g2s ihoZ6Q7CQ9c7bJPxXLJLYb3Qa20LUWDx2KvGSE1Dsysfvk8znNdLpSp6x8GjJU150tqknX2MX6OE GdZLu9s59tHN7gMpfX4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nq8aZVaKdK7o6hK4vpHNx5R7YCR1OUEPs0A2BIZ7M4V/n14BSKa1fqMRqxUD1RSYb3pZt6MnEJci ab/YwKOHj2wknkJ11O7LjDzKi1/AOffCUg3TwtzIHESCgRiwdysRG5s4u8XFAzLqwnnFRj6GzWpi lRpLqhmKJ/xdBj+YJ8pNRNZBGNdI/+qBHIDWeobL/S1Bp3tnQUQb2fmK4XzYhd66ICymJuSEI0SQ mWZQxbPD9joeXpokRWGylDSMyQ9/IDkNi1gYAOIvkYfga6BL+oAVoZjlFzkn+F0H2x3YVmG/C2tF BwtP+11ITpahrR1Qh2LzejD5ExWOpWiyA3tZSA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block joZRAauV0QHvKNgWjXZjB+v0oYWCInMvK+m15o8KJNSj0oESqpn4oBnqciojjBnSai/A7K+ubscU f/8sf5lkCUKvzxWsESjn1AJsvaFRLO7C5SZxkgf7cPIq0SnEpysV8PA5teXxGAwQN8V/gwWaFG+J NMJudOjs7WJeCEm+4aE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JlSGQ02L0+IYc/GvqrJNPNyqa/VpszwJtoABgwP+bu6aod6CfBMXnzzdmNLqqFGvSbZrfN6q6ysz RNYdsvasHAqN/subS+mVGX+agqyH8Of/RH5pt+ikvLn9yOnoxCRVMIYbgu0NZ22Iy7+7u8Yb6yBN YPty89j9J46/P+e+GR+hXxqVjlKFnQL2K+1gdgfs66DfEUXmEdVQxJsoo+2h+BsPiOAUNUOyYahP pLcc2RLzeUUrhfvphpXKVew9WpIxkkppadS8h9K8NvP6jBvkzl2ywbajweEH/tL18tgwzfbFeEGg tmbNANbBL8eiwrOLwUa8314cJhxMgIud8Y4/qQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16592) `protect data_block CIpZZiXFTyay+Gsh7ZEqihmlb5vJUhQ4JGSByIxTfpBzrHBqIY3HbVKWHeULKMkG0I6oNQlqTTBy HmTUIGFVB4MdCRSgn1lNnS/y2XC29Idy/xDA21BQpTnfifW8igjEnf+z6hj4O9WAE9ICUttol+/C /09XO9e+wqi3CGXswfSLf04keV4DNKlEwGOnSabwpXb+idKhDmm4HnjigctDLyIwliTTg84wNZW5 6QtjY3V54MH37LO7LI/3fSne5LNrn5KdUhDSX6Mjr/vpq5YVFLGiUlNhGmtQyIrrzov+l5xBdA22 Lks6Ag6b2L9j7YYc+212FEAfLuQfcS4n3B7WtREBjDEv+H/6IC+OU9fS6UttmLz8BkRxb/wjl/Up jHIsrmJTNwue4gmcMFVn/AjeK7eG+WukcrGMuOGfz+RKkirmnItb/azfLxn+B6Mc2RN836W74XGB fz28UAlAw6OrYQCRzBlMzjyviH9gfO9cRddu0+VG6HcIJx+HMkTSwgHqnLeBtYOY08aXAq7DV5zT GSWw3fGrFYMUoHFccrcS7teIVVWEyxvN2CquslkzjOAOB2cO+GFq1e+c8sSwUpdP7N3f4a+Y22eV cJDSx+1ewPh/Vo46SMHxCcI/1Ul+0Is6eTwbqVIA0/LSTx2ccviuKV2tTwMnqffUYpRSmRirQ2/3 hgUUAcYMjhrCWfJh/ziyTh2LxTxWrRPlJzcgyIvEjyNW/VGFjPk4NmlgZKcPwVV1/tHQJelpxDKF Dq/q3iP1hVdshEDR+/4PcaiTWCFfyd4D1o/P2cxXlrE1pzF+1oVB2o+N90Qvc34zhwdPCRQN6Baw Y2xMmmqvGo+HuceDqZ16YlDzn4A+d5avj4XP7EaIBkBnnA2eQK7Mzw0JTmdUB+qU0N1pxevf65Up Ku7DJVzD2+WLEBbU+FVn5jQnD9zOTliUqCoi9fG3IzFniRJLvAj3a4CH7W9mgldmXoazsfTvOh3U jjQ2F5dUe6hK04PlCS5+bq1bgq4YQMww7c3afVSwa2T5UfVlH8DRQPd17M4t9u8++pNs6cZN/uoW d2FAgCnN/D70l2TTQbJgGrucT7P+2DVfAvc2S8Bs2vZmPoVPnAtTXfRCtiKBaw83bJ640JkdXP0E 3ZmejDhrPR2GmZzSbxgtnafximDaEjfewLmhH0qC1AIAy7DtCpVMu+3DIUJA/F5ZZlGXCDyfDa4L MJMmarlfNrhioGYh7q+PGpjKgqNZTkHaBn8Fme7ryqAx6aVjhSBQqtvJIrDuda3t7v2ccEzviu1X DGdDliaIck+ziOkKdfO3+WyyjeQIJLybzCDpTIVGwrLxu3IlFq4KTl5KhB63zo17rrBID3IS1R4n 7EQBdhmE2RgEx9/oLprY30GV5PyU/YaujJhwOq/1ZgjUdjvam/xlYVfSCln/LJkN94CqIQ3U44mr cX0cC6THVOEdNicOxfEknDqpHo5522V8LZV9N8u5i8I4ld4iUYgPrSy8rseMSWZk6yJJszF+GkLG JzAG0dc0lmx7ZvLsXNXDsSuIjXUlyp/JwZvlR2l0KXOGWYbBBDTSDS9/MKYmkZoXNxvCyngaRUq1 SqYTHVH5jhQqHJVZ21a8RTFE8Hj1HpYG4ZzlMcBW+qU5AFre2J9+1d0zXmrlThovBJM9fQ+F4TLA qERJoX9FByRXG+CTg49PxviDcCaBsTQjL/BL1scpbfz50Jg1MjIzOnEGg9Am33aF2YHFosXSHqFD aygTSFvClq9+JmlUaXckNm9qrA9TaZgVuforRBAxwzpacYa0ykghOATXryxkO5OZVwUgwCrHTv9h GLbfTRIGYuND1+KLuetmVGE4E2ybfDKd1iyqliQgo20BdNV5jBiWSFAc5WhkLTq594vfiVHjJ3rn +eAgcbIHEbO/zjRtsHbMm6xCimntyRhvsOqgeTWAsDnFdEvbQlKjAFDXal5xbK7rQ6ay/u4QVEXe Nr5IPq5D6s9QIDl5WZuL4QlZKSR9XKTEBT5lXtMmPB8JkgBEBJUTO6B6urU1OPt52hHBlbGolQRm gWhvEloCJkdYGy/aKIhBDoBVs5kH/xAKVp4EDdck5bywNXFFqc8I5RKTUENNsid1JDhWt6Lvvrnp AEqZNYaB5nTjCo0TLo+98s58pEAJ8qc/JEryihhTUgwNAnR695hIzXfFOL8L0KjxByKExmEM7P/V g0nTuwX27wkZM7m4qTslrWpcGhHkIUYpYgkFatVVRKEpgLMR7pMT0vHFk2p1Mq+chJ1myrZvRhs+ Jgdux+wbdITeQF8KifN7x8SMvLfWuSgFssbbjXxKxQWr/oY6NxeBm/+f5YDHeIuJd8dAYAtAABLF Gaxcn2R7MIs4Fe6HrRBQLNZ2I6aVfQoF+Xz9YyuNK7T8dqaYP9aPlFJX5iOoDLW5kALGTO7HpT5u bght8NSaYSMizMdKUGiI9ogwnlaFF+iKf4Zh907tgp12dvk7dij/PcKMv1AG26EZR86BEkPOdE8S dmBCFUMTDxB6TWqC+kQ8lmdYcm4J5RHK4DuxFGEXpc+Ms1DgVXKx8XUU4vQ8wQkH6nuVjgjWP9sN z33hRB9dIzgefsHVw6F4o2tr2E0hyOd1KiU3VcG/IEvIO5nm8E+Mr3pg5k7WAXIJauCxXneBG3Rh O1NSGeV49uIb+qEe6PIjFqZwAmSJdI5Obt+UEFolDUU9FxFOJyuuWoI0+r8TY8d8YrsB31iK/e6P 0l0pPKTWeho30QtXz/0NwFfEvGx/YgOtF6iin+8m+NQrUKv8UlAGPc1/nklbQ079mlo/NN3c5EdV nO4eY8EUVRMReiSk2QLtNf+Ax9mJ+OM+9aLkplJ8FoFLok3Z26WQuX+xGmFfLFCfkDcT2/G0nP3x U1n0BOVdcKCI2GDGB94PxgSZwxxrfhVi5C/QbTsUM4YXYtbd6xUxde21tZeiL30ePRoKHnfetArC lKFyMjndNAgtkDUtZYUZRXwS6hxFm32g5UIZgGOhM98vc0AJjg1OmF1OA0kKCPpZvRAl0hspKJcO Zu4zoESNf4l+pqjuF1qKn0Vb0f8usmqUAREhr5bsI5SG7y4WMIOMrdpZz4fFyNlEpkkMRwEnSbSF UmT3uITDKkdLdap06zbu384BINlwyyt4RR9htmSUIuJumqajUeeHeP1v24YMMpDa6Oaxg2c/zkkS 0E82OxEIPq5i6Fe6jTef3CMJeiwrzNE/j+5KnubMLhII+0hu4SdWA+T32Alw2/1wVuw/2nsGw0XB nESwpU+YoeaOG1msf9XiNPtUv8zhZI6Go2PS4+FVMw2DU6AZQR1JnBabYS+LEzHWQnZOWf4eCcPp bJ/4mtrOf5v5zgBGQDdp3OMT0ZVUUyfaBKtQvxA6BPPVrIQ6RJFyPaivU2uliM5G2J9fIQDJPMWU zJ98qLaqkgfVJQgy884mHWIp9vIV6CI3imvMPv4GFJLjw1TmDystwM7Ubl/T1aSOkReUyVidLeJQ HHMvDN4/EyU9q+vgRm/O9ouwxVvCPYDUDrlinfN9SPAJKRn505O2ppfxA6+ei85Pks2lCL+a5uYu AF35wOPJAiecQSn1qBvcOpJ/7FTAgeTVzblAELpE52v0MIhK5jEZCVuuYnUOxgrN/HzZYVfssDsy lrYba2ZEyxxHHt4r1MHumPYH6uGO2bTjgIzhItp4Xsdnw+9m5ivP9cpBnp8bYkQq1fYabw2jWweQ VZ9ijqZnSFTZ9GiN5GAd9WMDWVCTYX32n6uB+//T+jauW/b5EQr1jswI64SAX5X/CKr0eiaZMVdv h/ec0wVSv33L+POwV6moEHpX2fR+dgmjIzDGCHzz3uvcBZJf2sUb8NdIXnBQ97DMG9jISbrd/4Hd ecU/wznGQvomcmMgh9uIMbj5lkiYlxna2eyC0vMmRok5ehh1ngCd5otUfoqeCa3IwFbtRBWaBwwh fB1BWBdGRDUbnshhcHOQS43MUxwOO2JKUXGkt5trQi7mHDZ4mNV2jSJlZKwv7VQX6Oyhz2TbZe51 rMbT7AAIPZqnlKKDdDZsvVJbqOOI0KURa5guqJun21JinbeH6CBKogOcLMBQsdCJOztfoXqeT9VF dchTxwjmiZ0vWTiQZAzVSZH+B9swKDYV3XvzgF6SdFAw/s7SdNrhHDmGz4UnCeWQ9/013KYxMnw4 jfssafAYeuw4CWFBz2nqNsKT5gscYKNbnYDUF7Mu8SSadjgTJoZQ4QU9FWKr1/J8/MdPO5B7tJHF WMVV3Hm/4nikHlHMwhJEGQS+pG4hQwu7ujpC9VfD58xZUvXHcDr07zYfZEbOks9AfFctVNRDczqK je7tnJt2xJIj5P680zn2/WtrtzVft9vvHY54IGT6Tu0KdD7ZKFDWnmFO7BH/RWzSmaK5djDZSpRn Hwgzr4d9z5Oq8JJqVBGwee+4wvvHbC1e6sV2BOrSUZDJP13K3xURWuItzwZZpLHOM9kIK0XJ/ISA fMrPFzihVu9BK8jUJNKNl5CkAcgPRZVuDlzZu5LRDN4qC3lbjvh0sD9ny18FjbjbeH3u6iE8k3yV +ANkfyq8d3UIfWDgGxge5KXNW6mvChhrZ8w388cAowob4FjclrvHf8TaP8VCOw3CodXspwpaskpX bOFra2dqUnjd/w5LSPMptabvNL7wBZwcXpScNXKhn7U8ds87jRx56nzAWNIEEem2C+Z/0oW5cNVD 1/1iErDEpi0PknxI06goyHg3YUR4duuMn43LQWidaGF8Ba/FJtp5lVGObhkYjaNJ6Gmm6Lnv4Lhn jvKjcLypQmOEYNOJmsO5qG750Jmh9Ngp0b15Xd32XPKX48GnXdU5LDU7t/U0GXgusUupLDVIZg21 CLMmzS8gfGKmptghPOcofjWiIm+tE3WQSpGF0mvOkKYFQk3nzDRBjRhI6LSVVUEdOIMChZxzx1Zf fY6ss7sxDf1fi3QLGavh3xqjuBhatQ9LRFAFpl3qpMTSu7SudA3Ls416y/d8nqAOKE9PKhlP4qic 86lONSlDQlr3dDsgGeqyhWZAIO0wAOsrLbSzXbWUbwwSzoDDiBrNu5sUCSUZRlZYN85yVBQ6VhQq cCdMaKTPikvnJHLZOsxdjREke2w1RoAts+j866cKs9sOVUwstrO/LOoaOa6WXPcleRUqd/Y25FMD sCs+CFtsZAZlA8222Fh9uMWtP0jatfQ9OfF6EJ6bWDkLBMhx8GGqIzP5fV+48+OjX2FSURRgClHz yFbr2jTrkaUZ0puHHRqT01gM5XDMq19MCteCoMglJ19WJhumZSYbxC5L0NCRgilmllw/zJAfVrKO HCR+xlPUzjigWoVGLM9DNWZY+3/aJUZZtVQsWZbetSHJEnXHDg85b5EuzdyTU9JaxeB4V1a5+h+Q k5F3cCPeYir2aiwNIFZ3HO/yHxuLKfTZXXoLRNCm+EOTSQe0Ukjw4YgIXSwTkV7aMmbe6WM92Rb7 kVJ/DRQOMyVUtyG2vrLHjMu1h9W7K2G6YFyWAeH4yJQLuJViqzZDcg8VRzDy9p11O6Jc2hEkQg2o ZoQ2QF+VVaMU5QlnOnKLX8wxD5gVVaUK2etiweWRlD6A+IoisMGYqh3oxROEYCS767mKyYeE8WUA QxE/wpgKIN6IsMQDOednTHy4ZTL1HlzrcZAjRj2ztPYnGZdWISonEpcCbcaFBBVuiRTnrngpr3vW V+HnI3Ji9EbhAdks03fIy8m6JcUZnkLVp4r2TT1tC3Yp6BrO3CwvV2fBM7SrSOZBg5rzbSAeB0gx LWazSQmfPaSLDMcnEiKci1inVw5ReX5BCDKYaRDBaijI0G0hCuq7LsObnewz70d+ftK7khQqFUQ1 ffZikqofasqM4i6pVKjPvR2NR89dl0+XrPz7PstMXLD4hVVWBAiYyTTkSt/z82TQ+kliAuyDRGbU qkSdEkHcUqic16k5ZAKYCd1q4VI5XqohaQpaKkHGIVMqnn6EyNmWrS+ccZ+xAQFNOeOlqKxyf6Dy Vlg5SeUe+k0Zx+hoWjBTWOl+0kZQH1FpXrHZTAIaXpXd7Hc2CA7xcm3vdjY5eY7Svo14DgsXX5Py exImiR25XJBhcpjzT8wrKbqoM1NjDm1R5X4GXRbCViRn4usU2OzCeAs4+/IdepHtOdLj11klTNja ueiVStjMlgz/vs29CrlSWz80z9bOMyLTz8DRjY6sSsgP3Qxq5C6hlpdxinPeXzVqR91CU8tctA70 GryBYWFTkfGgsrMWbCCCfx/4tXq78iUpKNi320tgGslm4+McqdxvphZyJ8RCa9dUgLrKU/PynIn9 EYkGoHRhG5aFsxQNwakwwuHa44AvSHL21G5xANNR98N1nfizxQlWIYlxJoohgGFIoIQ7lOcXZ60E FfwPXGd0eEjWFvHge7gOvZ88vmjoj6yhibTMGDMfoXl4X21WU7IVUTzyxMbuwbwGJwIKlae6RlyD mSDF0v07tDaC3DJslvMhx3LUSxAs8qWESWPiNgLYVVMB/uIbPp5KNM0PmiQ6r6O35BQx1GglnbVt 8/neR8KYZCsRVd2ZJiqk4JWtT7D6+g0dfBNihbZJsIG81hM/rXq84tjvkN3nupQdsDLqI7/XSFCM LuBRGH+30GnlIG9b6EyZj9pbKMcA+Hs7pwZdsWYrDlvFZzlIfpbdU9+zN1Z75KkO8c+3tot4uOMM k7zTiCgY5o+uUXgykLAcBoT041AGUV/UN2pr3xX0cTu1bRum5ZTk/vMkhKrZ9Gprk7YDtFWUVTmN LORHNXrwjYLgAUrg5oemOZFz/PS9LeTErqGIeOKfojqvjYf+5a02LMdVjJAFWpHgnG1hTFjPcJNU RXZykWvC+l3tI3KseXA2xxnDdnWU19+zZcTeDMfvYkkdjM2Tt0/TduEkXlnZkBhliLl4lJG59sg2 2WTRPsE+6v0vU05Tnw+M6ZlghULz6T7mw395kAMSB7/9OOT+4G3BhsOz+uazFlqHuDDS5e1QtQb3 3rbuTKQFhE9UbhZGaRXIiuc36EZ63SZSjWIrt5ve6SDodbsxEY2KzNEXaaPF8N65PjSPexbcSbRk nU6obnotX37IaVVtPPs00acZyjxjUrOD0NuoJx/f+/HMZj/L3qPTwH3wb9AUcFhKZnRXRqINkvEA O9pTL3hbkMx8IRQRyieH+Yv6M8eMsoWiNm/iz1CkUnqd76yu5J9nc2mLTbDNOhSdd6uBFM8s1hD+ McYrFTKMXZBe55U/N7oZFzqDLD5yCG+83WoqZUu3e39YyutCQlSduyfzVBwVEhomHIC9FrgD96ZO ondJvc21W0BYLlwU51XNtsVCU0cAVmqA7dJDIAKJ5bzpQY5VcAeVH3rRY8m8i3ggOa5+QczR3DY2 f0EmTnYHZQCfcbVa5oq36aeOVsfNco+BNe0W6wnC0WEGPkDwA3Fl7JACmF5RZ3DiV9GqWkblf5tK 1HxnxwtkYUK5XkOBdIa7rMRowU5fa+vjJV+jQoCswSAgsFqCtd0NBE0r7gycdpcdjJZfea95FdZn 7k06GpIKI8VB7BeXCz3oNz3HJSEKLbinXjUPKbn0KrVTTo/ppUqa68K+E9vKRs1puSE5V7Cy9mhg CCMjtluCWxKCLzlAO5oE2efFg7LwzYjQG9Wp+L8YhW+3q8AzIPCd9DIgtCTLTSejIhO+Ym6w0xbd mA6XlmJvThCHKHMubHHH7qC4Ksk8tsqKwkamqirGESmjkIbFHKwDljqiWAHEZ28kooDWLZ58A8CL z3v18GrVanHUU6EqZTBL5DF2bsGiwf2Knug2M0eMtlGHhndeKRqiDW2ZpRwPhZhMqSmyoPRwORXL lUrUD8lu+UlHtjGQ1OGfB1saEuoxymOJ8LfodGbk9Dq4KOBV6K1swmpopbwluUSTZMw8sK+3aY0M ABCG9iPChPZAH/8qbRQ10BFQrdC0MpS1TRUskGR9HA6rMvEb1zAzjoylRJd41t2ObC4yCd0bCSOj Mp1LzvfTxg1aykB4OFEFJNc1jIVbSUnSzCxCxDmiTZIvwyLTHXKStO/Tedb8FCobscCpZ4OZ+dyb AokEeCGF5D8d85HWiNbIiBzRKiz0Z6bt+6Lr2f58JbqDuO1H6jD/r0fCSStf5/lImkGPUnYIsOrs lFKWoRIRT1tQNiO7/Z5tYPi9C3iLue0XqD4ozq3OfYiX232ZrAx9BnuM4fKBp4mT2AmuUQmF6PNP yFOP5P1S09qqgoP8xfS6tU51R98n4v7/w67EEISmPqWiQ8BvCk2jeQMl93xX3E3sQ9kQEdSFOx0Q 7gWYVAmfObSr61KnE0AE31pug2n5P/NKNXiIIL8I6dGeYXF4qRLkWJdbCYLtinM+YqUL1GzledFc dUZppbrqV6YfT8U9nNlxi5IlvrfvKN0qI2LJU0/+psbONH9qn1jTFxx7wyzpxIGTa1zoJwLUkwah ik5+w7ErI7YbAwIt81uKFi84Gtp5CPoQBi3UNT2BqeTisCUybn2bYbppZYxv3DnAB3Ch1cm4vsY6 Yy9XQ/nBa47AejMC04LLslp/dgfRaLmE4APln9EB55gv1J02FRAm/rLWm6rFTf7AfhrXnaa40kX0 zp7mYZhE+QFEX4unAZ/IKTJ1f/z/xQTt+AvOr8jdzC7mRZrw50+fuTXdtEI6F1sXW4YrulBFSyDK JM7y3l3zdsSnM4fgdfGT6EuW2fzWWcWmI7UzIBPzzl3u3A7oDLWCTAVlQdkcGdwvsD180tVdZ/of 0uwcWQeD5Z0xA2Gu18wK0K+X82iNNoGxD5gsk1NqhlGhOZE+HGnjPt0MbIG5/eHGiv+Wb9AJOwOJ 761TfYsdgfGr6tCmQP79g79vrL48KXk7e5M5N7sTdCuQoCBsjClAZqR2+8Ljc+7t0KptwdG8Booi IXiJt1F3n9/GNT6YqG2or3Fst0A6/eh42e0Clqn7D8gcOHT4ZKM+PGlX8ZtFMkQRkgsmt+tdHRLJ S/OG0eZpSe9XEH6McD9bxDKpdW2rxRyQzi1e1L8QG0l/LI148MNzu6I34bzr609wZ2xM6Pc+Cypq FcJT7XGviHIWlTcysrFC29bxGiPlQctT+78FmF86GnX8kk0NGBvSQZg9SgievgcNBaU8gLVw9RJX LiBwr9Uyhxh9oMTFIE1e6j9nIUg11OHg6mS/IvbEDJvZwyzya7rgwFNovUEo6+Kivne71tNVlrx8 ckY1qnZLkkN0NAGX8nOpiBsKTyvicrAv4Xy9Jx0/puaxMcwDjOSqMn9wXQgKnBNBLLfG673cnBdz 98mCsX7GCvXKT02z8dR9OAX3LJF+FJ5SosM+gC1ONEqodDRohJp2dOh9vS26cD7SSYMoyjEs1kdt BFU0tG+y992HMoSRuJ3oKWOjTPzUhQChBm0lCSyz4RIwFRVY0pT7Rf7fW2+0m7VyZFvDP3bkAYbv XQ/p3RCp3FzJrCt/83DkR3zNIrwVB+xXXHn8NU++WzwXeTYchoE0ZsSaXlDsQocxC8nPo3GkPY5A JSs9/2fhEPeVrFHpqLFhhTAtBFTiofZVhoCB7wezluv4rSrkDcy5/a8th5qTKib7+vjiRbIAt4Ok /Vclg9Vf0644yE+5E0NudtdO8jhNHg/c+O0pVb+ZZgKqfFwZrUcDMeBEZkuSIlivLfzLDuO/swZM xuzY+SIRUzIdWvHUOwN4WA9i+sXWq3+QlS/ojDVW9/jOexDS9qMB3ZWQuE/IoO4uJyiE0dcboKy7 1PM2ukrdnNpgxjJXY6qNLzmKv6lZbamNuw7m15+tjT1D8SbB9NOStgxPyHtVuwZgtsZ5Lpi+0GUc /UL+a1PM/hDTTJ+FDxFFPj1+VNMjWyT71Tn552kxixpYx1rnDsjdJZDspynNLYHmSZNCFQcWmxGy Q+5Rwp4hlpVEzjXReBCiRM4al91I3q9WinhUzu7CJ1IkTYilVEOgLdkz/5rWUhDa/6PiKcKmj4yt SRMQsVcVpzPCgtvgHXWf/FIU62CgW23ygmfCH1/PaHgYS8o7yBHWxKwijRozOxkpQPLftKhe+g/6 /FEPYYafld4sk07q0QUR0WLZ1vi60gzUa6/H3yhVkv13tiSZMVTY3gIfUlHttQHnk5IFG+4uGwOt Ay96pKHBH/kWP4xAZU9BNdsHoNtJd1TkMVEAfkbesIO5Pd53WhOmF+l4cdM5z7ZMkd4wZrotyQUt zc1VBZfwSnOwXkOHY3qmBrkJ/IQ3iqjkadfved3F9ejRmAw5mE2wY8/YNUFDV1XCMIRcJBGXoAUa OxYYh3D7N/9ULei8fZwEPLb8+kepDB6kAhfRu9j522qIATfb9ptjjcs2TexyHXMSNk/46rvjl8Xo 8dqx3R7do22SqRGG+QJdrdwvhWqNp/WVoT5wM6KHT2wgdb+kA0YvHNkpnfSiOZfHLoiw7SsAicqb MwePzCA4UklhtWJXyaGql71Y1JdUc8JpRmjZk6BQXEA/+u/qg2LPsrWjZgWJbuNiET/1ERgjqVEe /XLlVoPBEswJjgwSQLxQzFOsay25ZEkC4kPXty51GNgggBx5rjBMNW4o/NLBNe0eldaKJ4ycFTfl NKFylITtNCiiM7AH9J2ZAtPbqBLWJt+wy63yq1HPg8EYXRjzWC0PWDELub8d1SHrXrOYPqy/C1Y7 r8blgLp9fn7kszLcrNiFHVc5YjWXkSSxrXuz1gJKwPWhBwWjcQVP0vgxVfoQ50a61e7qr2utkE3c qMzeX5FvoTXEMH6y1QUOUsyR/G77gzaJn8E8977+QfHQNEMxXxPPfKQGVQSZ7KDxtrx9tER+G22Q x1w+e/BcUVLTetgKuxygEWMJ+asrma3zT8P4uko4IjVTJoygi8HpOeLLrwMM/5qboRvtUDBS/ExK KYKtkTKCKVYU6X3Q1z1cWj01rf867YDsGduVMqv/8zWYD/zg039AEKMS/kXFm3qRFmydRU0feCw4 COflzrE90hQfgBZtemNzPzLW3ol8MKWQKp4rNzKuO4Wj+pCsbS/xJvtNc5h65wJTMXLlsDhA8PQ6 kQNw6vNwA/hplwqIeaSU5EcWu7emgi2EscJcmKBP2DLFiSEIt370f1H6VuT2VckAjbybRV4jCaza f7BMIOkixFDxfO7EMoQF20xWSZgceYIqSVURz/zpYWaktWqmzp0R4JWM3FzFjjhVEiiCGPioWtfX SdPEL6E0rG3gGlUHsXOWZ3AHTUp/hgjZX4+t4pjK9wxBShhfrtAjWFc2jEqfx8LS96UKZ/0+MyoC y2vDW0jtWauwePXKj9RbRFdTOTLlsy76lgxAsK0ArZwTQ+xbSLSfkb4dFwldBTSvEzlJS4sAlbm8 4fHtsNuZULKEG+xg9Ls80XWEsFUQWTWkltmWm3IwnIW/4oo9adONNnNTGZ/qjF1nL8hMHng/jmTP jMXswHFaZPYYC5EEzYhv04QHrphgpv2XLzCoa7O3iB07BlyhUzml63fSD+QIvyxYi2iGAideCDGp RsIh5zPvavAy2XZKTvMe5oEqFj9nhO1+2/xNU3ekzPoOtoO5y2wbHoY2NyXpxQUgK0G8SvuijlKv p4t/gXTldH/l84OG2agqNEaEXt/tlbW884NBoHyrilY1/m6A8JdtDNz6Jd6HFpvCSNERDX5313zF KrAKaRA7NfsEeOxUAc8J+1kVGJHgMdiDDHHr0asFz8L9Vp5Wa9tuV+InXxeHubI6Fe1mr9usgmsD S2s7PdkhUgr7eOT1uPLxY4+fCuoxrImcnULFdDOFQv92JEj+p5S1upfYvHS2rWFmd2AkBi4vIhbI /sRN3erWS534yF/EpOYuQiGWDwJIOKvMTLMJNRDKkHRe3cI0vON7Rm4jRBSbk6hMq24QTixiI5yY iJZesT9jOjq7qy3p/+E/KCqkuyaW7ARHR/QsjjX1ihHfg+6RshhH6tRZjmt9NWsuEbrcNJnMO6yw 2VHahYk6tayyjg7lnpYaIcVJD4iuIyEdWbvc19cUDWi9OL/+hYB7Fv36DZtw660HkcrrX7BN+VC1 QJ6bRlvtLEC0wDFx86JYbjY2NkZyYWFmO3CZ0dtrjGGKErpaYeC69d7jluWJP1PvxGmja2hlSjlK NdY/48UpVIOIlJY360eevfMG4Hsuoc1paKFEfXQGN1LV1vXXPgx3cvNvVLzWzY/DRfwTdAhUYUBS zl93r7RmtlS8GdGLjxkzJrFInzc6TrIy26L6WRZKFGmrkybMa0whoiej8JygiUTcImhtXx5M0kPT jqanJpKkh8SAQ/xLopUh+iGT74+I0EDo1R/mFnhT78E0vuwweIU3N3C7jjXLAYnrGHtib+aNOkeB lZBdtWFlDu/Z1eizdzgyKeryxTcrKRSUucORKJGV3qP64VSjwAngthgu5XN1e6xNYzHNC7YQG0TK yzCwTxEKm9RloN0nJ7rxkjJpVnGyGmFQckm6iNpramyvUQiej7pqeU4vIvczft3i7O7Ks8z4emXw ZihO9AyqmunpObi/5/yR9X2PfOD3cnZxzdd3eFKfnPqbYRDzXx4vZGWJw7/yOwZSaUHGUO5oswW8 1vtlCbpfQAKyYAlOGrV9twTQW35+FyovhqWCDRwjARoz0/2x7g5AyPbXK6Q4gufG2YWdHAVdw4t4 IrRcRQn6aS9K74TFAGqqKXrKg4wsgvsDQHMkCsrwIuzuHthwlHmA6WU/3XUZECp4xhT7d1u0+NHE tOkE0GXYZZXfN1MW2j9vluk1I61J9/atdCyYWGSPdDj7138l6f0jfZESxkdTEv3wKJ404fjg4KeC EomDY/bLSl9dztqMMe9lh5PW295xBN2NxueAs9L3d24HFYbakD6JVxeRDWcdOilmSrNBk7HiDCpC hJvqUlPxMNqYU0dbLWjb06+ddvfWcmIfxCg4JOYPKvUjbUqUYQovPzHB6fMIezUOH6EKmX7A9RhX Gp8EXiHQLjDkQRp0UYcZyg69A7pXWksvP9IgMhMtuGAxdLqJcIggV2ZGteNwl5BVQ/eTXsno+4Rz nqo4ox1EIVcvLuRmvJwYszumNtQybHK7a17qaMG54DkiO+ZLazOLeA5kwPwf/cmjLuS1H0DvsZWD I4A88rEqOMn8D3Uo1QL1nf/vjBr6TBsA3XsIHSC04+c+1pKJ9AFHu2KGYEVBdIIq2Cx+G+4xnUG8 m+zoCtRNdXinV5pIp6pNTCG4Uf7Bw8FUr/W4HVnpLSP4rWUmEUIETwMHEIJaG9FXdU3GEIypReFT xdHqFIBtWgSGdYs855SBk3XY1NSEi6a8PE5bObmH/i0m1qaARa8Mrv14/lNdPi+2deWssGRIIiPY xzQs5yGIdGjUqcv8tHWpPQ/ztLeVNJmxEMmzkMkhox4Z3d19kVI5niQBS0fowd9VoivDlqPAqDua yGLGx8BImjEcUWwtSRn/ah4satYj+cKP/4pioVE6DsYvJeZ0xv14GYKYHKXmXob6eb7/mHtYqKtS 2aNUvnHysYcWRGqNv2XL2GwzTcT2xv3N/4i0J3ycEpczKzEmFfNFmMqGVX1wT5a7xCuy+HqeRXUY a9qCOLdmCG/30DTaczqOqbQ16JEKULKg0EZscYekuyqvZbQ1OgOEpdAH1ENa3q2xQzSkrsXftpY+ PJX6smp2qJv1w1DrPqjcrInQJW1PCMM+nq1SjQh6L/AezrD1UPQayriQzq+kmc2Qx1TJwWmnd6jV AJLecJfrGkynwZpUWOaSJG5JV5jzb5y6IARwcZie374fiY1LtOjWy5wibg7Un0R2PMZ+jeoZxKUf DIaNPBU/GISTnccmmBQ87DOR4JL85mNeR9GFCUH2wE5/aTPoVOB7w9mtVWwMas0LmyIzhPeuc43l 12XVH7rOqn1qrwxBnA8R4Bawpa1XZEtnSUAqdV9oTPCj/26PBuJac6R9SOv/kCPZoW0gb3WBWVKK KbxxFyuvboacmshrtTzv6RAomwrWMddwDBpXLiwFeyaHsSj4T9FsoJPilZAX10Gp4uDLhxL9tqs3 R977FJ+ABS45TkEFJpSp2piAF0qeJhqBWSCyCtWCGhovlpsX7XRWZ024EUSgavltwajnq3vZzW0h E1ZyRavzbU+e+cSYnj5JUHvlavSWu5REI5m6VBe8zNtTJQR1wo3TeT1ERIjA6PkFqrL+56n3+zRm EWjhb3sHYyuTTOcah3ACxItiKQkZePzr6TUvFc2O1JGq5K3low/vpJHwrqeKKbmZqrvIcDJFpSLy pw8/rUPF1As1miBh89LExxIDLsFM5rsT8QDNF7XHho+ch+C+wBvpfnNIkmzgHwbj21VWDEGqanEO ML0YVoCurcdQ93oVmbEFIo2RiKYIJwP5pl1ohFiZ+Y9+yJ6PazZwn+uXYaJPZTWwG6GsBin3xueP m60FxU+dUalNS5cxHKxVskJAvHQkmUh9yk8U40YuGgBRn3sWAYXj9feJTcr1xSONnwZVPFnCKG+i 8+HaYku67wp01OHLucRc6kl2aTIIX7644+IdSLKYyUr2ggzl58qKe/ON0j/PZhMcZ3AAhikEqTi4 gcAeF1yqph/op7r1+u/xtYCeaz0CXNGfklx0MlI64vLvDpByiPlEKcXZJqW/Luiza21kkZ5JIiiZ nepRUqWAhiZLvz+zLLxa9sgU/s8jVZ7IZ+RJ6Yn188RxigWN/8ZCBj6owqSosD8i3t0sad5k519B ngHuzGDs2z1XfcmCvRy68OGW35dMVRByFhTfidIn6hWYZBirBBMGugLxazoxfBsb9YyvN/KKJUMq tgAon+T/vSZoLCw2LjVK5BZjBQXtCFXU4nU/CGYZknKqH7D3P51aAgxIi0jAKQ2kYIATxJDFezTc 32p/ZiYphbGuqDyU4DVb0UK4BNNic3xDpezdZpVjiXDeP6iQO+kGMQJOzcgNlxBRbQCLaVd/QVT3 JziPIwu4/Sug1lK1+uxm7gaslEsi8UGJt3RDvGASoLhDu/aOMI2C8dECkNM8na2PXYxokqSrE1vt TQYoaanGkBspemrSP9J2xQlR5MstNzJQNRLG5xuF+fbDj4/AuRpgraoP97JGQ87D/AwX6wRc2j97 2+svAXCjKaICdZEwA6DV28YP82IeiQhk/ynwhI+Hj79VhUfmQSZZNDL7D4PiL0PK8WUBs5r2Nkoo rhQsezN5vnJfOoDV7PURuPumMQd1bFMuTh3/Zj9N6vQH6eDd8lqWpT4RTyOsEB2cW+iVy/YFBWeu Onhx6gkSCQ7lyGRLmydluVmz51FoP04kG9YUwDCQnJB+TxYIPgBrVueCERXr1Y21paX6oITe9/Bc 3odJ+nn8WYxB3vi3dkkYKbhIXE9r26ENYmHySTHG95Lc2qAGnlYvm1jc0gjwU4mGUQbboRdNTzVD jjtELewU9tsBXqJRlzSKqrWYanJQ9Ygrq0kbP3PiGNf6YIdEwzOSzDVzMQpgHAp3szH7hSpI/9F1 0prhcYv1eqAf1b1cxrfM10k/1NpMk4MGq9TXJyHAy4FpFPPl2oTyrt985eaoOTOfD0vU30ANn1g0 j7NdV9rY4wY1otzsDVV70pipsbaWqvtk3xonhwwMUZW2r6xp1Ch+yGzycmBkjGPxo4fsGWkq+kFB S6R7n8Pg9XeS7fdXTeVaDQLZrQOOluitdfr+QBm9qrrSp/ykqVUdMZnT77XSUaQdYVLe63hsV8qD Q+YBpnHaoGLTkzdh/qnje5QalESPpxNux+AUNPsUBeayfdBQ6G8/FzH3jDnmLzD3dVA63LVqJqSW mS+FpLlG/WGaBjHRvY10TVW6Tgern5d1bj7stp8lDkOc4I1AdlwvfDn09TrV30Y6TnA6kbnxDIsL FibiKO5wg4YEUY1pCAlIPniZjvTZDur/jo+0+1pnVqOOIE3Z53cwxfW+twk+wXVXXXRjhkJqnFO2 Xs9e6SOg5EleDoUFOry1Y+kkLpPcDruOaQHKgztP45VC6uGr1oddHH+Sy3dO1HkKypLbld06MwBx ytISwgdUAEO061AAMJjCUeve1BFoTKclAru4ZqSCx9arqUZ9FjUimqz/5kphaZOeobnYSaDlDJxi 07TWrbXJ2BjSFzEjhMRQT+SH6/LQwMrCnynfyDoi/86an6Y0o+WaIEBLyom5mvhQlPEJhq70dU1h PxFv9FRD7BoKqf7DU7oBn8mUsFYPB+DkiH4KlsBKSOB+/r5lDPDFE8zIc6zV+TOgfOTTb3mgHtnz xGmjBqnJtXZhOQNLLJJmuTRJegT2t4pbhL73t3yn8uRMXcoyLoCA4FWX+u0BSU+QXeCJB/iKiQGL Qj8P1Z5oJnSy5tnnj8ZwqRrj1vckFIZTikHXacrgzYKufSf4NxK1kfCVKUsYycvvqs3gs1qxNMwX 1Q9SZwz2PeugTYkH3S0GScuM1jumvmFM0VD2FJ42PPXIwcPUChOsKeaXoUcjf4OqSUW8R1usSbLi aBsOD9jmz2I7RxxRHDdx9pfIXhoInkK4p+rS0LB3FbUHfvElHqPGDk7+jhPPrGsstKwj0uXjVBcC be++FS8jDpzYl6hoAgS3ox1w7UFjCflj46Sz0WDruC9fE8lIfM5PjNoVfGyZuWFNn46DqSLAacxC rencqyPyLj8HSNuiVqJ+uU3cu1ro9b6X9BheFBPyFGZTAkDPlYDSusss1xbxdRLSXUkbdhQbZhsG Nm9lowTepCcHDZA1ZV7FGt/7g7rwjs7WV6kcn1Mc67TxP+FFoVzxXnfD218rU9bFQAK04R4i1PZ7 v/scl3z9CY+zVaUUdaM8KfwHeT71gXZVkLrKvd1bb46R/PzKDgOMtBsubgEZ1PE9G/fin/KSCs1T z3tqQ9UJkhK4+Dx9djTb+VrU7j1b1UH0VNguYcCwZgV7Xw12osu+HYeEIUOT/yHJrOz3GC+qabpR 1gMV9U+mru7uwFkPNx8IV2jmCVxSCmlB6j8tyZhjPIAtqy1mBfcqnWqvroEx6BtwCOmvnvrvMkiC rsOc6Ke2ufqALuy+3uu26tkARpFfCxnZQi4MPQdQS0+VyB44oeiZ84o4NmOkgYtTcvVhvtaFkgv1 ghNFor49jKFcwWA9Fwgf0XerF15kH0RY6IsEDipIY1DWCiExeeQeh+tPgOXIDXoJhy/AkJ8M4oiB bd00eayFdjlVexcY+C6+V+iKgMxeDXqvXNS6uN33Q2bSrl+iPuc6gj2mcDJCCU+L5HismzxT/PSQ ZTlV9olQma7DZjwMqybJ+MR/qUfTTRGuTaOAeXLsfyD7e/YUdyKqvffWr4l+GHkp70yGmMqYGhiK jUMJiZSxVoLGfbIp2hWs4NlmdmNQL1AUuDOf8kOfPuKL5c8lS3rEohVjuqgHIJDpQi0twmpvEG+L c8ZySYjMyl/R1kMj5q/vpDI2Cdanx9hXF6AdRdJxxLQCmx8HwFTtb5SpmyzUlQWwclTKmaEOIxCv 7q0BIs9YfJUoAqmgmMLWvxnRDfQU0cWaLGjB2Po5A7EiYBpG4pp3zgr8gupbyHDOizIBbJ10neNX MgjpitaWoY82j07YyO0l/AEGUP2OJ0Xz3k5aftzoTkiQJGxCwEtAdyi7koZvqbfzVtnfKGyMM4d8 cRBISr6l2yq7pvuLGnm6RvMDSrJ7OpaLk+LIjTVNPt63WNYHqpT0A6oUDANulQ70cYE88bLi4HzC u8YRYEKhIrqH+loI1iqCgebfyuUk/7N0r5zBeZnACnuaE55wv8QF0i3wbba1ZjpWkeL+HpgJzXhR nopiwMbP/0qH4nnGdSQjyhtbLIL+zBwRiCjF0Np3D4J5jVCxGUEiP/PCy3NCgRH0AecGu/RmisBd gwavTiwt+GqM8JX4U59LZ8tqKufbpktQTMZkmF7w7Hx2IV5Am0DijafwTnIPREgA8qjpb9zLoKb4 C+puBRIb/6tGC1ttm+yTtmdkTI8qOvKBY3YVLGlqkF1eOA1mp+cTPtHzmXTAVeOMQzArhSEkkIWi GE6Wf4Hbt7E/fPY1yU8ct3RQ713OPQ0grfDe5XERM22YJjtgSi8p4WFIrSKghy5ATCR6h2r6ZnZe SKr+oduavXwc5nlOgZz2psQjwWPVtPpwzDdVWO6yH7L4pkclPDZoAmq6KdvTZteUD8fyHpjbau37 snuUBD/NzoKYwF0YOQekRtAw568Wm8LPyqWXO8UI00r+MSCO0lQUOf7R7VesY6rTXzunl3ZUGo9P e/3nvWtYTMoan0VdZmkWLqmCgsH94lceeQUTyMqhnxrsCMIpNNVJiciPTxVvftpWGXp1bSS0DhYu FwT07aUhDv1Nn3MaKmWVlfvTZIx6YD/TVp+U/8OeF+2ov34hVjMxVvpOgZQP9PiBinCs6GEhnxje x3A5YrWHWqfbM5gs6+v5RAALFxkYS7rIjjD2IABiWViaA9YgQilYcsjxXnCWRhi9fsIM8PTFyKRY L+KAM9z16NZnFQsdRfLZUJSGgcw991ihC80JxxG1BbRJhoXeePBevzAdzZPXt8lxJQEkS8IhFavp 78dyKz1SNCs6W0j0rxSAkYi6WNktJNNcecNG5Mga7M+jApK2Gdbc+IiPj0dIuKp5pn5EfAIWddn5 UNLzLNoNENYgAzoK02nUjW9UJpsVrAZqsVzuZsKdigPLph5DrQaj/E5yRGP/w3khDbkF8ZBo+EmK mJhPSQd9rIFVz4xdfl1NX2SkOVqdFYrE6Q3UekWLOT7/lN1bOFiB4tdJGMkz4XTHyXTv8//x61lX ZvHIWx1dJSbGqKeauUoPkk8StEYT7RyGM2Smwpv4vBtfhKP0y5ckJNAR+JhIVwAJo0WOulB4hJug FtAdTZnELxKFVLDtbl6FBjo7M1BbOGW5v4Mt/+rXY2uZQ24MJ5nf0arJaphf4AA30cXuFtsbTZnR 2hp39naux/36a9wjSlssOhgl27lxbs9YVo/qvDKbMu4uMXDnPFm4PoG1gfHTnEPwNAD+p5E3HuQR zL/L3Qi14iE4J5aisSbWhuA67Y6TdM1zV26Y0D17OK7uY/+KV7stLbLEvzBMWXZeeRzwnwsDTfWO QzqHkxpdqyvu7mOC7xBqiQIuANATDCHSmpDMPXm46bCxR0pqPJwfl3EKbIjW6VSIs8G2VoPKxDDg DYLrsKeYNSf6ak6Vupf6J72T8oAuXiEm57MeVuwZrqm2bMTYwXTXS75XlLhpZcj/2yKRA7iBHh5a 3EArKvXWVE1B5DdHd/s9yUg4VVXPkNokIP+u5BFLxZKBG+yuob2jWi6qnxqpH9dR4rpnuZDGn6Mz 4hhFsJTkDDMT3umgLPYWJ4d2Qpua5kyah7hO945i723E1qg5l6a+tqYG2YUYyjj+t1SoxkTPuVXm 5AMgog3WO+kIHvJzx4uEanGD6iVL81sRi67PR2i3PlPQQpvc4cs20SAqfVLE/7igg4XhaF1x807A VBq4MeL5E1ykXbGpgqtW83NFNDpuGgErl45HJpn4LyZO1x0REeWQ+2fhQDhfs2+lsECGtq1N3WbI CMElbyXgkAWHzjdqgUJ3Lw1Oh7REZrfEqboV3HsCN//RqGdizYardZkz6L+JflVnaGWjCSlQMY2a YvvSOagbO0/0/WxdagxzicxqbJA1HKmSSJdqvWY8zCp+ncw6zy2Yq2Ez/O8WToDWB/tQBJuC9EZH myqDC+YQz4qgOywreUTprl2VQAai3vyI5ulyn9QrWFmX9UQhINQbkQGFZxUcgXN86TeiZu4vAUqt yWRKp/aAgb4QA/zRrjnBIEld9lCj0oUf+ym2i6WgYan5Ig1KrU/+/r6jhuz8m6rDPMwcwlzgRs+F KdlK5nwuTeLJk/b6MEo4/DLgz6rJQEV/tujZ7twU4OHqjzDy1oZhc3n4x51j+6rww8RbimeIN23k MToauKLo2uDYhgJEFCffI+iYLdeuYGrH2wgeB43B/p2Xa+Hf4CPL2I1dD+KSn9n82AtS2wtyPwSc yY4w3+9+y5j/ID+Dkn6benoiO2A9fkYTaCwmqCanYQUZxrFMabmg15dYkiiPdCb9xxUOyoDB1FGt irt99+m/qjihPbFFfkhOc8tiMC+r3nAXg3QgoUx/0tNCSihvlqshOfi65fC5ANUMVDKTLfaSLTNp tu2K9Re7WVPZxYVcCWGHKmQvzwCFTuX/lCTaRIH7yOkOLq7TfJ7oDz/fc/ZKGhvXwoonJxe4KaYt NUwmuf1r6Qw0CWyIfJb9US0pz00PZqxlKHoV76+AuN8YZNmNNHMeJVX0lcnRjHpjpxMtaWriszcK mxatHvSV3+ykqNSAgcRtddekxV15kZV3IVEcFaU6VWVnhDkrRsZGcsIx8Dc+8PUlMXsHchHoVTwM Twu0cHIZbJrJwKjhIokqBfM/Shvu3oF54VApmN5V4HxVKEUdFRId1CiZMNGmc2NTYJOZNeWqWi2i mmQBbpUV1s1XIMxmU08H0v3b+XdUVHmOAduct+OQhm0EcDOeXXR8X0FXtG6Try9ZbAlVvGE569lI tI5nvHiHc0Rn+bE2Hc70+QWEh/4yAxOcEhU+ZYrXZLgY0W2Pj7XLgd6fW/mRDAH/7qnoFa+AqTKE 12zXjW4OTn6eQ4P93MXcXmlM0U5Rd9AfFLgfuJ6mrdTW7UwivDd9/9UvMtWEUHZb1OtiCZvcE3I2 KBcUV/iJwbqphD2u0qvzDNzyMWqwPYiD9N+B2EYPaixxnJHwqTHnIpKJpS+lN/Ik3MfBmOgu2SE9 JjhU8ZIFkpCvutdf/lO9X2qe64N4WMGEcCaAf0nOh9gcJwSlU3UisEb586TAq8PjZuvGlVDdB2nn ghJ5tLsESxPjJTJYUJ+w0GYdOGpK9mSoKdhnsfTxc8xq/47vZj4UOpgFWr+8C2KFmiHE9QJdkXzz tb1WK/R1OV1+V7QvnzUB871DnuT9AzwjDjI1gSNZR1hu/P+Jmbgqll2C0UBPDXxwXdQ9n7kmSU1l H+bV/o+nveR5bvTR6I4XYL7Cd6GeKXgXS80dFrOuQfTv9Hlm4PrRC+1M3vSCFRfSlZ6mFUMQuANn oHekH4ov7ycVLganfXPx/caEtKt0fDLWyk83ilwl79Ll8THn1zjq9aCerxQmR+pEoK7o2Q3Qmd0H oxDWh6VL8paVVOer0Ed6V5Sye+c7/b226GCCK8Vq702KsEJ+My8SQhoTWEFGMRNWslf4vJvBfeAj FcQOZ9nbHjDjQg15PbOA4XlrPuOzycaGsqDraFbUvNsymze5xg9NZ0TBT4iucwV5cNhQvYTOF34Z VEKA7jlGOUe9FaYwWyIbhkFgZnO9jB99B688zgdQp514bLPbsVIXgzFtNTdQbSadQj28ybeTsAtH 4HRxQwelnJsWbPa64w0dbwBETvHgzezwCsZ7CaMERSrfCDN3M4W7nGHnb5UphZQDqKZ83rPsTIoc QPnkIV/iAaw2J1By1oQH2cQvYmAZeo7f5YKZ8/efIt4uvAH15H7bRsukwazhi8Ffbz7A1phBsEmE X9hS00t0MsBcWQMsE2ET5smG1VR+EoVHZ70r/8oPHzgt5TkOxZMYfTjXyOa6yjVRLUPyMxF4p+SG IoekfaN9qAm9CffQvnSyTRryRZq2wPs6uLa8towT/q+ttCOnMVwvVTIQo3kAzyarY3AB+6UZ5pk9 snD0ehuAW9C1f5+J6qFnGreiyELBo+h5o3NY0Xg6Kk7eZfmJ0xa3bfF5z8TOukunHQIRx0LU79gf I4R5aYqISJatNawVJkgTZ2x+n7CubNjGG8ndkkQx+TuwTYw0FMhv/KboRUevn/mWclzcsUFsMxm0 cqFpf3VmTbjwkYJSLCh9cqALmHRnpBUOiVzOMElDp3YvzuIsB5vzhbYWsdr+vYUFg8PPvajlDQMW 7mAyLaF1U7qrUbpXm5Hd8c7uaB8WoQWbDmWREPiSf0GqKJ6veTVNunIb5Ph04echdxtEWhC4YJUD YUs4N12tOJSbxLjaeWoPpCnmviZNHTo3KZ2iz9jCzLPZPFIp15Q5q2DHObZCMy0H0gYi7jd9UUMN sCt+bLTEFYIcm4/g2RryIPXudwr9CKchtZiGxFaKLfWYJGfU4J135b7A/a8ksF9Drd7jYnDPsADN 3XBQ9F108HLKZzvv1s7HJ0wpFKTgsK2QOBdLP/a874Ob8ucCdEDuoG1Sg7v6TCQhKQoRsjLtvvSH dUohaK4= `protect end_protected
library ieee ; use ieee.numeric_bit.all; entity DiceGame_controller is port(Rb, Reset, CLK: in bit; Sum: in integer range 2 to 12; Roll, Win, Lose: out bit); end DiceGame_controller; architecture DiceGameControl of DiceGame_controller is signal State, Nextstate: integer range 0 to 5:=0; signal Point: integer range 2 to 12; signal Sp: bit; begin process(Rb, State) begin --Sp <= '0'; Roll <= '0'; Win <= '0'; Lose <= '0'; case State is when 0 => if Rb = '1' then Nextstate <= 1; else Nextstate<=0; end if; when 1 => if Sum = 7 or Sum = 11 then Nextstate <= 2; elsif Sum = 2 or Sum = 3 or Sum =12 then Nextstate <= 3; else Nextstate <= 4;--Sp <= '1' ; end if; when 2 => --Win <= '1'; --if Reset = '1' then Nextstate <= 0; end if; when 3 => --Lose <= '1'; --if Reset = '1' then Nextstate <= 0; end if; when 4 => if Rb = '1' then Nextstate <= 5; end if; when 5 => if Sum = Point then Nextstate <= 2; elsif Sum = 7 then Nextstate <= 3; else Nextstate <= 4; end if; end case; end process; process(CLK) begin if CLK'event and CLK = '1' then if Sp = '1' then Point <= Sum; end if; if Reset='1' then State<=0; else State <= Nextstate; end if; end if; end process; Win<='1' when State=2 and Rb='0' else '0'; Lose<='1' when State=3 and Rb='0' else '0'; Roll<=Rb; Sp <= '1' when State=1 else '0'; end DiceGameControl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity RomBasic2 is port ( clk : in std_logic; addr : in std_logic_vector(13 downto 0); data : out std_logic_vector(7 downto 0) ); end; architecture RTL of RomBasic2 is signal rom_addr : std_logic_vector(13 downto 0); begin p_addr : process(addr) begin rom_addr <= (others => '0'); rom_addr(13 downto 0) <= addr; end process; p_rom : process begin wait until rising_edge(clk); data <= (others => '0'); case rom_addr is when "00" & x"000" => data <= x"c9"; when "00" & x"001" => data <= x"01"; when "00" & x"002" => data <= x"f0"; when "00" & x"003" => data <= x"1f"; when "00" & x"004" => data <= x"60"; when "00" & x"005" => data <= x"ea"; when "00" & x"006" => data <= x"60"; when "00" & x"007" => data <= x"0e"; when "00" & x"008" => data <= x"01"; when "00" & x"009" => data <= x"42"; when "00" & x"00a" => data <= x"41"; when "00" & x"00b" => data <= x"53"; when "00" & x"00c" => data <= x"49"; when "00" & x"00d" => data <= x"43"; when "00" & x"00e" => data <= x"00"; when "00" & x"00f" => data <= x"28"; when "00" & x"010" => data <= x"43"; when "00" & x"011" => data <= x"29"; when "00" & x"012" => data <= x"31"; when "00" & x"013" => data <= x"39"; when "00" & x"014" => data <= x"38"; when "00" & x"015" => data <= x"32"; when "00" & x"016" => data <= x"20"; when "00" & x"017" => data <= x"41"; when "00" & x"018" => data <= x"63"; when "00" & x"019" => data <= x"6f"; when "00" & x"01a" => data <= x"72"; when "00" & x"01b" => data <= x"6e"; when "00" & x"01c" => data <= x"0a"; when "00" & x"01d" => data <= x"0d"; when "00" & x"01e" => data <= x"00"; when "00" & x"01f" => data <= x"00"; when "00" & x"020" => data <= x"80"; when "00" & x"021" => data <= x"00"; when "00" & x"022" => data <= x"00"; when "00" & x"023" => data <= x"a9"; when "00" & x"024" => data <= x"84"; when "00" & x"025" => data <= x"20"; when "00" & x"026" => data <= x"f4"; when "00" & x"027" => data <= x"ff"; when "00" & x"028" => data <= x"86"; when "00" & x"029" => data <= x"06"; when "00" & x"02a" => data <= x"84"; when "00" & x"02b" => data <= x"07"; when "00" & x"02c" => data <= x"a9"; when "00" & x"02d" => data <= x"83"; when "00" & x"02e" => data <= x"20"; when "00" & x"02f" => data <= x"f4"; when "00" & x"030" => data <= x"ff"; when "00" & x"031" => data <= x"84"; when "00" & x"032" => data <= x"18"; when "00" & x"033" => data <= x"a2"; when "00" & x"034" => data <= x"00"; when "00" & x"035" => data <= x"86"; when "00" & x"036" => data <= x"1f"; when "00" & x"037" => data <= x"8e"; when "00" & x"038" => data <= x"02"; when "00" & x"039" => data <= x"04"; when "00" & x"03a" => data <= x"8e"; when "00" & x"03b" => data <= x"03"; when "00" & x"03c" => data <= x"04"; when "00" & x"03d" => data <= x"ca"; when "00" & x"03e" => data <= x"86"; when "00" & x"03f" => data <= x"23"; when "00" & x"040" => data <= x"a2"; when "00" & x"041" => data <= x"0a"; when "00" & x"042" => data <= x"8e"; when "00" & x"043" => data <= x"00"; when "00" & x"044" => data <= x"04"; when "00" & x"045" => data <= x"ca"; when "00" & x"046" => data <= x"8e"; when "00" & x"047" => data <= x"01"; when "00" & x"048" => data <= x"04"; when "00" & x"049" => data <= x"a9"; when "00" & x"04a" => data <= x"01"; when "00" & x"04b" => data <= x"25"; when "00" & x"04c" => data <= x"11"; when "00" & x"04d" => data <= x"05"; when "00" & x"04e" => data <= x"0d"; when "00" & x"04f" => data <= x"05"; when "00" & x"050" => data <= x"0e"; when "00" & x"051" => data <= x"05"; when "00" & x"052" => data <= x"0f"; when "00" & x"053" => data <= x"05"; when "00" & x"054" => data <= x"10"; when "00" & x"055" => data <= x"d0"; when "00" & x"056" => data <= x"0c"; when "00" & x"057" => data <= x"a9"; when "00" & x"058" => data <= x"41"; when "00" & x"059" => data <= x"85"; when "00" & x"05a" => data <= x"0d"; when "00" & x"05b" => data <= x"a9"; when "00" & x"05c" => data <= x"52"; when "00" & x"05d" => data <= x"85"; when "00" & x"05e" => data <= x"0e"; when "00" & x"05f" => data <= x"a9"; when "00" & x"060" => data <= x"57"; when "00" & x"061" => data <= x"85"; when "00" & x"062" => data <= x"0f"; when "00" & x"063" => data <= x"a9"; when "00" & x"064" => data <= x"02"; when "00" & x"065" => data <= x"8d"; when "00" & x"066" => data <= x"02"; when "00" & x"067" => data <= x"02"; when "00" & x"068" => data <= x"a9"; when "00" & x"069" => data <= x"b4"; when "00" & x"06a" => data <= x"8d"; when "00" & x"06b" => data <= x"03"; when "00" & x"06c" => data <= x"02"; when "00" & x"06d" => data <= x"58"; when "00" & x"06e" => data <= x"4c"; when "00" & x"06f" => data <= x"dd"; when "00" & x"070" => data <= x"8a"; when "00" & x"071" => data <= x"41"; when "00" & x"072" => data <= x"4e"; when "00" & x"073" => data <= x"44"; when "00" & x"074" => data <= x"80"; when "00" & x"075" => data <= x"00"; when "00" & x"076" => data <= x"41"; when "00" & x"077" => data <= x"42"; when "00" & x"078" => data <= x"53"; when "00" & x"079" => data <= x"94"; when "00" & x"07a" => data <= x"00"; when "00" & x"07b" => data <= x"41"; when "00" & x"07c" => data <= x"43"; when "00" & x"07d" => data <= x"53"; when "00" & x"07e" => data <= x"95"; when "00" & x"07f" => data <= x"00"; when "00" & x"080" => data <= x"41"; when "00" & x"081" => data <= x"44"; when "00" & x"082" => data <= x"56"; when "00" & x"083" => data <= x"41"; when "00" & x"084" => data <= x"4c"; when "00" & x"085" => data <= x"96"; when "00" & x"086" => data <= x"00"; when "00" & x"087" => data <= x"41"; when "00" & x"088" => data <= x"53"; when "00" & x"089" => data <= x"43"; when "00" & x"08a" => data <= x"97"; when "00" & x"08b" => data <= x"00"; when "00" & x"08c" => data <= x"41"; when "00" & x"08d" => data <= x"53"; when "00" & x"08e" => data <= x"4e"; when "00" & x"08f" => data <= x"98"; when "00" & x"090" => data <= x"00"; when "00" & x"091" => data <= x"41"; when "00" & x"092" => data <= x"54"; when "00" & x"093" => data <= x"4e"; when "00" & x"094" => data <= x"99"; when "00" & x"095" => data <= x"00"; when "00" & x"096" => data <= x"41"; when "00" & x"097" => data <= x"55"; when "00" & x"098" => data <= x"54"; when "00" & x"099" => data <= x"4f"; when "00" & x"09a" => data <= x"c6"; when "00" & x"09b" => data <= x"10"; when "00" & x"09c" => data <= x"42"; when "00" & x"09d" => data <= x"47"; when "00" & x"09e" => data <= x"45"; when "00" & x"09f" => data <= x"54"; when "00" & x"0a0" => data <= x"9a"; when "00" & x"0a1" => data <= x"01"; when "00" & x"0a2" => data <= x"42"; when "00" & x"0a3" => data <= x"50"; when "00" & x"0a4" => data <= x"55"; when "00" & x"0a5" => data <= x"54"; when "00" & x"0a6" => data <= x"d5"; when "00" & x"0a7" => data <= x"03"; when "00" & x"0a8" => data <= x"43"; when "00" & x"0a9" => data <= x"4f"; when "00" & x"0aa" => data <= x"4c"; when "00" & x"0ab" => data <= x"4f"; when "00" & x"0ac" => data <= x"55"; when "00" & x"0ad" => data <= x"52"; when "00" & x"0ae" => data <= x"fb"; when "00" & x"0af" => data <= x"02"; when "00" & x"0b0" => data <= x"43"; when "00" & x"0b1" => data <= x"41"; when "00" & x"0b2" => data <= x"4c"; when "00" & x"0b3" => data <= x"4c"; when "00" & x"0b4" => data <= x"d6"; when "00" & x"0b5" => data <= x"02"; when "00" & x"0b6" => data <= x"43"; when "00" & x"0b7" => data <= x"48"; when "00" & x"0b8" => data <= x"41"; when "00" & x"0b9" => data <= x"49"; when "00" & x"0ba" => data <= x"4e"; when "00" & x"0bb" => data <= x"d7"; when "00" & x"0bc" => data <= x"02"; when "00" & x"0bd" => data <= x"43"; when "00" & x"0be" => data <= x"48"; when "00" & x"0bf" => data <= x"52"; when "00" & x"0c0" => data <= x"24"; when "00" & x"0c1" => data <= x"bd"; when "00" & x"0c2" => data <= x"00"; when "00" & x"0c3" => data <= x"43"; when "00" & x"0c4" => data <= x"4c"; when "00" & x"0c5" => data <= x"45"; when "00" & x"0c6" => data <= x"41"; when "00" & x"0c7" => data <= x"52"; when "00" & x"0c8" => data <= x"d8"; when "00" & x"0c9" => data <= x"01"; when "00" & x"0ca" => data <= x"43"; when "00" & x"0cb" => data <= x"4c"; when "00" & x"0cc" => data <= x"4f"; when "00" & x"0cd" => data <= x"53"; when "00" & x"0ce" => data <= x"45"; when "00" & x"0cf" => data <= x"d9"; when "00" & x"0d0" => data <= x"03"; when "00" & x"0d1" => data <= x"43"; when "00" & x"0d2" => data <= x"4c"; when "00" & x"0d3" => data <= x"47"; when "00" & x"0d4" => data <= x"da"; when "00" & x"0d5" => data <= x"01"; when "00" & x"0d6" => data <= x"43"; when "00" & x"0d7" => data <= x"4c"; when "00" & x"0d8" => data <= x"53"; when "00" & x"0d9" => data <= x"db"; when "00" & x"0da" => data <= x"01"; when "00" & x"0db" => data <= x"43"; when "00" & x"0dc" => data <= x"4f"; when "00" & x"0dd" => data <= x"53"; when "00" & x"0de" => data <= x"9b"; when "00" & x"0df" => data <= x"00"; when "00" & x"0e0" => data <= x"43"; when "00" & x"0e1" => data <= x"4f"; when "00" & x"0e2" => data <= x"55"; when "00" & x"0e3" => data <= x"4e"; when "00" & x"0e4" => data <= x"54"; when "00" & x"0e5" => data <= x"9c"; when "00" & x"0e6" => data <= x"01"; when "00" & x"0e7" => data <= x"44"; when "00" & x"0e8" => data <= x"41"; when "00" & x"0e9" => data <= x"54"; when "00" & x"0ea" => data <= x"41"; when "00" & x"0eb" => data <= x"dc"; when "00" & x"0ec" => data <= x"20"; when "00" & x"0ed" => data <= x"44"; when "00" & x"0ee" => data <= x"45"; when "00" & x"0ef" => data <= x"47"; when "00" & x"0f0" => data <= x"9d"; when "00" & x"0f1" => data <= x"00"; when "00" & x"0f2" => data <= x"44"; when "00" & x"0f3" => data <= x"45"; when "00" & x"0f4" => data <= x"46"; when "00" & x"0f5" => data <= x"dd"; when "00" & x"0f6" => data <= x"00"; when "00" & x"0f7" => data <= x"44"; when "00" & x"0f8" => data <= x"45"; when "00" & x"0f9" => data <= x"4c"; when "00" & x"0fa" => data <= x"45"; when "00" & x"0fb" => data <= x"54"; when "00" & x"0fc" => data <= x"45"; when "00" & x"0fd" => data <= x"c7"; when "00" & x"0fe" => data <= x"10"; when "00" & x"0ff" => data <= x"44"; when "00" & x"100" => data <= x"49"; when "00" & x"101" => data <= x"56"; when "00" & x"102" => data <= x"81"; when "00" & x"103" => data <= x"00"; when "00" & x"104" => data <= x"44"; when "00" & x"105" => data <= x"49"; when "00" & x"106" => data <= x"4d"; when "00" & x"107" => data <= x"de"; when "00" & x"108" => data <= x"02"; when "00" & x"109" => data <= x"44"; when "00" & x"10a" => data <= x"52"; when "00" & x"10b" => data <= x"41"; when "00" & x"10c" => data <= x"57"; when "00" & x"10d" => data <= x"df"; when "00" & x"10e" => data <= x"02"; when "00" & x"10f" => data <= x"45"; when "00" & x"110" => data <= x"4e"; when "00" & x"111" => data <= x"44"; when "00" & x"112" => data <= x"50"; when "00" & x"113" => data <= x"52"; when "00" & x"114" => data <= x"4f"; when "00" & x"115" => data <= x"43"; when "00" & x"116" => data <= x"e1"; when "00" & x"117" => data <= x"01"; when "00" & x"118" => data <= x"45"; when "00" & x"119" => data <= x"4e"; when "00" & x"11a" => data <= x"44"; when "00" & x"11b" => data <= x"e0"; when "00" & x"11c" => data <= x"01"; when "00" & x"11d" => data <= x"45"; when "00" & x"11e" => data <= x"4e"; when "00" & x"11f" => data <= x"56"; when "00" & x"120" => data <= x"45"; when "00" & x"121" => data <= x"4c"; when "00" & x"122" => data <= x"4f"; when "00" & x"123" => data <= x"50"; when "00" & x"124" => data <= x"45"; when "00" & x"125" => data <= x"e2"; when "00" & x"126" => data <= x"02"; when "00" & x"127" => data <= x"45"; when "00" & x"128" => data <= x"4c"; when "00" & x"129" => data <= x"53"; when "00" & x"12a" => data <= x"45"; when "00" & x"12b" => data <= x"8b"; when "00" & x"12c" => data <= x"14"; when "00" & x"12d" => data <= x"45"; when "00" & x"12e" => data <= x"56"; when "00" & x"12f" => data <= x"41"; when "00" & x"130" => data <= x"4c"; when "00" & x"131" => data <= x"a0"; when "00" & x"132" => data <= x"00"; when "00" & x"133" => data <= x"45"; when "00" & x"134" => data <= x"52"; when "00" & x"135" => data <= x"4c"; when "00" & x"136" => data <= x"9e"; when "00" & x"137" => data <= x"01"; when "00" & x"138" => data <= x"45"; when "00" & x"139" => data <= x"52"; when "00" & x"13a" => data <= x"52"; when "00" & x"13b" => data <= x"4f"; when "00" & x"13c" => data <= x"52"; when "00" & x"13d" => data <= x"85"; when "00" & x"13e" => data <= x"04"; when "00" & x"13f" => data <= x"45"; when "00" & x"140" => data <= x"4f"; when "00" & x"141" => data <= x"46"; when "00" & x"142" => data <= x"c5"; when "00" & x"143" => data <= x"01"; when "00" & x"144" => data <= x"45"; when "00" & x"145" => data <= x"4f"; when "00" & x"146" => data <= x"52"; when "00" & x"147" => data <= x"82"; when "00" & x"148" => data <= x"00"; when "00" & x"149" => data <= x"45"; when "00" & x"14a" => data <= x"52"; when "00" & x"14b" => data <= x"52"; when "00" & x"14c" => data <= x"9f"; when "00" & x"14d" => data <= x"01"; when "00" & x"14e" => data <= x"45"; when "00" & x"14f" => data <= x"58"; when "00" & x"150" => data <= x"50"; when "00" & x"151" => data <= x"a1"; when "00" & x"152" => data <= x"00"; when "00" & x"153" => data <= x"45"; when "00" & x"154" => data <= x"58"; when "00" & x"155" => data <= x"54"; when "00" & x"156" => data <= x"a2"; when "00" & x"157" => data <= x"01"; when "00" & x"158" => data <= x"46"; when "00" & x"159" => data <= x"4f"; when "00" & x"15a" => data <= x"52"; when "00" & x"15b" => data <= x"e3"; when "00" & x"15c" => data <= x"02"; when "00" & x"15d" => data <= x"46"; when "00" & x"15e" => data <= x"41"; when "00" & x"15f" => data <= x"4c"; when "00" & x"160" => data <= x"53"; when "00" & x"161" => data <= x"45"; when "00" & x"162" => data <= x"a3"; when "00" & x"163" => data <= x"01"; when "00" & x"164" => data <= x"46"; when "00" & x"165" => data <= x"4e"; when "00" & x"166" => data <= x"a4"; when "00" & x"167" => data <= x"08"; when "00" & x"168" => data <= x"47"; when "00" & x"169" => data <= x"4f"; when "00" & x"16a" => data <= x"54"; when "00" & x"16b" => data <= x"4f"; when "00" & x"16c" => data <= x"e5"; when "00" & x"16d" => data <= x"12"; when "00" & x"16e" => data <= x"47"; when "00" & x"16f" => data <= x"45"; when "00" & x"170" => data <= x"54"; when "00" & x"171" => data <= x"24"; when "00" & x"172" => data <= x"be"; when "00" & x"173" => data <= x"00"; when "00" & x"174" => data <= x"47"; when "00" & x"175" => data <= x"45"; when "00" & x"176" => data <= x"54"; when "00" & x"177" => data <= x"a5"; when "00" & x"178" => data <= x"00"; when "00" & x"179" => data <= x"47"; when "00" & x"17a" => data <= x"4f"; when "00" & x"17b" => data <= x"53"; when "00" & x"17c" => data <= x"55"; when "00" & x"17d" => data <= x"42"; when "00" & x"17e" => data <= x"e4"; when "00" & x"17f" => data <= x"12"; when "00" & x"180" => data <= x"47"; when "00" & x"181" => data <= x"43"; when "00" & x"182" => data <= x"4f"; when "00" & x"183" => data <= x"4c"; when "00" & x"184" => data <= x"e6"; when "00" & x"185" => data <= x"02"; when "00" & x"186" => data <= x"48"; when "00" & x"187" => data <= x"49"; when "00" & x"188" => data <= x"4d"; when "00" & x"189" => data <= x"45"; when "00" & x"18a" => data <= x"4d"; when "00" & x"18b" => data <= x"93"; when "00" & x"18c" => data <= x"43"; when "00" & x"18d" => data <= x"49"; when "00" & x"18e" => data <= x"4e"; when "00" & x"18f" => data <= x"50"; when "00" & x"190" => data <= x"55"; when "00" & x"191" => data <= x"54"; when "00" & x"192" => data <= x"e8"; when "00" & x"193" => data <= x"02"; when "00" & x"194" => data <= x"49"; when "00" & x"195" => data <= x"46"; when "00" & x"196" => data <= x"e7"; when "00" & x"197" => data <= x"02"; when "00" & x"198" => data <= x"49"; when "00" & x"199" => data <= x"4e"; when "00" & x"19a" => data <= x"4b"; when "00" & x"19b" => data <= x"45"; when "00" & x"19c" => data <= x"59"; when "00" & x"19d" => data <= x"24"; when "00" & x"19e" => data <= x"bf"; when "00" & x"19f" => data <= x"00"; when "00" & x"1a0" => data <= x"49"; when "00" & x"1a1" => data <= x"4e"; when "00" & x"1a2" => data <= x"4b"; when "00" & x"1a3" => data <= x"45"; when "00" & x"1a4" => data <= x"59"; when "00" & x"1a5" => data <= x"a6"; when "00" & x"1a6" => data <= x"00"; when "00" & x"1a7" => data <= x"49"; when "00" & x"1a8" => data <= x"4e"; when "00" & x"1a9" => data <= x"54"; when "00" & x"1aa" => data <= x"a8"; when "00" & x"1ab" => data <= x"00"; when "00" & x"1ac" => data <= x"49"; when "00" & x"1ad" => data <= x"4e"; when "00" & x"1ae" => data <= x"53"; when "00" & x"1af" => data <= x"54"; when "00" & x"1b0" => data <= x"52"; when "00" & x"1b1" => data <= x"28"; when "00" & x"1b2" => data <= x"a7"; when "00" & x"1b3" => data <= x"00"; when "00" & x"1b4" => data <= x"4c"; when "00" & x"1b5" => data <= x"49"; when "00" & x"1b6" => data <= x"53"; when "00" & x"1b7" => data <= x"54"; when "00" & x"1b8" => data <= x"c9"; when "00" & x"1b9" => data <= x"10"; when "00" & x"1ba" => data <= x"4c"; when "00" & x"1bb" => data <= x"49"; when "00" & x"1bc" => data <= x"4e"; when "00" & x"1bd" => data <= x"45"; when "00" & x"1be" => data <= x"86"; when "00" & x"1bf" => data <= x"00"; when "00" & x"1c0" => data <= x"4c"; when "00" & x"1c1" => data <= x"4f"; when "00" & x"1c2" => data <= x"41"; when "00" & x"1c3" => data <= x"44"; when "00" & x"1c4" => data <= x"c8"; when "00" & x"1c5" => data <= x"02"; when "00" & x"1c6" => data <= x"4c"; when "00" & x"1c7" => data <= x"4f"; when "00" & x"1c8" => data <= x"4d"; when "00" & x"1c9" => data <= x"45"; when "00" & x"1ca" => data <= x"4d"; when "00" & x"1cb" => data <= x"92"; when "00" & x"1cc" => data <= x"43"; when "00" & x"1cd" => data <= x"4c"; when "00" & x"1ce" => data <= x"4f"; when "00" & x"1cf" => data <= x"43"; when "00" & x"1d0" => data <= x"41"; when "00" & x"1d1" => data <= x"4c"; when "00" & x"1d2" => data <= x"ea"; when "00" & x"1d3" => data <= x"02"; when "00" & x"1d4" => data <= x"4c"; when "00" & x"1d5" => data <= x"45"; when "00" & x"1d6" => data <= x"46"; when "00" & x"1d7" => data <= x"54"; when "00" & x"1d8" => data <= x"24"; when "00" & x"1d9" => data <= x"28"; when "00" & x"1da" => data <= x"c0"; when "00" & x"1db" => data <= x"00"; when "00" & x"1dc" => data <= x"4c"; when "00" & x"1dd" => data <= x"45"; when "00" & x"1de" => data <= x"4e"; when "00" & x"1df" => data <= x"a9"; when "00" & x"1e0" => data <= x"00"; when "00" & x"1e1" => data <= x"4c"; when "00" & x"1e2" => data <= x"45"; when "00" & x"1e3" => data <= x"54"; when "00" & x"1e4" => data <= x"e9"; when "00" & x"1e5" => data <= x"04"; when "00" & x"1e6" => data <= x"4c"; when "00" & x"1e7" => data <= x"4f"; when "00" & x"1e8" => data <= x"47"; when "00" & x"1e9" => data <= x"ab"; when "00" & x"1ea" => data <= x"00"; when "00" & x"1eb" => data <= x"4c"; when "00" & x"1ec" => data <= x"4e"; when "00" & x"1ed" => data <= x"aa"; when "00" & x"1ee" => data <= x"00"; when "00" & x"1ef" => data <= x"4d"; when "00" & x"1f0" => data <= x"49"; when "00" & x"1f1" => data <= x"44"; when "00" & x"1f2" => data <= x"24"; when "00" & x"1f3" => data <= x"28"; when "00" & x"1f4" => data <= x"c1"; when "00" & x"1f5" => data <= x"00"; when "00" & x"1f6" => data <= x"4d"; when "00" & x"1f7" => data <= x"4f"; when "00" & x"1f8" => data <= x"44"; when "00" & x"1f9" => data <= x"45"; when "00" & x"1fa" => data <= x"eb"; when "00" & x"1fb" => data <= x"02"; when "00" & x"1fc" => data <= x"4d"; when "00" & x"1fd" => data <= x"4f"; when "00" & x"1fe" => data <= x"44"; when "00" & x"1ff" => data <= x"83"; when "00" & x"200" => data <= x"00"; when "00" & x"201" => data <= x"4d"; when "00" & x"202" => data <= x"4f"; when "00" & x"203" => data <= x"56"; when "00" & x"204" => data <= x"45"; when "00" & x"205" => data <= x"ec"; when "00" & x"206" => data <= x"02"; when "00" & x"207" => data <= x"4e"; when "00" & x"208" => data <= x"45"; when "00" & x"209" => data <= x"58"; when "00" & x"20a" => data <= x"54"; when "00" & x"20b" => data <= x"ed"; when "00" & x"20c" => data <= x"02"; when "00" & x"20d" => data <= x"4e"; when "00" & x"20e" => data <= x"45"; when "00" & x"20f" => data <= x"57"; when "00" & x"210" => data <= x"ca"; when "00" & x"211" => data <= x"01"; when "00" & x"212" => data <= x"4e"; when "00" & x"213" => data <= x"4f"; when "00" & x"214" => data <= x"54"; when "00" & x"215" => data <= x"ac"; when "00" & x"216" => data <= x"00"; when "00" & x"217" => data <= x"4f"; when "00" & x"218" => data <= x"4c"; when "00" & x"219" => data <= x"44"; when "00" & x"21a" => data <= x"cb"; when "00" & x"21b" => data <= x"01"; when "00" & x"21c" => data <= x"4f"; when "00" & x"21d" => data <= x"4e"; when "00" & x"21e" => data <= x"ee"; when "00" & x"21f" => data <= x"02"; when "00" & x"220" => data <= x"4f"; when "00" & x"221" => data <= x"46"; when "00" & x"222" => data <= x"46"; when "00" & x"223" => data <= x"87"; when "00" & x"224" => data <= x"00"; when "00" & x"225" => data <= x"4f"; when "00" & x"226" => data <= x"52"; when "00" & x"227" => data <= x"84"; when "00" & x"228" => data <= x"00"; when "00" & x"229" => data <= x"4f"; when "00" & x"22a" => data <= x"50"; when "00" & x"22b" => data <= x"45"; when "00" & x"22c" => data <= x"4e"; when "00" & x"22d" => data <= x"49"; when "00" & x"22e" => data <= x"4e"; when "00" & x"22f" => data <= x"8e"; when "00" & x"230" => data <= x"00"; when "00" & x"231" => data <= x"4f"; when "00" & x"232" => data <= x"50"; when "00" & x"233" => data <= x"45"; when "00" & x"234" => data <= x"4e"; when "00" & x"235" => data <= x"4f"; when "00" & x"236" => data <= x"55"; when "00" & x"237" => data <= x"54"; when "00" & x"238" => data <= x"ae"; when "00" & x"239" => data <= x"00"; when "00" & x"23a" => data <= x"4f"; when "00" & x"23b" => data <= x"50"; when "00" & x"23c" => data <= x"45"; when "00" & x"23d" => data <= x"4e"; when "00" & x"23e" => data <= x"55"; when "00" & x"23f" => data <= x"50"; when "00" & x"240" => data <= x"ad"; when "00" & x"241" => data <= x"00"; when "00" & x"242" => data <= x"4f"; when "00" & x"243" => data <= x"53"; when "00" & x"244" => data <= x"43"; when "00" & x"245" => data <= x"4c"; when "00" & x"246" => data <= x"49"; when "00" & x"247" => data <= x"ff"; when "00" & x"248" => data <= x"02"; when "00" & x"249" => data <= x"50"; when "00" & x"24a" => data <= x"52"; when "00" & x"24b" => data <= x"49"; when "00" & x"24c" => data <= x"4e"; when "00" & x"24d" => data <= x"54"; when "00" & x"24e" => data <= x"f1"; when "00" & x"24f" => data <= x"02"; when "00" & x"250" => data <= x"50"; when "00" & x"251" => data <= x"41"; when "00" & x"252" => data <= x"47"; when "00" & x"253" => data <= x"45"; when "00" & x"254" => data <= x"90"; when "00" & x"255" => data <= x"43"; when "00" & x"256" => data <= x"50"; when "00" & x"257" => data <= x"54"; when "00" & x"258" => data <= x"52"; when "00" & x"259" => data <= x"8f"; when "00" & x"25a" => data <= x"43"; when "00" & x"25b" => data <= x"50"; when "00" & x"25c" => data <= x"49"; when "00" & x"25d" => data <= x"af"; when "00" & x"25e" => data <= x"01"; when "00" & x"25f" => data <= x"50"; when "00" & x"260" => data <= x"4c"; when "00" & x"261" => data <= x"4f"; when "00" & x"262" => data <= x"54"; when "00" & x"263" => data <= x"f0"; when "00" & x"264" => data <= x"02"; when "00" & x"265" => data <= x"50"; when "00" & x"266" => data <= x"4f"; when "00" & x"267" => data <= x"49"; when "00" & x"268" => data <= x"4e"; when "00" & x"269" => data <= x"54"; when "00" & x"26a" => data <= x"28"; when "00" & x"26b" => data <= x"b0"; when "00" & x"26c" => data <= x"00"; when "00" & x"26d" => data <= x"50"; when "00" & x"26e" => data <= x"52"; when "00" & x"26f" => data <= x"4f"; when "00" & x"270" => data <= x"43"; when "00" & x"271" => data <= x"f2"; when "00" & x"272" => data <= x"0a"; when "00" & x"273" => data <= x"50"; when "00" & x"274" => data <= x"4f"; when "00" & x"275" => data <= x"53"; when "00" & x"276" => data <= x"b1"; when "00" & x"277" => data <= x"01"; when "00" & x"278" => data <= x"52"; when "00" & x"279" => data <= x"45"; when "00" & x"27a" => data <= x"54"; when "00" & x"27b" => data <= x"55"; when "00" & x"27c" => data <= x"52"; when "00" & x"27d" => data <= x"4e"; when "00" & x"27e" => data <= x"f8"; when "00" & x"27f" => data <= x"01"; when "00" & x"280" => data <= x"52"; when "00" & x"281" => data <= x"45"; when "00" & x"282" => data <= x"50"; when "00" & x"283" => data <= x"45"; when "00" & x"284" => data <= x"41"; when "00" & x"285" => data <= x"54"; when "00" & x"286" => data <= x"f5"; when "00" & x"287" => data <= x"00"; when "00" & x"288" => data <= x"52"; when "00" & x"289" => data <= x"45"; when "00" & x"28a" => data <= x"50"; when "00" & x"28b" => data <= x"4f"; when "00" & x"28c" => data <= x"52"; when "00" & x"28d" => data <= x"54"; when "00" & x"28e" => data <= x"f6"; when "00" & x"28f" => data <= x"01"; when "00" & x"290" => data <= x"52"; when "00" & x"291" => data <= x"45"; when "00" & x"292" => data <= x"41"; when "00" & x"293" => data <= x"44"; when "00" & x"294" => data <= x"f3"; when "00" & x"295" => data <= x"02"; when "00" & x"296" => data <= x"52"; when "00" & x"297" => data <= x"45"; when "00" & x"298" => data <= x"4d"; when "00" & x"299" => data <= x"f4"; when "00" & x"29a" => data <= x"20"; when "00" & x"29b" => data <= x"52"; when "00" & x"29c" => data <= x"55"; when "00" & x"29d" => data <= x"4e"; when "00" & x"29e" => data <= x"f9"; when "00" & x"29f" => data <= x"01"; when "00" & x"2a0" => data <= x"52"; when "00" & x"2a1" => data <= x"41"; when "00" & x"2a2" => data <= x"44"; when "00" & x"2a3" => data <= x"b2"; when "00" & x"2a4" => data <= x"00"; when "00" & x"2a5" => data <= x"52"; when "00" & x"2a6" => data <= x"45"; when "00" & x"2a7" => data <= x"53"; when "00" & x"2a8" => data <= x"54"; when "00" & x"2a9" => data <= x"4f"; when "00" & x"2aa" => data <= x"52"; when "00" & x"2ab" => data <= x"45"; when "00" & x"2ac" => data <= x"f7"; when "00" & x"2ad" => data <= x"12"; when "00" & x"2ae" => data <= x"52"; when "00" & x"2af" => data <= x"49"; when "00" & x"2b0" => data <= x"47"; when "00" & x"2b1" => data <= x"48"; when "00" & x"2b2" => data <= x"54"; when "00" & x"2b3" => data <= x"24"; when "00" & x"2b4" => data <= x"28"; when "00" & x"2b5" => data <= x"c2"; when "00" & x"2b6" => data <= x"00"; when "00" & x"2b7" => data <= x"52"; when "00" & x"2b8" => data <= x"4e"; when "00" & x"2b9" => data <= x"44"; when "00" & x"2ba" => data <= x"b3"; when "00" & x"2bb" => data <= x"01"; when "00" & x"2bc" => data <= x"52"; when "00" & x"2bd" => data <= x"45"; when "00" & x"2be" => data <= x"4e"; when "00" & x"2bf" => data <= x"55"; when "00" & x"2c0" => data <= x"4d"; when "00" & x"2c1" => data <= x"42"; when "00" & x"2c2" => data <= x"45"; when "00" & x"2c3" => data <= x"52"; when "00" & x"2c4" => data <= x"cc"; when "00" & x"2c5" => data <= x"10"; when "00" & x"2c6" => data <= x"53"; when "00" & x"2c7" => data <= x"54"; when "00" & x"2c8" => data <= x"45"; when "00" & x"2c9" => data <= x"50"; when "00" & x"2ca" => data <= x"88"; when "00" & x"2cb" => data <= x"00"; when "00" & x"2cc" => data <= x"53"; when "00" & x"2cd" => data <= x"41"; when "00" & x"2ce" => data <= x"56"; when "00" & x"2cf" => data <= x"45"; when "00" & x"2d0" => data <= x"cd"; when "00" & x"2d1" => data <= x"02"; when "00" & x"2d2" => data <= x"53"; when "00" & x"2d3" => data <= x"47"; when "00" & x"2d4" => data <= x"4e"; when "00" & x"2d5" => data <= x"b4"; when "00" & x"2d6" => data <= x"00"; when "00" & x"2d7" => data <= x"53"; when "00" & x"2d8" => data <= x"49"; when "00" & x"2d9" => data <= x"4e"; when "00" & x"2da" => data <= x"b5"; when "00" & x"2db" => data <= x"00"; when "00" & x"2dc" => data <= x"53"; when "00" & x"2dd" => data <= x"51"; when "00" & x"2de" => data <= x"52"; when "00" & x"2df" => data <= x"b6"; when "00" & x"2e0" => data <= x"00"; when "00" & x"2e1" => data <= x"53"; when "00" & x"2e2" => data <= x"50"; when "00" & x"2e3" => data <= x"43"; when "00" & x"2e4" => data <= x"89"; when "00" & x"2e5" => data <= x"00"; when "00" & x"2e6" => data <= x"53"; when "00" & x"2e7" => data <= x"54"; when "00" & x"2e8" => data <= x"52"; when "00" & x"2e9" => data <= x"24"; when "00" & x"2ea" => data <= x"c3"; when "00" & x"2eb" => data <= x"00"; when "00" & x"2ec" => data <= x"53"; when "00" & x"2ed" => data <= x"54"; when "00" & x"2ee" => data <= x"52"; when "00" & x"2ef" => data <= x"49"; when "00" & x"2f0" => data <= x"4e"; when "00" & x"2f1" => data <= x"47"; when "00" & x"2f2" => data <= x"24"; when "00" & x"2f3" => data <= x"28"; when "00" & x"2f4" => data <= x"c4"; when "00" & x"2f5" => data <= x"00"; when "00" & x"2f6" => data <= x"53"; when "00" & x"2f7" => data <= x"4f"; when "00" & x"2f8" => data <= x"55"; when "00" & x"2f9" => data <= x"4e"; when "00" & x"2fa" => data <= x"44"; when "00" & x"2fb" => data <= x"d4"; when "00" & x"2fc" => data <= x"02"; when "00" & x"2fd" => data <= x"53"; when "00" & x"2fe" => data <= x"54"; when "00" & x"2ff" => data <= x"4f"; when "00" & x"300" => data <= x"50"; when "00" & x"301" => data <= x"fa"; when "00" & x"302" => data <= x"01"; when "00" & x"303" => data <= x"54"; when "00" & x"304" => data <= x"41"; when "00" & x"305" => data <= x"4e"; when "00" & x"306" => data <= x"b7"; when "00" & x"307" => data <= x"00"; when "00" & x"308" => data <= x"54"; when "00" & x"309" => data <= x"48"; when "00" & x"30a" => data <= x"45"; when "00" & x"30b" => data <= x"4e"; when "00" & x"30c" => data <= x"8c"; when "00" & x"30d" => data <= x"14"; when "00" & x"30e" => data <= x"54"; when "00" & x"30f" => data <= x"4f"; when "00" & x"310" => data <= x"b8"; when "00" & x"311" => data <= x"00"; when "00" & x"312" => data <= x"54"; when "00" & x"313" => data <= x"41"; when "00" & x"314" => data <= x"42"; when "00" & x"315" => data <= x"28"; when "00" & x"316" => data <= x"8a"; when "00" & x"317" => data <= x"00"; when "00" & x"318" => data <= x"54"; when "00" & x"319" => data <= x"52"; when "00" & x"31a" => data <= x"41"; when "00" & x"31b" => data <= x"43"; when "00" & x"31c" => data <= x"45"; when "00" & x"31d" => data <= x"fc"; when "00" & x"31e" => data <= x"12"; when "00" & x"31f" => data <= x"54"; when "00" & x"320" => data <= x"49"; when "00" & x"321" => data <= x"4d"; when "00" & x"322" => data <= x"45"; when "00" & x"323" => data <= x"91"; when "00" & x"324" => data <= x"43"; when "00" & x"325" => data <= x"54"; when "00" & x"326" => data <= x"52"; when "00" & x"327" => data <= x"55"; when "00" & x"328" => data <= x"45"; when "00" & x"329" => data <= x"b9"; when "00" & x"32a" => data <= x"01"; when "00" & x"32b" => data <= x"55"; when "00" & x"32c" => data <= x"4e"; when "00" & x"32d" => data <= x"54"; when "00" & x"32e" => data <= x"49"; when "00" & x"32f" => data <= x"4c"; when "00" & x"330" => data <= x"fd"; when "00" & x"331" => data <= x"02"; when "00" & x"332" => data <= x"55"; when "00" & x"333" => data <= x"53"; when "00" & x"334" => data <= x"52"; when "00" & x"335" => data <= x"ba"; when "00" & x"336" => data <= x"00"; when "00" & x"337" => data <= x"56"; when "00" & x"338" => data <= x"44"; when "00" & x"339" => data <= x"55"; when "00" & x"33a" => data <= x"ef"; when "00" & x"33b" => data <= x"02"; when "00" & x"33c" => data <= x"56"; when "00" & x"33d" => data <= x"41"; when "00" & x"33e" => data <= x"4c"; when "00" & x"33f" => data <= x"bb"; when "00" & x"340" => data <= x"00"; when "00" & x"341" => data <= x"56"; when "00" & x"342" => data <= x"50"; when "00" & x"343" => data <= x"4f"; when "00" & x"344" => data <= x"53"; when "00" & x"345" => data <= x"bc"; when "00" & x"346" => data <= x"01"; when "00" & x"347" => data <= x"57"; when "00" & x"348" => data <= x"49"; when "00" & x"349" => data <= x"44"; when "00" & x"34a" => data <= x"54"; when "00" & x"34b" => data <= x"48"; when "00" & x"34c" => data <= x"fe"; when "00" & x"34d" => data <= x"02"; when "00" & x"34e" => data <= x"50"; when "00" & x"34f" => data <= x"41"; when "00" & x"350" => data <= x"47"; when "00" & x"351" => data <= x"45"; when "00" & x"352" => data <= x"d0"; when "00" & x"353" => data <= x"00"; when "00" & x"354" => data <= x"50"; when "00" & x"355" => data <= x"54"; when "00" & x"356" => data <= x"52"; when "00" & x"357" => data <= x"cf"; when "00" & x"358" => data <= x"00"; when "00" & x"359" => data <= x"54"; when "00" & x"35a" => data <= x"49"; when "00" & x"35b" => data <= x"4d"; when "00" & x"35c" => data <= x"45"; when "00" & x"35d" => data <= x"d1"; when "00" & x"35e" => data <= x"00"; when "00" & x"35f" => data <= x"4c"; when "00" & x"360" => data <= x"4f"; when "00" & x"361" => data <= x"4d"; when "00" & x"362" => data <= x"45"; when "00" & x"363" => data <= x"4d"; when "00" & x"364" => data <= x"d2"; when "00" & x"365" => data <= x"00"; when "00" & x"366" => data <= x"48"; when "00" & x"367" => data <= x"49"; when "00" & x"368" => data <= x"4d"; when "00" & x"369" => data <= x"45"; when "00" & x"36a" => data <= x"4d"; when "00" & x"36b" => data <= x"d3"; when "00" & x"36c" => data <= x"00"; when "00" & x"36d" => data <= x"78"; when "00" & x"36e" => data <= x"47"; when "00" & x"36f" => data <= x"c0"; when "00" & x"370" => data <= x"b4"; when "00" & x"371" => data <= x"fc"; when "00" & x"372" => data <= x"03"; when "00" & x"373" => data <= x"6a"; when "00" & x"374" => data <= x"d4"; when "00" & x"375" => data <= x"33"; when "00" & x"376" => data <= x"9e"; when "00" & x"377" => data <= x"da"; when "00" & x"378" => data <= x"07"; when "00" & x"379" => data <= x"6f"; when "00" & x"37a" => data <= x"8d"; when "00" & x"37b" => data <= x"f7"; when "00" & x"37c" => data <= x"c2"; when "00" & x"37d" => data <= x"9f"; when "00" & x"37e" => data <= x"a6"; when "00" & x"37f" => data <= x"e9"; when "00" & x"380" => data <= x"91"; when "00" & x"381" => data <= x"46"; when "00" & x"382" => data <= x"ca"; when "00" & x"383" => data <= x"95"; when "00" & x"384" => data <= x"b9"; when "00" & x"385" => data <= x"ad"; when "00" & x"386" => data <= x"e2"; when "00" & x"387" => data <= x"78"; when "00" & x"388" => data <= x"d1"; when "00" & x"389" => data <= x"fe"; when "00" & x"38a" => data <= x"a8"; when "00" & x"38b" => data <= x"d1"; when "00" & x"38c" => data <= x"80"; when "00" & x"38d" => data <= x"7c"; when "00" & x"38e" => data <= x"cb"; when "00" & x"38f" => data <= x"41"; when "00" & x"390" => data <= x"6d"; when "00" & x"391" => data <= x"b1"; when "00" & x"392" => data <= x"49"; when "00" & x"393" => data <= x"88"; when "00" & x"394" => data <= x"98"; when "00" & x"395" => data <= x"b4"; when "00" & x"396" => data <= x"be"; when "00" & x"397" => data <= x"dc"; when "00" & x"398" => data <= x"c4"; when "00" & x"399" => data <= x"d2"; when "00" & x"39a" => data <= x"2f"; when "00" & x"39b" => data <= x"76"; when "00" & x"39c" => data <= x"bd"; when "00" & x"39d" => data <= x"bf"; when "00" & x"39e" => data <= x"26"; when "00" & x"39f" => data <= x"cc"; when "00" & x"3a0" => data <= x"39"; when "00" & x"3a1" => data <= x"ee"; when "00" & x"3a2" => data <= x"94"; when "00" & x"3a3" => data <= x"c2"; when "00" & x"3a4" => data <= x"b8"; when "00" & x"3a5" => data <= x"ac"; when "00" & x"3a6" => data <= x"31"; when "00" & x"3a7" => data <= x"24"; when "00" & x"3a8" => data <= x"9c"; when "00" & x"3a9" => data <= x"da"; when "00" & x"3aa" => data <= x"b6"; when "00" & x"3ab" => data <= x"a3"; when "00" & x"3ac" => data <= x"f3"; when "00" & x"3ad" => data <= x"2a"; when "00" & x"3ae" => data <= x"30"; when "00" & x"3af" => data <= x"83"; when "00" & x"3b0" => data <= x"c9"; when "00" & x"3b1" => data <= x"6f"; when "00" & x"3b2" => data <= x"5d"; when "00" & x"3b3" => data <= x"4c"; when "00" & x"3b4" => data <= x"58"; when "00" & x"3b5" => data <= x"d2"; when "00" & x"3b6" => data <= x"2a"; when "00" & x"3b7" => data <= x"8d"; when "00" & x"3b8" => data <= x"99"; when "00" & x"3b9" => data <= x"bd"; when "00" & x"3ba" => data <= x"c4"; when "00" & x"3bb" => data <= x"7d"; when "00" & x"3bc" => data <= x"7d"; when "00" & x"3bd" => data <= x"2f"; when "00" & x"3be" => data <= x"e8"; when "00" & x"3bf" => data <= x"c8"; when "00" & x"3c0" => data <= x"56"; when "00" & x"3c1" => data <= x"72"; when "00" & x"3c2" => data <= x"c4"; when "00" & x"3c3" => data <= x"88"; when "00" & x"3c4" => data <= x"cc"; when "00" & x"3c5" => data <= x"7a"; when "00" & x"3c6" => data <= x"c2"; when "00" & x"3c7" => data <= x"44"; when "00" & x"3c8" => data <= x"e4"; when "00" & x"3c9" => data <= x"23"; when "00" & x"3ca" => data <= x"9a"; when "00" & x"3cb" => data <= x"e4"; when "00" & x"3cc" => data <= x"95"; when "00" & x"3cd" => data <= x"15"; when "00" & x"3ce" => data <= x"2f"; when "00" & x"3cf" => data <= x"f1"; when "00" & x"3d0" => data <= x"9a"; when "00" & x"3d1" => data <= x"04"; when "00" & x"3d2" => data <= x"1f"; when "00" & x"3d3" => data <= x"7d"; when "00" & x"3d4" => data <= x"e4"; when "00" & x"3d5" => data <= x"e4"; when "00" & x"3d6" => data <= x"e6"; when "00" & x"3d7" => data <= x"b6"; when "00" & x"3d8" => data <= x"11"; when "00" & x"3d9" => data <= x"d0"; when "00" & x"3da" => data <= x"8e"; when "00" & x"3db" => data <= x"95"; when "00" & x"3dc" => data <= x"b1"; when "00" & x"3dd" => data <= x"a0"; when "00" & x"3de" => data <= x"c2"; when "00" & x"3df" => data <= x"bf"; when "00" & x"3e0" => data <= x"bf"; when "00" & x"3e1" => data <= x"ae"; when "00" & x"3e2" => data <= x"ae"; when "00" & x"3e3" => data <= x"ae"; when "00" & x"3e4" => data <= x"af"; when "00" & x"3e5" => data <= x"ad"; when "00" & x"3e6" => data <= x"a8"; when "00" & x"3e7" => data <= x"ab"; when "00" & x"3e8" => data <= x"ac"; when "00" & x"3e9" => data <= x"a8"; when "00" & x"3ea" => data <= x"a9"; when "00" & x"3eb" => data <= x"bf"; when "00" & x"3ec" => data <= x"a9"; when "00" & x"3ed" => data <= x"ae"; when "00" & x"3ee" => data <= x"ab"; when "00" & x"3ef" => data <= x"af"; when "00" & x"3f0" => data <= x"af"; when "00" & x"3f1" => data <= x"ab"; when "00" & x"3f2" => data <= x"aa"; when "00" & x"3f3" => data <= x"bf"; when "00" & x"3f4" => data <= x"ae"; when "00" & x"3f5" => data <= x"b1"; when "00" & x"3f6" => data <= x"af"; when "00" & x"3f7" => data <= x"ac"; when "00" & x"3f8" => data <= x"ac"; when "00" & x"3f9" => data <= x"ac"; when "00" & x"3fa" => data <= x"ae"; when "00" & x"3fb" => data <= x"a7"; when "00" & x"3fc" => data <= x"ab"; when "00" & x"3fd" => data <= x"ac"; when "00" & x"3fe" => data <= x"bf"; when "00" & x"3ff" => data <= x"bf"; when "00" & x"400" => data <= x"ab"; when "00" & x"401" => data <= x"ab"; when "00" & x"402" => data <= x"ab"; when "00" & x"403" => data <= x"ab"; when "00" & x"404" => data <= x"af"; when "00" & x"405" => data <= x"ab"; when "00" & x"406" => data <= x"a9"; when "00" & x"407" => data <= x"a7"; when "00" & x"408" => data <= x"a6"; when "00" & x"409" => data <= x"ae"; when "00" & x"40a" => data <= x"ac"; when "00" & x"40b" => data <= x"ab"; when "00" & x"40c" => data <= x"ac"; when "00" & x"40d" => data <= x"ab"; when "00" & x"40e" => data <= x"b3"; when "00" & x"40f" => data <= x"af"; when "00" & x"410" => data <= x"b0"; when "00" & x"411" => data <= x"af"; when "00" & x"412" => data <= x"b0"; when "00" & x"413" => data <= x"af"; when "00" & x"414" => data <= x"b0"; when "00" & x"415" => data <= x"b0"; when "00" & x"416" => data <= x"ac"; when "00" & x"417" => data <= x"90"; when "00" & x"418" => data <= x"8f"; when "00" & x"419" => data <= x"bf"; when "00" & x"41a" => data <= x"b5"; when "00" & x"41b" => data <= x"8a"; when "00" & x"41c" => data <= x"8a"; when "00" & x"41d" => data <= x"8f"; when "00" & x"41e" => data <= x"be"; when "00" & x"41f" => data <= x"98"; when "00" & x"420" => data <= x"bf"; when "00" & x"421" => data <= x"92"; when "00" & x"422" => data <= x"92"; when "00" & x"423" => data <= x"92"; when "00" & x"424" => data <= x"92"; when "00" & x"425" => data <= x"b4"; when "00" & x"426" => data <= x"bf"; when "00" & x"427" => data <= x"8e"; when "00" & x"428" => data <= x"bf"; when "00" & x"429" => data <= x"92"; when "00" & x"42a" => data <= x"bf"; when "00" & x"42b" => data <= x"8e"; when "00" & x"42c" => data <= x"8e"; when "00" & x"42d" => data <= x"8b"; when "00" & x"42e" => data <= x"8b"; when "00" & x"42f" => data <= x"91"; when "00" & x"430" => data <= x"93"; when "00" & x"431" => data <= x"8a"; when "00" & x"432" => data <= x"93"; when "00" & x"433" => data <= x"b4"; when "00" & x"434" => data <= x"b7"; when "00" & x"435" => data <= x"b8"; when "00" & x"436" => data <= x"b8"; when "00" & x"437" => data <= x"93"; when "00" & x"438" => data <= x"98"; when "00" & x"439" => data <= x"ba"; when "00" & x"43a" => data <= x"8b"; when "00" & x"43b" => data <= x"93"; when "00" & x"43c" => data <= x"93"; when "00" & x"43d" => data <= x"93"; when "00" & x"43e" => data <= x"b6"; when "00" & x"43f" => data <= x"b9"; when "00" & x"440" => data <= x"94"; when "00" & x"441" => data <= x"93"; when "00" & x"442" => data <= x"8d"; when "00" & x"443" => data <= x"93"; when "00" & x"444" => data <= x"bb"; when "00" & x"445" => data <= x"8b"; when "00" & x"446" => data <= x"bb"; when "00" & x"447" => data <= x"bf"; when "00" & x"448" => data <= x"ba"; when "00" & x"449" => data <= x"b8"; when "00" & x"44a" => data <= x"bd"; when "00" & x"44b" => data <= x"8a"; when "00" & x"44c" => data <= x"93"; when "00" & x"44d" => data <= x"92"; when "00" & x"44e" => data <= x"bb"; when "00" & x"44f" => data <= x"b4"; when "00" & x"450" => data <= x"be"; when "00" & x"451" => data <= x"4b"; when "00" & x"452" => data <= x"83"; when "00" & x"453" => data <= x"84"; when "00" & x"454" => data <= x"89"; when "00" & x"455" => data <= x"96"; when "00" & x"456" => data <= x"b8"; when "00" & x"457" => data <= x"b9"; when "00" & x"458" => data <= x"d8"; when "00" & x"459" => data <= x"d9"; when "00" & x"45a" => data <= x"f0"; when "00" & x"45b" => data <= x"01"; when "00" & x"45c" => data <= x"10"; when "00" & x"45d" => data <= x"81"; when "00" & x"45e" => data <= x"90"; when "00" & x"45f" => data <= x"89"; when "00" & x"460" => data <= x"93"; when "00" & x"461" => data <= x"a3"; when "00" & x"462" => data <= x"a4"; when "00" & x"463" => data <= x"a9"; when "00" & x"464" => data <= x"38"; when "00" & x"465" => data <= x"39"; when "00" & x"466" => data <= x"78"; when "00" & x"467" => data <= x"01"; when "00" & x"468" => data <= x"13"; when "00" & x"469" => data <= x"21"; when "00" & x"46a" => data <= x"63"; when "00" & x"46b" => data <= x"73"; when "00" & x"46c" => data <= x"b1"; when "00" & x"46d" => data <= x"a9"; when "00" & x"46e" => data <= x"c5"; when "00" & x"46f" => data <= x"0c"; when "00" & x"470" => data <= x"c3"; when "00" & x"471" => data <= x"d3"; when "00" & x"472" => data <= x"c4"; when "00" & x"473" => data <= x"f2"; when "00" & x"474" => data <= x"41"; when "00" & x"475" => data <= x"83"; when "00" & x"476" => data <= x"b0"; when "00" & x"477" => data <= x"81"; when "00" & x"478" => data <= x"43"; when "00" & x"479" => data <= x"6c"; when "00" & x"47a" => data <= x"72"; when "00" & x"47b" => data <= x"ec"; when "00" & x"47c" => data <= x"f2"; when "00" & x"47d" => data <= x"a3"; when "00" & x"47e" => data <= x"c3"; when "00" & x"47f" => data <= x"18"; when "00" & x"480" => data <= x"19"; when "00" & x"481" => data <= x"34"; when "00" & x"482" => data <= x"b0"; when "00" & x"483" => data <= x"72"; when "00" & x"484" => data <= x"98"; when "00" & x"485" => data <= x"99"; when "00" & x"486" => data <= x"81"; when "00" & x"487" => data <= x"98"; when "00" & x"488" => data <= x"99"; when "00" & x"489" => data <= x"14"; when "00" & x"48a" => data <= x"35"; when "00" & x"48b" => data <= x"0a"; when "00" & x"48c" => data <= x"0d"; when "00" & x"48d" => data <= x"0d"; when "00" & x"48e" => data <= x"0d"; when "00" & x"48f" => data <= x"0d"; when "00" & x"490" => data <= x"10"; when "00" & x"491" => data <= x"10"; when "00" & x"492" => data <= x"25"; when "00" & x"493" => data <= x"25"; when "00" & x"494" => data <= x"39"; when "00" & x"495" => data <= x"41"; when "00" & x"496" => data <= x"41"; when "00" & x"497" => data <= x"41"; when "00" & x"498" => data <= x"41"; when "00" & x"499" => data <= x"4a"; when "00" & x"49a" => data <= x"4a"; when "00" & x"49b" => data <= x"4c"; when "00" & x"49c" => data <= x"4c"; when "00" & x"49d" => data <= x"4c"; when "00" & x"49e" => data <= x"50"; when "00" & x"49f" => data <= x"50"; when "00" & x"4a0" => data <= x"52"; when "00" & x"4a1" => data <= x"53"; when "00" & x"4a2" => data <= x"53"; when "00" & x"4a3" => data <= x"53"; when "00" & x"4a4" => data <= x"08"; when "00" & x"4a5" => data <= x"08"; when "00" & x"4a6" => data <= x"08"; when "00" & x"4a7" => data <= x"09"; when "00" & x"4a8" => data <= x"09"; when "00" & x"4a9" => data <= x"0a"; when "00" & x"4aa" => data <= x"0a"; when "00" & x"4ab" => data <= x"0a"; when "00" & x"4ac" => data <= x"05"; when "00" & x"4ad" => data <= x"15"; when "00" & x"4ae" => data <= x"3e"; when "00" & x"4af" => data <= x"04"; when "00" & x"4b0" => data <= x"0d"; when "00" & x"4b1" => data <= x"30"; when "00" & x"4b2" => data <= x"4c"; when "00" & x"4b3" => data <= x"06"; when "00" & x"4b4" => data <= x"32"; when "00" & x"4b5" => data <= x"49"; when "00" & x"4b6" => data <= x"49"; when "00" & x"4b7" => data <= x"10"; when "00" & x"4b8" => data <= x"25"; when "00" & x"4b9" => data <= x"0e"; when "00" & x"4ba" => data <= x"0e"; when "00" & x"4bb" => data <= x"09"; when "00" & x"4bc" => data <= x"29"; when "00" & x"4bd" => data <= x"2a"; when "00" & x"4be" => data <= x"30"; when "00" & x"4bf" => data <= x"30"; when "00" & x"4c0" => data <= x"4e"; when "00" & x"4c1" => data <= x"4e"; when "00" & x"4c2" => data <= x"4e"; when "00" & x"4c3" => data <= x"3e"; when "00" & x"4c4" => data <= x"16"; when "00" & x"4c5" => data <= x"00"; when "00" & x"4c6" => data <= x"18"; when "00" & x"4c7" => data <= x"d8"; when "00" & x"4c8" => data <= x"58"; when "00" & x"4c9" => data <= x"b8"; when "00" & x"4ca" => data <= x"ca"; when "00" & x"4cb" => data <= x"88"; when "00" & x"4cc" => data <= x"e8"; when "00" & x"4cd" => data <= x"c8"; when "00" & x"4ce" => data <= x"ea"; when "00" & x"4cf" => data <= x"48"; when "00" & x"4d0" => data <= x"08"; when "00" & x"4d1" => data <= x"68"; when "00" & x"4d2" => data <= x"28"; when "00" & x"4d3" => data <= x"40"; when "00" & x"4d4" => data <= x"60"; when "00" & x"4d5" => data <= x"38"; when "00" & x"4d6" => data <= x"f8"; when "00" & x"4d7" => data <= x"78"; when "00" & x"4d8" => data <= x"aa"; when "00" & x"4d9" => data <= x"a8"; when "00" & x"4da" => data <= x"ba"; when "00" & x"4db" => data <= x"8a"; when "00" & x"4dc" => data <= x"9a"; when "00" & x"4dd" => data <= x"98"; when "00" & x"4de" => data <= x"90"; when "00" & x"4df" => data <= x"b0"; when "00" & x"4e0" => data <= x"f0"; when "00" & x"4e1" => data <= x"30"; when "00" & x"4e2" => data <= x"d0"; when "00" & x"4e3" => data <= x"10"; when "00" & x"4e4" => data <= x"50"; when "00" & x"4e5" => data <= x"70"; when "00" & x"4e6" => data <= x"21"; when "00" & x"4e7" => data <= x"41"; when "00" & x"4e8" => data <= x"01"; when "00" & x"4e9" => data <= x"61"; when "00" & x"4ea" => data <= x"c1"; when "00" & x"4eb" => data <= x"a1"; when "00" & x"4ec" => data <= x"e1"; when "00" & x"4ed" => data <= x"06"; when "00" & x"4ee" => data <= x"46"; when "00" & x"4ef" => data <= x"26"; when "00" & x"4f0" => data <= x"66"; when "00" & x"4f1" => data <= x"c6"; when "00" & x"4f2" => data <= x"e6"; when "00" & x"4f3" => data <= x"e0"; when "00" & x"4f4" => data <= x"c0"; when "00" & x"4f5" => data <= x"20"; when "00" & x"4f6" => data <= x"4c"; when "00" & x"4f7" => data <= x"20"; when "00" & x"4f8" => data <= x"a2"; when "00" & x"4f9" => data <= x"a0"; when "00" & x"4fa" => data <= x"81"; when "00" & x"4fb" => data <= x"86"; when "00" & x"4fc" => data <= x"84"; when "00" & x"4fd" => data <= x"a9"; when "00" & x"4fe" => data <= x"ff"; when "00" & x"4ff" => data <= x"85"; when "00" & x"500" => data <= x"28"; when "00" & x"501" => data <= x"4c"; when "00" & x"502" => data <= x"a3"; when "00" & x"503" => data <= x"8b"; when "00" & x"504" => data <= x"a9"; when "00" & x"505" => data <= x"03"; when "00" & x"506" => data <= x"85"; when "00" & x"507" => data <= x"28"; when "00" & x"508" => data <= x"20"; when "00" & x"509" => data <= x"97"; when "00" & x"50a" => data <= x"8a"; when "00" & x"50b" => data <= x"c9"; when "00" & x"50c" => data <= x"5d"; when "00" & x"50d" => data <= x"f0"; when "00" & x"50e" => data <= x"ee"; when "00" & x"50f" => data <= x"20"; when "00" & x"510" => data <= x"6d"; when "00" & x"511" => data <= x"98"; when "00" & x"512" => data <= x"c6"; when "00" & x"513" => data <= x"0a"; when "00" & x"514" => data <= x"20"; when "00" & x"515" => data <= x"ba"; when "00" & x"516" => data <= x"85"; when "00" & x"517" => data <= x"c6"; when "00" & x"518" => data <= x"0a"; when "00" & x"519" => data <= x"a5"; when "00" & x"51a" => data <= x"28"; when "00" & x"51b" => data <= x"4a"; when "00" & x"51c" => data <= x"90"; when "00" & x"51d" => data <= x"60"; when "00" & x"51e" => data <= x"a5"; when "00" & x"51f" => data <= x"1e"; when "00" & x"520" => data <= x"69"; when "00" & x"521" => data <= x"04"; when "00" & x"522" => data <= x"85"; when "00" & x"523" => data <= x"3f"; when "00" & x"524" => data <= x"a5"; when "00" & x"525" => data <= x"38"; when "00" & x"526" => data <= x"20"; when "00" & x"527" => data <= x"45"; when "00" & x"528" => data <= x"b5"; when "00" & x"529" => data <= x"a5"; when "00" & x"52a" => data <= x"37"; when "00" & x"52b" => data <= x"20"; when "00" & x"52c" => data <= x"62"; when "00" & x"52d" => data <= x"b5"; when "00" & x"52e" => data <= x"a2"; when "00" & x"52f" => data <= x"fc"; when "00" & x"530" => data <= x"a4"; when "00" & x"531" => data <= x"39"; when "00" & x"532" => data <= x"10"; when "00" & x"533" => data <= x"02"; when "00" & x"534" => data <= x"a4"; when "00" & x"535" => data <= x"36"; when "00" & x"536" => data <= x"84"; when "00" & x"537" => data <= x"38"; when "00" & x"538" => data <= x"f0"; when "00" & x"539" => data <= x"1c"; when "00" & x"53a" => data <= x"a0"; when "00" & x"53b" => data <= x"00"; when "00" & x"53c" => data <= x"e8"; when "00" & x"53d" => data <= x"d0"; when "00" & x"53e" => data <= x"0d"; when "00" & x"53f" => data <= x"20"; when "00" & x"540" => data <= x"25"; when "00" & x"541" => data <= x"bc"; when "00" & x"542" => data <= x"a6"; when "00" & x"543" => data <= x"3f"; when "00" & x"544" => data <= x"20"; when "00" & x"545" => data <= x"65"; when "00" & x"546" => data <= x"b5"; when "00" & x"547" => data <= x"ca"; when "00" & x"548" => data <= x"d0"; when "00" & x"549" => data <= x"fa"; when "00" & x"54a" => data <= x"a2"; when "00" & x"54b" => data <= x"fd"; when "00" & x"54c" => data <= x"b1"; when "00" & x"54d" => data <= x"3a"; when "00" & x"54e" => data <= x"20"; when "00" & x"54f" => data <= x"62"; when "00" & x"550" => data <= x"b5"; when "00" & x"551" => data <= x"c8"; when "00" & x"552" => data <= x"c6"; when "00" & x"553" => data <= x"38"; when "00" & x"554" => data <= x"d0"; when "00" & x"555" => data <= x"e6"; when "00" & x"556" => data <= x"e8"; when "00" & x"557" => data <= x"10"; when "00" & x"558" => data <= x"0c"; when "00" & x"559" => data <= x"20"; when "00" & x"55a" => data <= x"65"; when "00" & x"55b" => data <= x"b5"; when "00" & x"55c" => data <= x"20"; when "00" & x"55d" => data <= x"58"; when "00" & x"55e" => data <= x"b5"; when "00" & x"55f" => data <= x"20"; when "00" & x"560" => data <= x"58"; when "00" & x"561" => data <= x"b5"; when "00" & x"562" => data <= x"4c"; when "00" & x"563" => data <= x"56"; when "00" & x"564" => data <= x"85"; when "00" & x"565" => data <= x"a0"; when "00" & x"566" => data <= x"00"; when "00" & x"567" => data <= x"b1"; when "00" & x"568" => data <= x"0b"; when "00" & x"569" => data <= x"c9"; when "00" & x"56a" => data <= x"3a"; when "00" & x"56b" => data <= x"f0"; when "00" & x"56c" => data <= x"0a"; when "00" & x"56d" => data <= x"c9"; when "00" & x"56e" => data <= x"0d"; when "00" & x"56f" => data <= x"f0"; when "00" & x"570" => data <= x"0a"; when "00" & x"571" => data <= x"20"; when "00" & x"572" => data <= x"0e"; when "00" & x"573" => data <= x"b5"; when "00" & x"574" => data <= x"c8"; when "00" & x"575" => data <= x"d0"; when "00" & x"576" => data <= x"f0"; when "00" & x"577" => data <= x"c4"; when "00" & x"578" => data <= x"0a"; when "00" & x"579" => data <= x"90"; when "00" & x"57a" => data <= x"f6"; when "00" & x"57b" => data <= x"20"; when "00" & x"57c" => data <= x"25"; when "00" & x"57d" => data <= x"bc"; when "00" & x"57e" => data <= x"a4"; when "00" & x"57f" => data <= x"0a"; when "00" & x"580" => data <= x"88"; when "00" & x"581" => data <= x"c8"; when "00" & x"582" => data <= x"b1"; when "00" & x"583" => data <= x"0b"; when "00" & x"584" => data <= x"c9"; when "00" & x"585" => data <= x"3a"; when "00" & x"586" => data <= x"f0"; when "00" & x"587" => data <= x"04"; when "00" & x"588" => data <= x"c9"; when "00" & x"589" => data <= x"0d"; when "00" & x"58a" => data <= x"d0"; when "00" & x"58b" => data <= x"f5"; when "00" & x"58c" => data <= x"20"; when "00" & x"58d" => data <= x"59"; when "00" & x"58e" => data <= x"98"; when "00" & x"58f" => data <= x"88"; when "00" & x"590" => data <= x"b1"; when "00" & x"591" => data <= x"0b"; when "00" & x"592" => data <= x"c9"; when "00" & x"593" => data <= x"3a"; when "00" & x"594" => data <= x"f0"; when "00" & x"595" => data <= x"0c"; when "00" & x"596" => data <= x"a5"; when "00" & x"597" => data <= x"0c"; when "00" & x"598" => data <= x"c9"; when "00" & x"599" => data <= x"07"; when "00" & x"59a" => data <= x"d0"; when "00" & x"59b" => data <= x"03"; when "00" & x"59c" => data <= x"4c"; when "00" & x"59d" => data <= x"f6"; when "00" & x"59e" => data <= x"8a"; when "00" & x"59f" => data <= x"20"; when "00" & x"5a0" => data <= x"90"; when "00" & x"5a1" => data <= x"98"; when "00" & x"5a2" => data <= x"4c"; when "00" & x"5a3" => data <= x"08"; when "00" & x"5a4" => data <= x"85"; when "00" & x"5a5" => data <= x"20"; when "00" & x"5a6" => data <= x"82"; when "00" & x"5a7" => data <= x"95"; when "00" & x"5a8" => data <= x"f0"; when "00" & x"5a9" => data <= x"5a"; when "00" & x"5aa" => data <= x"b0"; when "00" & x"5ab" => data <= x"58"; when "00" & x"5ac" => data <= x"20"; when "00" & x"5ad" => data <= x"94"; when "00" & x"5ae" => data <= x"bd"; when "00" & x"5af" => data <= x"20"; when "00" & x"5b0" => data <= x"3a"; when "00" & x"5b1" => data <= x"ae"; when "00" & x"5b2" => data <= x"85"; when "00" & x"5b3" => data <= x"27"; when "00" & x"5b4" => data <= x"20"; when "00" & x"5b5" => data <= x"b4"; when "00" & x"5b6" => data <= x"b4"; when "00" & x"5b7" => data <= x"20"; when "00" & x"5b8" => data <= x"27"; when "00" & x"5b9" => data <= x"88"; when "00" & x"5ba" => data <= x"a2"; when "00" & x"5bb" => data <= x"03"; when "00" & x"5bc" => data <= x"20"; when "00" & x"5bd" => data <= x"97"; when "00" & x"5be" => data <= x"8a"; when "00" & x"5bf" => data <= x"a0"; when "00" & x"5c0" => data <= x"00"; when "00" & x"5c1" => data <= x"84"; when "00" & x"5c2" => data <= x"3d"; when "00" & x"5c3" => data <= x"c9"; when "00" & x"5c4" => data <= x"3a"; when "00" & x"5c5" => data <= x"f0"; when "00" & x"5c6" => data <= x"64"; when "00" & x"5c7" => data <= x"c9"; when "00" & x"5c8" => data <= x"0d"; when "00" & x"5c9" => data <= x"f0"; when "00" & x"5ca" => data <= x"60"; when "00" & x"5cb" => data <= x"c9"; when "00" & x"5cc" => data <= x"5c"; when "00" & x"5cd" => data <= x"f0"; when "00" & x"5ce" => data <= x"5c"; when "00" & x"5cf" => data <= x"c9"; when "00" & x"5d0" => data <= x"2e"; when "00" & x"5d1" => data <= x"f0"; when "00" & x"5d2" => data <= x"d2"; when "00" & x"5d3" => data <= x"c6"; when "00" & x"5d4" => data <= x"0a"; when "00" & x"5d5" => data <= x"a4"; when "00" & x"5d6" => data <= x"0a"; when "00" & x"5d7" => data <= x"e6"; when "00" & x"5d8" => data <= x"0a"; when "00" & x"5d9" => data <= x"b1"; when "00" & x"5da" => data <= x"0b"; when "00" & x"5db" => data <= x"30"; when "00" & x"5dc" => data <= x"2a"; when "00" & x"5dd" => data <= x"c9"; when "00" & x"5de" => data <= x"20"; when "00" & x"5df" => data <= x"f0"; when "00" & x"5e0" => data <= x"10"; when "00" & x"5e1" => data <= x"a0"; when "00" & x"5e2" => data <= x"05"; when "00" & x"5e3" => data <= x"0a"; when "00" & x"5e4" => data <= x"0a"; when "00" & x"5e5" => data <= x"0a"; when "00" & x"5e6" => data <= x"0a"; when "00" & x"5e7" => data <= x"26"; when "00" & x"5e8" => data <= x"3d"; when "00" & x"5e9" => data <= x"26"; when "00" & x"5ea" => data <= x"3e"; when "00" & x"5eb" => data <= x"88"; when "00" & x"5ec" => data <= x"d0"; when "00" & x"5ed" => data <= x"f8"; when "00" & x"5ee" => data <= x"ca"; when "00" & x"5ef" => data <= x"d0"; when "00" & x"5f0" => data <= x"e4"; when "00" & x"5f1" => data <= x"a2"; when "00" & x"5f2" => data <= x"3a"; when "00" & x"5f3" => data <= x"a5"; when "00" & x"5f4" => data <= x"3d"; when "00" & x"5f5" => data <= x"dd"; when "00" & x"5f6" => data <= x"50"; when "00" & x"5f7" => data <= x"84"; when "00" & x"5f8" => data <= x"d0"; when "00" & x"5f9" => data <= x"07"; when "00" & x"5fa" => data <= x"bc"; when "00" & x"5fb" => data <= x"8a"; when "00" & x"5fc" => data <= x"84"; when "00" & x"5fd" => data <= x"c4"; when "00" & x"5fe" => data <= x"3e"; when "00" & x"5ff" => data <= x"f0"; when "00" & x"600" => data <= x"1f"; when "00" & x"601" => data <= x"ca"; when "00" & x"602" => data <= x"d0"; when "00" & x"603" => data <= x"f1"; when "00" & x"604" => data <= x"4c"; when "00" & x"605" => data <= x"2a"; when "00" & x"606" => data <= x"98"; when "00" & x"607" => data <= x"a2"; when "00" & x"608" => data <= x"22"; when "00" & x"609" => data <= x"c9"; when "00" & x"60a" => data <= x"80"; when "00" & x"60b" => data <= x"f0"; when "00" & x"60c" => data <= x"13"; when "00" & x"60d" => data <= x"e8"; when "00" & x"60e" => data <= x"c9"; when "00" & x"60f" => data <= x"82"; when "00" & x"610" => data <= x"f0"; when "00" & x"611" => data <= x"0e"; when "00" & x"612" => data <= x"e8"; when "00" & x"613" => data <= x"c9"; when "00" & x"614" => data <= x"84"; when "00" & x"615" => data <= x"d0"; when "00" & x"616" => data <= x"ed"; when "00" & x"617" => data <= x"e6"; when "00" & x"618" => data <= x"0a"; when "00" & x"619" => data <= x"c8"; when "00" & x"61a" => data <= x"b1"; when "00" & x"61b" => data <= x"0b"; when "00" & x"61c" => data <= x"c9"; when "00" & x"61d" => data <= x"41"; when "00" & x"61e" => data <= x"d0"; when "00" & x"61f" => data <= x"e4"; when "00" & x"620" => data <= x"bd"; when "00" & x"621" => data <= x"c4"; when "00" & x"622" => data <= x"84"; when "00" & x"623" => data <= x"85"; when "00" & x"624" => data <= x"29"; when "00" & x"625" => data <= x"a0"; when "00" & x"626" => data <= x"01"; when "00" & x"627" => data <= x"e0"; when "00" & x"628" => data <= x"1a"; when "00" & x"629" => data <= x"b0"; when "00" & x"62a" => data <= x"48"; when "00" & x"62b" => data <= x"ad"; when "00" & x"62c" => data <= x"40"; when "00" & x"62d" => data <= x"04"; when "00" & x"62e" => data <= x"85"; when "00" & x"62f" => data <= x"37"; when "00" & x"630" => data <= x"84"; when "00" & x"631" => data <= x"39"; when "00" & x"632" => data <= x"a6"; when "00" & x"633" => data <= x"28"; when "00" & x"634" => data <= x"e0"; when "00" & x"635" => data <= x"04"; when "00" & x"636" => data <= x"ae"; when "00" & x"637" => data <= x"41"; when "00" & x"638" => data <= x"04"; when "00" & x"639" => data <= x"86"; when "00" & x"63a" => data <= x"38"; when "00" & x"63b" => data <= x"90"; when "00" & x"63c" => data <= x"06"; when "00" & x"63d" => data <= x"ad"; when "00" & x"63e" => data <= x"3c"; when "00" & x"63f" => data <= x"04"; when "00" & x"640" => data <= x"ae"; when "00" & x"641" => data <= x"3d"; when "00" & x"642" => data <= x"04"; when "00" & x"643" => data <= x"85"; when "00" & x"644" => data <= x"3a"; when "00" & x"645" => data <= x"86"; when "00" & x"646" => data <= x"3b"; when "00" & x"647" => data <= x"98"; when "00" & x"648" => data <= x"f0"; when "00" & x"649" => data <= x"28"; when "00" & x"64a" => data <= x"10"; when "00" & x"64b" => data <= x"04"; when "00" & x"64c" => data <= x"a4"; when "00" & x"64d" => data <= x"36"; when "00" & x"64e" => data <= x"f0"; when "00" & x"64f" => data <= x"22"; when "00" & x"650" => data <= x"88"; when "00" & x"651" => data <= x"b9"; when "00" & x"652" => data <= x"29"; when "00" & x"653" => data <= x"00"; when "00" & x"654" => data <= x"24"; when "00" & x"655" => data <= x"39"; when "00" & x"656" => data <= x"10"; when "00" & x"657" => data <= x"03"; when "00" & x"658" => data <= x"b9"; when "00" & x"659" => data <= x"00"; when "00" & x"65a" => data <= x"06"; when "00" & x"65b" => data <= x"91"; when "00" & x"65c" => data <= x"3a"; when "00" & x"65d" => data <= x"ee"; when "00" & x"65e" => data <= x"40"; when "00" & x"65f" => data <= x"04"; when "00" & x"660" => data <= x"d0"; when "00" & x"661" => data <= x"03"; when "00" & x"662" => data <= x"ee"; when "00" & x"663" => data <= x"41"; when "00" & x"664" => data <= x"04"; when "00" & x"665" => data <= x"90"; when "00" & x"666" => data <= x"08"; when "00" & x"667" => data <= x"ee"; when "00" & x"668" => data <= x"3c"; when "00" & x"669" => data <= x"04"; when "00" & x"66a" => data <= x"d0"; when "00" & x"66b" => data <= x"03"; when "00" & x"66c" => data <= x"ee"; when "00" & x"66d" => data <= x"3d"; when "00" & x"66e" => data <= x"04"; when "00" & x"66f" => data <= x"98"; when "00" & x"670" => data <= x"d0"; when "00" & x"671" => data <= x"de"; when "00" & x"672" => data <= x"60"; when "00" & x"673" => data <= x"e0"; when "00" & x"674" => data <= x"22"; when "00" & x"675" => data <= x"b0"; when "00" & x"676" => data <= x"40"; when "00" & x"677" => data <= x"20"; when "00" & x"678" => data <= x"21"; when "00" & x"679" => data <= x"88"; when "00" & x"67a" => data <= x"18"; when "00" & x"67b" => data <= x"a5"; when "00" & x"67c" => data <= x"2a"; when "00" & x"67d" => data <= x"ed"; when "00" & x"67e" => data <= x"40"; when "00" & x"67f" => data <= x"04"; when "00" & x"680" => data <= x"a8"; when "00" & x"681" => data <= x"a5"; when "00" & x"682" => data <= x"2b"; when "00" & x"683" => data <= x"ed"; when "00" & x"684" => data <= x"41"; when "00" & x"685" => data <= x"04"; when "00" & x"686" => data <= x"c0"; when "00" & x"687" => data <= x"01"; when "00" & x"688" => data <= x"88"; when "00" & x"689" => data <= x"e9"; when "00" & x"68a" => data <= x"00"; when "00" & x"68b" => data <= x"f0"; when "00" & x"68c" => data <= x"25"; when "00" & x"68d" => data <= x"c9"; when "00" & x"68e" => data <= x"ff"; when "00" & x"68f" => data <= x"f0"; when "00" & x"690" => data <= x"1c"; when "00" & x"691" => data <= x"a5"; when "00" & x"692" => data <= x"28"; when "00" & x"693" => data <= x"4a"; when "00" & x"694" => data <= x"f0"; when "00" & x"695" => data <= x"0f"; when "00" & x"696" => data <= x"00"; when "00" & x"697" => data <= x"01"; when "00" & x"698" => data <= x"4f"; when "00" & x"699" => data <= x"75"; when "00" & x"69a" => data <= x"74"; when "00" & x"69b" => data <= x"20"; when "00" & x"69c" => data <= x"6f"; when "00" & x"69d" => data <= x"66"; when "00" & x"69e" => data <= x"20"; when "00" & x"69f" => data <= x"72"; when "00" & x"6a0" => data <= x"61"; when "00" & x"6a1" => data <= x"6e"; when "00" & x"6a2" => data <= x"67"; when "00" & x"6a3" => data <= x"65"; when "00" & x"6a4" => data <= x"00"; when "00" & x"6a5" => data <= x"a8"; when "00" & x"6a6" => data <= x"84"; when "00" & x"6a7" => data <= x"2a"; when "00" & x"6a8" => data <= x"a0"; when "00" & x"6a9" => data <= x"02"; when "00" & x"6aa" => data <= x"4c"; when "00" & x"6ab" => data <= x"2b"; when "00" & x"6ac" => data <= x"86"; when "00" & x"6ad" => data <= x"98"; when "00" & x"6ae" => data <= x"30"; when "00" & x"6af" => data <= x"f6"; when "00" & x"6b0" => data <= x"10"; when "00" & x"6b1" => data <= x"df"; when "00" & x"6b2" => data <= x"98"; when "00" & x"6b3" => data <= x"10"; when "00" & x"6b4" => data <= x"f1"; when "00" & x"6b5" => data <= x"30"; when "00" & x"6b6" => data <= x"da"; when "00" & x"6b7" => data <= x"e0"; when "00" & x"6b8" => data <= x"29"; when "00" & x"6b9" => data <= x"b0"; when "00" & x"6ba" => data <= x"18"; when "00" & x"6bb" => data <= x"20"; when "00" & x"6bc" => data <= x"97"; when "00" & x"6bd" => data <= x"8a"; when "00" & x"6be" => data <= x"c9"; when "00" & x"6bf" => data <= x"23"; when "00" & x"6c0" => data <= x"d0"; when "00" & x"6c1" => data <= x"18"; when "00" & x"6c2" => data <= x"20"; when "00" & x"6c3" => data <= x"2f"; when "00" & x"6c4" => data <= x"88"; when "00" & x"6c5" => data <= x"20"; when "00" & x"6c6" => data <= x"21"; when "00" & x"6c7" => data <= x"88"; when "00" & x"6c8" => data <= x"a5"; when "00" & x"6c9" => data <= x"2b"; when "00" & x"6ca" => data <= x"f0"; when "00" & x"6cb" => data <= x"dc"; when "00" & x"6cc" => data <= x"00"; when "00" & x"6cd" => data <= x"02"; when "00" & x"6ce" => data <= x"42"; when "00" & x"6cf" => data <= x"79"; when "00" & x"6d0" => data <= x"74"; when "00" & x"6d1" => data <= x"65"; when "00" & x"6d2" => data <= x"00"; when "00" & x"6d3" => data <= x"e0"; when "00" & x"6d4" => data <= x"36"; when "00" & x"6d5" => data <= x"d0"; when "00" & x"6d6" => data <= x"68"; when "00" & x"6d7" => data <= x"20"; when "00" & x"6d8" => data <= x"97"; when "00" & x"6d9" => data <= x"8a"; when "00" & x"6da" => data <= x"c9"; when "00" & x"6db" => data <= x"28"; when "00" & x"6dc" => data <= x"d0"; when "00" & x"6dd" => data <= x"37"; when "00" & x"6de" => data <= x"20"; when "00" & x"6df" => data <= x"21"; when "00" & x"6e0" => data <= x"88"; when "00" & x"6e1" => data <= x"20"; when "00" & x"6e2" => data <= x"97"; when "00" & x"6e3" => data <= x"8a"; when "00" & x"6e4" => data <= x"c9"; when "00" & x"6e5" => data <= x"29"; when "00" & x"6e6" => data <= x"d0"; when "00" & x"6e7" => data <= x"13"; when "00" & x"6e8" => data <= x"20"; when "00" & x"6e9" => data <= x"97"; when "00" & x"6ea" => data <= x"8a"; when "00" & x"6eb" => data <= x"c9"; when "00" & x"6ec" => data <= x"2c"; when "00" & x"6ed" => data <= x"d0"; when "00" & x"6ee" => data <= x"1e"; when "00" & x"6ef" => data <= x"20"; when "00" & x"6f0" => data <= x"2c"; when "00" & x"6f1" => data <= x"88"; when "00" & x"6f2" => data <= x"20"; when "00" & x"6f3" => data <= x"97"; when "00" & x"6f4" => data <= x"8a"; when "00" & x"6f5" => data <= x"c9"; when "00" & x"6f6" => data <= x"59"; when "00" & x"6f7" => data <= x"d0"; when "00" & x"6f8" => data <= x"14"; when "00" & x"6f9" => data <= x"f0"; when "00" & x"6fa" => data <= x"cd"; when "00" & x"6fb" => data <= x"c9"; when "00" & x"6fc" => data <= x"2c"; when "00" & x"6fd" => data <= x"d0"; when "00" & x"6fe" => data <= x"0e"; when "00" & x"6ff" => data <= x"20"; when "00" & x"700" => data <= x"97"; when "00" & x"701" => data <= x"8a"; when "00" & x"702" => data <= x"c9"; when "00" & x"703" => data <= x"58"; when "00" & x"704" => data <= x"d0"; when "00" & x"705" => data <= x"07"; when "00" & x"706" => data <= x"20"; when "00" & x"707" => data <= x"97"; when "00" & x"708" => data <= x"8a"; when "00" & x"709" => data <= x"c9"; when "00" & x"70a" => data <= x"29"; when "00" & x"70b" => data <= x"f0"; when "00" & x"70c" => data <= x"bb"; when "00" & x"70d" => data <= x"00"; when "00" & x"70e" => data <= x"03"; when "00" & x"70f" => data <= x"49"; when "00" & x"710" => data <= x"6e"; when "00" & x"711" => data <= x"64"; when "00" & x"712" => data <= x"65"; when "00" & x"713" => data <= x"78"; when "00" & x"714" => data <= x"00"; when "00" & x"715" => data <= x"c6"; when "00" & x"716" => data <= x"0a"; when "00" & x"717" => data <= x"20"; when "00" & x"718" => data <= x"21"; when "00" & x"719" => data <= x"88"; when "00" & x"71a" => data <= x"20"; when "00" & x"71b" => data <= x"97"; when "00" & x"71c" => data <= x"8a"; when "00" & x"71d" => data <= x"c9"; when "00" & x"71e" => data <= x"2c"; when "00" & x"71f" => data <= x"d0"; when "00" & x"720" => data <= x"14"; when "00" & x"721" => data <= x"20"; when "00" & x"722" => data <= x"2c"; when "00" & x"723" => data <= x"88"; when "00" & x"724" => data <= x"20"; when "00" & x"725" => data <= x"97"; when "00" & x"726" => data <= x"8a"; when "00" & x"727" => data <= x"c9"; when "00" & x"728" => data <= x"58"; when "00" & x"729" => data <= x"f0"; when "00" & x"72a" => data <= x"0a"; when "00" & x"72b" => data <= x"c9"; when "00" & x"72c" => data <= x"59"; when "00" & x"72d" => data <= x"d0"; when "00" & x"72e" => data <= x"de"; when "00" & x"72f" => data <= x"20"; when "00" & x"730" => data <= x"2f"; when "00" & x"731" => data <= x"88"; when "00" & x"732" => data <= x"4c"; when "00" & x"733" => data <= x"9a"; when "00" & x"734" => data <= x"87"; when "00" & x"735" => data <= x"20"; when "00" & x"736" => data <= x"32"; when "00" & x"737" => data <= x"88"; when "00" & x"738" => data <= x"a5"; when "00" & x"739" => data <= x"2b"; when "00" & x"73a" => data <= x"d0"; when "00" & x"73b" => data <= x"f3"; when "00" & x"73c" => data <= x"4c"; when "00" & x"73d" => data <= x"a8"; when "00" & x"73e" => data <= x"86"; when "00" & x"73f" => data <= x"e0"; when "00" & x"740" => data <= x"2f"; when "00" & x"741" => data <= x"b0"; when "00" & x"742" => data <= x"2b"; when "00" & x"743" => data <= x"e0"; when "00" & x"744" => data <= x"2d"; when "00" & x"745" => data <= x"b0"; when "00" & x"746" => data <= x"09"; when "00" & x"747" => data <= x"20"; when "00" & x"748" => data <= x"97"; when "00" & x"749" => data <= x"8a"; when "00" & x"74a" => data <= x"c9"; when "00" & x"74b" => data <= x"41"; when "00" & x"74c" => data <= x"f0"; when "00" & x"74d" => data <= x"19"; when "00" & x"74e" => data <= x"c6"; when "00" & x"74f" => data <= x"0a"; when "00" & x"750" => data <= x"20"; when "00" & x"751" => data <= x"21"; when "00" & x"752" => data <= x"88"; when "00" & x"753" => data <= x"20"; when "00" & x"754" => data <= x"97"; when "00" & x"755" => data <= x"8a"; when "00" & x"756" => data <= x"c9"; when "00" & x"757" => data <= x"2c"; when "00" & x"758" => data <= x"d0"; when "00" & x"759" => data <= x"de"; when "00" & x"75a" => data <= x"20"; when "00" & x"75b" => data <= x"2c"; when "00" & x"75c" => data <= x"88"; when "00" & x"75d" => data <= x"20"; when "00" & x"75e" => data <= x"97"; when "00" & x"75f" => data <= x"8a"; when "00" & x"760" => data <= x"c9"; when "00" & x"761" => data <= x"58"; when "00" & x"762" => data <= x"f0"; when "00" & x"763" => data <= x"d4"; when "00" & x"764" => data <= x"4c"; when "00" & x"765" => data <= x"0d"; when "00" & x"766" => data <= x"87"; when "00" & x"767" => data <= x"20"; when "00" & x"768" => data <= x"32"; when "00" & x"769" => data <= x"88"; when "00" & x"76a" => data <= x"a0"; when "00" & x"76b" => data <= x"01"; when "00" & x"76c" => data <= x"d0"; when "00" & x"76d" => data <= x"2e"; when "00" & x"76e" => data <= x"e0"; when "00" & x"76f" => data <= x"32"; when "00" & x"770" => data <= x"b0"; when "00" & x"771" => data <= x"16"; when "00" & x"772" => data <= x"e0"; when "00" & x"773" => data <= x"31"; when "00" & x"774" => data <= x"f0"; when "00" & x"775" => data <= x"0c"; when "00" & x"776" => data <= x"20"; when "00" & x"777" => data <= x"97"; when "00" & x"778" => data <= x"8a"; when "00" & x"779" => data <= x"c9"; when "00" & x"77a" => data <= x"23"; when "00" & x"77b" => data <= x"d0"; when "00" & x"77c" => data <= x"03"; when "00" & x"77d" => data <= x"4c"; when "00" & x"77e" => data <= x"c5"; when "00" & x"77f" => data <= x"86"; when "00" & x"780" => data <= x"c6"; when "00" & x"781" => data <= x"0a"; when "00" & x"782" => data <= x"20"; when "00" & x"783" => data <= x"21"; when "00" & x"784" => data <= x"88"; when "00" & x"785" => data <= x"4c"; when "00" & x"786" => data <= x"35"; when "00" & x"787" => data <= x"87"; when "00" & x"788" => data <= x"e0"; when "00" & x"789" => data <= x"33"; when "00" & x"78a" => data <= x"f0"; when "00" & x"78b" => data <= x"0b"; when "00" & x"78c" => data <= x"b0"; when "00" & x"78d" => data <= x"24"; when "00" & x"78e" => data <= x"20"; when "00" & x"78f" => data <= x"97"; when "00" & x"790" => data <= x"8a"; when "00" & x"791" => data <= x"c9"; when "00" & x"792" => data <= x"28"; when "00" & x"793" => data <= x"f0"; when "00" & x"794" => data <= x"0a"; when "00" & x"795" => data <= x"c6"; when "00" & x"796" => data <= x"0a"; when "00" & x"797" => data <= x"20"; when "00" & x"798" => data <= x"21"; when "00" & x"799" => data <= x"88"; when "00" & x"79a" => data <= x"a0"; when "00" & x"79b" => data <= x"03"; when "00" & x"79c" => data <= x"4c"; when "00" & x"79d" => data <= x"2b"; when "00" & x"79e" => data <= x"86"; when "00" & x"79f" => data <= x"20"; when "00" & x"7a0" => data <= x"2c"; when "00" & x"7a1" => data <= x"88"; when "00" & x"7a2" => data <= x"20"; when "00" & x"7a3" => data <= x"2c"; when "00" & x"7a4" => data <= x"88"; when "00" & x"7a5" => data <= x"20"; when "00" & x"7a6" => data <= x"21"; when "00" & x"7a7" => data <= x"88"; when "00" & x"7a8" => data <= x"20"; when "00" & x"7a9" => data <= x"97"; when "00" & x"7aa" => data <= x"8a"; when "00" & x"7ab" => data <= x"c9"; when "00" & x"7ac" => data <= x"29"; when "00" & x"7ad" => data <= x"f0"; when "00" & x"7ae" => data <= x"eb"; when "00" & x"7af" => data <= x"4c"; when "00" & x"7b0" => data <= x"0d"; when "00" & x"7b1" => data <= x"87"; when "00" & x"7b2" => data <= x"e0"; when "00" & x"7b3" => data <= x"39"; when "00" & x"7b4" => data <= x"b0"; when "00" & x"7b5" => data <= x"5d"; when "00" & x"7b6" => data <= x"a5"; when "00" & x"7b7" => data <= x"3d"; when "00" & x"7b8" => data <= x"49"; when "00" & x"7b9" => data <= x"01"; when "00" & x"7ba" => data <= x"29"; when "00" & x"7bb" => data <= x"1f"; when "00" & x"7bc" => data <= x"48"; when "00" & x"7bd" => data <= x"e0"; when "00" & x"7be" => data <= x"37"; when "00" & x"7bf" => data <= x"b0"; when "00" & x"7c0" => data <= x"2f"; when "00" & x"7c1" => data <= x"20"; when "00" & x"7c2" => data <= x"97"; when "00" & x"7c3" => data <= x"8a"; when "00" & x"7c4" => data <= x"c9"; when "00" & x"7c5" => data <= x"23"; when "00" & x"7c6" => data <= x"d0"; when "00" & x"7c7" => data <= x"04"; when "00" & x"7c8" => data <= x"68"; when "00" & x"7c9" => data <= x"4c"; when "00" & x"7ca" => data <= x"c5"; when "00" & x"7cb" => data <= x"86"; when "00" & x"7cc" => data <= x"c6"; when "00" & x"7cd" => data <= x"0a"; when "00" & x"7ce" => data <= x"20"; when "00" & x"7cf" => data <= x"21"; when "00" & x"7d0" => data <= x"88"; when "00" & x"7d1" => data <= x"68"; when "00" & x"7d2" => data <= x"85"; when "00" & x"7d3" => data <= x"37"; when "00" & x"7d4" => data <= x"20"; when "00" & x"7d5" => data <= x"97"; when "00" & x"7d6" => data <= x"8a"; when "00" & x"7d7" => data <= x"c9"; when "00" & x"7d8" => data <= x"2c"; when "00" & x"7d9" => data <= x"f0"; when "00" & x"7da" => data <= x"03"; when "00" & x"7db" => data <= x"4c"; when "00" & x"7dc" => data <= x"35"; when "00" & x"7dd" => data <= x"87"; when "00" & x"7de" => data <= x"20"; when "00" & x"7df" => data <= x"97"; when "00" & x"7e0" => data <= x"8a"; when "00" & x"7e1" => data <= x"29"; when "00" & x"7e2" => data <= x"1f"; when "00" & x"7e3" => data <= x"c5"; when "00" & x"7e4" => data <= x"37"; when "00" & x"7e5" => data <= x"d0"; when "00" & x"7e6" => data <= x"06"; when "00" & x"7e7" => data <= x"20"; when "00" & x"7e8" => data <= x"2c"; when "00" & x"7e9" => data <= x"88"; when "00" & x"7ea" => data <= x"4c"; when "00" & x"7eb" => data <= x"35"; when "00" & x"7ec" => data <= x"87"; when "00" & x"7ed" => data <= x"4c"; when "00" & x"7ee" => data <= x"0d"; when "00" & x"7ef" => data <= x"87"; when "00" & x"7f0" => data <= x"20"; when "00" & x"7f1" => data <= x"21"; when "00" & x"7f2" => data <= x"88"; when "00" & x"7f3" => data <= x"68"; when "00" & x"7f4" => data <= x"85"; when "00" & x"7f5" => data <= x"37"; when "00" & x"7f6" => data <= x"20"; when "00" & x"7f7" => data <= x"97"; when "00" & x"7f8" => data <= x"8a"; when "00" & x"7f9" => data <= x"c9"; when "00" & x"7fa" => data <= x"2c"; when "00" & x"7fb" => data <= x"d0"; when "00" & x"7fc" => data <= x"13"; when "00" & x"7fd" => data <= x"20"; when "00" & x"7fe" => data <= x"97"; when "00" & x"7ff" => data <= x"8a"; when "00" & x"800" => data <= x"29"; when "00" & x"801" => data <= x"1f"; when "00" & x"802" => data <= x"c5"; when "00" & x"803" => data <= x"37"; when "00" & x"804" => data <= x"d0"; when "00" & x"805" => data <= x"e7"; when "00" & x"806" => data <= x"20"; when "00" & x"807" => data <= x"2c"; when "00" & x"808" => data <= x"88"; when "00" & x"809" => data <= x"a5"; when "00" & x"80a" => data <= x"2b"; when "00" & x"80b" => data <= x"f0"; when "00" & x"80c" => data <= x"03"; when "00" & x"80d" => data <= x"4c"; when "00" & x"80e" => data <= x"cc"; when "00" & x"80f" => data <= x"86"; when "00" & x"810" => data <= x"4c"; when "00" & x"811" => data <= x"38"; when "00" & x"812" => data <= x"87"; when "00" & x"813" => data <= x"d0"; when "00" & x"814" => data <= x"25"; when "00" & x"815" => data <= x"20"; when "00" & x"816" => data <= x"21"; when "00" & x"817" => data <= x"88"; when "00" & x"818" => data <= x"a5"; when "00" & x"819" => data <= x"2a"; when "00" & x"81a" => data <= x"85"; when "00" & x"81b" => data <= x"28"; when "00" & x"81c" => data <= x"a0"; when "00" & x"81d" => data <= x"00"; when "00" & x"81e" => data <= x"4c"; when "00" & x"81f" => data <= x"2b"; when "00" & x"820" => data <= x"86"; when "00" & x"821" => data <= x"20"; when "00" & x"822" => data <= x"1d"; when "00" & x"823" => data <= x"9b"; when "00" & x"824" => data <= x"20"; when "00" & x"825" => data <= x"f0"; when "00" & x"826" => data <= x"92"; when "00" & x"827" => data <= x"a4"; when "00" & x"828" => data <= x"1b"; when "00" & x"829" => data <= x"84"; when "00" & x"82a" => data <= x"0a"; when "00" & x"82b" => data <= x"60"; when "00" & x"82c" => data <= x"20"; when "00" & x"82d" => data <= x"2f"; when "00" & x"82e" => data <= x"88"; when "00" & x"82f" => data <= x"20"; when "00" & x"830" => data <= x"32"; when "00" & x"831" => data <= x"88"; when "00" & x"832" => data <= x"a5"; when "00" & x"833" => data <= x"29"; when "00" & x"834" => data <= x"18"; when "00" & x"835" => data <= x"69"; when "00" & x"836" => data <= x"04"; when "00" & x"837" => data <= x"85"; when "00" & x"838" => data <= x"29"; when "00" & x"839" => data <= x"60"; when "00" & x"83a" => data <= x"a2"; when "00" & x"83b" => data <= x"01"; when "00" & x"83c" => data <= x"a4"; when "00" & x"83d" => data <= x"0a"; when "00" & x"83e" => data <= x"e6"; when "00" & x"83f" => data <= x"0a"; when "00" & x"840" => data <= x"b1"; when "00" & x"841" => data <= x"0b"; when "00" & x"842" => data <= x"c9"; when "00" & x"843" => data <= x"42"; when "00" & x"844" => data <= x"f0"; when "00" & x"845" => data <= x"12"; when "00" & x"846" => data <= x"e8"; when "00" & x"847" => data <= x"c9"; when "00" & x"848" => data <= x"57"; when "00" & x"849" => data <= x"f0"; when "00" & x"84a" => data <= x"0d"; when "00" & x"84b" => data <= x"a2"; when "00" & x"84c" => data <= x"04"; when "00" & x"84d" => data <= x"c9"; when "00" & x"84e" => data <= x"44"; when "00" & x"84f" => data <= x"f0"; when "00" & x"850" => data <= x"07"; when "00" & x"851" => data <= x"c9"; when "00" & x"852" => data <= x"53"; when "00" & x"853" => data <= x"f0"; when "00" & x"854" => data <= x"15"; when "00" & x"855" => data <= x"4c"; when "00" & x"856" => data <= x"2a"; when "00" & x"857" => data <= x"98"; when "00" & x"858" => data <= x"8a"; when "00" & x"859" => data <= x"48"; when "00" & x"85a" => data <= x"20"; when "00" & x"85b" => data <= x"21"; when "00" & x"85c" => data <= x"88"; when "00" & x"85d" => data <= x"a2"; when "00" & x"85e" => data <= x"29"; when "00" & x"85f" => data <= x"20"; when "00" & x"860" => data <= x"44"; when "00" & x"861" => data <= x"be"; when "00" & x"862" => data <= x"68"; when "00" & x"863" => data <= x"a8"; when "00" & x"864" => data <= x"4c"; when "00" & x"865" => data <= x"2b"; when "00" & x"866" => data <= x"86"; when "00" & x"867" => data <= x"4c"; when "00" & x"868" => data <= x"0e"; when "00" & x"869" => data <= x"8c"; when "00" & x"86a" => data <= x"a5"; when "00" & x"86b" => data <= x"28"; when "00" & x"86c" => data <= x"48"; when "00" & x"86d" => data <= x"20"; when "00" & x"86e" => data <= x"1d"; when "00" & x"86f" => data <= x"9b"; when "00" & x"870" => data <= x"d0"; when "00" & x"871" => data <= x"f5"; when "00" & x"872" => data <= x"68"; when "00" & x"873" => data <= x"85"; when "00" & x"874" => data <= x"28"; when "00" & x"875" => data <= x"20"; when "00" & x"876" => data <= x"27"; when "00" & x"877" => data <= x"88"; when "00" & x"878" => data <= x"a0"; when "00" & x"879" => data <= x"ff"; when "00" & x"87a" => data <= x"d0"; when "00" & x"87b" => data <= x"e8"; when "00" & x"87c" => data <= x"48"; when "00" & x"87d" => data <= x"18"; when "00" & x"87e" => data <= x"98"; when "00" & x"87f" => data <= x"65"; when "00" & x"880" => data <= x"37"; when "00" & x"881" => data <= x"85"; when "00" & x"882" => data <= x"39"; when "00" & x"883" => data <= x"a0"; when "00" & x"884" => data <= x"00"; when "00" & x"885" => data <= x"98"; when "00" & x"886" => data <= x"65"; when "00" & x"887" => data <= x"38"; when "00" & x"888" => data <= x"85"; when "00" & x"889" => data <= x"3a"; when "00" & x"88a" => data <= x"68"; when "00" & x"88b" => data <= x"91"; when "00" & x"88c" => data <= x"37"; when "00" & x"88d" => data <= x"c8"; when "00" & x"88e" => data <= x"b1"; when "00" & x"88f" => data <= x"39"; when "00" & x"890" => data <= x"91"; when "00" & x"891" => data <= x"37"; when "00" & x"892" => data <= x"c9"; when "00" & x"893" => data <= x"0d"; when "00" & x"894" => data <= x"d0"; when "00" & x"895" => data <= x"f7"; when "00" & x"896" => data <= x"60"; when "00" & x"897" => data <= x"29"; when "00" & x"898" => data <= x"0f"; when "00" & x"899" => data <= x"85"; when "00" & x"89a" => data <= x"3d"; when "00" & x"89b" => data <= x"84"; when "00" & x"89c" => data <= x"3e"; when "00" & x"89d" => data <= x"c8"; when "00" & x"89e" => data <= x"b1"; when "00" & x"89f" => data <= x"37"; when "00" & x"8a0" => data <= x"c9"; when "00" & x"8a1" => data <= x"3a"; when "00" & x"8a2" => data <= x"b0"; when "00" & x"8a3" => data <= x"36"; when "00" & x"8a4" => data <= x"c9"; when "00" & x"8a5" => data <= x"30"; when "00" & x"8a6" => data <= x"90"; when "00" & x"8a7" => data <= x"32"; when "00" & x"8a8" => data <= x"29"; when "00" & x"8a9" => data <= x"0f"; when "00" & x"8aa" => data <= x"48"; when "00" & x"8ab" => data <= x"a6"; when "00" & x"8ac" => data <= x"3e"; when "00" & x"8ad" => data <= x"a5"; when "00" & x"8ae" => data <= x"3d"; when "00" & x"8af" => data <= x"0a"; when "00" & x"8b0" => data <= x"26"; when "00" & x"8b1" => data <= x"3e"; when "00" & x"8b2" => data <= x"30"; when "00" & x"8b3" => data <= x"21"; when "00" & x"8b4" => data <= x"0a"; when "00" & x"8b5" => data <= x"26"; when "00" & x"8b6" => data <= x"3e"; when "00" & x"8b7" => data <= x"30"; when "00" & x"8b8" => data <= x"1c"; when "00" & x"8b9" => data <= x"65"; when "00" & x"8ba" => data <= x"3d"; when "00" & x"8bb" => data <= x"85"; when "00" & x"8bc" => data <= x"3d"; when "00" & x"8bd" => data <= x"8a"; when "00" & x"8be" => data <= x"65"; when "00" & x"8bf" => data <= x"3e"; when "00" & x"8c0" => data <= x"06"; when "00" & x"8c1" => data <= x"3d"; when "00" & x"8c2" => data <= x"2a"; when "00" & x"8c3" => data <= x"30"; when "00" & x"8c4" => data <= x"10"; when "00" & x"8c5" => data <= x"b0"; when "00" & x"8c6" => data <= x"0e"; when "00" & x"8c7" => data <= x"85"; when "00" & x"8c8" => data <= x"3e"; when "00" & x"8c9" => data <= x"68"; when "00" & x"8ca" => data <= x"65"; when "00" & x"8cb" => data <= x"3d"; when "00" & x"8cc" => data <= x"85"; when "00" & x"8cd" => data <= x"3d"; when "00" & x"8ce" => data <= x"90"; when "00" & x"8cf" => data <= x"cd"; when "00" & x"8d0" => data <= x"e6"; when "00" & x"8d1" => data <= x"3e"; when "00" & x"8d2" => data <= x"10"; when "00" & x"8d3" => data <= x"c9"; when "00" & x"8d4" => data <= x"48"; when "00" & x"8d5" => data <= x"68"; when "00" & x"8d6" => data <= x"a0"; when "00" & x"8d7" => data <= x"00"; when "00" & x"8d8" => data <= x"38"; when "00" & x"8d9" => data <= x"60"; when "00" & x"8da" => data <= x"88"; when "00" & x"8db" => data <= x"a9"; when "00" & x"8dc" => data <= x"8d"; when "00" & x"8dd" => data <= x"20"; when "00" & x"8de" => data <= x"7c"; when "00" & x"8df" => data <= x"88"; when "00" & x"8e0" => data <= x"a5"; when "00" & x"8e1" => data <= x"37"; when "00" & x"8e2" => data <= x"69"; when "00" & x"8e3" => data <= x"02"; when "00" & x"8e4" => data <= x"85"; when "00" & x"8e5" => data <= x"39"; when "00" & x"8e6" => data <= x"a5"; when "00" & x"8e7" => data <= x"38"; when "00" & x"8e8" => data <= x"69"; when "00" & x"8e9" => data <= x"00"; when "00" & x"8ea" => data <= x"85"; when "00" & x"8eb" => data <= x"3a"; when "00" & x"8ec" => data <= x"b1"; when "00" & x"8ed" => data <= x"37"; when "00" & x"8ee" => data <= x"91"; when "00" & x"8ef" => data <= x"39"; when "00" & x"8f0" => data <= x"88"; when "00" & x"8f1" => data <= x"d0"; when "00" & x"8f2" => data <= x"f9"; when "00" & x"8f3" => data <= x"a0"; when "00" & x"8f4" => data <= x"03"; when "00" & x"8f5" => data <= x"a5"; when "00" & x"8f6" => data <= x"3e"; when "00" & x"8f7" => data <= x"09"; when "00" & x"8f8" => data <= x"40"; when "00" & x"8f9" => data <= x"91"; when "00" & x"8fa" => data <= x"37"; when "00" & x"8fb" => data <= x"88"; when "00" & x"8fc" => data <= x"a5"; when "00" & x"8fd" => data <= x"3d"; when "00" & x"8fe" => data <= x"29"; when "00" & x"8ff" => data <= x"3f"; when "00" & x"900" => data <= x"09"; when "00" & x"901" => data <= x"40"; when "00" & x"902" => data <= x"91"; when "00" & x"903" => data <= x"37"; when "00" & x"904" => data <= x"88"; when "00" & x"905" => data <= x"a5"; when "00" & x"906" => data <= x"3d"; when "00" & x"907" => data <= x"29"; when "00" & x"908" => data <= x"c0"; when "00" & x"909" => data <= x"85"; when "00" & x"90a" => data <= x"3d"; when "00" & x"90b" => data <= x"a5"; when "00" & x"90c" => data <= x"3e"; when "00" & x"90d" => data <= x"29"; when "00" & x"90e" => data <= x"c0"; when "00" & x"90f" => data <= x"4a"; when "00" & x"910" => data <= x"4a"; when "00" & x"911" => data <= x"05"; when "00" & x"912" => data <= x"3d"; when "00" & x"913" => data <= x"4a"; when "00" & x"914" => data <= x"4a"; when "00" & x"915" => data <= x"49"; when "00" & x"916" => data <= x"54"; when "00" & x"917" => data <= x"91"; when "00" & x"918" => data <= x"37"; when "00" & x"919" => data <= x"20"; when "00" & x"91a" => data <= x"44"; when "00" & x"91b" => data <= x"89"; when "00" & x"91c" => data <= x"20"; when "00" & x"91d" => data <= x"44"; when "00" & x"91e" => data <= x"89"; when "00" & x"91f" => data <= x"20"; when "00" & x"920" => data <= x"44"; when "00" & x"921" => data <= x"89"; when "00" & x"922" => data <= x"a0"; when "00" & x"923" => data <= x"00"; when "00" & x"924" => data <= x"18"; when "00" & x"925" => data <= x"60"; when "00" & x"926" => data <= x"c9"; when "00" & x"927" => data <= x"7b"; when "00" & x"928" => data <= x"b0"; when "00" & x"929" => data <= x"fa"; when "00" & x"92a" => data <= x"c9"; when "00" & x"92b" => data <= x"5f"; when "00" & x"92c" => data <= x"b0"; when "00" & x"92d" => data <= x"0e"; when "00" & x"92e" => data <= x"c9"; when "00" & x"92f" => data <= x"5b"; when "00" & x"930" => data <= x"b0"; when "00" & x"931" => data <= x"f2"; when "00" & x"932" => data <= x"c9"; when "00" & x"933" => data <= x"41"; when "00" & x"934" => data <= x"b0"; when "00" & x"935" => data <= x"06"; when "00" & x"936" => data <= x"c9"; when "00" & x"937" => data <= x"3a"; when "00" & x"938" => data <= x"b0"; when "00" & x"939" => data <= x"ea"; when "00" & x"93a" => data <= x"c9"; when "00" & x"93b" => data <= x"30"; when "00" & x"93c" => data <= x"60"; when "00" & x"93d" => data <= x"c9"; when "00" & x"93e" => data <= x"2e"; when "00" & x"93f" => data <= x"d0"; when "00" & x"940" => data <= x"f5"; when "00" & x"941" => data <= x"60"; when "00" & x"942" => data <= x"b1"; when "00" & x"943" => data <= x"37"; when "00" & x"944" => data <= x"e6"; when "00" & x"945" => data <= x"37"; when "00" & x"946" => data <= x"d0"; when "00" & x"947" => data <= x"02"; when "00" & x"948" => data <= x"e6"; when "00" & x"949" => data <= x"38"; when "00" & x"94a" => data <= x"60"; when "00" & x"94b" => data <= x"20"; when "00" & x"94c" => data <= x"44"; when "00" & x"94d" => data <= x"89"; when "00" & x"94e" => data <= x"b1"; when "00" & x"94f" => data <= x"37"; when "00" & x"950" => data <= x"60"; when "00" & x"951" => data <= x"a0"; when "00" & x"952" => data <= x"00"; when "00" & x"953" => data <= x"84"; when "00" & x"954" => data <= x"3b"; when "00" & x"955" => data <= x"84"; when "00" & x"956" => data <= x"3c"; when "00" & x"957" => data <= x"b1"; when "00" & x"958" => data <= x"37"; when "00" & x"959" => data <= x"c9"; when "00" & x"95a" => data <= x"0d"; when "00" & x"95b" => data <= x"f0"; when "00" & x"95c" => data <= x"ed"; when "00" & x"95d" => data <= x"c9"; when "00" & x"95e" => data <= x"20"; when "00" & x"95f" => data <= x"d0"; when "00" & x"960" => data <= x"05"; when "00" & x"961" => data <= x"20"; when "00" & x"962" => data <= x"44"; when "00" & x"963" => data <= x"89"; when "00" & x"964" => data <= x"d0"; when "00" & x"965" => data <= x"f1"; when "00" & x"966" => data <= x"c9"; when "00" & x"967" => data <= x"26"; when "00" & x"968" => data <= x"d0"; when "00" & x"969" => data <= x"12"; when "00" & x"96a" => data <= x"20"; when "00" & x"96b" => data <= x"4b"; when "00" & x"96c" => data <= x"89"; when "00" & x"96d" => data <= x"20"; when "00" & x"96e" => data <= x"36"; when "00" & x"96f" => data <= x"89"; when "00" & x"970" => data <= x"b0"; when "00" & x"971" => data <= x"f8"; when "00" & x"972" => data <= x"c9"; when "00" & x"973" => data <= x"41"; when "00" & x"974" => data <= x"90"; when "00" & x"975" => data <= x"e1"; when "00" & x"976" => data <= x"c9"; when "00" & x"977" => data <= x"47"; when "00" & x"978" => data <= x"90"; when "00" & x"979" => data <= x"f0"; when "00" & x"97a" => data <= x"b0"; when "00" & x"97b" => data <= x"db"; when "00" & x"97c" => data <= x"c9"; when "00" & x"97d" => data <= x"22"; when "00" & x"97e" => data <= x"d0"; when "00" & x"97f" => data <= x"0c"; when "00" & x"980" => data <= x"20"; when "00" & x"981" => data <= x"4b"; when "00" & x"982" => data <= x"89"; when "00" & x"983" => data <= x"c9"; when "00" & x"984" => data <= x"22"; when "00" & x"985" => data <= x"f0"; when "00" & x"986" => data <= x"da"; when "00" & x"987" => data <= x"c9"; when "00" & x"988" => data <= x"0d"; when "00" & x"989" => data <= x"d0"; when "00" & x"98a" => data <= x"f5"; when "00" & x"98b" => data <= x"60"; when "00" & x"98c" => data <= x"c9"; when "00" & x"98d" => data <= x"3a"; when "00" & x"98e" => data <= x"d0"; when "00" & x"98f" => data <= x"06"; when "00" & x"990" => data <= x"84"; when "00" & x"991" => data <= x"3b"; when "00" & x"992" => data <= x"84"; when "00" & x"993" => data <= x"3c"; when "00" & x"994" => data <= x"f0"; when "00" & x"995" => data <= x"cb"; when "00" & x"996" => data <= x"c9"; when "00" & x"997" => data <= x"2c"; when "00" & x"998" => data <= x"f0"; when "00" & x"999" => data <= x"c7"; when "00" & x"99a" => data <= x"c9"; when "00" & x"99b" => data <= x"2a"; when "00" & x"99c" => data <= x"d0"; when "00" & x"99d" => data <= x"05"; when "00" & x"99e" => data <= x"a5"; when "00" & x"99f" => data <= x"3b"; when "00" & x"9a0" => data <= x"d0"; when "00" & x"9a1" => data <= x"41"; when "00" & x"9a2" => data <= x"60"; when "00" & x"9a3" => data <= x"c9"; when "00" & x"9a4" => data <= x"2e"; when "00" & x"9a5" => data <= x"f0"; when "00" & x"9a6" => data <= x"0e"; when "00" & x"9a7" => data <= x"20"; when "00" & x"9a8" => data <= x"36"; when "00" & x"9a9" => data <= x"89"; when "00" & x"9aa" => data <= x"90"; when "00" & x"9ab" => data <= x"33"; when "00" & x"9ac" => data <= x"a6"; when "00" & x"9ad" => data <= x"3c"; when "00" & x"9ae" => data <= x"f0"; when "00" & x"9af" => data <= x"05"; when "00" & x"9b0" => data <= x"20"; when "00" & x"9b1" => data <= x"97"; when "00" & x"9b2" => data <= x"88"; when "00" & x"9b3" => data <= x"90"; when "00" & x"9b4" => data <= x"34"; when "00" & x"9b5" => data <= x"b1"; when "00" & x"9b6" => data <= x"37"; when "00" & x"9b7" => data <= x"20"; when "00" & x"9b8" => data <= x"3d"; when "00" & x"9b9" => data <= x"89"; when "00" & x"9ba" => data <= x"90"; when "00" & x"9bb" => data <= x"06"; when "00" & x"9bc" => data <= x"20"; when "00" & x"9bd" => data <= x"44"; when "00" & x"9be" => data <= x"89"; when "00" & x"9bf" => data <= x"4c"; when "00" & x"9c0" => data <= x"b5"; when "00" & x"9c1" => data <= x"89"; when "00" & x"9c2" => data <= x"a2"; when "00" & x"9c3" => data <= x"ff"; when "00" & x"9c4" => data <= x"86"; when "00" & x"9c5" => data <= x"3b"; when "00" & x"9c6" => data <= x"84"; when "00" & x"9c7" => data <= x"3c"; when "00" & x"9c8" => data <= x"4c"; when "00" & x"9c9" => data <= x"57"; when "00" & x"9ca" => data <= x"89"; when "00" & x"9cb" => data <= x"20"; when "00" & x"9cc" => data <= x"26"; when "00" & x"9cd" => data <= x"89"; when "00" & x"9ce" => data <= x"90"; when "00" & x"9cf" => data <= x"13"; when "00" & x"9d0" => data <= x"a0"; when "00" & x"9d1" => data <= x"00"; when "00" & x"9d2" => data <= x"b1"; when "00" & x"9d3" => data <= x"37"; when "00" & x"9d4" => data <= x"20"; when "00" & x"9d5" => data <= x"26"; when "00" & x"9d6" => data <= x"89"; when "00" & x"9d7" => data <= x"90"; when "00" & x"9d8" => data <= x"e9"; when "00" & x"9d9" => data <= x"20"; when "00" & x"9da" => data <= x"44"; when "00" & x"9db" => data <= x"89"; when "00" & x"9dc" => data <= x"4c"; when "00" & x"9dd" => data <= x"d2"; when "00" & x"9de" => data <= x"89"; when "00" & x"9df" => data <= x"c9"; when "00" & x"9e0" => data <= x"41"; when "00" & x"9e1" => data <= x"b0"; when "00" & x"9e2" => data <= x"09"; when "00" & x"9e3" => data <= x"a2"; when "00" & x"9e4" => data <= x"ff"; when "00" & x"9e5" => data <= x"86"; when "00" & x"9e6" => data <= x"3b"; when "00" & x"9e7" => data <= x"84"; when "00" & x"9e8" => data <= x"3c"; when "00" & x"9e9" => data <= x"4c"; when "00" & x"9ea" => data <= x"61"; when "00" & x"9eb" => data <= x"89"; when "00" & x"9ec" => data <= x"c9"; when "00" & x"9ed" => data <= x"58"; when "00" & x"9ee" => data <= x"b0"; when "00" & x"9ef" => data <= x"db"; when "00" & x"9f0" => data <= x"a2"; when "00" & x"9f1" => data <= x"71"; when "00" & x"9f2" => data <= x"86"; when "00" & x"9f3" => data <= x"39"; when "00" & x"9f4" => data <= x"a2"; when "00" & x"9f5" => data <= x"80"; when "00" & x"9f6" => data <= x"86"; when "00" & x"9f7" => data <= x"3a"; when "00" & x"9f8" => data <= x"d1"; when "00" & x"9f9" => data <= x"39"; when "00" & x"9fa" => data <= x"90"; when "00" & x"9fb" => data <= x"d6"; when "00" & x"9fc" => data <= x"d0"; when "00" & x"9fd" => data <= x"0f"; when "00" & x"9fe" => data <= x"c8"; when "00" & x"9ff" => data <= x"b1"; when "00" & x"a00" => data <= x"39"; when "00" & x"a01" => data <= x"30"; when "00" & x"a02" => data <= x"34"; when "00" & x"a03" => data <= x"d1"; when "00" & x"a04" => data <= x"37"; when "00" & x"a05" => data <= x"f0"; when "00" & x"a06" => data <= x"f7"; when "00" & x"a07" => data <= x"b1"; when "00" & x"a08" => data <= x"37"; when "00" & x"a09" => data <= x"c9"; when "00" & x"a0a" => data <= x"2e"; when "00" & x"a0b" => data <= x"f0"; when "00" & x"a0c" => data <= x"0b"; when "00" & x"a0d" => data <= x"c8"; when "00" & x"a0e" => data <= x"b1"; when "00" & x"a0f" => data <= x"39"; when "00" & x"a10" => data <= x"10"; when "00" & x"a11" => data <= x"fb"; when "00" & x"a12" => data <= x"c9"; when "00" & x"a13" => data <= x"fe"; when "00" & x"a14" => data <= x"d0"; when "00" & x"a15" => data <= x"0f"; when "00" & x"a16" => data <= x"b0"; when "00" & x"a17" => data <= x"b8"; when "00" & x"a18" => data <= x"c8"; when "00" & x"a19" => data <= x"b1"; when "00" & x"a1a" => data <= x"39"; when "00" & x"a1b" => data <= x"30"; when "00" & x"a1c" => data <= x"1a"; when "00" & x"a1d" => data <= x"e6"; when "00" & x"a1e" => data <= x"39"; when "00" & x"a1f" => data <= x"d0"; when "00" & x"a20" => data <= x"f8"; when "00" & x"a21" => data <= x"e6"; when "00" & x"a22" => data <= x"3a"; when "00" & x"a23" => data <= x"d0"; when "00" & x"a24" => data <= x"f4"; when "00" & x"a25" => data <= x"38"; when "00" & x"a26" => data <= x"c8"; when "00" & x"a27" => data <= x"98"; when "00" & x"a28" => data <= x"65"; when "00" & x"a29" => data <= x"39"; when "00" & x"a2a" => data <= x"85"; when "00" & x"a2b" => data <= x"39"; when "00" & x"a2c" => data <= x"90"; when "00" & x"a2d" => data <= x"02"; when "00" & x"a2e" => data <= x"e6"; when "00" & x"a2f" => data <= x"3a"; when "00" & x"a30" => data <= x"a0"; when "00" & x"a31" => data <= x"00"; when "00" & x"a32" => data <= x"b1"; when "00" & x"a33" => data <= x"37"; when "00" & x"a34" => data <= x"4c"; when "00" & x"a35" => data <= x"f8"; when "00" & x"a36" => data <= x"89"; when "00" & x"a37" => data <= x"aa"; when "00" & x"a38" => data <= x"c8"; when "00" & x"a39" => data <= x"b1"; when "00" & x"a3a" => data <= x"39"; when "00" & x"a3b" => data <= x"85"; when "00" & x"a3c" => data <= x"3d"; when "00" & x"a3d" => data <= x"88"; when "00" & x"a3e" => data <= x"4a"; when "00" & x"a3f" => data <= x"90"; when "00" & x"a40" => data <= x"07"; when "00" & x"a41" => data <= x"b1"; when "00" & x"a42" => data <= x"37"; when "00" & x"a43" => data <= x"20"; when "00" & x"a44" => data <= x"26"; when "00" & x"a45" => data <= x"89"; when "00" & x"a46" => data <= x"b0"; when "00" & x"a47" => data <= x"88"; when "00" & x"a48" => data <= x"8a"; when "00" & x"a49" => data <= x"24"; when "00" & x"a4a" => data <= x"3d"; when "00" & x"a4b" => data <= x"50"; when "00" & x"a4c" => data <= x"07"; when "00" & x"a4d" => data <= x"a6"; when "00" & x"a4e" => data <= x"3b"; when "00" & x"a4f" => data <= x"d0"; when "00" & x"a50" => data <= x"03"; when "00" & x"a51" => data <= x"18"; when "00" & x"a52" => data <= x"69"; when "00" & x"a53" => data <= x"40"; when "00" & x"a54" => data <= x"88"; when "00" & x"a55" => data <= x"20"; when "00" & x"a56" => data <= x"7c"; when "00" & x"a57" => data <= x"88"; when "00" & x"a58" => data <= x"a0"; when "00" & x"a59" => data <= x"00"; when "00" & x"a5a" => data <= x"a2"; when "00" & x"a5b" => data <= x"ff"; when "00" & x"a5c" => data <= x"a5"; when "00" & x"a5d" => data <= x"3d"; when "00" & x"a5e" => data <= x"4a"; when "00" & x"a5f" => data <= x"4a"; when "00" & x"a60" => data <= x"90"; when "00" & x"a61" => data <= x"04"; when "00" & x"a62" => data <= x"86"; when "00" & x"a63" => data <= x"3b"; when "00" & x"a64" => data <= x"84"; when "00" & x"a65" => data <= x"3c"; when "00" & x"a66" => data <= x"4a"; when "00" & x"a67" => data <= x"90"; when "00" & x"a68" => data <= x"04"; when "00" & x"a69" => data <= x"84"; when "00" & x"a6a" => data <= x"3b"; when "00" & x"a6b" => data <= x"84"; when "00" & x"a6c" => data <= x"3c"; when "00" & x"a6d" => data <= x"4a"; when "00" & x"a6e" => data <= x"90"; when "00" & x"a6f" => data <= x"11"; when "00" & x"a70" => data <= x"48"; when "00" & x"a71" => data <= x"c8"; when "00" & x"a72" => data <= x"b1"; when "00" & x"a73" => data <= x"37"; when "00" & x"a74" => data <= x"20"; when "00" & x"a75" => data <= x"26"; when "00" & x"a76" => data <= x"89"; when "00" & x"a77" => data <= x"90"; when "00" & x"a78" => data <= x"06"; when "00" & x"a79" => data <= x"20"; when "00" & x"a7a" => data <= x"44"; when "00" & x"a7b" => data <= x"89"; when "00" & x"a7c" => data <= x"4c"; when "00" & x"a7d" => data <= x"72"; when "00" & x"a7e" => data <= x"8a"; when "00" & x"a7f" => data <= x"88"; when "00" & x"a80" => data <= x"68"; when "00" & x"a81" => data <= x"4a"; when "00" & x"a82" => data <= x"90"; when "00" & x"a83" => data <= x"02"; when "00" & x"a84" => data <= x"86"; when "00" & x"a85" => data <= x"3c"; when "00" & x"a86" => data <= x"4a"; when "00" & x"a87" => data <= x"b0"; when "00" & x"a88" => data <= x"0d"; when "00" & x"a89" => data <= x"4c"; when "00" & x"a8a" => data <= x"61"; when "00" & x"a8b" => data <= x"89"; when "00" & x"a8c" => data <= x"a4"; when "00" & x"a8d" => data <= x"1b"; when "00" & x"a8e" => data <= x"e6"; when "00" & x"a8f" => data <= x"1b"; when "00" & x"a90" => data <= x"b1"; when "00" & x"a91" => data <= x"19"; when "00" & x"a92" => data <= x"c9"; when "00" & x"a93" => data <= x"20"; when "00" & x"a94" => data <= x"f0"; when "00" & x"a95" => data <= x"f6"; when "00" & x"a96" => data <= x"60"; when "00" & x"a97" => data <= x"a4"; when "00" & x"a98" => data <= x"0a"; when "00" & x"a99" => data <= x"e6"; when "00" & x"a9a" => data <= x"0a"; when "00" & x"a9b" => data <= x"b1"; when "00" & x"a9c" => data <= x"0b"; when "00" & x"a9d" => data <= x"c9"; when "00" & x"a9e" => data <= x"20"; when "00" & x"a9f" => data <= x"f0"; when "00" & x"aa0" => data <= x"f6"; when "00" & x"aa1" => data <= x"60"; when "00" & x"aa2" => data <= x"00"; when "00" & x"aa3" => data <= x"05"; when "00" & x"aa4" => data <= x"4d"; when "00" & x"aa5" => data <= x"69"; when "00" & x"aa6" => data <= x"73"; when "00" & x"aa7" => data <= x"73"; when "00" & x"aa8" => data <= x"69"; when "00" & x"aa9" => data <= x"6e"; when "00" & x"aaa" => data <= x"67"; when "00" & x"aab" => data <= x"20"; when "00" & x"aac" => data <= x"2c"; when "00" & x"aad" => data <= x"00"; when "00" & x"aae" => data <= x"20"; when "00" & x"aaf" => data <= x"8c"; when "00" & x"ab0" => data <= x"8a"; when "00" & x"ab1" => data <= x"c9"; when "00" & x"ab2" => data <= x"2c"; when "00" & x"ab3" => data <= x"d0"; when "00" & x"ab4" => data <= x"ed"; when "00" & x"ab5" => data <= x"60"; when "00" & x"ab6" => data <= x"20"; when "00" & x"ab7" => data <= x"57"; when "00" & x"ab8" => data <= x"98"; when "00" & x"ab9" => data <= x"a5"; when "00" & x"aba" => data <= x"18"; when "00" & x"abb" => data <= x"85"; when "00" & x"abc" => data <= x"38"; when "00" & x"abd" => data <= x"a9"; when "00" & x"abe" => data <= x"00"; when "00" & x"abf" => data <= x"85"; when "00" & x"ac0" => data <= x"37"; when "00" & x"ac1" => data <= x"91"; when "00" & x"ac2" => data <= x"37"; when "00" & x"ac3" => data <= x"20"; when "00" & x"ac4" => data <= x"6f"; when "00" & x"ac5" => data <= x"be"; when "00" & x"ac6" => data <= x"d0"; when "00" & x"ac7" => data <= x"2b"; when "00" & x"ac8" => data <= x"20"; when "00" & x"ac9" => data <= x"57"; when "00" & x"aca" => data <= x"98"; when "00" & x"acb" => data <= x"20"; when "00" & x"acc" => data <= x"6f"; when "00" & x"acd" => data <= x"be"; when "00" & x"ace" => data <= x"d0"; when "00" & x"acf" => data <= x"26"; when "00" & x"ad0" => data <= x"20"; when "00" & x"ad1" => data <= x"57"; when "00" & x"ad2" => data <= x"98"; when "00" & x"ad3" => data <= x"00"; when "00" & x"ad4" => data <= x"00"; when "00" & x"ad5" => data <= x"53"; when "00" & x"ad6" => data <= x"54"; when "00" & x"ad7" => data <= x"4f"; when "00" & x"ad8" => data <= x"50"; when "00" & x"ad9" => data <= x"00"; when "00" & x"ada" => data <= x"20"; when "00" & x"adb" => data <= x"57"; when "00" & x"adc" => data <= x"98"; when "00" & x"add" => data <= x"a9"; when "00" & x"ade" => data <= x"0d"; when "00" & x"adf" => data <= x"a4"; when "00" & x"ae0" => data <= x"18"; when "00" & x"ae1" => data <= x"84"; when "00" & x"ae2" => data <= x"13"; when "00" & x"ae3" => data <= x"a0"; when "00" & x"ae4" => data <= x"00"; when "00" & x"ae5" => data <= x"84"; when "00" & x"ae6" => data <= x"12"; when "00" & x"ae7" => data <= x"84"; when "00" & x"ae8" => data <= x"20"; when "00" & x"ae9" => data <= x"91"; when "00" & x"aea" => data <= x"12"; when "00" & x"aeb" => data <= x"a9"; when "00" & x"aec" => data <= x"ff"; when "00" & x"aed" => data <= x"c8"; when "00" & x"aee" => data <= x"91"; when "00" & x"aef" => data <= x"12"; when "00" & x"af0" => data <= x"c8"; when "00" & x"af1" => data <= x"84"; when "00" & x"af2" => data <= x"12"; when "00" & x"af3" => data <= x"20"; when "00" & x"af4" => data <= x"20"; when "00" & x"af5" => data <= x"bd"; when "00" & x"af6" => data <= x"a0"; when "00" & x"af7" => data <= x"07"; when "00" & x"af8" => data <= x"84"; when "00" & x"af9" => data <= x"0c"; when "00" & x"afa" => data <= x"a0"; when "00" & x"afb" => data <= x"00"; when "00" & x"afc" => data <= x"84"; when "00" & x"afd" => data <= x"0b"; when "00" & x"afe" => data <= x"a9"; when "00" & x"aff" => data <= x"33"; when "00" & x"b00" => data <= x"85"; when "00" & x"b01" => data <= x"16"; when "00" & x"b02" => data <= x"a9"; when "00" & x"b03" => data <= x"b4"; when "00" & x"b04" => data <= x"85"; when "00" & x"b05" => data <= x"17"; when "00" & x"b06" => data <= x"a9"; when "00" & x"b07" => data <= x"3e"; when "00" & x"b08" => data <= x"20"; when "00" & x"b09" => data <= x"02"; when "00" & x"b0a" => data <= x"bc"; when "00" & x"b0b" => data <= x"a9"; when "00" & x"b0c" => data <= x"33"; when "00" & x"b0d" => data <= x"85"; when "00" & x"b0e" => data <= x"16"; when "00" & x"b0f" => data <= x"a9"; when "00" & x"b10" => data <= x"b4"; when "00" & x"b11" => data <= x"85"; when "00" & x"b12" => data <= x"17"; when "00" & x"b13" => data <= x"a2"; when "00" & x"b14" => data <= x"ff"; when "00" & x"b15" => data <= x"86"; when "00" & x"b16" => data <= x"28"; when "00" & x"b17" => data <= x"86"; when "00" & x"b18" => data <= x"3c"; when "00" & x"b19" => data <= x"9a"; when "00" & x"b1a" => data <= x"20"; when "00" & x"b1b" => data <= x"3a"; when "00" & x"b1c" => data <= x"bd"; when "00" & x"b1d" => data <= x"a8"; when "00" & x"b1e" => data <= x"a5"; when "00" & x"b1f" => data <= x"0b"; when "00" & x"b20" => data <= x"85"; when "00" & x"b21" => data <= x"37"; when "00" & x"b22" => data <= x"a5"; when "00" & x"b23" => data <= x"0c"; when "00" & x"b24" => data <= x"85"; when "00" & x"b25" => data <= x"38"; when "00" & x"b26" => data <= x"84"; when "00" & x"b27" => data <= x"3b"; when "00" & x"b28" => data <= x"84"; when "00" & x"b29" => data <= x"0a"; when "00" & x"b2a" => data <= x"20"; when "00" & x"b2b" => data <= x"57"; when "00" & x"b2c" => data <= x"89"; when "00" & x"b2d" => data <= x"20"; when "00" & x"b2e" => data <= x"df"; when "00" & x"b2f" => data <= x"97"; when "00" & x"b30" => data <= x"90"; when "00" & x"b31" => data <= x"06"; when "00" & x"b32" => data <= x"20"; when "00" & x"b33" => data <= x"8d"; when "00" & x"b34" => data <= x"bc"; when "00" & x"b35" => data <= x"4c"; when "00" & x"b36" => data <= x"f3"; when "00" & x"b37" => data <= x"8a"; when "00" & x"b38" => data <= x"20"; when "00" & x"b39" => data <= x"97"; when "00" & x"b3a" => data <= x"8a"; when "00" & x"b3b" => data <= x"c9"; when "00" & x"b3c" => data <= x"c6"; when "00" & x"b3d" => data <= x"b0"; when "00" & x"b3e" => data <= x"72"; when "00" & x"b3f" => data <= x"90"; when "00" & x"b40" => data <= x"7e"; when "00" & x"b41" => data <= x"4c"; when "00" & x"b42" => data <= x"f6"; when "00" & x"b43" => data <= x"8a"; when "00" & x"b44" => data <= x"4c"; when "00" & x"b45" => data <= x"04"; when "00" & x"b46" => data <= x"85"; when "00" & x"b47" => data <= x"ba"; when "00" & x"b48" => data <= x"e0"; when "00" & x"b49" => data <= x"fc"; when "00" & x"b4a" => data <= x"b0"; when "00" & x"b4b" => data <= x"0d"; when "00" & x"b4c" => data <= x"ad"; when "00" & x"b4d" => data <= x"ff"; when "00" & x"b4e" => data <= x"01"; when "00" & x"b4f" => data <= x"c9"; when "00" & x"b50" => data <= x"a4"; when "00" & x"b51" => data <= x"d0"; when "00" & x"b52" => data <= x"06"; when "00" & x"b53" => data <= x"20"; when "00" & x"b54" => data <= x"1d"; when "00" & x"b55" => data <= x"9b"; when "00" & x"b56" => data <= x"4c"; when "00" & x"b57" => data <= x"4c"; when "00" & x"b58" => data <= x"98"; when "00" & x"b59" => data <= x"00"; when "00" & x"b5a" => data <= x"07"; when "00" & x"b5b" => data <= x"4e"; when "00" & x"b5c" => data <= x"6f"; when "00" & x"b5d" => data <= x"20"; when "00" & x"b5e" => data <= x"a4"; when "00" & x"b5f" => data <= x"00"; when "00" & x"b60" => data <= x"a4"; when "00" & x"b61" => data <= x"0a"; when "00" & x"b62" => data <= x"88"; when "00" & x"b63" => data <= x"b1"; when "00" & x"b64" => data <= x"0b"; when "00" & x"b65" => data <= x"c9"; when "00" & x"b66" => data <= x"3d"; when "00" & x"b67" => data <= x"f0"; when "00" & x"b68" => data <= x"de"; when "00" & x"b69" => data <= x"c9"; when "00" & x"b6a" => data <= x"2a"; when "00" & x"b6b" => data <= x"f0"; when "00" & x"b6c" => data <= x"06"; when "00" & x"b6d" => data <= x"c9"; when "00" & x"b6e" => data <= x"5b"; when "00" & x"b6f" => data <= x"f0"; when "00" & x"b70" => data <= x"d3"; when "00" & x"b71" => data <= x"d0"; when "00" & x"b72" => data <= x"23"; when "00" & x"b73" => data <= x"20"; when "00" & x"b74" => data <= x"6d"; when "00" & x"b75" => data <= x"98"; when "00" & x"b76" => data <= x"a6"; when "00" & x"b77" => data <= x"0b"; when "00" & x"b78" => data <= x"a4"; when "00" & x"b79" => data <= x"0c"; when "00" & x"b7a" => data <= x"20"; when "00" & x"b7b" => data <= x"f7"; when "00" & x"b7c" => data <= x"ff"; when "00" & x"b7d" => data <= x"a9"; when "00" & x"b7e" => data <= x"0d"; when "00" & x"b7f" => data <= x"a4"; when "00" & x"b80" => data <= x"0a"; when "00" & x"b81" => data <= x"88"; when "00" & x"b82" => data <= x"c8"; when "00" & x"b83" => data <= x"d1"; when "00" & x"b84" => data <= x"0b"; when "00" & x"b85" => data <= x"d0"; when "00" & x"b86" => data <= x"fb"; when "00" & x"b87" => data <= x"c9"; when "00" & x"b88" => data <= x"8b"; when "00" & x"b89" => data <= x"f0"; when "00" & x"b8a" => data <= x"f2"; when "00" & x"b8b" => data <= x"a5"; when "00" & x"b8c" => data <= x"0c"; when "00" & x"b8d" => data <= x"c9"; when "00" & x"b8e" => data <= x"07"; when "00" & x"b8f" => data <= x"f0"; when "00" & x"b90" => data <= x"b0"; when "00" & x"b91" => data <= x"20"; when "00" & x"b92" => data <= x"90"; when "00" & x"b93" => data <= x"98"; when "00" & x"b94" => data <= x"d0"; when "00" & x"b95" => data <= x"0d"; when "00" & x"b96" => data <= x"c6"; when "00" & x"b97" => data <= x"0a"; when "00" & x"b98" => data <= x"20"; when "00" & x"b99" => data <= x"57"; when "00" & x"b9a" => data <= x"98"; when "00" & x"b9b" => data <= x"a0"; when "00" & x"b9c" => data <= x"00"; when "00" & x"b9d" => data <= x"b1"; when "00" & x"b9e" => data <= x"0b"; when "00" & x"b9f" => data <= x"c9"; when "00" & x"ba0" => data <= x"3a"; when "00" & x"ba1" => data <= x"d0"; when "00" & x"ba2" => data <= x"e4"; when "00" & x"ba3" => data <= x"a4"; when "00" & x"ba4" => data <= x"0a"; when "00" & x"ba5" => data <= x"e6"; when "00" & x"ba6" => data <= x"0a"; when "00" & x"ba7" => data <= x"b1"; when "00" & x"ba8" => data <= x"0b"; when "00" & x"ba9" => data <= x"c9"; when "00" & x"baa" => data <= x"20"; when "00" & x"bab" => data <= x"f0"; when "00" & x"bac" => data <= x"f6"; when "00" & x"bad" => data <= x"c9"; when "00" & x"bae" => data <= x"cf"; when "00" & x"baf" => data <= x"90"; when "00" & x"bb0" => data <= x"0e"; when "00" & x"bb1" => data <= x"aa"; when "00" & x"bb2" => data <= x"bd"; when "00" & x"bb3" => data <= x"df"; when "00" & x"bb4" => data <= x"82"; when "00" & x"bb5" => data <= x"85"; when "00" & x"bb6" => data <= x"37"; when "00" & x"bb7" => data <= x"bd"; when "00" & x"bb8" => data <= x"51"; when "00" & x"bb9" => data <= x"83"; when "00" & x"bba" => data <= x"85"; when "00" & x"bbb" => data <= x"38"; when "00" & x"bbc" => data <= x"6c"; when "00" & x"bbd" => data <= x"37"; when "00" & x"bbe" => data <= x"00"; when "00" & x"bbf" => data <= x"a6"; when "00" & x"bc0" => data <= x"0b"; when "00" & x"bc1" => data <= x"86"; when "00" & x"bc2" => data <= x"19"; when "00" & x"bc3" => data <= x"a6"; when "00" & x"bc4" => data <= x"0c"; when "00" & x"bc5" => data <= x"86"; when "00" & x"bc6" => data <= x"1a"; when "00" & x"bc7" => data <= x"84"; when "00" & x"bc8" => data <= x"1b"; when "00" & x"bc9" => data <= x"20"; when "00" & x"bca" => data <= x"dd"; when "00" & x"bcb" => data <= x"95"; when "00" & x"bcc" => data <= x"d0"; when "00" & x"bcd" => data <= x"1b"; when "00" & x"bce" => data <= x"b0"; when "00" & x"bcf" => data <= x"90"; when "00" & x"bd0" => data <= x"86"; when "00" & x"bd1" => data <= x"1b"; when "00" & x"bd2" => data <= x"20"; when "00" & x"bd3" => data <= x"41"; when "00" & x"bd4" => data <= x"98"; when "00" & x"bd5" => data <= x"20"; when "00" & x"bd6" => data <= x"fc"; when "00" & x"bd7" => data <= x"94"; when "00" & x"bd8" => data <= x"a2"; when "00" & x"bd9" => data <= x"05"; when "00" & x"bda" => data <= x"e4"; when "00" & x"bdb" => data <= x"2c"; when "00" & x"bdc" => data <= x"d0"; when "00" & x"bdd" => data <= x"01"; when "00" & x"bde" => data <= x"e8"; when "00" & x"bdf" => data <= x"20"; when "00" & x"be0" => data <= x"31"; when "00" & x"be1" => data <= x"95"; when "00" & x"be2" => data <= x"c6"; when "00" & x"be3" => data <= x"0a"; when "00" & x"be4" => data <= x"20"; when "00" & x"be5" => data <= x"82"; when "00" & x"be6" => data <= x"95"; when "00" & x"be7" => data <= x"f0"; when "00" & x"be8" => data <= x"22"; when "00" & x"be9" => data <= x"90"; when "00" & x"bea" => data <= x"10"; when "00" & x"beb" => data <= x"20"; when "00" & x"bec" => data <= x"94"; when "00" & x"bed" => data <= x"bd"; when "00" & x"bee" => data <= x"20"; when "00" & x"bef" => data <= x"13"; when "00" & x"bf0" => data <= x"98"; when "00" & x"bf1" => data <= x"a5"; when "00" & x"bf2" => data <= x"27"; when "00" & x"bf3" => data <= x"d0"; when "00" & x"bf4" => data <= x"19"; when "00" & x"bf5" => data <= x"20"; when "00" & x"bf6" => data <= x"1e"; when "00" & x"bf7" => data <= x"8c"; when "00" & x"bf8" => data <= x"4c"; when "00" & x"bf9" => data <= x"9b"; when "00" & x"bfa" => data <= x"8b"; when "00" & x"bfb" => data <= x"20"; when "00" & x"bfc" => data <= x"94"; when "00" & x"bfd" => data <= x"bd"; when "00" & x"bfe" => data <= x"20"; when "00" & x"bff" => data <= x"13"; when "00" & x"c00" => data <= x"98"; when "00" & x"c01" => data <= x"a5"; when "00" & x"c02" => data <= x"27"; when "00" & x"c03" => data <= x"f0"; when "00" & x"c04" => data <= x"09"; when "00" & x"c05" => data <= x"20"; when "00" & x"c06" => data <= x"b4"; when "00" & x"c07" => data <= x"b4"; when "00" & x"c08" => data <= x"4c"; when "00" & x"c09" => data <= x"9b"; when "00" & x"c0a" => data <= x"8b"; when "00" & x"c0b" => data <= x"4c"; when "00" & x"c0c" => data <= x"2a"; when "00" & x"c0d" => data <= x"98"; when "00" & x"c0e" => data <= x"00"; when "00" & x"c0f" => data <= x"06"; when "00" & x"c10" => data <= x"54"; when "00" & x"c11" => data <= x"79"; when "00" & x"c12" => data <= x"70"; when "00" & x"c13" => data <= x"65"; when "00" & x"c14" => data <= x"20"; when "00" & x"c15" => data <= x"6d"; when "00" & x"c16" => data <= x"69"; when "00" & x"c17" => data <= x"73"; when "00" & x"c18" => data <= x"6d"; when "00" & x"c19" => data <= x"61"; when "00" & x"c1a" => data <= x"74"; when "00" & x"c1b" => data <= x"63"; when "00" & x"c1c" => data <= x"68"; when "00" & x"c1d" => data <= x"00"; when "00" & x"c1e" => data <= x"20"; when "00" & x"c1f" => data <= x"ea"; when "00" & x"c20" => data <= x"bd"; when "00" & x"c21" => data <= x"a5"; when "00" & x"c22" => data <= x"2c"; when "00" & x"c23" => data <= x"c9"; when "00" & x"c24" => data <= x"80"; when "00" & x"c25" => data <= x"f0"; when "00" & x"c26" => data <= x"7b"; when "00" & x"c27" => data <= x"a0"; when "00" & x"c28" => data <= x"02"; when "00" & x"c29" => data <= x"b1"; when "00" & x"c2a" => data <= x"2a"; when "00" & x"c2b" => data <= x"c5"; when "00" & x"c2c" => data <= x"36"; when "00" & x"c2d" => data <= x"b0"; when "00" & x"c2e" => data <= x"55"; when "00" & x"c2f" => data <= x"a5"; when "00" & x"c30" => data <= x"02"; when "00" & x"c31" => data <= x"85"; when "00" & x"c32" => data <= x"2c"; when "00" & x"c33" => data <= x"a5"; when "00" & x"c34" => data <= x"03"; when "00" & x"c35" => data <= x"85"; when "00" & x"c36" => data <= x"2d"; when "00" & x"c37" => data <= x"a5"; when "00" & x"c38" => data <= x"36"; when "00" & x"c39" => data <= x"c9"; when "00" & x"c3a" => data <= x"08"; when "00" & x"c3b" => data <= x"90"; when "00" & x"c3c" => data <= x"06"; when "00" & x"c3d" => data <= x"69"; when "00" & x"c3e" => data <= x"07"; when "00" & x"c3f" => data <= x"90"; when "00" & x"c40" => data <= x"02"; when "00" & x"c41" => data <= x"a9"; when "00" & x"c42" => data <= x"ff"; when "00" & x"c43" => data <= x"18"; when "00" & x"c44" => data <= x"48"; when "00" & x"c45" => data <= x"aa"; when "00" & x"c46" => data <= x"b1"; when "00" & x"c47" => data <= x"2a"; when "00" & x"c48" => data <= x"a0"; when "00" & x"c49" => data <= x"00"; when "00" & x"c4a" => data <= x"71"; when "00" & x"c4b" => data <= x"2a"; when "00" & x"c4c" => data <= x"45"; when "00" & x"c4d" => data <= x"02"; when "00" & x"c4e" => data <= x"d0"; when "00" & x"c4f" => data <= x"0f"; when "00" & x"c50" => data <= x"c8"; when "00" & x"c51" => data <= x"71"; when "00" & x"c52" => data <= x"2a"; when "00" & x"c53" => data <= x"45"; when "00" & x"c54" => data <= x"03"; when "00" & x"c55" => data <= x"d0"; when "00" & x"c56" => data <= x"08"; when "00" & x"c57" => data <= x"85"; when "00" & x"c58" => data <= x"2d"; when "00" & x"c59" => data <= x"8a"; when "00" & x"c5a" => data <= x"c8"; when "00" & x"c5b" => data <= x"38"; when "00" & x"c5c" => data <= x"f1"; when "00" & x"c5d" => data <= x"2a"; when "00" & x"c5e" => data <= x"aa"; when "00" & x"c5f" => data <= x"8a"; when "00" & x"c60" => data <= x"18"; when "00" & x"c61" => data <= x"65"; when "00" & x"c62" => data <= x"02"; when "00" & x"c63" => data <= x"a8"; when "00" & x"c64" => data <= x"a5"; when "00" & x"c65" => data <= x"03"; when "00" & x"c66" => data <= x"69"; when "00" & x"c67" => data <= x"00"; when "00" & x"c68" => data <= x"c4"; when "00" & x"c69" => data <= x"04"; when "00" & x"c6a" => data <= x"aa"; when "00" & x"c6b" => data <= x"e5"; when "00" & x"c6c" => data <= x"05"; when "00" & x"c6d" => data <= x"b0"; when "00" & x"c6e" => data <= x"48"; when "00" & x"c6f" => data <= x"84"; when "00" & x"c70" => data <= x"02"; when "00" & x"c71" => data <= x"86"; when "00" & x"c72" => data <= x"03"; when "00" & x"c73" => data <= x"68"; when "00" & x"c74" => data <= x"a0"; when "00" & x"c75" => data <= x"02"; when "00" & x"c76" => data <= x"91"; when "00" & x"c77" => data <= x"2a"; when "00" & x"c78" => data <= x"88"; when "00" & x"c79" => data <= x"a5"; when "00" & x"c7a" => data <= x"2d"; when "00" & x"c7b" => data <= x"f0"; when "00" & x"c7c" => data <= x"07"; when "00" & x"c7d" => data <= x"91"; when "00" & x"c7e" => data <= x"2a"; when "00" & x"c7f" => data <= x"88"; when "00" & x"c80" => data <= x"a5"; when "00" & x"c81" => data <= x"2c"; when "00" & x"c82" => data <= x"91"; when "00" & x"c83" => data <= x"2a"; when "00" & x"c84" => data <= x"a0"; when "00" & x"c85" => data <= x"03"; when "00" & x"c86" => data <= x"a5"; when "00" & x"c87" => data <= x"36"; when "00" & x"c88" => data <= x"91"; when "00" & x"c89" => data <= x"2a"; when "00" & x"c8a" => data <= x"f0"; when "00" & x"c8b" => data <= x"15"; when "00" & x"c8c" => data <= x"88"; when "00" & x"c8d" => data <= x"88"; when "00" & x"c8e" => data <= x"b1"; when "00" & x"c8f" => data <= x"2a"; when "00" & x"c90" => data <= x"85"; when "00" & x"c91" => data <= x"2d"; when "00" & x"c92" => data <= x"88"; when "00" & x"c93" => data <= x"b1"; when "00" & x"c94" => data <= x"2a"; when "00" & x"c95" => data <= x"85"; when "00" & x"c96" => data <= x"2c"; when "00" & x"c97" => data <= x"b9"; when "00" & x"c98" => data <= x"00"; when "00" & x"c99" => data <= x"06"; when "00" & x"c9a" => data <= x"91"; when "00" & x"c9b" => data <= x"2c"; when "00" & x"c9c" => data <= x"c8"; when "00" & x"c9d" => data <= x"c4"; when "00" & x"c9e" => data <= x"36"; when "00" & x"c9f" => data <= x"d0"; when "00" & x"ca0" => data <= x"f6"; when "00" & x"ca1" => data <= x"60"; when "00" & x"ca2" => data <= x"20"; when "00" & x"ca3" => data <= x"ba"; when "00" & x"ca4" => data <= x"be"; when "00" & x"ca5" => data <= x"c0"; when "00" & x"ca6" => data <= x"00"; when "00" & x"ca7" => data <= x"f0"; when "00" & x"ca8" => data <= x"0b"; when "00" & x"ca9" => data <= x"b9"; when "00" & x"caa" => data <= x"00"; when "00" & x"cab" => data <= x"06"; when "00" & x"cac" => data <= x"91"; when "00" & x"cad" => data <= x"2a"; when "00" & x"cae" => data <= x"88"; when "00" & x"caf" => data <= x"d0"; when "00" & x"cb0" => data <= x"f8"; when "00" & x"cb1" => data <= x"ad"; when "00" & x"cb2" => data <= x"00"; when "00" & x"cb3" => data <= x"06"; when "00" & x"cb4" => data <= x"91"; when "00" & x"cb5" => data <= x"2a"; when "00" & x"cb6" => data <= x"60"; when "00" & x"cb7" => data <= x"00"; when "00" & x"cb8" => data <= x"00"; when "00" & x"cb9" => data <= x"4e"; when "00" & x"cba" => data <= x"6f"; when "00" & x"cbb" => data <= x"20"; when "00" & x"cbc" => data <= x"72"; when "00" & x"cbd" => data <= x"6f"; when "00" & x"cbe" => data <= x"6f"; when "00" & x"cbf" => data <= x"6d"; when "00" & x"cc0" => data <= x"00"; when "00" & x"cc1" => data <= x"a5"; when "00" & x"cc2" => data <= x"39"; when "00" & x"cc3" => data <= x"c9"; when "00" & x"cc4" => data <= x"80"; when "00" & x"cc5" => data <= x"f0"; when "00" & x"cc6" => data <= x"27"; when "00" & x"cc7" => data <= x"90"; when "00" & x"cc8" => data <= x"3a"; when "00" & x"cc9" => data <= x"a0"; when "00" & x"cca" => data <= x"00"; when "00" & x"ccb" => data <= x"b1"; when "00" & x"ccc" => data <= x"04"; when "00" & x"ccd" => data <= x"aa"; when "00" & x"cce" => data <= x"f0"; when "00" & x"ccf" => data <= x"15"; when "00" & x"cd0" => data <= x"b1"; when "00" & x"cd1" => data <= x"37"; when "00" & x"cd2" => data <= x"e9"; when "00" & x"cd3" => data <= x"01"; when "00" & x"cd4" => data <= x"85"; when "00" & x"cd5" => data <= x"39"; when "00" & x"cd6" => data <= x"c8"; when "00" & x"cd7" => data <= x"b1"; when "00" & x"cd8" => data <= x"37"; when "00" & x"cd9" => data <= x"e9"; when "00" & x"cda" => data <= x"00"; when "00" & x"cdb" => data <= x"85"; when "00" & x"cdc" => data <= x"3a"; when "00" & x"cdd" => data <= x"b1"; when "00" & x"cde" => data <= x"04"; when "00" & x"cdf" => data <= x"91"; when "00" & x"ce0" => data <= x"39"; when "00" & x"ce1" => data <= x"c8"; when "00" & x"ce2" => data <= x"ca"; when "00" & x"ce3" => data <= x"d0"; when "00" & x"ce4" => data <= x"f8"; when "00" & x"ce5" => data <= x"a1"; when "00" & x"ce6" => data <= x"04"; when "00" & x"ce7" => data <= x"a0"; when "00" & x"ce8" => data <= x"03"; when "00" & x"ce9" => data <= x"91"; when "00" & x"cea" => data <= x"37"; when "00" & x"ceb" => data <= x"4c"; when "00" & x"cec" => data <= x"dc"; when "00" & x"ced" => data <= x"bd"; when "00" & x"cee" => data <= x"a0"; when "00" & x"cef" => data <= x"00"; when "00" & x"cf0" => data <= x"b1"; when "00" & x"cf1" => data <= x"04"; when "00" & x"cf2" => data <= x"aa"; when "00" & x"cf3" => data <= x"f0"; when "00" & x"cf4" => data <= x"0a"; when "00" & x"cf5" => data <= x"c8"; when "00" & x"cf6" => data <= x"b1"; when "00" & x"cf7" => data <= x"04"; when "00" & x"cf8" => data <= x"88"; when "00" & x"cf9" => data <= x"91"; when "00" & x"cfa" => data <= x"37"; when "00" & x"cfb" => data <= x"c8"; when "00" & x"cfc" => data <= x"ca"; when "00" & x"cfd" => data <= x"d0"; when "00" & x"cfe" => data <= x"f6"; when "00" & x"cff" => data <= x"a9"; when "00" & x"d00" => data <= x"0d"; when "00" & x"d01" => data <= x"d0"; when "00" & x"d02" => data <= x"e6"; when "00" & x"d03" => data <= x"a0"; when "00" & x"d04" => data <= x"00"; when "00" & x"d05" => data <= x"b1"; when "00" & x"d06" => data <= x"04"; when "00" & x"d07" => data <= x"91"; when "00" & x"d08" => data <= x"37"; when "00" & x"d09" => data <= x"c8"; when "00" & x"d0a" => data <= x"c4"; when "00" & x"d0b" => data <= x"39"; when "00" & x"d0c" => data <= x"b0"; when "00" & x"d0d" => data <= x"18"; when "00" & x"d0e" => data <= x"b1"; when "00" & x"d0f" => data <= x"04"; when "00" & x"d10" => data <= x"91"; when "00" & x"d11" => data <= x"37"; when "00" & x"d12" => data <= x"c8"; when "00" & x"d13" => data <= x"b1"; when "00" & x"d14" => data <= x"04"; when "00" & x"d15" => data <= x"91"; when "00" & x"d16" => data <= x"37"; when "00" & x"d17" => data <= x"c8"; when "00" & x"d18" => data <= x"b1"; when "00" & x"d19" => data <= x"04"; when "00" & x"d1a" => data <= x"91"; when "00" & x"d1b" => data <= x"37"; when "00" & x"d1c" => data <= x"c8"; when "00" & x"d1d" => data <= x"c4"; when "00" & x"d1e" => data <= x"39"; when "00" & x"d1f" => data <= x"b0"; when "00" & x"d20" => data <= x"05"; when "00" & x"d21" => data <= x"b1"; when "00" & x"d22" => data <= x"04"; when "00" & x"d23" => data <= x"91"; when "00" & x"d24" => data <= x"37"; when "00" & x"d25" => data <= x"c8"; when "00" & x"d26" => data <= x"98"; when "00" & x"d27" => data <= x"18"; when "00" & x"d28" => data <= x"4c"; when "00" & x"d29" => data <= x"e1"; when "00" & x"d2a" => data <= x"bd"; when "00" & x"d2b" => data <= x"c6"; when "00" & x"d2c" => data <= x"0a"; when "00" & x"d2d" => data <= x"20"; when "00" & x"d2e" => data <= x"a9"; when "00" & x"d2f" => data <= x"bf"; when "00" & x"d30" => data <= x"98"; when "00" & x"d31" => data <= x"48"; when "00" & x"d32" => data <= x"20"; when "00" & x"d33" => data <= x"8c"; when "00" & x"d34" => data <= x"8a"; when "00" & x"d35" => data <= x"c9"; when "00" & x"d36" => data <= x"2c"; when "00" & x"d37" => data <= x"d0"; when "00" & x"d38" => data <= x"3e"; when "00" & x"d39" => data <= x"20"; when "00" & x"d3a" => data <= x"29"; when "00" & x"d3b" => data <= x"9b"; when "00" & x"d3c" => data <= x"20"; when "00" & x"d3d" => data <= x"85"; when "00" & x"d3e" => data <= x"a3"; when "00" & x"d3f" => data <= x"68"; when "00" & x"d40" => data <= x"a8"; when "00" & x"d41" => data <= x"a5"; when "00" & x"d42" => data <= x"27"; when "00" & x"d43" => data <= x"20"; when "00" & x"d44" => data <= x"d4"; when "00" & x"d45" => data <= x"ff"; when "00" & x"d46" => data <= x"aa"; when "00" & x"d47" => data <= x"f0"; when "00" & x"d48" => data <= x"1b"; when "00" & x"d49" => data <= x"30"; when "00" & x"d4a" => data <= x"0c"; when "00" & x"d4b" => data <= x"a2"; when "00" & x"d4c" => data <= x"03"; when "00" & x"d4d" => data <= x"b5"; when "00" & x"d4e" => data <= x"2a"; when "00" & x"d4f" => data <= x"20"; when "00" & x"d50" => data <= x"d4"; when "00" & x"d51" => data <= x"ff"; when "00" & x"d52" => data <= x"ca"; when "00" & x"d53" => data <= x"10"; when "00" & x"d54" => data <= x"f8"; when "00" & x"d55" => data <= x"30"; when "00" & x"d56" => data <= x"d9"; when "00" & x"d57" => data <= x"a2"; when "00" & x"d58" => data <= x"04"; when "00" & x"d59" => data <= x"bd"; when "00" & x"d5a" => data <= x"6c"; when "00" & x"d5b" => data <= x"04"; when "00" & x"d5c" => data <= x"20"; when "00" & x"d5d" => data <= x"d4"; when "00" & x"d5e" => data <= x"ff"; when "00" & x"d5f" => data <= x"ca"; when "00" & x"d60" => data <= x"10"; when "00" & x"d61" => data <= x"f7"; when "00" & x"d62" => data <= x"30"; when "00" & x"d63" => data <= x"cc"; when "00" & x"d64" => data <= x"a5"; when "00" & x"d65" => data <= x"36"; when "00" & x"d66" => data <= x"20"; when "00" & x"d67" => data <= x"d4"; when "00" & x"d68" => data <= x"ff"; when "00" & x"d69" => data <= x"aa"; when "00" & x"d6a" => data <= x"f0"; when "00" & x"d6b" => data <= x"c4"; when "00" & x"d6c" => data <= x"bd"; when "00" & x"d6d" => data <= x"ff"; when "00" & x"d6e" => data <= x"05"; when "00" & x"d6f" => data <= x"20"; when "00" & x"d70" => data <= x"d4"; when "00" & x"d71" => data <= x"ff"; when "00" & x"d72" => data <= x"ca"; when "00" & x"d73" => data <= x"d0"; when "00" & x"d74" => data <= x"f7"; when "00" & x"d75" => data <= x"f0"; when "00" & x"d76" => data <= x"b9"; when "00" & x"d77" => data <= x"68"; when "00" & x"d78" => data <= x"84"; when "00" & x"d79" => data <= x"0a"; when "00" & x"d7a" => data <= x"4c"; when "00" & x"d7b" => data <= x"98"; when "00" & x"d7c" => data <= x"8b"; when "00" & x"d7d" => data <= x"20"; when "00" & x"d7e" => data <= x"25"; when "00" & x"d7f" => data <= x"bc"; when "00" & x"d80" => data <= x"4c"; when "00" & x"d81" => data <= x"96"; when "00" & x"d82" => data <= x"8b"; when "00" & x"d83" => data <= x"a9"; when "00" & x"d84" => data <= x"00"; when "00" & x"d85" => data <= x"85"; when "00" & x"d86" => data <= x"14"; when "00" & x"d87" => data <= x"85"; when "00" & x"d88" => data <= x"15"; when "00" & x"d89" => data <= x"20"; when "00" & x"d8a" => data <= x"97"; when "00" & x"d8b" => data <= x"8a"; when "00" & x"d8c" => data <= x"c9"; when "00" & x"d8d" => data <= x"3a"; when "00" & x"d8e" => data <= x"f0"; when "00" & x"d8f" => data <= x"f0"; when "00" & x"d90" => data <= x"c9"; when "00" & x"d91" => data <= x"0d"; when "00" & x"d92" => data <= x"f0"; when "00" & x"d93" => data <= x"ec"; when "00" & x"d94" => data <= x"c9"; when "00" & x"d95" => data <= x"8b"; when "00" & x"d96" => data <= x"f0"; when "00" & x"d97" => data <= x"e8"; when "00" & x"d98" => data <= x"d0"; when "00" & x"d99" => data <= x"38"; when "00" & x"d9a" => data <= x"20"; when "00" & x"d9b" => data <= x"97"; when "00" & x"d9c" => data <= x"8a"; when "00" & x"d9d" => data <= x"c9"; when "00" & x"d9e" => data <= x"23"; when "00" & x"d9f" => data <= x"f0"; when "00" & x"da0" => data <= x"8a"; when "00" & x"da1" => data <= x"c6"; when "00" & x"da2" => data <= x"0a"; when "00" & x"da3" => data <= x"4c"; when "00" & x"da4" => data <= x"bb"; when "00" & x"da5" => data <= x"8d"; when "00" & x"da6" => data <= x"ad"; when "00" & x"da7" => data <= x"00"; when "00" & x"da8" => data <= x"04"; when "00" & x"da9" => data <= x"f0"; when "00" & x"daa" => data <= x"10"; when "00" & x"dab" => data <= x"a5"; when "00" & x"dac" => data <= x"1e"; when "00" & x"dad" => data <= x"f0"; when "00" & x"dae" => data <= x"0c"; when "00" & x"daf" => data <= x"ed"; when "00" & x"db0" => data <= x"00"; when "00" & x"db1" => data <= x"04"; when "00" & x"db2" => data <= x"b0"; when "00" & x"db3" => data <= x"f9"; when "00" & x"db4" => data <= x"a8"; when "00" & x"db5" => data <= x"20"; when "00" & x"db6" => data <= x"65"; when "00" & x"db7" => data <= x"b5"; when "00" & x"db8" => data <= x"c8"; when "00" & x"db9" => data <= x"d0"; when "00" & x"dba" => data <= x"fa"; when "00" & x"dbb" => data <= x"18"; when "00" & x"dbc" => data <= x"ad"; when "00" & x"dbd" => data <= x"00"; when "00" & x"dbe" => data <= x"04"; when "00" & x"dbf" => data <= x"85"; when "00" & x"dc0" => data <= x"14"; when "00" & x"dc1" => data <= x"66"; when "00" & x"dc2" => data <= x"15"; when "00" & x"dc3" => data <= x"20"; when "00" & x"dc4" => data <= x"97"; when "00" & x"dc5" => data <= x"8a"; when "00" & x"dc6" => data <= x"c9"; when "00" & x"dc7" => data <= x"3a"; when "00" & x"dc8" => data <= x"f0"; when "00" & x"dc9" => data <= x"b3"; when "00" & x"dca" => data <= x"c9"; when "00" & x"dcb" => data <= x"0d"; when "00" & x"dcc" => data <= x"f0"; when "00" & x"dcd" => data <= x"af"; when "00" & x"dce" => data <= x"c9"; when "00" & x"dcf" => data <= x"8b"; when "00" & x"dd0" => data <= x"f0"; when "00" & x"dd1" => data <= x"ab"; when "00" & x"dd2" => data <= x"c9"; when "00" & x"dd3" => data <= x"7e"; when "00" & x"dd4" => data <= x"f0"; when "00" & x"dd5" => data <= x"eb"; when "00" & x"dd6" => data <= x"c9"; when "00" & x"dd7" => data <= x"2c"; when "00" & x"dd8" => data <= x"f0"; when "00" & x"dd9" => data <= x"cc"; when "00" & x"dda" => data <= x"c9"; when "00" & x"ddb" => data <= x"3b"; when "00" & x"ddc" => data <= x"f0"; when "00" & x"ddd" => data <= x"a5"; when "00" & x"dde" => data <= x"20"; when "00" & x"ddf" => data <= x"70"; when "00" & x"de0" => data <= x"8e"; when "00" & x"de1" => data <= x"90"; when "00" & x"de2" => data <= x"e0"; when "00" & x"de3" => data <= x"a5"; when "00" & x"de4" => data <= x"14"; when "00" & x"de5" => data <= x"48"; when "00" & x"de6" => data <= x"a5"; when "00" & x"de7" => data <= x"15"; when "00" & x"de8" => data <= x"48"; when "00" & x"de9" => data <= x"c6"; when "00" & x"dea" => data <= x"1b"; when "00" & x"deb" => data <= x"20"; when "00" & x"dec" => data <= x"29"; when "00" & x"ded" => data <= x"9b"; when "00" & x"dee" => data <= x"68"; when "00" & x"def" => data <= x"85"; when "00" & x"df0" => data <= x"15"; when "00" & x"df1" => data <= x"68"; when "00" & x"df2" => data <= x"85"; when "00" & x"df3" => data <= x"14"; when "00" & x"df4" => data <= x"a5"; when "00" & x"df5" => data <= x"1b"; when "00" & x"df6" => data <= x"85"; when "00" & x"df7" => data <= x"0a"; when "00" & x"df8" => data <= x"98"; when "00" & x"df9" => data <= x"f0"; when "00" & x"dfa" => data <= x"13"; when "00" & x"dfb" => data <= x"20"; when "00" & x"dfc" => data <= x"df"; when "00" & x"dfd" => data <= x"9e"; when "00" & x"dfe" => data <= x"a5"; when "00" & x"dff" => data <= x"14"; when "00" & x"e00" => data <= x"38"; when "00" & x"e01" => data <= x"e5"; when "00" & x"e02" => data <= x"36"; when "00" & x"e03" => data <= x"90"; when "00" & x"e04" => data <= x"09"; when "00" & x"e05" => data <= x"f0"; when "00" & x"e06" => data <= x"07"; when "00" & x"e07" => data <= x"a8"; when "00" & x"e08" => data <= x"20"; when "00" & x"e09" => data <= x"65"; when "00" & x"e0a" => data <= x"b5"; when "00" & x"e0b" => data <= x"88"; when "00" & x"e0c" => data <= x"d0"; when "00" & x"e0d" => data <= x"fa"; when "00" & x"e0e" => data <= x"a5"; when "00" & x"e0f" => data <= x"36"; when "00" & x"e10" => data <= x"f0"; when "00" & x"e11" => data <= x"b1"; when "00" & x"e12" => data <= x"a0"; when "00" & x"e13" => data <= x"00"; when "00" & x"e14" => data <= x"b9"; when "00" & x"e15" => data <= x"00"; when "00" & x"e16" => data <= x"06"; when "00" & x"e17" => data <= x"20"; when "00" & x"e18" => data <= x"58"; when "00" & x"e19" => data <= x"b5"; when "00" & x"e1a" => data <= x"c8"; when "00" & x"e1b" => data <= x"c4"; when "00" & x"e1c" => data <= x"36"; when "00" & x"e1d" => data <= x"d0"; when "00" & x"e1e" => data <= x"f5"; when "00" & x"e1f" => data <= x"f0"; when "00" & x"e20" => data <= x"a2"; when "00" & x"e21" => data <= x"4c"; when "00" & x"e22" => data <= x"a2"; when "00" & x"e23" => data <= x"8a"; when "00" & x"e24" => data <= x"c9"; when "00" & x"e25" => data <= x"2c"; when "00" & x"e26" => data <= x"d0"; when "00" & x"e27" => data <= x"f9"; when "00" & x"e28" => data <= x"a5"; when "00" & x"e29" => data <= x"2a"; when "00" & x"e2a" => data <= x"48"; when "00" & x"e2b" => data <= x"20"; when "00" & x"e2c" => data <= x"56"; when "00" & x"e2d" => data <= x"ae"; when "00" & x"e2e" => data <= x"20"; when "00" & x"e2f" => data <= x"f0"; when "00" & x"e30" => data <= x"92"; when "00" & x"e31" => data <= x"a9"; when "00" & x"e32" => data <= x"1f"; when "00" & x"e33" => data <= x"20"; when "00" & x"e34" => data <= x"ee"; when "00" & x"e35" => data <= x"ff"; when "00" & x"e36" => data <= x"68"; when "00" & x"e37" => data <= x"20"; when "00" & x"e38" => data <= x"ee"; when "00" & x"e39" => data <= x"ff"; when "00" & x"e3a" => data <= x"20"; when "00" & x"e3b" => data <= x"56"; when "00" & x"e3c" => data <= x"94"; when "00" & x"e3d" => data <= x"4c"; when "00" & x"e3e" => data <= x"6a"; when "00" & x"e3f" => data <= x"8e"; when "00" & x"e40" => data <= x"20"; when "00" & x"e41" => data <= x"dd"; when "00" & x"e42" => data <= x"92"; when "00" & x"e43" => data <= x"20"; when "00" & x"e44" => data <= x"8c"; when "00" & x"e45" => data <= x"8a"; when "00" & x"e46" => data <= x"c9"; when "00" & x"e47" => data <= x"29"; when "00" & x"e48" => data <= x"d0"; when "00" & x"e49" => data <= x"da"; when "00" & x"e4a" => data <= x"a5"; when "00" & x"e4b" => data <= x"2a"; when "00" & x"e4c" => data <= x"e5"; when "00" & x"e4d" => data <= x"1e"; when "00" & x"e4e" => data <= x"f0"; when "00" & x"e4f" => data <= x"1a"; when "00" & x"e50" => data <= x"a8"; when "00" & x"e51" => data <= x"b0"; when "00" & x"e52" => data <= x"0c"; when "00" & x"e53" => data <= x"20"; when "00" & x"e54" => data <= x"25"; when "00" & x"e55" => data <= x"bc"; when "00" & x"e56" => data <= x"f0"; when "00" & x"e57" => data <= x"03"; when "00" & x"e58" => data <= x"20"; when "00" & x"e59" => data <= x"e3"; when "00" & x"e5a" => data <= x"92"; when "00" & x"e5b" => data <= x"a4"; when "00" & x"e5c" => data <= x"2a"; when "00" & x"e5d" => data <= x"f0"; when "00" & x"e5e" => data <= x"0b"; when "00" & x"e5f" => data <= x"20"; when "00" & x"e60" => data <= x"65"; when "00" & x"e61" => data <= x"b5"; when "00" & x"e62" => data <= x"88"; when "00" & x"e63" => data <= x"d0"; when "00" & x"e64" => data <= x"fa"; when "00" & x"e65" => data <= x"f0"; when "00" & x"e66" => data <= x"03"; when "00" & x"e67" => data <= x"20"; when "00" & x"e68" => data <= x"25"; when "00" & x"e69" => data <= x"bc"; when "00" & x"e6a" => data <= x"18"; when "00" & x"e6b" => data <= x"a4"; when "00" & x"e6c" => data <= x"1b"; when "00" & x"e6d" => data <= x"84"; when "00" & x"e6e" => data <= x"0a"; when "00" & x"e6f" => data <= x"60"; when "00" & x"e70" => data <= x"a6"; when "00" & x"e71" => data <= x"0b"; when "00" & x"e72" => data <= x"86"; when "00" & x"e73" => data <= x"19"; when "00" & x"e74" => data <= x"a6"; when "00" & x"e75" => data <= x"0c"; when "00" & x"e76" => data <= x"86"; when "00" & x"e77" => data <= x"1a"; when "00" & x"e78" => data <= x"a6"; when "00" & x"e79" => data <= x"0a"; when "00" & x"e7a" => data <= x"86"; when "00" & x"e7b" => data <= x"1b"; when "00" & x"e7c" => data <= x"c9"; when "00" & x"e7d" => data <= x"27"; when "00" & x"e7e" => data <= x"f0"; when "00" & x"e7f" => data <= x"e7"; when "00" & x"e80" => data <= x"c9"; when "00" & x"e81" => data <= x"8a"; when "00" & x"e82" => data <= x"f0"; when "00" & x"e83" => data <= x"bc"; when "00" & x"e84" => data <= x"c9"; when "00" & x"e85" => data <= x"89"; when "00" & x"e86" => data <= x"f0"; when "00" & x"e87" => data <= x"d0"; when "00" & x"e88" => data <= x"38"; when "00" & x"e89" => data <= x"60"; when "00" & x"e8a" => data <= x"20"; when "00" & x"e8b" => data <= x"97"; when "00" & x"e8c" => data <= x"8a"; when "00" & x"e8d" => data <= x"20"; when "00" & x"e8e" => data <= x"70"; when "00" & x"e8f" => data <= x"8e"; when "00" & x"e90" => data <= x"90"; when "00" & x"e91" => data <= x"f7"; when "00" & x"e92" => data <= x"c9"; when "00" & x"e93" => data <= x"22"; when "00" & x"e94" => data <= x"f0"; when "00" & x"e95" => data <= x"11"; when "00" & x"e96" => data <= x"38"; when "00" & x"e97" => data <= x"60"; when "00" & x"e98" => data <= x"00"; when "00" & x"e99" => data <= x"09"; when "00" & x"e9a" => data <= x"4d"; when "00" & x"e9b" => data <= x"69"; when "00" & x"e9c" => data <= x"73"; when "00" & x"e9d" => data <= x"73"; when "00" & x"e9e" => data <= x"69"; when "00" & x"e9f" => data <= x"6e"; when "00" & x"ea0" => data <= x"67"; when "00" & x"ea1" => data <= x"20"; when "00" & x"ea2" => data <= x"22"; when "00" & x"ea3" => data <= x"00"; when "00" & x"ea4" => data <= x"20"; when "00" & x"ea5" => data <= x"58"; when "00" & x"ea6" => data <= x"b5"; when "00" & x"ea7" => data <= x"c8"; when "00" & x"ea8" => data <= x"b1"; when "00" & x"ea9" => data <= x"19"; when "00" & x"eaa" => data <= x"c9"; when "00" & x"eab" => data <= x"0d"; when "00" & x"eac" => data <= x"f0"; when "00" & x"ead" => data <= x"ea"; when "00" & x"eae" => data <= x"c9"; when "00" & x"eaf" => data <= x"22"; when "00" & x"eb0" => data <= x"d0"; when "00" & x"eb1" => data <= x"f2"; when "00" & x"eb2" => data <= x"c8"; when "00" & x"eb3" => data <= x"84"; when "00" & x"eb4" => data <= x"1b"; when "00" & x"eb5" => data <= x"b1"; when "00" & x"eb6" => data <= x"19"; when "00" & x"eb7" => data <= x"c9"; when "00" & x"eb8" => data <= x"22"; when "00" & x"eb9" => data <= x"d0"; when "00" & x"eba" => data <= x"af"; when "00" & x"ebb" => data <= x"f0"; when "00" & x"ebc" => data <= x"e7"; when "00" & x"ebd" => data <= x"20"; when "00" & x"ebe" => data <= x"57"; when "00" & x"ebf" => data <= x"98"; when "00" & x"ec0" => data <= x"a9"; when "00" & x"ec1" => data <= x"10"; when "00" & x"ec2" => data <= x"d0"; when "00" & x"ec3" => data <= x"08"; when "00" & x"ec4" => data <= x"20"; when "00" & x"ec5" => data <= x"57"; when "00" & x"ec6" => data <= x"98"; when "00" & x"ec7" => data <= x"20"; when "00" & x"ec8" => data <= x"28"; when "00" & x"ec9" => data <= x"bc"; when "00" & x"eca" => data <= x"a9"; when "00" & x"ecb" => data <= x"0c"; when "00" & x"ecc" => data <= x"20"; when "00" & x"ecd" => data <= x"ee"; when "00" & x"ece" => data <= x"ff"; when "00" & x"ecf" => data <= x"4c"; when "00" & x"ed0" => data <= x"9b"; when "00" & x"ed1" => data <= x"8b"; when "00" & x"ed2" => data <= x"20"; when "00" & x"ed3" => data <= x"1d"; when "00" & x"ed4" => data <= x"9b"; when "00" & x"ed5" => data <= x"20"; when "00" & x"ed6" => data <= x"ee"; when "00" & x"ed7" => data <= x"92"; when "00" & x"ed8" => data <= x"20"; when "00" & x"ed9" => data <= x"94"; when "00" & x"eda" => data <= x"bd"; when "00" & x"edb" => data <= x"a0"; when "00" & x"edc" => data <= x"00"; when "00" & x"edd" => data <= x"8c"; when "00" & x"ede" => data <= x"00"; when "00" & x"edf" => data <= x"06"; when "00" & x"ee0" => data <= x"8c"; when "00" & x"ee1" => data <= x"ff"; when "00" & x"ee2" => data <= x"06"; when "00" & x"ee3" => data <= x"20"; when "00" & x"ee4" => data <= x"8c"; when "00" & x"ee5" => data <= x"8a"; when "00" & x"ee6" => data <= x"c9"; when "00" & x"ee7" => data <= x"2c"; when "00" & x"ee8" => data <= x"d0"; when "00" & x"ee9" => data <= x"22"; when "00" & x"eea" => data <= x"a4"; when "00" & x"eeb" => data <= x"1b"; when "00" & x"eec" => data <= x"20"; when "00" & x"eed" => data <= x"d5"; when "00" & x"eee" => data <= x"95"; when "00" & x"eef" => data <= x"f0"; when "00" & x"ef0" => data <= x"2a"; when "00" & x"ef1" => data <= x"ac"; when "00" & x"ef2" => data <= x"ff"; when "00" & x"ef3" => data <= x"06"; when "00" & x"ef4" => data <= x"c8"; when "00" & x"ef5" => data <= x"a5"; when "00" & x"ef6" => data <= x"2a"; when "00" & x"ef7" => data <= x"99"; when "00" & x"ef8" => data <= x"00"; when "00" & x"ef9" => data <= x"06"; when "00" & x"efa" => data <= x"c8"; when "00" & x"efb" => data <= x"a5"; when "00" & x"efc" => data <= x"2b"; when "00" & x"efd" => data <= x"99"; when "00" & x"efe" => data <= x"00"; when "00" & x"eff" => data <= x"06"; when "00" & x"f00" => data <= x"c8"; when "00" & x"f01" => data <= x"a5"; when "00" & x"f02" => data <= x"2c"; when "00" & x"f03" => data <= x"99"; when "00" & x"f04" => data <= x"00"; when "00" & x"f05" => data <= x"06"; when "00" & x"f06" => data <= x"ee"; when "00" & x"f07" => data <= x"00"; when "00" & x"f08" => data <= x"06"; when "00" & x"f09" => data <= x"4c"; when "00" & x"f0a" => data <= x"e0"; when "00" & x"f0b" => data <= x"8e"; when "00" & x"f0c" => data <= x"c6"; when "00" & x"f0d" => data <= x"1b"; when "00" & x"f0e" => data <= x"20"; when "00" & x"f0f" => data <= x"52"; when "00" & x"f10" => data <= x"98"; when "00" & x"f11" => data <= x"20"; when "00" & x"f12" => data <= x"ea"; when "00" & x"f13" => data <= x"bd"; when "00" & x"f14" => data <= x"20"; when "00" & x"f15" => data <= x"1e"; when "00" & x"f16" => data <= x"8f"; when "00" & x"f17" => data <= x"d8"; when "00" & x"f18" => data <= x"4c"; when "00" & x"f19" => data <= x"9b"; when "00" & x"f1a" => data <= x"8b"; when "00" & x"f1b" => data <= x"4c"; when "00" & x"f1c" => data <= x"43"; when "00" & x"f1d" => data <= x"ae"; when "00" & x"f1e" => data <= x"ad"; when "00" & x"f1f" => data <= x"0c"; when "00" & x"f20" => data <= x"04"; when "00" & x"f21" => data <= x"4a"; when "00" & x"f22" => data <= x"ad"; when "00" & x"f23" => data <= x"04"; when "00" & x"f24" => data <= x"04"; when "00" & x"f25" => data <= x"ae"; when "00" & x"f26" => data <= x"60"; when "00" & x"f27" => data <= x"04"; when "00" & x"f28" => data <= x"ac"; when "00" & x"f29" => data <= x"64"; when "00" & x"f2a" => data <= x"04"; when "00" & x"f2b" => data <= x"6c"; when "00" & x"f2c" => data <= x"2a"; when "00" & x"f2d" => data <= x"00"; when "00" & x"f2e" => data <= x"4c"; when "00" & x"f2f" => data <= x"2a"; when "00" & x"f30" => data <= x"98"; when "00" & x"f31" => data <= x"20"; when "00" & x"f32" => data <= x"df"; when "00" & x"f33" => data <= x"97"; when "00" & x"f34" => data <= x"90"; when "00" & x"f35" => data <= x"f8"; when "00" & x"f36" => data <= x"20"; when "00" & x"f37" => data <= x"94"; when "00" & x"f38" => data <= x"bd"; when "00" & x"f39" => data <= x"20"; when "00" & x"f3a" => data <= x"97"; when "00" & x"f3b" => data <= x"8a"; when "00" & x"f3c" => data <= x"c9"; when "00" & x"f3d" => data <= x"2c"; when "00" & x"f3e" => data <= x"d0"; when "00" & x"f3f" => data <= x"ee"; when "00" & x"f40" => data <= x"20"; when "00" & x"f41" => data <= x"df"; when "00" & x"f42" => data <= x"97"; when "00" & x"f43" => data <= x"90"; when "00" & x"f44" => data <= x"e9"; when "00" & x"f45" => data <= x"20"; when "00" & x"f46" => data <= x"57"; when "00" & x"f47" => data <= x"98"; when "00" & x"f48" => data <= x"a5"; when "00" & x"f49" => data <= x"2a"; when "00" & x"f4a" => data <= x"85"; when "00" & x"f4b" => data <= x"39"; when "00" & x"f4c" => data <= x"a5"; when "00" & x"f4d" => data <= x"2b"; when "00" & x"f4e" => data <= x"85"; when "00" & x"f4f" => data <= x"3a"; when "00" & x"f50" => data <= x"20"; when "00" & x"f51" => data <= x"ea"; when "00" & x"f52" => data <= x"bd"; when "00" & x"f53" => data <= x"20"; when "00" & x"f54" => data <= x"2d"; when "00" & x"f55" => data <= x"bc"; when "00" & x"f56" => data <= x"20"; when "00" & x"f57" => data <= x"7b"; when "00" & x"f58" => data <= x"98"; when "00" & x"f59" => data <= x"20"; when "00" & x"f5a" => data <= x"22"; when "00" & x"f5b" => data <= x"92"; when "00" & x"f5c" => data <= x"a5"; when "00" & x"f5d" => data <= x"39"; when "00" & x"f5e" => data <= x"c5"; when "00" & x"f5f" => data <= x"2a"; when "00" & x"f60" => data <= x"a5"; when "00" & x"f61" => data <= x"3a"; when "00" & x"f62" => data <= x"e5"; when "00" & x"f63" => data <= x"2b"; when "00" & x"f64" => data <= x"b0"; when "00" & x"f65" => data <= x"ed"; when "00" & x"f66" => data <= x"4c"; when "00" & x"f67" => data <= x"f3"; when "00" & x"f68" => data <= x"8a"; when "00" & x"f69" => data <= x"a9"; when "00" & x"f6a" => data <= x"0a"; when "00" & x"f6b" => data <= x"20"; when "00" & x"f6c" => data <= x"d8"; when "00" & x"f6d" => data <= x"ae"; when "00" & x"f6e" => data <= x"20"; when "00" & x"f6f" => data <= x"df"; when "00" & x"f70" => data <= x"97"; when "00" & x"f71" => data <= x"20"; when "00" & x"f72" => data <= x"94"; when "00" & x"f73" => data <= x"bd"; when "00" & x"f74" => data <= x"a9"; when "00" & x"f75" => data <= x"0a"; when "00" & x"f76" => data <= x"20"; when "00" & x"f77" => data <= x"d8"; when "00" & x"f78" => data <= x"ae"; when "00" & x"f79" => data <= x"20"; when "00" & x"f7a" => data <= x"97"; when "00" & x"f7b" => data <= x"8a"; when "00" & x"f7c" => data <= x"c9"; when "00" & x"f7d" => data <= x"2c"; when "00" & x"f7e" => data <= x"d0"; when "00" & x"f7f" => data <= x"0d"; when "00" & x"f80" => data <= x"20"; when "00" & x"f81" => data <= x"df"; when "00" & x"f82" => data <= x"97"; when "00" & x"f83" => data <= x"a5"; when "00" & x"f84" => data <= x"2b"; when "00" & x"f85" => data <= x"d0"; when "00" & x"f86" => data <= x"58"; when "00" & x"f87" => data <= x"a5"; when "00" & x"f88" => data <= x"2a"; when "00" & x"f89" => data <= x"f0"; when "00" & x"f8a" => data <= x"54"; when "00" & x"f8b" => data <= x"e6"; when "00" & x"f8c" => data <= x"0a"; when "00" & x"f8d" => data <= x"c6"; when "00" & x"f8e" => data <= x"0a"; when "00" & x"f8f" => data <= x"4c"; when "00" & x"f90" => data <= x"57"; when "00" & x"f91" => data <= x"98"; when "00" & x"f92" => data <= x"a5"; when "00" & x"f93" => data <= x"12"; when "00" & x"f94" => data <= x"85"; when "00" & x"f95" => data <= x"3b"; when "00" & x"f96" => data <= x"a5"; when "00" & x"f97" => data <= x"13"; when "00" & x"f98" => data <= x"85"; when "00" & x"f99" => data <= x"3c"; when "00" & x"f9a" => data <= x"a5"; when "00" & x"f9b" => data <= x"18"; when "00" & x"f9c" => data <= x"85"; when "00" & x"f9d" => data <= x"38"; when "00" & x"f9e" => data <= x"a9"; when "00" & x"f9f" => data <= x"01"; when "00" & x"fa0" => data <= x"85"; when "00" & x"fa1" => data <= x"37"; when "00" & x"fa2" => data <= x"60"; when "00" & x"fa3" => data <= x"20"; when "00" & x"fa4" => data <= x"69"; when "00" & x"fa5" => data <= x"8f"; when "00" & x"fa6" => data <= x"a2"; when "00" & x"fa7" => data <= x"39"; when "00" & x"fa8" => data <= x"20"; when "00" & x"fa9" => data <= x"0d"; when "00" & x"faa" => data <= x"be"; when "00" & x"fab" => data <= x"20"; when "00" & x"fac" => data <= x"6f"; when "00" & x"fad" => data <= x"be"; when "00" & x"fae" => data <= x"20"; when "00" & x"faf" => data <= x"92"; when "00" & x"fb0" => data <= x"8f"; when "00" & x"fb1" => data <= x"a0"; when "00" & x"fb2" => data <= x"00"; when "00" & x"fb3" => data <= x"b1"; when "00" & x"fb4" => data <= x"37"; when "00" & x"fb5" => data <= x"30"; when "00" & x"fb6" => data <= x"30"; when "00" & x"fb7" => data <= x"91"; when "00" & x"fb8" => data <= x"3b"; when "00" & x"fb9" => data <= x"c8"; when "00" & x"fba" => data <= x"b1"; when "00" & x"fbb" => data <= x"37"; when "00" & x"fbc" => data <= x"91"; when "00" & x"fbd" => data <= x"3b"; when "00" & x"fbe" => data <= x"38"; when "00" & x"fbf" => data <= x"98"; when "00" & x"fc0" => data <= x"65"; when "00" & x"fc1" => data <= x"3b"; when "00" & x"fc2" => data <= x"85"; when "00" & x"fc3" => data <= x"3b"; when "00" & x"fc4" => data <= x"aa"; when "00" & x"fc5" => data <= x"a5"; when "00" & x"fc6" => data <= x"3c"; when "00" & x"fc7" => data <= x"69"; when "00" & x"fc8" => data <= x"00"; when "00" & x"fc9" => data <= x"85"; when "00" & x"fca" => data <= x"3c"; when "00" & x"fcb" => data <= x"e4"; when "00" & x"fcc" => data <= x"06"; when "00" & x"fcd" => data <= x"e5"; when "00" & x"fce" => data <= x"07"; when "00" & x"fcf" => data <= x"b0"; when "00" & x"fd0" => data <= x"05"; when "00" & x"fd1" => data <= x"20"; when "00" & x"fd2" => data <= x"9f"; when "00" & x"fd3" => data <= x"90"; when "00" & x"fd4" => data <= x"90"; when "00" & x"fd5" => data <= x"db"; when "00" & x"fd6" => data <= x"00"; when "00" & x"fd7" => data <= x"00"; when "00" & x"fd8" => data <= x"cc"; when "00" & x"fd9" => data <= x"20"; when "00" & x"fda" => data <= x"73"; when "00" & x"fdb" => data <= x"70"; when "00" & x"fdc" => data <= x"61"; when "00" & x"fdd" => data <= x"63"; when "00" & x"fde" => data <= x"65"; when "00" & x"fdf" => data <= x"00"; when "00" & x"fe0" => data <= x"00"; when "00" & x"fe1" => data <= x"53"; when "00" & x"fe2" => data <= x"69"; when "00" & x"fe3" => data <= x"6c"; when "00" & x"fe4" => data <= x"6c"; when "00" & x"fe5" => data <= x"79"; when "00" & x"fe6" => data <= x"00"; when "00" & x"fe7" => data <= x"20"; when "00" & x"fe8" => data <= x"9a"; when "00" & x"fe9" => data <= x"8f"; when "00" & x"fea" => data <= x"a0"; when "00" & x"feb" => data <= x"00"; when "00" & x"fec" => data <= x"b1"; when "00" & x"fed" => data <= x"37"; when "00" & x"fee" => data <= x"30"; when "00" & x"fef" => data <= x"1d"; when "00" & x"ff0" => data <= x"a5"; when "00" & x"ff1" => data <= x"3a"; when "00" & x"ff2" => data <= x"91"; when "00" & x"ff3" => data <= x"37"; when "00" & x"ff4" => data <= x"a5"; when "00" & x"ff5" => data <= x"39"; when "00" & x"ff6" => data <= x"c8"; when "00" & x"ff7" => data <= x"91"; when "00" & x"ff8" => data <= x"37"; when "00" & x"ff9" => data <= x"18"; when "00" & x"ffa" => data <= x"a5"; when "00" & x"ffb" => data <= x"2a"; when "00" & x"ffc" => data <= x"65"; when "00" & x"ffd" => data <= x"39"; when "00" & x"ffe" => data <= x"85"; when "00" & x"fff" => data <= x"39"; when "01" & x"000" => data <= x"a9"; when "01" & x"001" => data <= x"00"; when "01" & x"002" => data <= x"65"; when "01" & x"003" => data <= x"3a"; when "01" & x"004" => data <= x"29"; when "01" & x"005" => data <= x"7f"; when "01" & x"006" => data <= x"85"; when "01" & x"007" => data <= x"3a"; when "01" & x"008" => data <= x"20"; when "01" & x"009" => data <= x"9f"; when "01" & x"00a" => data <= x"90"; when "01" & x"00b" => data <= x"90"; when "01" & x"00c" => data <= x"dd"; when "01" & x"00d" => data <= x"a5"; when "01" & x"00e" => data <= x"18"; when "01" & x"00f" => data <= x"85"; when "01" & x"010" => data <= x"0c"; when "01" & x"011" => data <= x"a0"; when "01" & x"012" => data <= x"00"; when "01" & x"013" => data <= x"84"; when "01" & x"014" => data <= x"0b"; when "01" & x"015" => data <= x"c8"; when "01" & x"016" => data <= x"b1"; when "01" & x"017" => data <= x"0b"; when "01" & x"018" => data <= x"30"; when "01" & x"019" => data <= x"20"; when "01" & x"01a" => data <= x"a0"; when "01" & x"01b" => data <= x"04"; when "01" & x"01c" => data <= x"b1"; when "01" & x"01d" => data <= x"0b"; when "01" & x"01e" => data <= x"c9"; when "01" & x"01f" => data <= x"8d"; when "01" & x"020" => data <= x"f0"; when "01" & x"021" => data <= x"1b"; when "01" & x"022" => data <= x"c8"; when "01" & x"023" => data <= x"c9"; when "01" & x"024" => data <= x"0d"; when "01" & x"025" => data <= x"d0"; when "01" & x"026" => data <= x"f5"; when "01" & x"027" => data <= x"b1"; when "01" & x"028" => data <= x"0b"; when "01" & x"029" => data <= x"30"; when "01" & x"02a" => data <= x"0f"; when "01" & x"02b" => data <= x"a0"; when "01" & x"02c" => data <= x"03"; when "01" & x"02d" => data <= x"b1"; when "01" & x"02e" => data <= x"0b"; when "01" & x"02f" => data <= x"18"; when "01" & x"030" => data <= x"65"; when "01" & x"031" => data <= x"0b"; when "01" & x"032" => data <= x"85"; when "01" & x"033" => data <= x"0b"; when "01" & x"034" => data <= x"90"; when "01" & x"035" => data <= x"e4"; when "01" & x"036" => data <= x"e6"; when "01" & x"037" => data <= x"0c"; when "01" & x"038" => data <= x"b0"; when "01" & x"039" => data <= x"e0"; when "01" & x"03a" => data <= x"4c"; when "01" & x"03b" => data <= x"f3"; when "01" & x"03c" => data <= x"8a"; when "01" & x"03d" => data <= x"20"; when "01" & x"03e" => data <= x"eb"; when "01" & x"03f" => data <= x"97"; when "01" & x"040" => data <= x"20"; when "01" & x"041" => data <= x"92"; when "01" & x"042" => data <= x"8f"; when "01" & x"043" => data <= x"a0"; when "01" & x"044" => data <= x"00"; when "01" & x"045" => data <= x"b1"; when "01" & x"046" => data <= x"37"; when "01" & x"047" => data <= x"30"; when "01" & x"048" => data <= x"37"; when "01" & x"049" => data <= x"b1"; when "01" & x"04a" => data <= x"3b"; when "01" & x"04b" => data <= x"c8"; when "01" & x"04c" => data <= x"c5"; when "01" & x"04d" => data <= x"2b"; when "01" & x"04e" => data <= x"d0"; when "01" & x"04f" => data <= x"21"; when "01" & x"050" => data <= x"b1"; when "01" & x"051" => data <= x"3b"; when "01" & x"052" => data <= x"c5"; when "01" & x"053" => data <= x"2a"; when "01" & x"054" => data <= x"d0"; when "01" & x"055" => data <= x"1b"; when "01" & x"056" => data <= x"b1"; when "01" & x"057" => data <= x"37"; when "01" & x"058" => data <= x"85"; when "01" & x"059" => data <= x"3d"; when "01" & x"05a" => data <= x"88"; when "01" & x"05b" => data <= x"b1"; when "01" & x"05c" => data <= x"37"; when "01" & x"05d" => data <= x"85"; when "01" & x"05e" => data <= x"3e"; when "01" & x"05f" => data <= x"a4"; when "01" & x"060" => data <= x"0a"; when "01" & x"061" => data <= x"88"; when "01" & x"062" => data <= x"a5"; when "01" & x"063" => data <= x"0b"; when "01" & x"064" => data <= x"85"; when "01" & x"065" => data <= x"37"; when "01" & x"066" => data <= x"a5"; when "01" & x"067" => data <= x"0c"; when "01" & x"068" => data <= x"85"; when "01" & x"069" => data <= x"38"; when "01" & x"06a" => data <= x"20"; when "01" & x"06b" => data <= x"f5"; when "01" & x"06c" => data <= x"88"; when "01" & x"06d" => data <= x"a4"; when "01" & x"06e" => data <= x"0a"; when "01" & x"06f" => data <= x"d0"; when "01" & x"070" => data <= x"ab"; when "01" & x"071" => data <= x"20"; when "01" & x"072" => data <= x"9f"; when "01" & x"073" => data <= x"90"; when "01" & x"074" => data <= x"a5"; when "01" & x"075" => data <= x"3b"; when "01" & x"076" => data <= x"69"; when "01" & x"077" => data <= x"02"; when "01" & x"078" => data <= x"85"; when "01" & x"079" => data <= x"3b"; when "01" & x"07a" => data <= x"90"; when "01" & x"07b" => data <= x"c7"; when "01" & x"07c" => data <= x"e6"; when "01" & x"07d" => data <= x"3c"; when "01" & x"07e" => data <= x"b0"; when "01" & x"07f" => data <= x"c3"; when "01" & x"080" => data <= x"20"; when "01" & x"081" => data <= x"cf"; when "01" & x"082" => data <= x"bf"; when "01" & x"083" => data <= x"46"; when "01" & x"084" => data <= x"61"; when "01" & x"085" => data <= x"69"; when "01" & x"086" => data <= x"6c"; when "01" & x"087" => data <= x"65"; when "01" & x"088" => data <= x"64"; when "01" & x"089" => data <= x"20"; when "01" & x"08a" => data <= x"61"; when "01" & x"08b" => data <= x"74"; when "01" & x"08c" => data <= x"20"; when "01" & x"08d" => data <= x"c8"; when "01" & x"08e" => data <= x"b1"; when "01" & x"08f" => data <= x"0b"; when "01" & x"090" => data <= x"85"; when "01" & x"091" => data <= x"2b"; when "01" & x"092" => data <= x"c8"; when "01" & x"093" => data <= x"b1"; when "01" & x"094" => data <= x"0b"; when "01" & x"095" => data <= x"85"; when "01" & x"096" => data <= x"2a"; when "01" & x"097" => data <= x"20"; when "01" & x"098" => data <= x"1f"; when "01" & x"099" => data <= x"99"; when "01" & x"09a" => data <= x"20"; when "01" & x"09b" => data <= x"25"; when "01" & x"09c" => data <= x"bc"; when "01" & x"09d" => data <= x"f0"; when "01" & x"09e" => data <= x"ce"; when "01" & x"09f" => data <= x"c8"; when "01" & x"0a0" => data <= x"b1"; when "01" & x"0a1" => data <= x"37"; when "01" & x"0a2" => data <= x"65"; when "01" & x"0a3" => data <= x"37"; when "01" & x"0a4" => data <= x"85"; when "01" & x"0a5" => data <= x"37"; when "01" & x"0a6" => data <= x"90"; when "01" & x"0a7" => data <= x"03"; when "01" & x"0a8" => data <= x"e6"; when "01" & x"0a9" => data <= x"38"; when "01" & x"0aa" => data <= x"18"; when "01" & x"0ab" => data <= x"60"; when "01" & x"0ac" => data <= x"20"; when "01" & x"0ad" => data <= x"69"; when "01" & x"0ae" => data <= x"8f"; when "01" & x"0af" => data <= x"a5"; when "01" & x"0b0" => data <= x"2a"; when "01" & x"0b1" => data <= x"48"; when "01" & x"0b2" => data <= x"20"; when "01" & x"0b3" => data <= x"ea"; when "01" & x"0b4" => data <= x"bd"; when "01" & x"0b5" => data <= x"20"; when "01" & x"0b6" => data <= x"94"; when "01" & x"0b7" => data <= x"bd"; when "01" & x"0b8" => data <= x"20"; when "01" & x"0b9" => data <= x"23"; when "01" & x"0ba" => data <= x"99"; when "01" & x"0bb" => data <= x"a9"; when "01" & x"0bc" => data <= x"20"; when "01" & x"0bd" => data <= x"20"; when "01" & x"0be" => data <= x"02"; when "01" & x"0bf" => data <= x"bc"; when "01" & x"0c0" => data <= x"20"; when "01" & x"0c1" => data <= x"ea"; when "01" & x"0c2" => data <= x"bd"; when "01" & x"0c3" => data <= x"20"; when "01" & x"0c4" => data <= x"51"; when "01" & x"0c5" => data <= x"89"; when "01" & x"0c6" => data <= x"20"; when "01" & x"0c7" => data <= x"8d"; when "01" & x"0c8" => data <= x"bc"; when "01" & x"0c9" => data <= x"20"; when "01" & x"0ca" => data <= x"20"; when "01" & x"0cb" => data <= x"bd"; when "01" & x"0cc" => data <= x"68"; when "01" & x"0cd" => data <= x"48"; when "01" & x"0ce" => data <= x"18"; when "01" & x"0cf" => data <= x"65"; when "01" & x"0d0" => data <= x"2a"; when "01" & x"0d1" => data <= x"85"; when "01" & x"0d2" => data <= x"2a"; when "01" & x"0d3" => data <= x"90"; when "01" & x"0d4" => data <= x"e0"; when "01" & x"0d5" => data <= x"e6"; when "01" & x"0d6" => data <= x"2b"; when "01" & x"0d7" => data <= x"10"; when "01" & x"0d8" => data <= x"dc"; when "01" & x"0d9" => data <= x"4c"; when "01" & x"0da" => data <= x"f3"; when "01" & x"0db" => data <= x"8a"; when "01" & x"0dc" => data <= x"4c"; when "01" & x"0dd" => data <= x"18"; when "01" & x"0de" => data <= x"92"; when "01" & x"0df" => data <= x"c6"; when "01" & x"0e0" => data <= x"0a"; when "01" & x"0e1" => data <= x"20"; when "01" & x"0e2" => data <= x"82"; when "01" & x"0e3" => data <= x"95"; when "01" & x"0e4" => data <= x"f0"; when "01" & x"0e5" => data <= x"41"; when "01" & x"0e6" => data <= x"b0"; when "01" & x"0e7" => data <= x"3f"; when "01" & x"0e8" => data <= x"20"; when "01" & x"0e9" => data <= x"94"; when "01" & x"0ea" => data <= x"bd"; when "01" & x"0eb" => data <= x"20"; when "01" & x"0ec" => data <= x"dd"; when "01" & x"0ed" => data <= x"92"; when "01" & x"0ee" => data <= x"20"; when "01" & x"0ef" => data <= x"22"; when "01" & x"0f0" => data <= x"92"; when "01" & x"0f1" => data <= x"a5"; when "01" & x"0f2" => data <= x"2d"; when "01" & x"0f3" => data <= x"05"; when "01" & x"0f4" => data <= x"2c"; when "01" & x"0f5" => data <= x"d0"; when "01" & x"0f6" => data <= x"30"; when "01" & x"0f7" => data <= x"18"; when "01" & x"0f8" => data <= x"a5"; when "01" & x"0f9" => data <= x"2a"; when "01" & x"0fa" => data <= x"65"; when "01" & x"0fb" => data <= x"02"; when "01" & x"0fc" => data <= x"a8"; when "01" & x"0fd" => data <= x"a5"; when "01" & x"0fe" => data <= x"2b"; when "01" & x"0ff" => data <= x"65"; when "01" & x"100" => data <= x"03"; when "01" & x"101" => data <= x"aa"; when "01" & x"102" => data <= x"c4"; when "01" & x"103" => data <= x"04"; when "01" & x"104" => data <= x"e5"; when "01" & x"105" => data <= x"05"; when "01" & x"106" => data <= x"b0"; when "01" & x"107" => data <= x"d4"; when "01" & x"108" => data <= x"a5"; when "01" & x"109" => data <= x"02"; when "01" & x"10a" => data <= x"85"; when "01" & x"10b" => data <= x"2a"; when "01" & x"10c" => data <= x"a5"; when "01" & x"10d" => data <= x"03"; when "01" & x"10e" => data <= x"85"; when "01" & x"10f" => data <= x"2b"; when "01" & x"110" => data <= x"84"; when "01" & x"111" => data <= x"02"; when "01" & x"112" => data <= x"86"; when "01" & x"113" => data <= x"03"; when "01" & x"114" => data <= x"a9"; when "01" & x"115" => data <= x"00"; when "01" & x"116" => data <= x"85"; when "01" & x"117" => data <= x"2c"; when "01" & x"118" => data <= x"85"; when "01" & x"119" => data <= x"2d"; when "01" & x"11a" => data <= x"a9"; when "01" & x"11b" => data <= x"40"; when "01" & x"11c" => data <= x"85"; when "01" & x"11d" => data <= x"27"; when "01" & x"11e" => data <= x"20"; when "01" & x"11f" => data <= x"b4"; when "01" & x"120" => data <= x"b4"; when "01" & x"121" => data <= x"20"; when "01" & x"122" => data <= x"27"; when "01" & x"123" => data <= x"88"; when "01" & x"124" => data <= x"4c"; when "01" & x"125" => data <= x"0b"; when "01" & x"126" => data <= x"92"; when "01" & x"127" => data <= x"00"; when "01" & x"128" => data <= x"0a"; when "01" & x"129" => data <= x"42"; when "01" & x"12a" => data <= x"61"; when "01" & x"12b" => data <= x"64"; when "01" & x"12c" => data <= x"20"; when "01" & x"12d" => data <= x"de"; when "01" & x"12e" => data <= x"00"; when "01" & x"12f" => data <= x"20"; when "01" & x"130" => data <= x"97"; when "01" & x"131" => data <= x"8a"; when "01" & x"132" => data <= x"98"; when "01" & x"133" => data <= x"18"; when "01" & x"134" => data <= x"65"; when "01" & x"135" => data <= x"0b"; when "01" & x"136" => data <= x"a6"; when "01" & x"137" => data <= x"0c"; when "01" & x"138" => data <= x"90"; when "01" & x"139" => data <= x"02"; when "01" & x"13a" => data <= x"e8"; when "01" & x"13b" => data <= x"18"; when "01" & x"13c" => data <= x"e9"; when "01" & x"13d" => data <= x"00"; when "01" & x"13e" => data <= x"85"; when "01" & x"13f" => data <= x"37"; when "01" & x"140" => data <= x"8a"; when "01" & x"141" => data <= x"e9"; when "01" & x"142" => data <= x"00"; when "01" & x"143" => data <= x"85"; when "01" & x"144" => data <= x"38"; when "01" & x"145" => data <= x"a2"; when "01" & x"146" => data <= x"05"; when "01" & x"147" => data <= x"86"; when "01" & x"148" => data <= x"3f"; when "01" & x"149" => data <= x"a6"; when "01" & x"14a" => data <= x"0a"; when "01" & x"14b" => data <= x"20"; when "01" & x"14c" => data <= x"59"; when "01" & x"14d" => data <= x"95"; when "01" & x"14e" => data <= x"c0"; when "01" & x"14f" => data <= x"01"; when "01" & x"150" => data <= x"f0"; when "01" & x"151" => data <= x"d5"; when "01" & x"152" => data <= x"c9"; when "01" & x"153" => data <= x"28"; when "01" & x"154" => data <= x"f0"; when "01" & x"155" => data <= x"15"; when "01" & x"156" => data <= x"c9"; when "01" & x"157" => data <= x"24"; when "01" & x"158" => data <= x"f0"; when "01" & x"159" => data <= x"04"; when "01" & x"15a" => data <= x"c9"; when "01" & x"15b" => data <= x"25"; when "01" & x"15c" => data <= x"d0"; when "01" & x"15d" => data <= x"0a"; when "01" & x"15e" => data <= x"c6"; when "01" & x"15f" => data <= x"3f"; when "01" & x"160" => data <= x"c8"; when "01" & x"161" => data <= x"e8"; when "01" & x"162" => data <= x"b1"; when "01" & x"163" => data <= x"37"; when "01" & x"164" => data <= x"c9"; when "01" & x"165" => data <= x"28"; when "01" & x"166" => data <= x"f0"; when "01" & x"167" => data <= x"03"; when "01" & x"168" => data <= x"4c"; when "01" & x"169" => data <= x"df"; when "01" & x"16a" => data <= x"90"; when "01" & x"16b" => data <= x"84"; when "01" & x"16c" => data <= x"39"; when "01" & x"16d" => data <= x"86"; when "01" & x"16e" => data <= x"0a"; when "01" & x"16f" => data <= x"20"; when "01" & x"170" => data <= x"69"; when "01" & x"171" => data <= x"94"; when "01" & x"172" => data <= x"d0"; when "01" & x"173" => data <= x"b3"; when "01" & x"174" => data <= x"20"; when "01" & x"175" => data <= x"fc"; when "01" & x"176" => data <= x"94"; when "01" & x"177" => data <= x"a2"; when "01" & x"178" => data <= x"01"; when "01" & x"179" => data <= x"20"; when "01" & x"17a" => data <= x"31"; when "01" & x"17b" => data <= x"95"; when "01" & x"17c" => data <= x"a5"; when "01" & x"17d" => data <= x"3f"; when "01" & x"17e" => data <= x"48"; when "01" & x"17f" => data <= x"a9"; when "01" & x"180" => data <= x"01"; when "01" & x"181" => data <= x"48"; when "01" & x"182" => data <= x"20"; when "01" & x"183" => data <= x"d8"; when "01" & x"184" => data <= x"ae"; when "01" & x"185" => data <= x"20"; when "01" & x"186" => data <= x"94"; when "01" & x"187" => data <= x"bd"; when "01" & x"188" => data <= x"20"; when "01" & x"189" => data <= x"21"; when "01" & x"18a" => data <= x"88"; when "01" & x"18b" => data <= x"a5"; when "01" & x"18c" => data <= x"2b"; when "01" & x"18d" => data <= x"29"; when "01" & x"18e" => data <= x"c0"; when "01" & x"18f" => data <= x"05"; when "01" & x"190" => data <= x"2c"; when "01" & x"191" => data <= x"05"; when "01" & x"192" => data <= x"2d"; when "01" & x"193" => data <= x"d0"; when "01" & x"194" => data <= x"92"; when "01" & x"195" => data <= x"20"; when "01" & x"196" => data <= x"22"; when "01" & x"197" => data <= x"92"; when "01" & x"198" => data <= x"68"; when "01" & x"199" => data <= x"a8"; when "01" & x"19a" => data <= x"a5"; when "01" & x"19b" => data <= x"2a"; when "01" & x"19c" => data <= x"91"; when "01" & x"19d" => data <= x"02"; when "01" & x"19e" => data <= x"c8"; when "01" & x"19f" => data <= x"a5"; when "01" & x"1a0" => data <= x"2b"; when "01" & x"1a1" => data <= x"91"; when "01" & x"1a2" => data <= x"02"; when "01" & x"1a3" => data <= x"c8"; when "01" & x"1a4" => data <= x"98"; when "01" & x"1a5" => data <= x"48"; when "01" & x"1a6" => data <= x"20"; when "01" & x"1a7" => data <= x"31"; when "01" & x"1a8" => data <= x"92"; when "01" & x"1a9" => data <= x"20"; when "01" & x"1aa" => data <= x"97"; when "01" & x"1ab" => data <= x"8a"; when "01" & x"1ac" => data <= x"c9"; when "01" & x"1ad" => data <= x"2c"; when "01" & x"1ae" => data <= x"f0"; when "01" & x"1af" => data <= x"d5"; when "01" & x"1b0" => data <= x"c9"; when "01" & x"1b1" => data <= x"29"; when "01" & x"1b2" => data <= x"f0"; when "01" & x"1b3" => data <= x"03"; when "01" & x"1b4" => data <= x"4c"; when "01" & x"1b5" => data <= x"27"; when "01" & x"1b6" => data <= x"91"; when "01" & x"1b7" => data <= x"68"; when "01" & x"1b8" => data <= x"85"; when "01" & x"1b9" => data <= x"15"; when "01" & x"1ba" => data <= x"68"; when "01" & x"1bb" => data <= x"85"; when "01" & x"1bc" => data <= x"3f"; when "01" & x"1bd" => data <= x"a9"; when "01" & x"1be" => data <= x"00"; when "01" & x"1bf" => data <= x"85"; when "01" & x"1c0" => data <= x"40"; when "01" & x"1c1" => data <= x"20"; when "01" & x"1c2" => data <= x"36"; when "01" & x"1c3" => data <= x"92"; when "01" & x"1c4" => data <= x"a0"; when "01" & x"1c5" => data <= x"00"; when "01" & x"1c6" => data <= x"a5"; when "01" & x"1c7" => data <= x"15"; when "01" & x"1c8" => data <= x"91"; when "01" & x"1c9" => data <= x"02"; when "01" & x"1ca" => data <= x"65"; when "01" & x"1cb" => data <= x"2a"; when "01" & x"1cc" => data <= x"85"; when "01" & x"1cd" => data <= x"2a"; when "01" & x"1ce" => data <= x"90"; when "01" & x"1cf" => data <= x"02"; when "01" & x"1d0" => data <= x"e6"; when "01" & x"1d1" => data <= x"2b"; when "01" & x"1d2" => data <= x"a5"; when "01" & x"1d3" => data <= x"03"; when "01" & x"1d4" => data <= x"85"; when "01" & x"1d5" => data <= x"38"; when "01" & x"1d6" => data <= x"a5"; when "01" & x"1d7" => data <= x"02"; when "01" & x"1d8" => data <= x"85"; when "01" & x"1d9" => data <= x"37"; when "01" & x"1da" => data <= x"18"; when "01" & x"1db" => data <= x"65"; when "01" & x"1dc" => data <= x"2a"; when "01" & x"1dd" => data <= x"a8"; when "01" & x"1de" => data <= x"a5"; when "01" & x"1df" => data <= x"2b"; when "01" & x"1e0" => data <= x"65"; when "01" & x"1e1" => data <= x"03"; when "01" & x"1e2" => data <= x"b0"; when "01" & x"1e3" => data <= x"34"; when "01" & x"1e4" => data <= x"aa"; when "01" & x"1e5" => data <= x"c4"; when "01" & x"1e6" => data <= x"04"; when "01" & x"1e7" => data <= x"e5"; when "01" & x"1e8" => data <= x"05"; when "01" & x"1e9" => data <= x"b0"; when "01" & x"1ea" => data <= x"2d"; when "01" & x"1eb" => data <= x"84"; when "01" & x"1ec" => data <= x"02"; when "01" & x"1ed" => data <= x"86"; when "01" & x"1ee" => data <= x"03"; when "01" & x"1ef" => data <= x"a5"; when "01" & x"1f0" => data <= x"37"; when "01" & x"1f1" => data <= x"65"; when "01" & x"1f2" => data <= x"15"; when "01" & x"1f3" => data <= x"a8"; when "01" & x"1f4" => data <= x"a9"; when "01" & x"1f5" => data <= x"00"; when "01" & x"1f6" => data <= x"85"; when "01" & x"1f7" => data <= x"37"; when "01" & x"1f8" => data <= x"90"; when "01" & x"1f9" => data <= x"02"; when "01" & x"1fa" => data <= x"e6"; when "01" & x"1fb" => data <= x"38"; when "01" & x"1fc" => data <= x"91"; when "01" & x"1fd" => data <= x"37"; when "01" & x"1fe" => data <= x"c8"; when "01" & x"1ff" => data <= x"d0"; when "01" & x"200" => data <= x"02"; when "01" & x"201" => data <= x"e6"; when "01" & x"202" => data <= x"38"; when "01" & x"203" => data <= x"c4"; when "01" & x"204" => data <= x"02"; when "01" & x"205" => data <= x"d0"; when "01" & x"206" => data <= x"f5"; when "01" & x"207" => data <= x"e4"; when "01" & x"208" => data <= x"38"; when "01" & x"209" => data <= x"d0"; when "01" & x"20a" => data <= x"f1"; when "01" & x"20b" => data <= x"20"; when "01" & x"20c" => data <= x"97"; when "01" & x"20d" => data <= x"8a"; when "01" & x"20e" => data <= x"c9"; when "01" & x"20f" => data <= x"2c"; when "01" & x"210" => data <= x"f0"; when "01" & x"211" => data <= x"03"; when "01" & x"212" => data <= x"4c"; when "01" & x"213" => data <= x"96"; when "01" & x"214" => data <= x"8b"; when "01" & x"215" => data <= x"4c"; when "01" & x"216" => data <= x"2f"; when "01" & x"217" => data <= x"91"; when "01" & x"218" => data <= x"00"; when "01" & x"219" => data <= x"0b"; when "01" & x"21a" => data <= x"de"; when "01" & x"21b" => data <= x"20"; when "01" & x"21c" => data <= x"73"; when "01" & x"21d" => data <= x"70"; when "01" & x"21e" => data <= x"61"; when "01" & x"21f" => data <= x"63"; when "01" & x"220" => data <= x"65"; when "01" & x"221" => data <= x"00"; when "01" & x"222" => data <= x"e6"; when "01" & x"223" => data <= x"2a"; when "01" & x"224" => data <= x"d0"; when "01" & x"225" => data <= x"0a"; when "01" & x"226" => data <= x"e6"; when "01" & x"227" => data <= x"2b"; when "01" & x"228" => data <= x"d0"; when "01" & x"229" => data <= x"06"; when "01" & x"22a" => data <= x"e6"; when "01" & x"22b" => data <= x"2c"; when "01" & x"22c" => data <= x"d0"; when "01" & x"22d" => data <= x"02"; when "01" & x"22e" => data <= x"e6"; when "01" & x"22f" => data <= x"2d"; when "01" & x"230" => data <= x"60"; when "01" & x"231" => data <= x"a2"; when "01" & x"232" => data <= x"3f"; when "01" & x"233" => data <= x"20"; when "01" & x"234" => data <= x"0d"; when "01" & x"235" => data <= x"be"; when "01" & x"236" => data <= x"a2"; when "01" & x"237" => data <= x"00"; when "01" & x"238" => data <= x"a0"; when "01" & x"239" => data <= x"00"; when "01" & x"23a" => data <= x"46"; when "01" & x"23b" => data <= x"40"; when "01" & x"23c" => data <= x"66"; when "01" & x"23d" => data <= x"3f"; when "01" & x"23e" => data <= x"90"; when "01" & x"23f" => data <= x"0b"; when "01" & x"240" => data <= x"18"; when "01" & x"241" => data <= x"98"; when "01" & x"242" => data <= x"65"; when "01" & x"243" => data <= x"2a"; when "01" & x"244" => data <= x"a8"; when "01" & x"245" => data <= x"8a"; when "01" & x"246" => data <= x"65"; when "01" & x"247" => data <= x"2b"; when "01" & x"248" => data <= x"aa"; when "01" & x"249" => data <= x"b0"; when "01" & x"24a" => data <= x"0f"; when "01" & x"24b" => data <= x"06"; when "01" & x"24c" => data <= x"2a"; when "01" & x"24d" => data <= x"26"; when "01" & x"24e" => data <= x"2b"; when "01" & x"24f" => data <= x"a5"; when "01" & x"250" => data <= x"3f"; when "01" & x"251" => data <= x"05"; when "01" & x"252" => data <= x"40"; when "01" & x"253" => data <= x"d0"; when "01" & x"254" => data <= x"e5"; when "01" & x"255" => data <= x"84"; when "01" & x"256" => data <= x"2a"; when "01" & x"257" => data <= x"86"; when "01" & x"258" => data <= x"2b"; when "01" & x"259" => data <= x"60"; when "01" & x"25a" => data <= x"4c"; when "01" & x"25b" => data <= x"27"; when "01" & x"25c" => data <= x"91"; when "01" & x"25d" => data <= x"20"; when "01" & x"25e" => data <= x"eb"; when "01" & x"25f" => data <= x"92"; when "01" & x"260" => data <= x"a5"; when "01" & x"261" => data <= x"2a"; when "01" & x"262" => data <= x"85"; when "01" & x"263" => data <= x"06"; when "01" & x"264" => data <= x"85"; when "01" & x"265" => data <= x"04"; when "01" & x"266" => data <= x"a5"; when "01" & x"267" => data <= x"2b"; when "01" & x"268" => data <= x"85"; when "01" & x"269" => data <= x"07"; when "01" & x"26a" => data <= x"85"; when "01" & x"26b" => data <= x"05"; when "01" & x"26c" => data <= x"4c"; when "01" & x"26d" => data <= x"9b"; when "01" & x"26e" => data <= x"8b"; when "01" & x"26f" => data <= x"20"; when "01" & x"270" => data <= x"eb"; when "01" & x"271" => data <= x"92"; when "01" & x"272" => data <= x"a5"; when "01" & x"273" => data <= x"2a"; when "01" & x"274" => data <= x"85"; when "01" & x"275" => data <= x"00"; when "01" & x"276" => data <= x"85"; when "01" & x"277" => data <= x"02"; when "01" & x"278" => data <= x"a5"; when "01" & x"279" => data <= x"2b"; when "01" & x"27a" => data <= x"85"; when "01" & x"27b" => data <= x"01"; when "01" & x"27c" => data <= x"85"; when "01" & x"27d" => data <= x"03"; when "01" & x"27e" => data <= x"20"; when "01" & x"27f" => data <= x"2f"; when "01" & x"280" => data <= x"bd"; when "01" & x"281" => data <= x"f0"; when "01" & x"282" => data <= x"07"; when "01" & x"283" => data <= x"20"; when "01" & x"284" => data <= x"eb"; when "01" & x"285" => data <= x"92"; when "01" & x"286" => data <= x"a5"; when "01" & x"287" => data <= x"2b"; when "01" & x"288" => data <= x"85"; when "01" & x"289" => data <= x"18"; when "01" & x"28a" => data <= x"4c"; when "01" & x"28b" => data <= x"9b"; when "01" & x"28c" => data <= x"8b"; when "01" & x"28d" => data <= x"20"; when "01" & x"28e" => data <= x"57"; when "01" & x"28f" => data <= x"98"; when "01" & x"290" => data <= x"20"; when "01" & x"291" => data <= x"20"; when "01" & x"292" => data <= x"bd"; when "01" & x"293" => data <= x"f0"; when "01" & x"294" => data <= x"f5"; when "01" & x"295" => data <= x"20"; when "01" & x"296" => data <= x"df"; when "01" & x"297" => data <= x"97"; when "01" & x"298" => data <= x"b0"; when "01" & x"299" => data <= x"0b"; when "01" & x"29a" => data <= x"c9"; when "01" & x"29b" => data <= x"ee"; when "01" & x"29c" => data <= x"f0"; when "01" & x"29d" => data <= x"19"; when "01" & x"29e" => data <= x"c9"; when "01" & x"29f" => data <= x"87"; when "01" & x"2a0" => data <= x"f0"; when "01" & x"2a1" => data <= x"1e"; when "01" & x"2a2" => data <= x"20"; when "01" & x"2a3" => data <= x"21"; when "01" & x"2a4" => data <= x"88"; when "01" & x"2a5" => data <= x"20"; when "01" & x"2a6" => data <= x"57"; when "01" & x"2a7" => data <= x"98"; when "01" & x"2a8" => data <= x"a5"; when "01" & x"2a9" => data <= x"2a"; when "01" & x"2aa" => data <= x"85"; when "01" & x"2ab" => data <= x"21"; when "01" & x"2ac" => data <= x"a5"; when "01" & x"2ad" => data <= x"2b"; when "01" & x"2ae" => data <= x"85"; when "01" & x"2af" => data <= x"22"; when "01" & x"2b0" => data <= x"a9"; when "01" & x"2b1" => data <= x"ff"; when "01" & x"2b2" => data <= x"85"; when "01" & x"2b3" => data <= x"20"; when "01" & x"2b4" => data <= x"4c"; when "01" & x"2b5" => data <= x"9b"; when "01" & x"2b6" => data <= x"8b"; when "01" & x"2b7" => data <= x"e6"; when "01" & x"2b8" => data <= x"0a"; when "01" & x"2b9" => data <= x"20"; when "01" & x"2ba" => data <= x"57"; when "01" & x"2bb" => data <= x"98"; when "01" & x"2bc" => data <= x"a9"; when "01" & x"2bd" => data <= x"ff"; when "01" & x"2be" => data <= x"d0"; when "01" & x"2bf" => data <= x"ee"; when "01" & x"2c0" => data <= x"e6"; when "01" & x"2c1" => data <= x"0a"; when "01" & x"2c2" => data <= x"20"; when "01" & x"2c3" => data <= x"57"; when "01" & x"2c4" => data <= x"98"; when "01" & x"2c5" => data <= x"a9"; when "01" & x"2c6" => data <= x"00"; when "01" & x"2c7" => data <= x"f0"; when "01" & x"2c8" => data <= x"e9"; when "01" & x"2c9" => data <= x"20"; when "01" & x"2ca" => data <= x"eb"; when "01" & x"2cb" => data <= x"92"; when "01" & x"2cc" => data <= x"a2"; when "01" & x"2cd" => data <= x"2a"; when "01" & x"2ce" => data <= x"a0"; when "01" & x"2cf" => data <= x"00"; when "01" & x"2d0" => data <= x"84"; when "01" & x"2d1" => data <= x"2e"; when "01" & x"2d2" => data <= x"a9"; when "01" & x"2d3" => data <= x"02"; when "01" & x"2d4" => data <= x"20"; when "01" & x"2d5" => data <= x"f1"; when "01" & x"2d6" => data <= x"ff"; when "01" & x"2d7" => data <= x"4c"; when "01" & x"2d8" => data <= x"9b"; when "01" & x"2d9" => data <= x"8b"; when "01" & x"2da" => data <= x"20"; when "01" & x"2db" => data <= x"ae"; when "01" & x"2dc" => data <= x"8a"; when "01" & x"2dd" => data <= x"20"; when "01" & x"2de" => data <= x"29"; when "01" & x"2df" => data <= x"9b"; when "01" & x"2e0" => data <= x"4c"; when "01" & x"2e1" => data <= x"f0"; when "01" & x"2e2" => data <= x"92"; when "01" & x"2e3" => data <= x"20"; when "01" & x"2e4" => data <= x"ec"; when "01" & x"2e5" => data <= x"ad"; when "01" & x"2e6" => data <= x"f0"; when "01" & x"2e7" => data <= x"0f"; when "01" & x"2e8" => data <= x"30"; when "01" & x"2e9" => data <= x"0a"; when "01" & x"2ea" => data <= x"60"; when "01" & x"2eb" => data <= x"20"; when "01" & x"2ec" => data <= x"07"; when "01" & x"2ed" => data <= x"98"; when "01" & x"2ee" => data <= x"a5"; when "01" & x"2ef" => data <= x"27"; when "01" & x"2f0" => data <= x"f0"; when "01" & x"2f1" => data <= x"05"; when "01" & x"2f2" => data <= x"10"; when "01" & x"2f3" => data <= x"f6"; when "01" & x"2f4" => data <= x"4c"; when "01" & x"2f5" => data <= x"e4"; when "01" & x"2f6" => data <= x"a3"; when "01" & x"2f7" => data <= x"4c"; when "01" & x"2f8" => data <= x"0e"; when "01" & x"2f9" => data <= x"8c"; when "01" & x"2fa" => data <= x"20"; when "01" & x"2fb" => data <= x"ec"; when "01" & x"2fc" => data <= x"ad"; when "01" & x"2fd" => data <= x"f0"; when "01" & x"2fe" => data <= x"f8"; when "01" & x"2ff" => data <= x"30"; when "01" & x"300" => data <= x"e9"; when "01" & x"301" => data <= x"4c"; when "01" & x"302" => data <= x"be"; when "01" & x"303" => data <= x"a2"; when "01" & x"304" => data <= x"a5"; when "01" & x"305" => data <= x"0b"; when "01" & x"306" => data <= x"85"; when "01" & x"307" => data <= x"19"; when "01" & x"308" => data <= x"a5"; when "01" & x"309" => data <= x"0c"; when "01" & x"30a" => data <= x"85"; when "01" & x"30b" => data <= x"1a"; when "01" & x"30c" => data <= x"a5"; when "01" & x"30d" => data <= x"0a"; when "01" & x"30e" => data <= x"85"; when "01" & x"30f" => data <= x"1b"; when "01" & x"310" => data <= x"a9"; when "01" & x"311" => data <= x"f2"; when "01" & x"312" => data <= x"20"; when "01" & x"313" => data <= x"97"; when "01" & x"314" => data <= x"b1"; when "01" & x"315" => data <= x"20"; when "01" & x"316" => data <= x"52"; when "01" & x"317" => data <= x"98"; when "01" & x"318" => data <= x"4c"; when "01" & x"319" => data <= x"9b"; when "01" & x"31a" => data <= x"8b"; when "01" & x"31b" => data <= x"a0"; when "01" & x"31c" => data <= x"03"; when "01" & x"31d" => data <= x"a9"; when "01" & x"31e" => data <= x"00"; when "01" & x"31f" => data <= x"91"; when "01" & x"320" => data <= x"2a"; when "01" & x"321" => data <= x"f0"; when "01" & x"322" => data <= x"1e"; when "01" & x"323" => data <= x"ba"; when "01" & x"324" => data <= x"e0"; when "01" & x"325" => data <= x"fc"; when "01" & x"326" => data <= x"b0"; when "01" & x"327" => data <= x"43"; when "01" & x"328" => data <= x"20"; when "01" & x"329" => data <= x"82"; when "01" & x"32a" => data <= x"95"; when "01" & x"32b" => data <= x"f0"; when "01" & x"32c" => data <= x"26"; when "01" & x"32d" => data <= x"20"; when "01" & x"32e" => data <= x"0d"; when "01" & x"32f" => data <= x"b3"; when "01" & x"330" => data <= x"a4"; when "01" & x"331" => data <= x"2c"; when "01" & x"332" => data <= x"30"; when "01" & x"333" => data <= x"e7"; when "01" & x"334" => data <= x"20"; when "01" & x"335" => data <= x"94"; when "01" & x"336" => data <= x"bd"; when "01" & x"337" => data <= x"a9"; when "01" & x"338" => data <= x"00"; when "01" & x"339" => data <= x"20"; when "01" & x"33a" => data <= x"d8"; when "01" & x"33b" => data <= x"ae"; when "01" & x"33c" => data <= x"85"; when "01" & x"33d" => data <= x"27"; when "01" & x"33e" => data <= x"20"; when "01" & x"33f" => data <= x"b4"; when "01" & x"340" => data <= x"b4"; when "01" & x"341" => data <= x"ba"; when "01" & x"342" => data <= x"fe"; when "01" & x"343" => data <= x"06"; when "01" & x"344" => data <= x"01"; when "01" & x"345" => data <= x"a4"; when "01" & x"346" => data <= x"1b"; when "01" & x"347" => data <= x"84"; when "01" & x"348" => data <= x"0a"; when "01" & x"349" => data <= x"20"; when "01" & x"34a" => data <= x"97"; when "01" & x"34b" => data <= x"8a"; when "01" & x"34c" => data <= x"c9"; when "01" & x"34d" => data <= x"2c"; when "01" & x"34e" => data <= x"f0"; when "01" & x"34f" => data <= x"d3"; when "01" & x"350" => data <= x"4c"; when "01" & x"351" => data <= x"96"; when "01" & x"352" => data <= x"8b"; when "01" & x"353" => data <= x"4c"; when "01" & x"354" => data <= x"98"; when "01" & x"355" => data <= x"8b"; when "01" & x"356" => data <= x"ba"; when "01" & x"357" => data <= x"e0"; when "01" & x"358" => data <= x"fc"; when "01" & x"359" => data <= x"b0"; when "01" & x"35a" => data <= x"0a"; when "01" & x"35b" => data <= x"ad"; when "01" & x"35c" => data <= x"ff"; when "01" & x"35d" => data <= x"01"; when "01" & x"35e" => data <= x"c9"; when "01" & x"35f" => data <= x"f2"; when "01" & x"360" => data <= x"d0"; when "01" & x"361" => data <= x"03"; when "01" & x"362" => data <= x"4c"; when "01" & x"363" => data <= x"57"; when "01" & x"364" => data <= x"98"; when "01" & x"365" => data <= x"00"; when "01" & x"366" => data <= x"0d"; when "01" & x"367" => data <= x"4e"; when "01" & x"368" => data <= x"6f"; when "01" & x"369" => data <= x"20"; when "01" & x"36a" => data <= x"f2"; when "01" & x"36b" => data <= x"00"; when "01" & x"36c" => data <= x"0c"; when "01" & x"36d" => data <= x"4e"; when "01" & x"36e" => data <= x"6f"; when "01" & x"36f" => data <= x"74"; when "01" & x"370" => data <= x"20"; when "01" & x"371" => data <= x"ea"; when "01" & x"372" => data <= x"00"; when "01" & x"373" => data <= x"19"; when "01" & x"374" => data <= x"42"; when "01" & x"375" => data <= x"61"; when "01" & x"376" => data <= x"64"; when "01" & x"377" => data <= x"20"; when "01" & x"378" => data <= x"eb"; when "01" & x"379" => data <= x"00"; when "01" & x"37a" => data <= x"20"; when "01" & x"37b" => data <= x"21"; when "01" & x"37c" => data <= x"88"; when "01" & x"37d" => data <= x"a5"; when "01" & x"37e" => data <= x"2a"; when "01" & x"37f" => data <= x"48"; when "01" & x"380" => data <= x"20"; when "01" & x"381" => data <= x"da"; when "01" & x"382" => data <= x"92"; when "01" & x"383" => data <= x"20"; when "01" & x"384" => data <= x"52"; when "01" & x"385" => data <= x"98"; when "01" & x"386" => data <= x"a9"; when "01" & x"387" => data <= x"12"; when "01" & x"388" => data <= x"20"; when "01" & x"389" => data <= x"ee"; when "01" & x"38a" => data <= x"ff"; when "01" & x"38b" => data <= x"4c"; when "01" & x"38c" => data <= x"da"; when "01" & x"38d" => data <= x"93"; when "01" & x"38e" => data <= x"a9"; when "01" & x"38f" => data <= x"11"; when "01" & x"390" => data <= x"48"; when "01" & x"391" => data <= x"20"; when "01" & x"392" => data <= x"21"; when "01" & x"393" => data <= x"88"; when "01" & x"394" => data <= x"20"; when "01" & x"395" => data <= x"57"; when "01" & x"396" => data <= x"98"; when "01" & x"397" => data <= x"4c"; when "01" & x"398" => data <= x"da"; when "01" & x"399" => data <= x"93"; when "01" & x"39a" => data <= x"a9"; when "01" & x"39b" => data <= x"16"; when "01" & x"39c" => data <= x"48"; when "01" & x"39d" => data <= x"20"; when "01" & x"39e" => data <= x"21"; when "01" & x"39f" => data <= x"88"; when "01" & x"3a0" => data <= x"20"; when "01" & x"3a1" => data <= x"57"; when "01" & x"3a2" => data <= x"98"; when "01" & x"3a3" => data <= x"20"; when "01" & x"3a4" => data <= x"e7"; when "01" & x"3a5" => data <= x"be"; when "01" & x"3a6" => data <= x"e0"; when "01" & x"3a7" => data <= x"ff"; when "01" & x"3a8" => data <= x"d0"; when "01" & x"3a9" => data <= x"2d"; when "01" & x"3aa" => data <= x"c0"; when "01" & x"3ab" => data <= x"ff"; when "01" & x"3ac" => data <= x"d0"; when "01" & x"3ad" => data <= x"29"; when "01" & x"3ae" => data <= x"a5"; when "01" & x"3af" => data <= x"04"; when "01" & x"3b0" => data <= x"c5"; when "01" & x"3b1" => data <= x"06"; when "01" & x"3b2" => data <= x"d0"; when "01" & x"3b3" => data <= x"be"; when "01" & x"3b4" => data <= x"a5"; when "01" & x"3b5" => data <= x"05"; when "01" & x"3b6" => data <= x"c5"; when "01" & x"3b7" => data <= x"07"; when "01" & x"3b8" => data <= x"d0"; when "01" & x"3b9" => data <= x"b8"; when "01" & x"3ba" => data <= x"a6"; when "01" & x"3bb" => data <= x"2a"; when "01" & x"3bc" => data <= x"a9"; when "01" & x"3bd" => data <= x"85"; when "01" & x"3be" => data <= x"20"; when "01" & x"3bf" => data <= x"f4"; when "01" & x"3c0" => data <= x"ff"; when "01" & x"3c1" => data <= x"e4"; when "01" & x"3c2" => data <= x"02"; when "01" & x"3c3" => data <= x"98"; when "01" & x"3c4" => data <= x"e5"; when "01" & x"3c5" => data <= x"03"; when "01" & x"3c6" => data <= x"90"; when "01" & x"3c7" => data <= x"aa"; when "01" & x"3c8" => data <= x"e4"; when "01" & x"3c9" => data <= x"12"; when "01" & x"3ca" => data <= x"98"; when "01" & x"3cb" => data <= x"e5"; when "01" & x"3cc" => data <= x"13"; when "01" & x"3cd" => data <= x"90"; when "01" & x"3ce" => data <= x"a3"; when "01" & x"3cf" => data <= x"86"; when "01" & x"3d0" => data <= x"06"; when "01" & x"3d1" => data <= x"86"; when "01" & x"3d2" => data <= x"04"; when "01" & x"3d3" => data <= x"84"; when "01" & x"3d4" => data <= x"07"; when "01" & x"3d5" => data <= x"84"; when "01" & x"3d6" => data <= x"05"; when "01" & x"3d7" => data <= x"20"; when "01" & x"3d8" => data <= x"28"; when "01" & x"3d9" => data <= x"bc"; when "01" & x"3da" => data <= x"68"; when "01" & x"3db" => data <= x"20"; when "01" & x"3dc" => data <= x"ee"; when "01" & x"3dd" => data <= x"ff"; when "01" & x"3de" => data <= x"20"; when "01" & x"3df" => data <= x"56"; when "01" & x"3e0" => data <= x"94"; when "01" & x"3e1" => data <= x"4c"; when "01" & x"3e2" => data <= x"9b"; when "01" & x"3e3" => data <= x"8b"; when "01" & x"3e4" => data <= x"a9"; when "01" & x"3e5" => data <= x"04"; when "01" & x"3e6" => data <= x"d0"; when "01" & x"3e7" => data <= x"02"; when "01" & x"3e8" => data <= x"a9"; when "01" & x"3e9" => data <= x"05"; when "01" & x"3ea" => data <= x"48"; when "01" & x"3eb" => data <= x"20"; when "01" & x"3ec" => data <= x"1d"; when "01" & x"3ed" => data <= x"9b"; when "01" & x"3ee" => data <= x"4c"; when "01" & x"3ef" => data <= x"fd"; when "01" & x"3f0" => data <= x"93"; when "01" & x"3f1" => data <= x"20"; when "01" & x"3f2" => data <= x"21"; when "01" & x"3f3" => data <= x"88"; when "01" & x"3f4" => data <= x"a5"; when "01" & x"3f5" => data <= x"2a"; when "01" & x"3f6" => data <= x"48"; when "01" & x"3f7" => data <= x"20"; when "01" & x"3f8" => data <= x"ae"; when "01" & x"3f9" => data <= x"8a"; when "01" & x"3fa" => data <= x"20"; when "01" & x"3fb" => data <= x"29"; when "01" & x"3fc" => data <= x"9b"; when "01" & x"3fd" => data <= x"20"; when "01" & x"3fe" => data <= x"ee"; when "01" & x"3ff" => data <= x"92"; when "01" & x"400" => data <= x"20"; when "01" & x"401" => data <= x"94"; when "01" & x"402" => data <= x"bd"; when "01" & x"403" => data <= x"20"; when "01" & x"404" => data <= x"da"; when "01" & x"405" => data <= x"92"; when "01" & x"406" => data <= x"20"; when "01" & x"407" => data <= x"52"; when "01" & x"408" => data <= x"98"; when "01" & x"409" => data <= x"a9"; when "01" & x"40a" => data <= x"19"; when "01" & x"40b" => data <= x"20"; when "01" & x"40c" => data <= x"ee"; when "01" & x"40d" => data <= x"ff"; when "01" & x"40e" => data <= x"68"; when "01" & x"40f" => data <= x"20"; when "01" & x"410" => data <= x"ee"; when "01" & x"411" => data <= x"ff"; when "01" & x"412" => data <= x"20"; when "01" & x"413" => data <= x"0b"; when "01" & x"414" => data <= x"be"; when "01" & x"415" => data <= x"a5"; when "01" & x"416" => data <= x"37"; when "01" & x"417" => data <= x"20"; when "01" & x"418" => data <= x"ee"; when "01" & x"419" => data <= x"ff"; when "01" & x"41a" => data <= x"a5"; when "01" & x"41b" => data <= x"38"; when "01" & x"41c" => data <= x"20"; when "01" & x"41d" => data <= x"ee"; when "01" & x"41e" => data <= x"ff"; when "01" & x"41f" => data <= x"20"; when "01" & x"420" => data <= x"56"; when "01" & x"421" => data <= x"94"; when "01" & x"422" => data <= x"a5"; when "01" & x"423" => data <= x"2b"; when "01" & x"424" => data <= x"20"; when "01" & x"425" => data <= x"ee"; when "01" & x"426" => data <= x"ff"; when "01" & x"427" => data <= x"4c"; when "01" & x"428" => data <= x"9b"; when "01" & x"429" => data <= x"8b"; when "01" & x"42a" => data <= x"a5"; when "01" & x"42b" => data <= x"2b"; when "01" & x"42c" => data <= x"20"; when "01" & x"42d" => data <= x"ee"; when "01" & x"42e" => data <= x"ff"; when "01" & x"42f" => data <= x"20"; when "01" & x"430" => data <= x"97"; when "01" & x"431" => data <= x"8a"; when "01" & x"432" => data <= x"c9"; when "01" & x"433" => data <= x"3a"; when "01" & x"434" => data <= x"f0"; when "01" & x"435" => data <= x"1d"; when "01" & x"436" => data <= x"c9"; when "01" & x"437" => data <= x"0d"; when "01" & x"438" => data <= x"f0"; when "01" & x"439" => data <= x"19"; when "01" & x"43a" => data <= x"c9"; when "01" & x"43b" => data <= x"8b"; when "01" & x"43c" => data <= x"f0"; when "01" & x"43d" => data <= x"15"; when "01" & x"43e" => data <= x"c6"; when "01" & x"43f" => data <= x"0a"; when "01" & x"440" => data <= x"20"; when "01" & x"441" => data <= x"21"; when "01" & x"442" => data <= x"88"; when "01" & x"443" => data <= x"20"; when "01" & x"444" => data <= x"56"; when "01" & x"445" => data <= x"94"; when "01" & x"446" => data <= x"20"; when "01" & x"447" => data <= x"97"; when "01" & x"448" => data <= x"8a"; when "01" & x"449" => data <= x"c9"; when "01" & x"44a" => data <= x"2c"; when "01" & x"44b" => data <= x"f0"; when "01" & x"44c" => data <= x"e2"; when "01" & x"44d" => data <= x"c9"; when "01" & x"44e" => data <= x"3b"; when "01" & x"44f" => data <= x"d0"; when "01" & x"450" => data <= x"e1"; when "01" & x"451" => data <= x"f0"; when "01" & x"452" => data <= x"d7"; when "01" & x"453" => data <= x"4c"; when "01" & x"454" => data <= x"96"; when "01" & x"455" => data <= x"8b"; when "01" & x"456" => data <= x"a5"; when "01" & x"457" => data <= x"2a"; when "01" & x"458" => data <= x"6c"; when "01" & x"459" => data <= x"0e"; when "01" & x"45a" => data <= x"02"; when "01" & x"45b" => data <= x"a0"; when "01" & x"45c" => data <= x"01"; when "01" & x"45d" => data <= x"b1"; when "01" & x"45e" => data <= x"37"; when "01" & x"45f" => data <= x"a0"; when "01" & x"460" => data <= x"f6"; when "01" & x"461" => data <= x"c9"; when "01" & x"462" => data <= x"f2"; when "01" & x"463" => data <= x"f0"; when "01" & x"464" => data <= x"0a"; when "01" & x"465" => data <= x"a0"; when "01" & x"466" => data <= x"f8"; when "01" & x"467" => data <= x"d0"; when "01" & x"468" => data <= x"06"; when "01" & x"469" => data <= x"a0"; when "01" & x"46a" => data <= x"01"; when "01" & x"46b" => data <= x"b1"; when "01" & x"46c" => data <= x"37"; when "01" & x"46d" => data <= x"0a"; when "01" & x"46e" => data <= x"a8"; when "01" & x"46f" => data <= x"b9"; when "01" & x"470" => data <= x"00"; when "01" & x"471" => data <= x"04"; when "01" & x"472" => data <= x"85"; when "01" & x"473" => data <= x"3a"; when "01" & x"474" => data <= x"b9"; when "01" & x"475" => data <= x"01"; when "01" & x"476" => data <= x"04"; when "01" & x"477" => data <= x"85"; when "01" & x"478" => data <= x"3b"; when "01" & x"479" => data <= x"a5"; when "01" & x"47a" => data <= x"3b"; when "01" & x"47b" => data <= x"f0"; when "01" & x"47c" => data <= x"35"; when "01" & x"47d" => data <= x"a0"; when "01" & x"47e" => data <= x"00"; when "01" & x"47f" => data <= x"b1"; when "01" & x"480" => data <= x"3a"; when "01" & x"481" => data <= x"85"; when "01" & x"482" => data <= x"3c"; when "01" & x"483" => data <= x"c8"; when "01" & x"484" => data <= x"b1"; when "01" & x"485" => data <= x"3a"; when "01" & x"486" => data <= x"85"; when "01" & x"487" => data <= x"3d"; when "01" & x"488" => data <= x"c8"; when "01" & x"489" => data <= x"b1"; when "01" & x"48a" => data <= x"3a"; when "01" & x"48b" => data <= x"d0"; when "01" & x"48c" => data <= x"0d"; when "01" & x"48d" => data <= x"88"; when "01" & x"48e" => data <= x"c4"; when "01" & x"48f" => data <= x"39"; when "01" & x"490" => data <= x"d0"; when "01" & x"491" => data <= x"21"; when "01" & x"492" => data <= x"c8"; when "01" & x"493" => data <= x"b0"; when "01" & x"494" => data <= x"12"; when "01" & x"495" => data <= x"c8"; when "01" & x"496" => data <= x"b1"; when "01" & x"497" => data <= x"3a"; when "01" & x"498" => data <= x"f0"; when "01" & x"499" => data <= x"19"; when "01" & x"49a" => data <= x"d1"; when "01" & x"49b" => data <= x"37"; when "01" & x"49c" => data <= x"d0"; when "01" & x"49d" => data <= x"15"; when "01" & x"49e" => data <= x"c4"; when "01" & x"49f" => data <= x"39"; when "01" & x"4a0" => data <= x"d0"; when "01" & x"4a1" => data <= x"f3"; when "01" & x"4a2" => data <= x"c8"; when "01" & x"4a3" => data <= x"b1"; when "01" & x"4a4" => data <= x"3a"; when "01" & x"4a5" => data <= x"d0"; when "01" & x"4a6" => data <= x"0c"; when "01" & x"4a7" => data <= x"98"; when "01" & x"4a8" => data <= x"65"; when "01" & x"4a9" => data <= x"3a"; when "01" & x"4aa" => data <= x"85"; when "01" & x"4ab" => data <= x"2a"; when "01" & x"4ac" => data <= x"a5"; when "01" & x"4ad" => data <= x"3b"; when "01" & x"4ae" => data <= x"69"; when "01" & x"4af" => data <= x"00"; when "01" & x"4b0" => data <= x"85"; when "01" & x"4b1" => data <= x"2b"; when "01" & x"4b2" => data <= x"60"; when "01" & x"4b3" => data <= x"a5"; when "01" & x"4b4" => data <= x"3d"; when "01" & x"4b5" => data <= x"f0"; when "01" & x"4b6" => data <= x"fb"; when "01" & x"4b7" => data <= x"a0"; when "01" & x"4b8" => data <= x"00"; when "01" & x"4b9" => data <= x"b1"; when "01" & x"4ba" => data <= x"3c"; when "01" & x"4bb" => data <= x"85"; when "01" & x"4bc" => data <= x"3a"; when "01" & x"4bd" => data <= x"c8"; when "01" & x"4be" => data <= x"b1"; when "01" & x"4bf" => data <= x"3c"; when "01" & x"4c0" => data <= x"85"; when "01" & x"4c1" => data <= x"3b"; when "01" & x"4c2" => data <= x"c8"; when "01" & x"4c3" => data <= x"b1"; when "01" & x"4c4" => data <= x"3c"; when "01" & x"4c5" => data <= x"d0"; when "01" & x"4c6" => data <= x"0d"; when "01" & x"4c7" => data <= x"88"; when "01" & x"4c8" => data <= x"c4"; when "01" & x"4c9" => data <= x"39"; when "01" & x"4ca" => data <= x"d0"; when "01" & x"4cb" => data <= x"ad"; when "01" & x"4cc" => data <= x"c8"; when "01" & x"4cd" => data <= x"b0"; when "01" & x"4ce" => data <= x"12"; when "01" & x"4cf" => data <= x"c8"; when "01" & x"4d0" => data <= x"b1"; when "01" & x"4d1" => data <= x"3c"; when "01" & x"4d2" => data <= x"f0"; when "01" & x"4d3" => data <= x"a5"; when "01" & x"4d4" => data <= x"d1"; when "01" & x"4d5" => data <= x"37"; when "01" & x"4d6" => data <= x"d0"; when "01" & x"4d7" => data <= x"a1"; when "01" & x"4d8" => data <= x"c4"; when "01" & x"4d9" => data <= x"39"; when "01" & x"4da" => data <= x"d0"; when "01" & x"4db" => data <= x"f3"; when "01" & x"4dc" => data <= x"c8"; when "01" & x"4dd" => data <= x"b1"; when "01" & x"4de" => data <= x"3c"; when "01" & x"4df" => data <= x"d0"; when "01" & x"4e0" => data <= x"98"; when "01" & x"4e1" => data <= x"98"; when "01" & x"4e2" => data <= x"65"; when "01" & x"4e3" => data <= x"3c"; when "01" & x"4e4" => data <= x"85"; when "01" & x"4e5" => data <= x"2a"; when "01" & x"4e6" => data <= x"a5"; when "01" & x"4e7" => data <= x"3d"; when "01" & x"4e8" => data <= x"69"; when "01" & x"4e9" => data <= x"00"; when "01" & x"4ea" => data <= x"85"; when "01" & x"4eb" => data <= x"2b"; when "01" & x"4ec" => data <= x"60"; when "01" & x"4ed" => data <= x"a0"; when "01" & x"4ee" => data <= x"01"; when "01" & x"4ef" => data <= x"b1"; when "01" & x"4f0" => data <= x"37"; when "01" & x"4f1" => data <= x"aa"; when "01" & x"4f2" => data <= x"a9"; when "01" & x"4f3" => data <= x"f6"; when "01" & x"4f4" => data <= x"e0"; when "01" & x"4f5" => data <= x"f2"; when "01" & x"4f6" => data <= x"f0"; when "01" & x"4f7" => data <= x"09"; when "01" & x"4f8" => data <= x"a9"; when "01" & x"4f9" => data <= x"f8"; when "01" & x"4fa" => data <= x"d0"; when "01" & x"4fb" => data <= x"05"; when "01" & x"4fc" => data <= x"a0"; when "01" & x"4fd" => data <= x"01"; when "01" & x"4fe" => data <= x"b1"; when "01" & x"4ff" => data <= x"37"; when "01" & x"500" => data <= x"0a"; when "01" & x"501" => data <= x"85"; when "01" & x"502" => data <= x"3a"; when "01" & x"503" => data <= x"a9"; when "01" & x"504" => data <= x"04"; when "01" & x"505" => data <= x"85"; when "01" & x"506" => data <= x"3b"; when "01" & x"507" => data <= x"b1"; when "01" & x"508" => data <= x"3a"; when "01" & x"509" => data <= x"f0"; when "01" & x"50a" => data <= x"0b"; when "01" & x"50b" => data <= x"aa"; when "01" & x"50c" => data <= x"88"; when "01" & x"50d" => data <= x"b1"; when "01" & x"50e" => data <= x"3a"; when "01" & x"50f" => data <= x"85"; when "01" & x"510" => data <= x"3a"; when "01" & x"511" => data <= x"86"; when "01" & x"512" => data <= x"3b"; when "01" & x"513" => data <= x"c8"; when "01" & x"514" => data <= x"10"; when "01" & x"515" => data <= x"f1"; when "01" & x"516" => data <= x"a5"; when "01" & x"517" => data <= x"03"; when "01" & x"518" => data <= x"91"; when "01" & x"519" => data <= x"3a"; when "01" & x"51a" => data <= x"a5"; when "01" & x"51b" => data <= x"02"; when "01" & x"51c" => data <= x"88"; when "01" & x"51d" => data <= x"91"; when "01" & x"51e" => data <= x"3a"; when "01" & x"51f" => data <= x"98"; when "01" & x"520" => data <= x"c8"; when "01" & x"521" => data <= x"91"; when "01" & x"522" => data <= x"02"; when "01" & x"523" => data <= x"c4"; when "01" & x"524" => data <= x"39"; when "01" & x"525" => data <= x"f0"; when "01" & x"526" => data <= x"31"; when "01" & x"527" => data <= x"c8"; when "01" & x"528" => data <= x"b1"; when "01" & x"529" => data <= x"37"; when "01" & x"52a" => data <= x"91"; when "01" & x"52b" => data <= x"02"; when "01" & x"52c" => data <= x"c4"; when "01" & x"52d" => data <= x"39"; when "01" & x"52e" => data <= x"d0"; when "01" & x"52f" => data <= x"f7"; when "01" & x"530" => data <= x"60"; when "01" & x"531" => data <= x"a9"; when "01" & x"532" => data <= x"00"; when "01" & x"533" => data <= x"c8"; when "01" & x"534" => data <= x"91"; when "01" & x"535" => data <= x"02"; when "01" & x"536" => data <= x"ca"; when "01" & x"537" => data <= x"d0"; when "01" & x"538" => data <= x"fa"; when "01" & x"539" => data <= x"38"; when "01" & x"53a" => data <= x"98"; when "01" & x"53b" => data <= x"65"; when "01" & x"53c" => data <= x"02"; when "01" & x"53d" => data <= x"90"; when "01" & x"53e" => data <= x"02"; when "01" & x"53f" => data <= x"e6"; when "01" & x"540" => data <= x"03"; when "01" & x"541" => data <= x"a4"; when "01" & x"542" => data <= x"03"; when "01" & x"543" => data <= x"c4"; when "01" & x"544" => data <= x"05"; when "01" & x"545" => data <= x"90"; when "01" & x"546" => data <= x"0f"; when "01" & x"547" => data <= x"d0"; when "01" & x"548" => data <= x"04"; when "01" & x"549" => data <= x"c5"; when "01" & x"54a" => data <= x"04"; when "01" & x"54b" => data <= x"90"; when "01" & x"54c" => data <= x"09"; when "01" & x"54d" => data <= x"a9"; when "01" & x"54e" => data <= x"00"; when "01" & x"54f" => data <= x"a0"; when "01" & x"550" => data <= x"01"; when "01" & x"551" => data <= x"91"; when "01" & x"552" => data <= x"3a"; when "01" & x"553" => data <= x"4c"; when "01" & x"554" => data <= x"b7"; when "01" & x"555" => data <= x"8c"; when "01" & x"556" => data <= x"85"; when "01" & x"557" => data <= x"02"; when "01" & x"558" => data <= x"60"; when "01" & x"559" => data <= x"a0"; when "01" & x"55a" => data <= x"01"; when "01" & x"55b" => data <= x"b1"; when "01" & x"55c" => data <= x"37"; when "01" & x"55d" => data <= x"c9"; when "01" & x"55e" => data <= x"30"; when "01" & x"55f" => data <= x"90"; when "01" & x"560" => data <= x"18"; when "01" & x"561" => data <= x"c9"; when "01" & x"562" => data <= x"40"; when "01" & x"563" => data <= x"b0"; when "01" & x"564" => data <= x"0c"; when "01" & x"565" => data <= x"c9"; when "01" & x"566" => data <= x"3a"; when "01" & x"567" => data <= x"b0"; when "01" & x"568" => data <= x"10"; when "01" & x"569" => data <= x"c0"; when "01" & x"56a" => data <= x"01"; when "01" & x"56b" => data <= x"f0"; when "01" & x"56c" => data <= x"0c"; when "01" & x"56d" => data <= x"e8"; when "01" & x"56e" => data <= x"c8"; when "01" & x"56f" => data <= x"d0"; when "01" & x"570" => data <= x"ea"; when "01" & x"571" => data <= x"c9"; when "01" & x"572" => data <= x"5f"; when "01" & x"573" => data <= x"b0"; when "01" & x"574" => data <= x"05"; when "01" & x"575" => data <= x"c9"; when "01" & x"576" => data <= x"5b"; when "01" & x"577" => data <= x"90"; when "01" & x"578" => data <= x"f4"; when "01" & x"579" => data <= x"60"; when "01" & x"57a" => data <= x"c9"; when "01" & x"57b" => data <= x"7b"; when "01" & x"57c" => data <= x"90"; when "01" & x"57d" => data <= x"ef"; when "01" & x"57e" => data <= x"60"; when "01" & x"57f" => data <= x"20"; when "01" & x"580" => data <= x"31"; when "01" & x"581" => data <= x"95"; when "01" & x"582" => data <= x"20"; when "01" & x"583" => data <= x"c9"; when "01" & x"584" => data <= x"95"; when "01" & x"585" => data <= x"d0"; when "01" & x"586" => data <= x"1d"; when "01" & x"587" => data <= x"b0"; when "01" & x"588" => data <= x"1b"; when "01" & x"589" => data <= x"20"; when "01" & x"58a" => data <= x"fc"; when "01" & x"58b" => data <= x"94"; when "01" & x"58c" => data <= x"a2"; when "01" & x"58d" => data <= x"05"; when "01" & x"58e" => data <= x"e4"; when "01" & x"58f" => data <= x"2c"; when "01" & x"590" => data <= x"d0"; when "01" & x"591" => data <= x"ed"; when "01" & x"592" => data <= x"e8"; when "01" & x"593" => data <= x"d0"; when "01" & x"594" => data <= x"ea"; when "01" & x"595" => data <= x"c9"; when "01" & x"596" => data <= x"21"; when "01" & x"597" => data <= x"f0"; when "01" & x"598" => data <= x"0c"; when "01" & x"599" => data <= x"c9"; when "01" & x"59a" => data <= x"24"; when "01" & x"59b" => data <= x"f0"; when "01" & x"59c" => data <= x"13"; when "01" & x"59d" => data <= x"49"; when "01" & x"59e" => data <= x"3f"; when "01" & x"59f" => data <= x"f0"; when "01" & x"5a0" => data <= x"06"; when "01" & x"5a1" => data <= x"a9"; when "01" & x"5a2" => data <= x"00"; when "01" & x"5a3" => data <= x"38"; when "01" & x"5a4" => data <= x"60"; when "01" & x"5a5" => data <= x"a9"; when "01" & x"5a6" => data <= x"04"; when "01" & x"5a7" => data <= x"48"; when "01" & x"5a8" => data <= x"e6"; when "01" & x"5a9" => data <= x"1b"; when "01" & x"5aa" => data <= x"20"; when "01" & x"5ab" => data <= x"e3"; when "01" & x"5ac" => data <= x"92"; when "01" & x"5ad" => data <= x"4c"; when "01" & x"5ae" => data <= x"9f"; when "01" & x"5af" => data <= x"96"; when "01" & x"5b0" => data <= x"e6"; when "01" & x"5b1" => data <= x"1b"; when "01" & x"5b2" => data <= x"20"; when "01" & x"5b3" => data <= x"e3"; when "01" & x"5b4" => data <= x"92"; when "01" & x"5b5" => data <= x"a5"; when "01" & x"5b6" => data <= x"2b"; when "01" & x"5b7" => data <= x"f0"; when "01" & x"5b8" => data <= x"06"; when "01" & x"5b9" => data <= x"a9"; when "01" & x"5ba" => data <= x"80"; when "01" & x"5bb" => data <= x"85"; when "01" & x"5bc" => data <= x"2c"; when "01" & x"5bd" => data <= x"38"; when "01" & x"5be" => data <= x"60"; when "01" & x"5bf" => data <= x"00"; when "01" & x"5c0" => data <= x"08"; when "01" & x"5c1" => data <= x"24"; when "01" & x"5c2" => data <= x"20"; when "01" & x"5c3" => data <= x"72"; when "01" & x"5c4" => data <= x"61"; when "01" & x"5c5" => data <= x"6e"; when "01" & x"5c6" => data <= x"67"; when "01" & x"5c7" => data <= x"65"; when "01" & x"5c8" => data <= x"00"; when "01" & x"5c9" => data <= x"a5"; when "01" & x"5ca" => data <= x"0b"; when "01" & x"5cb" => data <= x"85"; when "01" & x"5cc" => data <= x"19"; when "01" & x"5cd" => data <= x"a5"; when "01" & x"5ce" => data <= x"0c"; when "01" & x"5cf" => data <= x"85"; when "01" & x"5d0" => data <= x"1a"; when "01" & x"5d1" => data <= x"a4"; when "01" & x"5d2" => data <= x"0a"; when "01" & x"5d3" => data <= x"88"; when "01" & x"5d4" => data <= x"c8"; when "01" & x"5d5" => data <= x"84"; when "01" & x"5d6" => data <= x"1b"; when "01" & x"5d7" => data <= x"b1"; when "01" & x"5d8" => data <= x"19"; when "01" & x"5d9" => data <= x"c9"; when "01" & x"5da" => data <= x"20"; when "01" & x"5db" => data <= x"f0"; when "01" & x"5dc" => data <= x"f7"; when "01" & x"5dd" => data <= x"c9"; when "01" & x"5de" => data <= x"40"; when "01" & x"5df" => data <= x"90"; when "01" & x"5e0" => data <= x"b4"; when "01" & x"5e1" => data <= x"c9"; when "01" & x"5e2" => data <= x"5b"; when "01" & x"5e3" => data <= x"b0"; when "01" & x"5e4" => data <= x"1a"; when "01" & x"5e5" => data <= x"0a"; when "01" & x"5e6" => data <= x"0a"; when "01" & x"5e7" => data <= x"85"; when "01" & x"5e8" => data <= x"2a"; when "01" & x"5e9" => data <= x"a9"; when "01" & x"5ea" => data <= x"04"; when "01" & x"5eb" => data <= x"85"; when "01" & x"5ec" => data <= x"2b"; when "01" & x"5ed" => data <= x"c8"; when "01" & x"5ee" => data <= x"b1"; when "01" & x"5ef" => data <= x"19"; when "01" & x"5f0" => data <= x"c8"; when "01" & x"5f1" => data <= x"c9"; when "01" & x"5f2" => data <= x"25"; when "01" & x"5f3" => data <= x"d0"; when "01" & x"5f4" => data <= x"0a"; when "01" & x"5f5" => data <= x"a2"; when "01" & x"5f6" => data <= x"04"; when "01" & x"5f7" => data <= x"86"; when "01" & x"5f8" => data <= x"2c"; when "01" & x"5f9" => data <= x"b1"; when "01" & x"5fa" => data <= x"19"; when "01" & x"5fb" => data <= x"c9"; when "01" & x"5fc" => data <= x"28"; when "01" & x"5fd" => data <= x"d0"; when "01" & x"5fe" => data <= x"66"; when "01" & x"5ff" => data <= x"a2"; when "01" & x"600" => data <= x"05"; when "01" & x"601" => data <= x"86"; when "01" & x"602" => data <= x"2c"; when "01" & x"603" => data <= x"a5"; when "01" & x"604" => data <= x"1b"; when "01" & x"605" => data <= x"18"; when "01" & x"606" => data <= x"65"; when "01" & x"607" => data <= x"19"; when "01" & x"608" => data <= x"a6"; when "01" & x"609" => data <= x"1a"; when "01" & x"60a" => data <= x"90"; when "01" & x"60b" => data <= x"02"; when "01" & x"60c" => data <= x"e8"; when "01" & x"60d" => data <= x"18"; when "01" & x"60e" => data <= x"e9"; when "01" & x"60f" => data <= x"00"; when "01" & x"610" => data <= x"85"; when "01" & x"611" => data <= x"37"; when "01" & x"612" => data <= x"b0"; when "01" & x"613" => data <= x"01"; when "01" & x"614" => data <= x"ca"; when "01" & x"615" => data <= x"86"; when "01" & x"616" => data <= x"38"; when "01" & x"617" => data <= x"a6"; when "01" & x"618" => data <= x"1b"; when "01" & x"619" => data <= x"a0"; when "01" & x"61a" => data <= x"01"; when "01" & x"61b" => data <= x"b1"; when "01" & x"61c" => data <= x"37"; when "01" & x"61d" => data <= x"c9"; when "01" & x"61e" => data <= x"41"; when "01" & x"61f" => data <= x"b0"; when "01" & x"620" => data <= x"0c"; when "01" & x"621" => data <= x"c9"; when "01" & x"622" => data <= x"30"; when "01" & x"623" => data <= x"90"; when "01" & x"624" => data <= x"1c"; when "01" & x"625" => data <= x"c9"; when "01" & x"626" => data <= x"3a"; when "01" & x"627" => data <= x"b0"; when "01" & x"628" => data <= x"18"; when "01" & x"629" => data <= x"e8"; when "01" & x"62a" => data <= x"c8"; when "01" & x"62b" => data <= x"d0"; when "01" & x"62c" => data <= x"ee"; when "01" & x"62d" => data <= x"c9"; when "01" & x"62e" => data <= x"5b"; when "01" & x"62f" => data <= x"b0"; when "01" & x"630" => data <= x"04"; when "01" & x"631" => data <= x"e8"; when "01" & x"632" => data <= x"c8"; when "01" & x"633" => data <= x"d0"; when "01" & x"634" => data <= x"e6"; when "01" & x"635" => data <= x"c9"; when "01" & x"636" => data <= x"5f"; when "01" & x"637" => data <= x"90"; when "01" & x"638" => data <= x"08"; when "01" & x"639" => data <= x"c9"; when "01" & x"63a" => data <= x"7b"; when "01" & x"63b" => data <= x"b0"; when "01" & x"63c" => data <= x"04"; when "01" & x"63d" => data <= x"e8"; when "01" & x"63e" => data <= x"c8"; when "01" & x"63f" => data <= x"d0"; when "01" & x"640" => data <= x"da"; when "01" & x"641" => data <= x"88"; when "01" & x"642" => data <= x"f0"; when "01" & x"643" => data <= x"2f"; when "01" & x"644" => data <= x"c9"; when "01" & x"645" => data <= x"24"; when "01" & x"646" => data <= x"f0"; when "01" & x"647" => data <= x"67"; when "01" & x"648" => data <= x"c9"; when "01" & x"649" => data <= x"25"; when "01" & x"64a" => data <= x"d0"; when "01" & x"64b" => data <= x"08"; when "01" & x"64c" => data <= x"c6"; when "01" & x"64d" => data <= x"2c"; when "01" & x"64e" => data <= x"c8"; when "01" & x"64f" => data <= x"e8"; when "01" & x"650" => data <= x"c8"; when "01" & x"651" => data <= x"b1"; when "01" & x"652" => data <= x"37"; when "01" & x"653" => data <= x"88"; when "01" & x"654" => data <= x"84"; when "01" & x"655" => data <= x"39"; when "01" & x"656" => data <= x"c9"; when "01" & x"657" => data <= x"28"; when "01" & x"658" => data <= x"f0"; when "01" & x"659" => data <= x"4c"; when "01" & x"65a" => data <= x"20"; when "01" & x"65b" => data <= x"69"; when "01" & x"65c" => data <= x"94"; when "01" & x"65d" => data <= x"f0"; when "01" & x"65e" => data <= x"18"; when "01" & x"65f" => data <= x"86"; when "01" & x"660" => data <= x"1b"; when "01" & x"661" => data <= x"a4"; when "01" & x"662" => data <= x"1b"; when "01" & x"663" => data <= x"b1"; when "01" & x"664" => data <= x"19"; when "01" & x"665" => data <= x"c9"; when "01" & x"666" => data <= x"21"; when "01" & x"667" => data <= x"f0"; when "01" & x"668" => data <= x"16"; when "01" & x"669" => data <= x"c9"; when "01" & x"66a" => data <= x"3f"; when "01" & x"66b" => data <= x"f0"; when "01" & x"66c" => data <= x"0e"; when "01" & x"66d" => data <= x"18"; when "01" & x"66e" => data <= x"84"; when "01" & x"66f" => data <= x"1b"; when "01" & x"670" => data <= x"a9"; when "01" & x"671" => data <= x"ff"; when "01" & x"672" => data <= x"60"; when "01" & x"673" => data <= x"a9"; when "01" & x"674" => data <= x"00"; when "01" & x"675" => data <= x"38"; when "01" & x"676" => data <= x"60"; when "01" & x"677" => data <= x"a9"; when "01" & x"678" => data <= x"00"; when "01" & x"679" => data <= x"18"; when "01" & x"67a" => data <= x"60"; when "01" & x"67b" => data <= x"a9"; when "01" & x"67c" => data <= x"00"; when "01" & x"67d" => data <= x"f0"; when "01" & x"67e" => data <= x"02"; when "01" & x"67f" => data <= x"a9"; when "01" & x"680" => data <= x"04"; when "01" & x"681" => data <= x"48"; when "01" & x"682" => data <= x"c8"; when "01" & x"683" => data <= x"84"; when "01" & x"684" => data <= x"1b"; when "01" & x"685" => data <= x"20"; when "01" & x"686" => data <= x"2c"; when "01" & x"687" => data <= x"b3"; when "01" & x"688" => data <= x"20"; when "01" & x"689" => data <= x"f0"; when "01" & x"68a" => data <= x"92"; when "01" & x"68b" => data <= x"a5"; when "01" & x"68c" => data <= x"2b"; when "01" & x"68d" => data <= x"48"; when "01" & x"68e" => data <= x"a5"; when "01" & x"68f" => data <= x"2a"; when "01" & x"690" => data <= x"48"; when "01" & x"691" => data <= x"20"; when "01" & x"692" => data <= x"e3"; when "01" & x"693" => data <= x"92"; when "01" & x"694" => data <= x"18"; when "01" & x"695" => data <= x"68"; when "01" & x"696" => data <= x"65"; when "01" & x"697" => data <= x"2a"; when "01" & x"698" => data <= x"85"; when "01" & x"699" => data <= x"2a"; when "01" & x"69a" => data <= x"68"; when "01" & x"69b" => data <= x"65"; when "01" & x"69c" => data <= x"2b"; when "01" & x"69d" => data <= x"85"; when "01" & x"69e" => data <= x"2b"; when "01" & x"69f" => data <= x"68"; when "01" & x"6a0" => data <= x"85"; when "01" & x"6a1" => data <= x"2c"; when "01" & x"6a2" => data <= x"18"; when "01" & x"6a3" => data <= x"a9"; when "01" & x"6a4" => data <= x"ff"; when "01" & x"6a5" => data <= x"60"; when "01" & x"6a6" => data <= x"e8"; when "01" & x"6a7" => data <= x"e6"; when "01" & x"6a8" => data <= x"39"; when "01" & x"6a9" => data <= x"20"; when "01" & x"6aa" => data <= x"df"; when "01" & x"6ab" => data <= x"96"; when "01" & x"6ac" => data <= x"4c"; when "01" & x"6ad" => data <= x"61"; when "01" & x"6ae" => data <= x"96"; when "01" & x"6af" => data <= x"e8"; when "01" & x"6b0" => data <= x"c8"; when "01" & x"6b1" => data <= x"84"; when "01" & x"6b2" => data <= x"39"; when "01" & x"6b3" => data <= x"c8"; when "01" & x"6b4" => data <= x"c6"; when "01" & x"6b5" => data <= x"2c"; when "01" & x"6b6" => data <= x"b1"; when "01" & x"6b7" => data <= x"37"; when "01" & x"6b8" => data <= x"c9"; when "01" & x"6b9" => data <= x"28"; when "01" & x"6ba" => data <= x"f0"; when "01" & x"6bb" => data <= x"0d"; when "01" & x"6bc" => data <= x"20"; when "01" & x"6bd" => data <= x"69"; when "01" & x"6be" => data <= x"94"; when "01" & x"6bf" => data <= x"f0"; when "01" & x"6c0" => data <= x"b6"; when "01" & x"6c1" => data <= x"86"; when "01" & x"6c2" => data <= x"1b"; when "01" & x"6c3" => data <= x"a9"; when "01" & x"6c4" => data <= x"81"; when "01" & x"6c5" => data <= x"85"; when "01" & x"6c6" => data <= x"2c"; when "01" & x"6c7" => data <= x"38"; when "01" & x"6c8" => data <= x"60"; when "01" & x"6c9" => data <= x"e8"; when "01" & x"6ca" => data <= x"84"; when "01" & x"6cb" => data <= x"39"; when "01" & x"6cc" => data <= x"c6"; when "01" & x"6cd" => data <= x"2c"; when "01" & x"6ce" => data <= x"20"; when "01" & x"6cf" => data <= x"df"; when "01" & x"6d0" => data <= x"96"; when "01" & x"6d1" => data <= x"a9"; when "01" & x"6d2" => data <= x"81"; when "01" & x"6d3" => data <= x"85"; when "01" & x"6d4" => data <= x"2c"; when "01" & x"6d5" => data <= x"38"; when "01" & x"6d6" => data <= x"60"; when "01" & x"6d7" => data <= x"00"; when "01" & x"6d8" => data <= x"0e"; when "01" & x"6d9" => data <= x"41"; when "01" & x"6da" => data <= x"72"; when "01" & x"6db" => data <= x"72"; when "01" & x"6dc" => data <= x"61"; when "01" & x"6dd" => data <= x"79"; when "01" & x"6de" => data <= x"00"; when "01" & x"6df" => data <= x"20"; when "01" & x"6e0" => data <= x"69"; when "01" & x"6e1" => data <= x"94"; when "01" & x"6e2" => data <= x"f0"; when "01" & x"6e3" => data <= x"f3"; when "01" & x"6e4" => data <= x"86"; when "01" & x"6e5" => data <= x"1b"; when "01" & x"6e6" => data <= x"a5"; when "01" & x"6e7" => data <= x"2c"; when "01" & x"6e8" => data <= x"48"; when "01" & x"6e9" => data <= x"a5"; when "01" & x"6ea" => data <= x"2a"; when "01" & x"6eb" => data <= x"48"; when "01" & x"6ec" => data <= x"a5"; when "01" & x"6ed" => data <= x"2b"; when "01" & x"6ee" => data <= x"48"; when "01" & x"6ef" => data <= x"a0"; when "01" & x"6f0" => data <= x"00"; when "01" & x"6f1" => data <= x"b1"; when "01" & x"6f2" => data <= x"2a"; when "01" & x"6f3" => data <= x"c9"; when "01" & x"6f4" => data <= x"04"; when "01" & x"6f5" => data <= x"90"; when "01" & x"6f6" => data <= x"75"; when "01" & x"6f7" => data <= x"98"; when "01" & x"6f8" => data <= x"20"; when "01" & x"6f9" => data <= x"d8"; when "01" & x"6fa" => data <= x"ae"; when "01" & x"6fb" => data <= x"a9"; when "01" & x"6fc" => data <= x"01"; when "01" & x"6fd" => data <= x"85"; when "01" & x"6fe" => data <= x"2d"; when "01" & x"6ff" => data <= x"20"; when "01" & x"700" => data <= x"94"; when "01" & x"701" => data <= x"bd"; when "01" & x"702" => data <= x"20"; when "01" & x"703" => data <= x"dd"; when "01" & x"704" => data <= x"92"; when "01" & x"705" => data <= x"e6"; when "01" & x"706" => data <= x"1b"; when "01" & x"707" => data <= x"e0"; when "01" & x"708" => data <= x"2c"; when "01" & x"709" => data <= x"d0"; when "01" & x"70a" => data <= x"cc"; when "01" & x"70b" => data <= x"a2"; when "01" & x"70c" => data <= x"39"; when "01" & x"70d" => data <= x"20"; when "01" & x"70e" => data <= x"0d"; when "01" & x"70f" => data <= x"be"; when "01" & x"710" => data <= x"a4"; when "01" & x"711" => data <= x"3c"; when "01" & x"712" => data <= x"68"; when "01" & x"713" => data <= x"85"; when "01" & x"714" => data <= x"38"; when "01" & x"715" => data <= x"68"; when "01" & x"716" => data <= x"85"; when "01" & x"717" => data <= x"37"; when "01" & x"718" => data <= x"48"; when "01" & x"719" => data <= x"a5"; when "01" & x"71a" => data <= x"38"; when "01" & x"71b" => data <= x"48"; when "01" & x"71c" => data <= x"20"; when "01" & x"71d" => data <= x"ba"; when "01" & x"71e" => data <= x"97"; when "01" & x"71f" => data <= x"84"; when "01" & x"720" => data <= x"2d"; when "01" & x"721" => data <= x"b1"; when "01" & x"722" => data <= x"37"; when "01" & x"723" => data <= x"85"; when "01" & x"724" => data <= x"3f"; when "01" & x"725" => data <= x"c8"; when "01" & x"726" => data <= x"b1"; when "01" & x"727" => data <= x"37"; when "01" & x"728" => data <= x"85"; when "01" & x"729" => data <= x"40"; when "01" & x"72a" => data <= x"a5"; when "01" & x"72b" => data <= x"2a"; when "01" & x"72c" => data <= x"65"; when "01" & x"72d" => data <= x"39"; when "01" & x"72e" => data <= x"85"; when "01" & x"72f" => data <= x"2a"; when "01" & x"730" => data <= x"a5"; when "01" & x"731" => data <= x"2b"; when "01" & x"732" => data <= x"65"; when "01" & x"733" => data <= x"3a"; when "01" & x"734" => data <= x"85"; when "01" & x"735" => data <= x"2b"; when "01" & x"736" => data <= x"20"; when "01" & x"737" => data <= x"36"; when "01" & x"738" => data <= x"92"; when "01" & x"739" => data <= x"a0"; when "01" & x"73a" => data <= x"00"; when "01" & x"73b" => data <= x"38"; when "01" & x"73c" => data <= x"b1"; when "01" & x"73d" => data <= x"37"; when "01" & x"73e" => data <= x"e5"; when "01" & x"73f" => data <= x"2d"; when "01" & x"740" => data <= x"c9"; when "01" & x"741" => data <= x"03"; when "01" & x"742" => data <= x"b0"; when "01" & x"743" => data <= x"bb"; when "01" & x"744" => data <= x"20"; when "01" & x"745" => data <= x"94"; when "01" & x"746" => data <= x"bd"; when "01" & x"747" => data <= x"20"; when "01" & x"748" => data <= x"56"; when "01" & x"749" => data <= x"ae"; when "01" & x"74a" => data <= x"20"; when "01" & x"74b" => data <= x"f0"; when "01" & x"74c" => data <= x"92"; when "01" & x"74d" => data <= x"68"; when "01" & x"74e" => data <= x"85"; when "01" & x"74f" => data <= x"38"; when "01" & x"750" => data <= x"68"; when "01" & x"751" => data <= x"85"; when "01" & x"752" => data <= x"37"; when "01" & x"753" => data <= x"a2"; when "01" & x"754" => data <= x"39"; when "01" & x"755" => data <= x"20"; when "01" & x"756" => data <= x"0d"; when "01" & x"757" => data <= x"be"; when "01" & x"758" => data <= x"a4"; when "01" & x"759" => data <= x"3c"; when "01" & x"75a" => data <= x"20"; when "01" & x"75b" => data <= x"ba"; when "01" & x"75c" => data <= x"97"; when "01" & x"75d" => data <= x"18"; when "01" & x"75e" => data <= x"a5"; when "01" & x"75f" => data <= x"39"; when "01" & x"760" => data <= x"65"; when "01" & x"761" => data <= x"2a"; when "01" & x"762" => data <= x"85"; when "01" & x"763" => data <= x"2a"; when "01" & x"764" => data <= x"a5"; when "01" & x"765" => data <= x"3a"; when "01" & x"766" => data <= x"65"; when "01" & x"767" => data <= x"2b"; when "01" & x"768" => data <= x"85"; when "01" & x"769" => data <= x"2b"; when "01" & x"76a" => data <= x"90"; when "01" & x"76b" => data <= x"11"; when "01" & x"76c" => data <= x"20"; when "01" & x"76d" => data <= x"56"; when "01" & x"76e" => data <= x"ae"; when "01" & x"76f" => data <= x"20"; when "01" & x"770" => data <= x"f0"; when "01" & x"771" => data <= x"92"; when "01" & x"772" => data <= x"68"; when "01" & x"773" => data <= x"85"; when "01" & x"774" => data <= x"38"; when "01" & x"775" => data <= x"68"; when "01" & x"776" => data <= x"85"; when "01" & x"777" => data <= x"37"; when "01" & x"778" => data <= x"a0"; when "01" & x"779" => data <= x"01"; when "01" & x"77a" => data <= x"20"; when "01" & x"77b" => data <= x"ba"; when "01" & x"77c" => data <= x"97"; when "01" & x"77d" => data <= x"68"; when "01" & x"77e" => data <= x"85"; when "01" & x"77f" => data <= x"2c"; when "01" & x"780" => data <= x"c9"; when "01" & x"781" => data <= x"05"; when "01" & x"782" => data <= x"d0"; when "01" & x"783" => data <= x"17"; when "01" & x"784" => data <= x"a6"; when "01" & x"785" => data <= x"2b"; when "01" & x"786" => data <= x"a5"; when "01" & x"787" => data <= x"2a"; when "01" & x"788" => data <= x"06"; when "01" & x"789" => data <= x"2a"; when "01" & x"78a" => data <= x"26"; when "01" & x"78b" => data <= x"2b"; when "01" & x"78c" => data <= x"06"; when "01" & x"78d" => data <= x"2a"; when "01" & x"78e" => data <= x"26"; when "01" & x"78f" => data <= x"2b"; when "01" & x"790" => data <= x"65"; when "01" & x"791" => data <= x"2a"; when "01" & x"792" => data <= x"85"; when "01" & x"793" => data <= x"2a"; when "01" & x"794" => data <= x"8a"; when "01" & x"795" => data <= x"65"; when "01" & x"796" => data <= x"2b"; when "01" & x"797" => data <= x"85"; when "01" & x"798" => data <= x"2b"; when "01" & x"799" => data <= x"90"; when "01" & x"79a" => data <= x"08"; when "01" & x"79b" => data <= x"06"; when "01" & x"79c" => data <= x"2a"; when "01" & x"79d" => data <= x"26"; when "01" & x"79e" => data <= x"2b"; when "01" & x"79f" => data <= x"06"; when "01" & x"7a0" => data <= x"2a"; when "01" & x"7a1" => data <= x"26"; when "01" & x"7a2" => data <= x"2b"; when "01" & x"7a3" => data <= x"98"; when "01" & x"7a4" => data <= x"65"; when "01" & x"7a5" => data <= x"2a"; when "01" & x"7a6" => data <= x"85"; when "01" & x"7a7" => data <= x"2a"; when "01" & x"7a8" => data <= x"90"; when "01" & x"7a9" => data <= x"03"; when "01" & x"7aa" => data <= x"e6"; when "01" & x"7ab" => data <= x"2b"; when "01" & x"7ac" => data <= x"18"; when "01" & x"7ad" => data <= x"a5"; when "01" & x"7ae" => data <= x"37"; when "01" & x"7af" => data <= x"65"; when "01" & x"7b0" => data <= x"2a"; when "01" & x"7b1" => data <= x"85"; when "01" & x"7b2" => data <= x"2a"; when "01" & x"7b3" => data <= x"a5"; when "01" & x"7b4" => data <= x"38"; when "01" & x"7b5" => data <= x"65"; when "01" & x"7b6" => data <= x"2b"; when "01" & x"7b7" => data <= x"85"; when "01" & x"7b8" => data <= x"2b"; when "01" & x"7b9" => data <= x"60"; when "01" & x"7ba" => data <= x"a5"; when "01" & x"7bb" => data <= x"2b"; when "01" & x"7bc" => data <= x"29"; when "01" & x"7bd" => data <= x"c0"; when "01" & x"7be" => data <= x"05"; when "01" & x"7bf" => data <= x"2c"; when "01" & x"7c0" => data <= x"05"; when "01" & x"7c1" => data <= x"2d"; when "01" & x"7c2" => data <= x"d0"; when "01" & x"7c3" => data <= x"0d"; when "01" & x"7c4" => data <= x"a5"; when "01" & x"7c5" => data <= x"2a"; when "01" & x"7c6" => data <= x"d1"; when "01" & x"7c7" => data <= x"37"; when "01" & x"7c8" => data <= x"c8"; when "01" & x"7c9" => data <= x"a5"; when "01" & x"7ca" => data <= x"2b"; when "01" & x"7cb" => data <= x"f1"; when "01" & x"7cc" => data <= x"37"; when "01" & x"7cd" => data <= x"b0"; when "01" & x"7ce" => data <= x"02"; when "01" & x"7cf" => data <= x"c8"; when "01" & x"7d0" => data <= x"60"; when "01" & x"7d1" => data <= x"00"; when "01" & x"7d2" => data <= x"0f"; when "01" & x"7d3" => data <= x"53"; when "01" & x"7d4" => data <= x"75"; when "01" & x"7d5" => data <= x"62"; when "01" & x"7d6" => data <= x"73"; when "01" & x"7d7" => data <= x"63"; when "01" & x"7d8" => data <= x"72"; when "01" & x"7d9" => data <= x"69"; when "01" & x"7da" => data <= x"70"; when "01" & x"7db" => data <= x"74"; when "01" & x"7dc" => data <= x"00"; when "01" & x"7dd" => data <= x"e6"; when "01" & x"7de" => data <= x"0a"; when "01" & x"7df" => data <= x"a4"; when "01" & x"7e0" => data <= x"0a"; when "01" & x"7e1" => data <= x"b1"; when "01" & x"7e2" => data <= x"0b"; when "01" & x"7e3" => data <= x"c9"; when "01" & x"7e4" => data <= x"20"; when "01" & x"7e5" => data <= x"f0"; when "01" & x"7e6" => data <= x"f6"; when "01" & x"7e7" => data <= x"c9"; when "01" & x"7e8" => data <= x"8d"; when "01" & x"7e9" => data <= x"d0"; when "01" & x"7ea" => data <= x"1a"; when "01" & x"7eb" => data <= x"c8"; when "01" & x"7ec" => data <= x"b1"; when "01" & x"7ed" => data <= x"0b"; when "01" & x"7ee" => data <= x"0a"; when "01" & x"7ef" => data <= x"0a"; when "01" & x"7f0" => data <= x"aa"; when "01" & x"7f1" => data <= x"29"; when "01" & x"7f2" => data <= x"c0"; when "01" & x"7f3" => data <= x"c8"; when "01" & x"7f4" => data <= x"51"; when "01" & x"7f5" => data <= x"0b"; when "01" & x"7f6" => data <= x"85"; when "01" & x"7f7" => data <= x"2a"; when "01" & x"7f8" => data <= x"8a"; when "01" & x"7f9" => data <= x"0a"; when "01" & x"7fa" => data <= x"0a"; when "01" & x"7fb" => data <= x"c8"; when "01" & x"7fc" => data <= x"51"; when "01" & x"7fd" => data <= x"0b"; when "01" & x"7fe" => data <= x"85"; when "01" & x"7ff" => data <= x"2b"; when "01" & x"800" => data <= x"c8"; when "01" & x"801" => data <= x"84"; when "01" & x"802" => data <= x"0a"; when "01" & x"803" => data <= x"38"; when "01" & x"804" => data <= x"60"; when "01" & x"805" => data <= x"18"; when "01" & x"806" => data <= x"60"; when "01" & x"807" => data <= x"a5"; when "01" & x"808" => data <= x"0b"; when "01" & x"809" => data <= x"85"; when "01" & x"80a" => data <= x"19"; when "01" & x"80b" => data <= x"a5"; when "01" & x"80c" => data <= x"0c"; when "01" & x"80d" => data <= x"85"; when "01" & x"80e" => data <= x"1a"; when "01" & x"80f" => data <= x"a5"; when "01" & x"810" => data <= x"0a"; when "01" & x"811" => data <= x"85"; when "01" & x"812" => data <= x"1b"; when "01" & x"813" => data <= x"a4"; when "01" & x"814" => data <= x"1b"; when "01" & x"815" => data <= x"e6"; when "01" & x"816" => data <= x"1b"; when "01" & x"817" => data <= x"b1"; when "01" & x"818" => data <= x"19"; when "01" & x"819" => data <= x"c9"; when "01" & x"81a" => data <= x"20"; when "01" & x"81b" => data <= x"f0"; when "01" & x"81c" => data <= x"f6"; when "01" & x"81d" => data <= x"c9"; when "01" & x"81e" => data <= x"3d"; when "01" & x"81f" => data <= x"f0"; when "01" & x"820" => data <= x"28"; when "01" & x"821" => data <= x"00"; when "01" & x"822" => data <= x"04"; when "01" & x"823" => data <= x"4d"; when "01" & x"824" => data <= x"69"; when "01" & x"825" => data <= x"73"; when "01" & x"826" => data <= x"74"; when "01" & x"827" => data <= x"61"; when "01" & x"828" => data <= x"6b"; when "01" & x"829" => data <= x"65"; when "01" & x"82a" => data <= x"00"; when "01" & x"82b" => data <= x"10"; when "01" & x"82c" => data <= x"53"; when "01" & x"82d" => data <= x"79"; when "01" & x"82e" => data <= x"6e"; when "01" & x"82f" => data <= x"74"; when "01" & x"830" => data <= x"61"; when "01" & x"831" => data <= x"78"; when "01" & x"832" => data <= x"20"; when "01" & x"833" => data <= x"65"; when "01" & x"834" => data <= x"72"; when "01" & x"835" => data <= x"72"; when "01" & x"836" => data <= x"6f"; when "01" & x"837" => data <= x"72"; when "01" & x"838" => data <= x"00"; when "01" & x"839" => data <= x"11"; when "01" & x"83a" => data <= x"45"; when "01" & x"83b" => data <= x"73"; when "01" & x"83c" => data <= x"63"; when "01" & x"83d" => data <= x"61"; when "01" & x"83e" => data <= x"70"; when "01" & x"83f" => data <= x"65"; when "01" & x"840" => data <= x"00"; when "01" & x"841" => data <= x"20"; when "01" & x"842" => data <= x"8c"; when "01" & x"843" => data <= x"8a"; when "01" & x"844" => data <= x"c9"; when "01" & x"845" => data <= x"3d"; when "01" & x"846" => data <= x"d0"; when "01" & x"847" => data <= x"d9"; when "01" & x"848" => data <= x"60"; when "01" & x"849" => data <= x"20"; when "01" & x"84a" => data <= x"29"; when "01" & x"84b" => data <= x"9b"; when "01" & x"84c" => data <= x"8a"; when "01" & x"84d" => data <= x"a4"; when "01" & x"84e" => data <= x"1b"; when "01" & x"84f" => data <= x"4c"; when "01" & x"850" => data <= x"61"; when "01" & x"851" => data <= x"98"; when "01" & x"852" => data <= x"a4"; when "01" & x"853" => data <= x"1b"; when "01" & x"854" => data <= x"4c"; when "01" & x"855" => data <= x"59"; when "01" & x"856" => data <= x"98"; when "01" & x"857" => data <= x"a4"; when "01" & x"858" => data <= x"0a"; when "01" & x"859" => data <= x"88"; when "01" & x"85a" => data <= x"c8"; when "01" & x"85b" => data <= x"b1"; when "01" & x"85c" => data <= x"0b"; when "01" & x"85d" => data <= x"c9"; when "01" & x"85e" => data <= x"20"; when "01" & x"85f" => data <= x"f0"; when "01" & x"860" => data <= x"f9"; when "01" & x"861" => data <= x"c9"; when "01" & x"862" => data <= x"3a"; when "01" & x"863" => data <= x"f0"; when "01" & x"864" => data <= x"08"; when "01" & x"865" => data <= x"c9"; when "01" & x"866" => data <= x"0d"; when "01" & x"867" => data <= x"f0"; when "01" & x"868" => data <= x"04"; when "01" & x"869" => data <= x"c9"; when "01" & x"86a" => data <= x"8b"; when "01" & x"86b" => data <= x"d0"; when "01" & x"86c" => data <= x"bd"; when "01" & x"86d" => data <= x"18"; when "01" & x"86e" => data <= x"98"; when "01" & x"86f" => data <= x"65"; when "01" & x"870" => data <= x"0b"; when "01" & x"871" => data <= x"85"; when "01" & x"872" => data <= x"0b"; when "01" & x"873" => data <= x"90"; when "01" & x"874" => data <= x"02"; when "01" & x"875" => data <= x"e6"; when "01" & x"876" => data <= x"0c"; when "01" & x"877" => data <= x"a0"; when "01" & x"878" => data <= x"01"; when "01" & x"879" => data <= x"84"; when "01" & x"87a" => data <= x"0a"; when "01" & x"87b" => data <= x"24"; when "01" & x"87c" => data <= x"ff"; when "01" & x"87d" => data <= x"30"; when "01" & x"87e" => data <= x"b9"; when "01" & x"87f" => data <= x"60"; when "01" & x"880" => data <= x"20"; when "01" & x"881" => data <= x"57"; when "01" & x"882" => data <= x"98"; when "01" & x"883" => data <= x"88"; when "01" & x"884" => data <= x"b1"; when "01" & x"885" => data <= x"0b"; when "01" & x"886" => data <= x"c9"; when "01" & x"887" => data <= x"3a"; when "01" & x"888" => data <= x"f0"; when "01" & x"889" => data <= x"f5"; when "01" & x"88a" => data <= x"a5"; when "01" & x"88b" => data <= x"0c"; when "01" & x"88c" => data <= x"c9"; when "01" & x"88d" => data <= x"07"; when "01" & x"88e" => data <= x"f0"; when "01" & x"88f" => data <= x"2c"; when "01" & x"890" => data <= x"c8"; when "01" & x"891" => data <= x"b1"; when "01" & x"892" => data <= x"0b"; when "01" & x"893" => data <= x"30"; when "01" & x"894" => data <= x"27"; when "01" & x"895" => data <= x"a5"; when "01" & x"896" => data <= x"20"; when "01" & x"897" => data <= x"f0"; when "01" & x"898" => data <= x"13"; when "01" & x"899" => data <= x"98"; when "01" & x"89a" => data <= x"48"; when "01" & x"89b" => data <= x"c8"; when "01" & x"89c" => data <= x"b1"; when "01" & x"89d" => data <= x"0b"; when "01" & x"89e" => data <= x"48"; when "01" & x"89f" => data <= x"88"; when "01" & x"8a0" => data <= x"b1"; when "01" & x"8a1" => data <= x"0b"; when "01" & x"8a2" => data <= x"a8"; when "01" & x"8a3" => data <= x"68"; when "01" & x"8a4" => data <= x"20"; when "01" & x"8a5" => data <= x"ea"; when "01" & x"8a6" => data <= x"ae"; when "01" & x"8a7" => data <= x"20"; when "01" & x"8a8" => data <= x"05"; when "01" & x"8a9" => data <= x"99"; when "01" & x"8aa" => data <= x"68"; when "01" & x"8ab" => data <= x"a8"; when "01" & x"8ac" => data <= x"c8"; when "01" & x"8ad" => data <= x"38"; when "01" & x"8ae" => data <= x"98"; when "01" & x"8af" => data <= x"65"; when "01" & x"8b0" => data <= x"0b"; when "01" & x"8b1" => data <= x"85"; when "01" & x"8b2" => data <= x"0b"; when "01" & x"8b3" => data <= x"90"; when "01" & x"8b4" => data <= x"02"; when "01" & x"8b5" => data <= x"e6"; when "01" & x"8b6" => data <= x"0c"; when "01" & x"8b7" => data <= x"a0"; when "01" & x"8b8" => data <= x"01"; when "01" & x"8b9" => data <= x"84"; when "01" & x"8ba" => data <= x"0a"; when "01" & x"8bb" => data <= x"60"; when "01" & x"8bc" => data <= x"4c"; when "01" & x"8bd" => data <= x"f6"; when "01" & x"8be" => data <= x"8a"; when "01" & x"8bf" => data <= x"4c"; when "01" & x"8c0" => data <= x"0e"; when "01" & x"8c1" => data <= x"8c"; when "01" & x"8c2" => data <= x"20"; when "01" & x"8c3" => data <= x"1d"; when "01" & x"8c4" => data <= x"9b"; when "01" & x"8c5" => data <= x"f0"; when "01" & x"8c6" => data <= x"f8"; when "01" & x"8c7" => data <= x"10"; when "01" & x"8c8" => data <= x"03"; when "01" & x"8c9" => data <= x"20"; when "01" & x"8ca" => data <= x"e4"; when "01" & x"8cb" => data <= x"a3"; when "01" & x"8cc" => data <= x"a4"; when "01" & x"8cd" => data <= x"1b"; when "01" & x"8ce" => data <= x"84"; when "01" & x"8cf" => data <= x"0a"; when "01" & x"8d0" => data <= x"a5"; when "01" & x"8d1" => data <= x"2a"; when "01" & x"8d2" => data <= x"05"; when "01" & x"8d3" => data <= x"2b"; when "01" & x"8d4" => data <= x"05"; when "01" & x"8d5" => data <= x"2c"; when "01" & x"8d6" => data <= x"05"; when "01" & x"8d7" => data <= x"2d"; when "01" & x"8d8" => data <= x"f0"; when "01" & x"8d9" => data <= x"17"; when "01" & x"8da" => data <= x"e0"; when "01" & x"8db" => data <= x"8c"; when "01" & x"8dc" => data <= x"f0"; when "01" & x"8dd" => data <= x"03"; when "01" & x"8de" => data <= x"4c"; when "01" & x"8df" => data <= x"a3"; when "01" & x"8e0" => data <= x"8b"; when "01" & x"8e1" => data <= x"e6"; when "01" & x"8e2" => data <= x"0a"; when "01" & x"8e3" => data <= x"20"; when "01" & x"8e4" => data <= x"df"; when "01" & x"8e5" => data <= x"97"; when "01" & x"8e6" => data <= x"90"; when "01" & x"8e7" => data <= x"f6"; when "01" & x"8e8" => data <= x"20"; when "01" & x"8e9" => data <= x"af"; when "01" & x"8ea" => data <= x"b9"; when "01" & x"8eb" => data <= x"20"; when "01" & x"8ec" => data <= x"77"; when "01" & x"8ed" => data <= x"98"; when "01" & x"8ee" => data <= x"4c"; when "01" & x"8ef" => data <= x"d2"; when "01" & x"8f0" => data <= x"b8"; when "01" & x"8f1" => data <= x"a4"; when "01" & x"8f2" => data <= x"0a"; when "01" & x"8f3" => data <= x"b1"; when "01" & x"8f4" => data <= x"0b"; when "01" & x"8f5" => data <= x"c9"; when "01" & x"8f6" => data <= x"0d"; when "01" & x"8f7" => data <= x"f0"; when "01" & x"8f8" => data <= x"09"; when "01" & x"8f9" => data <= x"c8"; when "01" & x"8fa" => data <= x"c9"; when "01" & x"8fb" => data <= x"8b"; when "01" & x"8fc" => data <= x"d0"; when "01" & x"8fd" => data <= x"f5"; when "01" & x"8fe" => data <= x"84"; when "01" & x"8ff" => data <= x"0a"; when "01" & x"900" => data <= x"f0"; when "01" & x"901" => data <= x"e1"; when "01" & x"902" => data <= x"4c"; when "01" & x"903" => data <= x"87"; when "01" & x"904" => data <= x"8b"; when "01" & x"905" => data <= x"a5"; when "01" & x"906" => data <= x"2a"; when "01" & x"907" => data <= x"c5"; when "01" & x"908" => data <= x"21"; when "01" & x"909" => data <= x"a5"; when "01" & x"90a" => data <= x"2b"; when "01" & x"90b" => data <= x"e5"; when "01" & x"90c" => data <= x"22"; when "01" & x"90d" => data <= x"b0"; when "01" & x"90e" => data <= x"ac"; when "01" & x"90f" => data <= x"a9"; when "01" & x"910" => data <= x"5b"; when "01" & x"911" => data <= x"20"; when "01" & x"912" => data <= x"58"; when "01" & x"913" => data <= x"b5"; when "01" & x"914" => data <= x"20"; when "01" & x"915" => data <= x"1f"; when "01" & x"916" => data <= x"99"; when "01" & x"917" => data <= x"a9"; when "01" & x"918" => data <= x"5d"; when "01" & x"919" => data <= x"20"; when "01" & x"91a" => data <= x"58"; when "01" & x"91b" => data <= x"b5"; when "01" & x"91c" => data <= x"4c"; when "01" & x"91d" => data <= x"65"; when "01" & x"91e" => data <= x"b5"; when "01" & x"91f" => data <= x"a9"; when "01" & x"920" => data <= x"00"; when "01" & x"921" => data <= x"f0"; when "01" & x"922" => data <= x"02"; when "01" & x"923" => data <= x"a9"; when "01" & x"924" => data <= x"05"; when "01" & x"925" => data <= x"85"; when "01" & x"926" => data <= x"14"; when "01" & x"927" => data <= x"a2"; when "01" & x"928" => data <= x"04"; when "01" & x"929" => data <= x"a9"; when "01" & x"92a" => data <= x"00"; when "01" & x"92b" => data <= x"95"; when "01" & x"92c" => data <= x"3f"; when "01" & x"92d" => data <= x"38"; when "01" & x"92e" => data <= x"a5"; when "01" & x"92f" => data <= x"2a"; when "01" & x"930" => data <= x"fd"; when "01" & x"931" => data <= x"6b"; when "01" & x"932" => data <= x"99"; when "01" & x"933" => data <= x"a8"; when "01" & x"934" => data <= x"a5"; when "01" & x"935" => data <= x"2b"; when "01" & x"936" => data <= x"fd"; when "01" & x"937" => data <= x"b9"; when "01" & x"938" => data <= x"99"; when "01" & x"939" => data <= x"90"; when "01" & x"93a" => data <= x"08"; when "01" & x"93b" => data <= x"85"; when "01" & x"93c" => data <= x"2b"; when "01" & x"93d" => data <= x"84"; when "01" & x"93e" => data <= x"2a"; when "01" & x"93f" => data <= x"f6"; when "01" & x"940" => data <= x"3f"; when "01" & x"941" => data <= x"d0"; when "01" & x"942" => data <= x"eb"; when "01" & x"943" => data <= x"ca"; when "01" & x"944" => data <= x"10"; when "01" & x"945" => data <= x"e3"; when "01" & x"946" => data <= x"a2"; when "01" & x"947" => data <= x"05"; when "01" & x"948" => data <= x"ca"; when "01" & x"949" => data <= x"f0"; when "01" & x"94a" => data <= x"04"; when "01" & x"94b" => data <= x"b5"; when "01" & x"94c" => data <= x"3f"; when "01" & x"94d" => data <= x"f0"; when "01" & x"94e" => data <= x"f9"; when "01" & x"94f" => data <= x"86"; when "01" & x"950" => data <= x"37"; when "01" & x"951" => data <= x"a5"; when "01" & x"952" => data <= x"14"; when "01" & x"953" => data <= x"f0"; when "01" & x"954" => data <= x"0b"; when "01" & x"955" => data <= x"e5"; when "01" & x"956" => data <= x"37"; when "01" & x"957" => data <= x"f0"; when "01" & x"958" => data <= x"07"; when "01" & x"959" => data <= x"a8"; when "01" & x"95a" => data <= x"20"; when "01" & x"95b" => data <= x"65"; when "01" & x"95c" => data <= x"b5"; when "01" & x"95d" => data <= x"88"; when "01" & x"95e" => data <= x"d0"; when "01" & x"95f" => data <= x"fa"; when "01" & x"960" => data <= x"b5"; when "01" & x"961" => data <= x"3f"; when "01" & x"962" => data <= x"09"; when "01" & x"963" => data <= x"30"; when "01" & x"964" => data <= x"20"; when "01" & x"965" => data <= x"58"; when "01" & x"966" => data <= x"b5"; when "01" & x"967" => data <= x"ca"; when "01" & x"968" => data <= x"10"; when "01" & x"969" => data <= x"f6"; when "01" & x"96a" => data <= x"60"; when "01" & x"96b" => data <= x"01"; when "01" & x"96c" => data <= x"0a"; when "01" & x"96d" => data <= x"64"; when "01" & x"96e" => data <= x"e8"; when "01" & x"96f" => data <= x"10"; when "01" & x"970" => data <= x"a0"; when "01" & x"971" => data <= x"00"; when "01" & x"972" => data <= x"84"; when "01" & x"973" => data <= x"3d"; when "01" & x"974" => data <= x"a5"; when "01" & x"975" => data <= x"18"; when "01" & x"976" => data <= x"85"; when "01" & x"977" => data <= x"3e"; when "01" & x"978" => data <= x"a0"; when "01" & x"979" => data <= x"01"; when "01" & x"97a" => data <= x"b1"; when "01" & x"97b" => data <= x"3d"; when "01" & x"97c" => data <= x"c5"; when "01" & x"97d" => data <= x"2b"; when "01" & x"97e" => data <= x"b0"; when "01" & x"97f" => data <= x"0e"; when "01" & x"980" => data <= x"a0"; when "01" & x"981" => data <= x"03"; when "01" & x"982" => data <= x"b1"; when "01" & x"983" => data <= x"3d"; when "01" & x"984" => data <= x"65"; when "01" & x"985" => data <= x"3d"; when "01" & x"986" => data <= x"85"; when "01" & x"987" => data <= x"3d"; when "01" & x"988" => data <= x"90"; when "01" & x"989" => data <= x"ee"; when "01" & x"98a" => data <= x"e6"; when "01" & x"98b" => data <= x"3e"; when "01" & x"98c" => data <= x"b0"; when "01" & x"98d" => data <= x"ea"; when "01" & x"98e" => data <= x"d0"; when "01" & x"98f" => data <= x"14"; when "01" & x"990" => data <= x"a0"; when "01" & x"991" => data <= x"02"; when "01" & x"992" => data <= x"b1"; when "01" & x"993" => data <= x"3d"; when "01" & x"994" => data <= x"c5"; when "01" & x"995" => data <= x"2a"; when "01" & x"996" => data <= x"90"; when "01" & x"997" => data <= x"e8"; when "01" & x"998" => data <= x"d0"; when "01" & x"999" => data <= x"0a"; when "01" & x"99a" => data <= x"98"; when "01" & x"99b" => data <= x"65"; when "01" & x"99c" => data <= x"3d"; when "01" & x"99d" => data <= x"85"; when "01" & x"99e" => data <= x"3d"; when "01" & x"99f" => data <= x"90"; when "01" & x"9a0" => data <= x"03"; when "01" & x"9a1" => data <= x"e6"; when "01" & x"9a2" => data <= x"3e"; when "01" & x"9a3" => data <= x"18"; when "01" & x"9a4" => data <= x"a0"; when "01" & x"9a5" => data <= x"02"; when "01" & x"9a6" => data <= x"60"; when "01" & x"9a7" => data <= x"00"; when "01" & x"9a8" => data <= x"12"; when "01" & x"9a9" => data <= x"44"; when "01" & x"9aa" => data <= x"69"; when "01" & x"9ab" => data <= x"76"; when "01" & x"9ac" => data <= x"69"; when "01" & x"9ad" => data <= x"73"; when "01" & x"9ae" => data <= x"69"; when "01" & x"9af" => data <= x"6f"; when "01" & x"9b0" => data <= x"6e"; when "01" & x"9b1" => data <= x"20"; when "01" & x"9b2" => data <= x"62"; when "01" & x"9b3" => data <= x"79"; when "01" & x"9b4" => data <= x"20"; when "01" & x"9b5" => data <= x"7a"; when "01" & x"9b6" => data <= x"65"; when "01" & x"9b7" => data <= x"72"; when "01" & x"9b8" => data <= x"6f"; when "01" & x"9b9" => data <= x"00"; when "01" & x"9ba" => data <= x"00"; when "01" & x"9bb" => data <= x"00"; when "01" & x"9bc" => data <= x"03"; when "01" & x"9bd" => data <= x"27"; when "01" & x"9be" => data <= x"a8"; when "01" & x"9bf" => data <= x"20"; when "01" & x"9c0" => data <= x"f0"; when "01" & x"9c1" => data <= x"92"; when "01" & x"9c2" => data <= x"a5"; when "01" & x"9c3" => data <= x"2d"; when "01" & x"9c4" => data <= x"48"; when "01" & x"9c5" => data <= x"20"; when "01" & x"9c6" => data <= x"71"; when "01" & x"9c7" => data <= x"ad"; when "01" & x"9c8" => data <= x"20"; when "01" & x"9c9" => data <= x"1d"; when "01" & x"9ca" => data <= x"9e"; when "01" & x"9cb" => data <= x"86"; when "01" & x"9cc" => data <= x"27"; when "01" & x"9cd" => data <= x"a8"; when "01" & x"9ce" => data <= x"20"; when "01" & x"9cf" => data <= x"f0"; when "01" & x"9d0" => data <= x"92"; when "01" & x"9d1" => data <= x"68"; when "01" & x"9d2" => data <= x"85"; when "01" & x"9d3" => data <= x"38"; when "01" & x"9d4" => data <= x"45"; when "01" & x"9d5" => data <= x"2d"; when "01" & x"9d6" => data <= x"85"; when "01" & x"9d7" => data <= x"37"; when "01" & x"9d8" => data <= x"20"; when "01" & x"9d9" => data <= x"71"; when "01" & x"9da" => data <= x"ad"; when "01" & x"9db" => data <= x"a2"; when "01" & x"9dc" => data <= x"39"; when "01" & x"9dd" => data <= x"20"; when "01" & x"9de" => data <= x"0d"; when "01" & x"9df" => data <= x"be"; when "01" & x"9e0" => data <= x"84"; when "01" & x"9e1" => data <= x"3d"; when "01" & x"9e2" => data <= x"84"; when "01" & x"9e3" => data <= x"3e"; when "01" & x"9e4" => data <= x"84"; when "01" & x"9e5" => data <= x"3f"; when "01" & x"9e6" => data <= x"84"; when "01" & x"9e7" => data <= x"40"; when "01" & x"9e8" => data <= x"a5"; when "01" & x"9e9" => data <= x"2d"; when "01" & x"9ea" => data <= x"05"; when "01" & x"9eb" => data <= x"2a"; when "01" & x"9ec" => data <= x"05"; when "01" & x"9ed" => data <= x"2b"; when "01" & x"9ee" => data <= x"05"; when "01" & x"9ef" => data <= x"2c"; when "01" & x"9f0" => data <= x"f0"; when "01" & x"9f1" => data <= x"b5"; when "01" & x"9f2" => data <= x"a0"; when "01" & x"9f3" => data <= x"20"; when "01" & x"9f4" => data <= x"88"; when "01" & x"9f5" => data <= x"f0"; when "01" & x"9f6" => data <= x"41"; when "01" & x"9f7" => data <= x"06"; when "01" & x"9f8" => data <= x"39"; when "01" & x"9f9" => data <= x"26"; when "01" & x"9fa" => data <= x"3a"; when "01" & x"9fb" => data <= x"26"; when "01" & x"9fc" => data <= x"3b"; when "01" & x"9fd" => data <= x"26"; when "01" & x"9fe" => data <= x"3c"; when "01" & x"9ff" => data <= x"10"; when "01" & x"a00" => data <= x"f3"; when "01" & x"a01" => data <= x"26"; when "01" & x"a02" => data <= x"39"; when "01" & x"a03" => data <= x"26"; when "01" & x"a04" => data <= x"3a"; when "01" & x"a05" => data <= x"26"; when "01" & x"a06" => data <= x"3b"; when "01" & x"a07" => data <= x"26"; when "01" & x"a08" => data <= x"3c"; when "01" & x"a09" => data <= x"26"; when "01" & x"a0a" => data <= x"3d"; when "01" & x"a0b" => data <= x"26"; when "01" & x"a0c" => data <= x"3e"; when "01" & x"a0d" => data <= x"26"; when "01" & x"a0e" => data <= x"3f"; when "01" & x"a0f" => data <= x"26"; when "01" & x"a10" => data <= x"40"; when "01" & x"a11" => data <= x"38"; when "01" & x"a12" => data <= x"a5"; when "01" & x"a13" => data <= x"3d"; when "01" & x"a14" => data <= x"e5"; when "01" & x"a15" => data <= x"2a"; when "01" & x"a16" => data <= x"48"; when "01" & x"a17" => data <= x"a5"; when "01" & x"a18" => data <= x"3e"; when "01" & x"a19" => data <= x"e5"; when "01" & x"a1a" => data <= x"2b"; when "01" & x"a1b" => data <= x"48"; when "01" & x"a1c" => data <= x"a5"; when "01" & x"a1d" => data <= x"3f"; when "01" & x"a1e" => data <= x"e5"; when "01" & x"a1f" => data <= x"2c"; when "01" & x"a20" => data <= x"aa"; when "01" & x"a21" => data <= x"a5"; when "01" & x"a22" => data <= x"40"; when "01" & x"a23" => data <= x"e5"; when "01" & x"a24" => data <= x"2d"; when "01" & x"a25" => data <= x"90"; when "01" & x"a26" => data <= x"0c"; when "01" & x"a27" => data <= x"85"; when "01" & x"a28" => data <= x"40"; when "01" & x"a29" => data <= x"86"; when "01" & x"a2a" => data <= x"3f"; when "01" & x"a2b" => data <= x"68"; when "01" & x"a2c" => data <= x"85"; when "01" & x"a2d" => data <= x"3e"; when "01" & x"a2e" => data <= x"68"; when "01" & x"a2f" => data <= x"85"; when "01" & x"a30" => data <= x"3d"; when "01" & x"a31" => data <= x"b0"; when "01" & x"a32" => data <= x"02"; when "01" & x"a33" => data <= x"68"; when "01" & x"a34" => data <= x"68"; when "01" & x"a35" => data <= x"88"; when "01" & x"a36" => data <= x"d0"; when "01" & x"a37" => data <= x"c9"; when "01" & x"a38" => data <= x"60"; when "01" & x"a39" => data <= x"86"; when "01" & x"a3a" => data <= x"27"; when "01" & x"a3b" => data <= x"20"; when "01" & x"a3c" => data <= x"ea"; when "01" & x"a3d" => data <= x"bd"; when "01" & x"a3e" => data <= x"20"; when "01" & x"a3f" => data <= x"51"; when "01" & x"a40" => data <= x"bd"; when "01" & x"a41" => data <= x"20"; when "01" & x"a42" => data <= x"be"; when "01" & x"a43" => data <= x"a2"; when "01" & x"a44" => data <= x"20"; when "01" & x"a45" => data <= x"1e"; when "01" & x"a46" => data <= x"a2"; when "01" & x"a47" => data <= x"20"; when "01" & x"a48" => data <= x"7e"; when "01" & x"a49" => data <= x"bd"; when "01" & x"a4a" => data <= x"20"; when "01" & x"a4b" => data <= x"b5"; when "01" & x"a4c" => data <= x"a3"; when "01" & x"a4d" => data <= x"4c"; when "01" & x"a4e" => data <= x"62"; when "01" & x"a4f" => data <= x"9a"; when "01" & x"a50" => data <= x"20"; when "01" & x"a51" => data <= x"51"; when "01" & x"a52" => data <= x"bd"; when "01" & x"a53" => data <= x"20"; when "01" & x"a54" => data <= x"42"; when "01" & x"a55" => data <= x"9c"; when "01" & x"a56" => data <= x"86"; when "01" & x"a57" => data <= x"27"; when "01" & x"a58" => data <= x"a8"; when "01" & x"a59" => data <= x"20"; when "01" & x"a5a" => data <= x"fd"; when "01" & x"a5b" => data <= x"92"; when "01" & x"a5c" => data <= x"20"; when "01" & x"a5d" => data <= x"7e"; when "01" & x"a5e" => data <= x"bd"; when "01" & x"a5f" => data <= x"20"; when "01" & x"a60" => data <= x"4e"; when "01" & x"a61" => data <= x"a3"; when "01" & x"a62" => data <= x"a6"; when "01" & x"a63" => data <= x"27"; when "01" & x"a64" => data <= x"a0"; when "01" & x"a65" => data <= x"00"; when "01" & x"a66" => data <= x"a5"; when "01" & x"a67" => data <= x"3b"; when "01" & x"a68" => data <= x"29"; when "01" & x"a69" => data <= x"80"; when "01" & x"a6a" => data <= x"85"; when "01" & x"a6b" => data <= x"3b"; when "01" & x"a6c" => data <= x"a5"; when "01" & x"a6d" => data <= x"2e"; when "01" & x"a6e" => data <= x"29"; when "01" & x"a6f" => data <= x"80"; when "01" & x"a70" => data <= x"c5"; when "01" & x"a71" => data <= x"3b"; when "01" & x"a72" => data <= x"d0"; when "01" & x"a73" => data <= x"1e"; when "01" & x"a74" => data <= x"a5"; when "01" & x"a75" => data <= x"3d"; when "01" & x"a76" => data <= x"c5"; when "01" & x"a77" => data <= x"30"; when "01" & x"a78" => data <= x"d0"; when "01" & x"a79" => data <= x"19"; when "01" & x"a7a" => data <= x"a5"; when "01" & x"a7b" => data <= x"3e"; when "01" & x"a7c" => data <= x"c5"; when "01" & x"a7d" => data <= x"31"; when "01" & x"a7e" => data <= x"d0"; when "01" & x"a7f" => data <= x"13"; when "01" & x"a80" => data <= x"a5"; when "01" & x"a81" => data <= x"3f"; when "01" & x"a82" => data <= x"c5"; when "01" & x"a83" => data <= x"32"; when "01" & x"a84" => data <= x"d0"; when "01" & x"a85" => data <= x"0d"; when "01" & x"a86" => data <= x"a5"; when "01" & x"a87" => data <= x"40"; when "01" & x"a88" => data <= x"c5"; when "01" & x"a89" => data <= x"33"; when "01" & x"a8a" => data <= x"d0"; when "01" & x"a8b" => data <= x"07"; when "01" & x"a8c" => data <= x"a5"; when "01" & x"a8d" => data <= x"41"; when "01" & x"a8e" => data <= x"c5"; when "01" & x"a8f" => data <= x"34"; when "01" & x"a90" => data <= x"d0"; when "01" & x"a91" => data <= x"01"; when "01" & x"a92" => data <= x"60"; when "01" & x"a93" => data <= x"6a"; when "01" & x"a94" => data <= x"45"; when "01" & x"a95" => data <= x"3b"; when "01" & x"a96" => data <= x"2a"; when "01" & x"a97" => data <= x"a9"; when "01" & x"a98" => data <= x"01"; when "01" & x"a99" => data <= x"60"; when "01" & x"a9a" => data <= x"4c"; when "01" & x"a9b" => data <= x"0e"; when "01" & x"a9c" => data <= x"8c"; when "01" & x"a9d" => data <= x"8a"; when "01" & x"a9e" => data <= x"f0"; when "01" & x"a9f" => data <= x"47"; when "01" & x"aa0" => data <= x"30"; when "01" & x"aa1" => data <= x"ae"; when "01" & x"aa2" => data <= x"20"; when "01" & x"aa3" => data <= x"94"; when "01" & x"aa4" => data <= x"bd"; when "01" & x"aa5" => data <= x"20"; when "01" & x"aa6" => data <= x"42"; when "01" & x"aa7" => data <= x"9c"; when "01" & x"aa8" => data <= x"a8"; when "01" & x"aa9" => data <= x"f0"; when "01" & x"aaa" => data <= x"ef"; when "01" & x"aab" => data <= x"30"; when "01" & x"aac" => data <= x"8c"; when "01" & x"aad" => data <= x"a5"; when "01" & x"aae" => data <= x"2d"; when "01" & x"aaf" => data <= x"49"; when "01" & x"ab0" => data <= x"80"; when "01" & x"ab1" => data <= x"85"; when "01" & x"ab2" => data <= x"2d"; when "01" & x"ab3" => data <= x"38"; when "01" & x"ab4" => data <= x"a0"; when "01" & x"ab5" => data <= x"00"; when "01" & x"ab6" => data <= x"b1"; when "01" & x"ab7" => data <= x"04"; when "01" & x"ab8" => data <= x"e5"; when "01" & x"ab9" => data <= x"2a"; when "01" & x"aba" => data <= x"85"; when "01" & x"abb" => data <= x"2a"; when "01" & x"abc" => data <= x"c8"; when "01" & x"abd" => data <= x"b1"; when "01" & x"abe" => data <= x"04"; when "01" & x"abf" => data <= x"e5"; when "01" & x"ac0" => data <= x"2b"; when "01" & x"ac1" => data <= x"85"; when "01" & x"ac2" => data <= x"2b"; when "01" & x"ac3" => data <= x"c8"; when "01" & x"ac4" => data <= x"b1"; when "01" & x"ac5" => data <= x"04"; when "01" & x"ac6" => data <= x"e5"; when "01" & x"ac7" => data <= x"2c"; when "01" & x"ac8" => data <= x"85"; when "01" & x"ac9" => data <= x"2c"; when "01" & x"aca" => data <= x"c8"; when "01" & x"acb" => data <= x"b1"; when "01" & x"acc" => data <= x"04"; when "01" & x"acd" => data <= x"a0"; when "01" & x"ace" => data <= x"00"; when "01" & x"acf" => data <= x"49"; when "01" & x"ad0" => data <= x"80"; when "01" & x"ad1" => data <= x"e5"; when "01" & x"ad2" => data <= x"2d"; when "01" & x"ad3" => data <= x"05"; when "01" & x"ad4" => data <= x"2a"; when "01" & x"ad5" => data <= x"05"; when "01" & x"ad6" => data <= x"2b"; when "01" & x"ad7" => data <= x"05"; when "01" & x"ad8" => data <= x"2c"; when "01" & x"ad9" => data <= x"08"; when "01" & x"ada" => data <= x"18"; when "01" & x"adb" => data <= x"a9"; when "01" & x"adc" => data <= x"04"; when "01" & x"add" => data <= x"65"; when "01" & x"ade" => data <= x"04"; when "01" & x"adf" => data <= x"85"; when "01" & x"ae0" => data <= x"04"; when "01" & x"ae1" => data <= x"90"; when "01" & x"ae2" => data <= x"02"; when "01" & x"ae3" => data <= x"e6"; when "01" & x"ae4" => data <= x"05"; when "01" & x"ae5" => data <= x"28"; when "01" & x"ae6" => data <= x"60"; when "01" & x"ae7" => data <= x"20"; when "01" & x"ae8" => data <= x"b2"; when "01" & x"ae9" => data <= x"bd"; when "01" & x"aea" => data <= x"20"; when "01" & x"aeb" => data <= x"42"; when "01" & x"aec" => data <= x"9c"; when "01" & x"aed" => data <= x"a8"; when "01" & x"aee" => data <= x"d0"; when "01" & x"aef" => data <= x"aa"; when "01" & x"af0" => data <= x"86"; when "01" & x"af1" => data <= x"37"; when "01" & x"af2" => data <= x"a6"; when "01" & x"af3" => data <= x"36"; when "01" & x"af4" => data <= x"a0"; when "01" & x"af5" => data <= x"00"; when "01" & x"af6" => data <= x"b1"; when "01" & x"af7" => data <= x"04"; when "01" & x"af8" => data <= x"85"; when "01" & x"af9" => data <= x"39"; when "01" & x"afa" => data <= x"c5"; when "01" & x"afb" => data <= x"36"; when "01" & x"afc" => data <= x"b0"; when "01" & x"afd" => data <= x"01"; when "01" & x"afe" => data <= x"aa"; when "01" & x"aff" => data <= x"86"; when "01" & x"b00" => data <= x"3a"; when "01" & x"b01" => data <= x"a0"; when "01" & x"b02" => data <= x"00"; when "01" & x"b03" => data <= x"c4"; when "01" & x"b04" => data <= x"3a"; when "01" & x"b05" => data <= x"f0"; when "01" & x"b06" => data <= x"0a"; when "01" & x"b07" => data <= x"c8"; when "01" & x"b08" => data <= x"b1"; when "01" & x"b09" => data <= x"04"; when "01" & x"b0a" => data <= x"d9"; when "01" & x"b0b" => data <= x"ff"; when "01" & x"b0c" => data <= x"05"; when "01" & x"b0d" => data <= x"f0"; when "01" & x"b0e" => data <= x"f4"; when "01" & x"b0f" => data <= x"d0"; when "01" & x"b10" => data <= x"04"; when "01" & x"b11" => data <= x"a5"; when "01" & x"b12" => data <= x"39"; when "01" & x"b13" => data <= x"c5"; when "01" & x"b14" => data <= x"36"; when "01" & x"b15" => data <= x"08"; when "01" & x"b16" => data <= x"20"; when "01" & x"b17" => data <= x"dc"; when "01" & x"b18" => data <= x"bd"; when "01" & x"b19" => data <= x"a6"; when "01" & x"b1a" => data <= x"37"; when "01" & x"b1b" => data <= x"28"; when "01" & x"b1c" => data <= x"60"; when "01" & x"b1d" => data <= x"a5"; when "01" & x"b1e" => data <= x"0b"; when "01" & x"b1f" => data <= x"85"; when "01" & x"b20" => data <= x"19"; when "01" & x"b21" => data <= x"a5"; when "01" & x"b22" => data <= x"0c"; when "01" & x"b23" => data <= x"85"; when "01" & x"b24" => data <= x"1a"; when "01" & x"b25" => data <= x"a5"; when "01" & x"b26" => data <= x"0a"; when "01" & x"b27" => data <= x"85"; when "01" & x"b28" => data <= x"1b"; when "01" & x"b29" => data <= x"20"; when "01" & x"b2a" => data <= x"72"; when "01" & x"b2b" => data <= x"9b"; when "01" & x"b2c" => data <= x"e0"; when "01" & x"b2d" => data <= x"84"; when "01" & x"b2e" => data <= x"f0"; when "01" & x"b2f" => data <= x"0a"; when "01" & x"b30" => data <= x"e0"; when "01" & x"b31" => data <= x"82"; when "01" & x"b32" => data <= x"f0"; when "01" & x"b33" => data <= x"21"; when "01" & x"b34" => data <= x"c6"; when "01" & x"b35" => data <= x"1b"; when "01" & x"b36" => data <= x"a8"; when "01" & x"b37" => data <= x"85"; when "01" & x"b38" => data <= x"27"; when "01" & x"b39" => data <= x"60"; when "01" & x"b3a" => data <= x"20"; when "01" & x"b3b" => data <= x"6b"; when "01" & x"b3c" => data <= x"9b"; when "01" & x"b3d" => data <= x"a8"; when "01" & x"b3e" => data <= x"20"; when "01" & x"b3f" => data <= x"f0"; when "01" & x"b40" => data <= x"92"; when "01" & x"b41" => data <= x"a0"; when "01" & x"b42" => data <= x"03"; when "01" & x"b43" => data <= x"b1"; when "01" & x"b44" => data <= x"04"; when "01" & x"b45" => data <= x"19"; when "01" & x"b46" => data <= x"2a"; when "01" & x"b47" => data <= x"00"; when "01" & x"b48" => data <= x"99"; when "01" & x"b49" => data <= x"2a"; when "01" & x"b4a" => data <= x"00"; when "01" & x"b4b" => data <= x"88"; when "01" & x"b4c" => data <= x"10"; when "01" & x"b4d" => data <= x"f5"; when "01" & x"b4e" => data <= x"20"; when "01" & x"b4f" => data <= x"ff"; when "01" & x"b50" => data <= x"bd"; when "01" & x"b51" => data <= x"a9"; when "01" & x"b52" => data <= x"40"; when "01" & x"b53" => data <= x"d0"; when "01" & x"b54" => data <= x"d7"; when "01" & x"b55" => data <= x"20"; when "01" & x"b56" => data <= x"6b"; when "01" & x"b57" => data <= x"9b"; when "01" & x"b58" => data <= x"a8"; when "01" & x"b59" => data <= x"20"; when "01" & x"b5a" => data <= x"f0"; when "01" & x"b5b" => data <= x"92"; when "01" & x"b5c" => data <= x"a0"; when "01" & x"b5d" => data <= x"03"; when "01" & x"b5e" => data <= x"b1"; when "01" & x"b5f" => data <= x"04"; when "01" & x"b60" => data <= x"59"; when "01" & x"b61" => data <= x"2a"; when "01" & x"b62" => data <= x"00"; when "01" & x"b63" => data <= x"99"; when "01" & x"b64" => data <= x"2a"; when "01" & x"b65" => data <= x"00"; when "01" & x"b66" => data <= x"88"; when "01" & x"b67" => data <= x"10"; when "01" & x"b68" => data <= x"f5"; when "01" & x"b69" => data <= x"30"; when "01" & x"b6a" => data <= x"e3"; when "01" & x"b6b" => data <= x"a8"; when "01" & x"b6c" => data <= x"20"; when "01" & x"b6d" => data <= x"f0"; when "01" & x"b6e" => data <= x"92"; when "01" & x"b6f" => data <= x"20"; when "01" & x"b70" => data <= x"94"; when "01" & x"b71" => data <= x"bd"; when "01" & x"b72" => data <= x"20"; when "01" & x"b73" => data <= x"9c"; when "01" & x"b74" => data <= x"9b"; when "01" & x"b75" => data <= x"e0"; when "01" & x"b76" => data <= x"80"; when "01" & x"b77" => data <= x"f0"; when "01" & x"b78" => data <= x"01"; when "01" & x"b79" => data <= x"60"; when "01" & x"b7a" => data <= x"a8"; when "01" & x"b7b" => data <= x"20"; when "01" & x"b7c" => data <= x"f0"; when "01" & x"b7d" => data <= x"92"; when "01" & x"b7e" => data <= x"20"; when "01" & x"b7f" => data <= x"94"; when "01" & x"b80" => data <= x"bd"; when "01" & x"b81" => data <= x"20"; when "01" & x"b82" => data <= x"9c"; when "01" & x"b83" => data <= x"9b"; when "01" & x"b84" => data <= x"a8"; when "01" & x"b85" => data <= x"20"; when "01" & x"b86" => data <= x"f0"; when "01" & x"b87" => data <= x"92"; when "01" & x"b88" => data <= x"a0"; when "01" & x"b89" => data <= x"03"; when "01" & x"b8a" => data <= x"b1"; when "01" & x"b8b" => data <= x"04"; when "01" & x"b8c" => data <= x"39"; when "01" & x"b8d" => data <= x"2a"; when "01" & x"b8e" => data <= x"00"; when "01" & x"b8f" => data <= x"99"; when "01" & x"b90" => data <= x"2a"; when "01" & x"b91" => data <= x"00"; when "01" & x"b92" => data <= x"88"; when "01" & x"b93" => data <= x"10"; when "01" & x"b94" => data <= x"f5"; when "01" & x"b95" => data <= x"20"; when "01" & x"b96" => data <= x"ff"; when "01" & x"b97" => data <= x"bd"; when "01" & x"b98" => data <= x"a9"; when "01" & x"b99" => data <= x"40"; when "01" & x"b9a" => data <= x"d0"; when "01" & x"b9b" => data <= x"d9"; when "01" & x"b9c" => data <= x"20"; when "01" & x"b9d" => data <= x"42"; when "01" & x"b9e" => data <= x"9c"; when "01" & x"b9f" => data <= x"e0"; when "01" & x"ba0" => data <= x"3f"; when "01" & x"ba1" => data <= x"b0"; when "01" & x"ba2" => data <= x"04"; when "01" & x"ba3" => data <= x"e0"; when "01" & x"ba4" => data <= x"3c"; when "01" & x"ba5" => data <= x"b0"; when "01" & x"ba6" => data <= x"01"; when "01" & x"ba7" => data <= x"60"; when "01" & x"ba8" => data <= x"f0"; when "01" & x"ba9" => data <= x"16"; when "01" & x"baa" => data <= x"e0"; when "01" & x"bab" => data <= x"3e"; when "01" & x"bac" => data <= x"f0"; when "01" & x"bad" => data <= x"3a"; when "01" & x"bae" => data <= x"aa"; when "01" & x"baf" => data <= x"20"; when "01" & x"bb0" => data <= x"9e"; when "01" & x"bb1" => data <= x"9a"; when "01" & x"bb2" => data <= x"d0"; when "01" & x"bb3" => data <= x"01"; when "01" & x"bb4" => data <= x"88"; when "01" & x"bb5" => data <= x"84"; when "01" & x"bb6" => data <= x"2a"; when "01" & x"bb7" => data <= x"84"; when "01" & x"bb8" => data <= x"2b"; when "01" & x"bb9" => data <= x"84"; when "01" & x"bba" => data <= x"2c"; when "01" & x"bbb" => data <= x"84"; when "01" & x"bbc" => data <= x"2d"; when "01" & x"bbd" => data <= x"a9"; when "01" & x"bbe" => data <= x"40"; when "01" & x"bbf" => data <= x"60"; when "01" & x"bc0" => data <= x"aa"; when "01" & x"bc1" => data <= x"a4"; when "01" & x"bc2" => data <= x"1b"; when "01" & x"bc3" => data <= x"b1"; when "01" & x"bc4" => data <= x"19"; when "01" & x"bc5" => data <= x"c9"; when "01" & x"bc6" => data <= x"3d"; when "01" & x"bc7" => data <= x"f0"; when "01" & x"bc8" => data <= x"0b"; when "01" & x"bc9" => data <= x"c9"; when "01" & x"bca" => data <= x"3e"; when "01" & x"bcb" => data <= x"f0"; when "01" & x"bcc" => data <= x"12"; when "01" & x"bcd" => data <= x"20"; when "01" & x"bce" => data <= x"9d"; when "01" & x"bcf" => data <= x"9a"; when "01" & x"bd0" => data <= x"90"; when "01" & x"bd1" => data <= x"e2"; when "01" & x"bd2" => data <= x"b0"; when "01" & x"bd3" => data <= x"e1"; when "01" & x"bd4" => data <= x"e6"; when "01" & x"bd5" => data <= x"1b"; when "01" & x"bd6" => data <= x"20"; when "01" & x"bd7" => data <= x"9d"; when "01" & x"bd8" => data <= x"9a"; when "01" & x"bd9" => data <= x"f0"; when "01" & x"bda" => data <= x"d9"; when "01" & x"bdb" => data <= x"90"; when "01" & x"bdc" => data <= x"d7"; when "01" & x"bdd" => data <= x"b0"; when "01" & x"bde" => data <= x"d6"; when "01" & x"bdf" => data <= x"e6"; when "01" & x"be0" => data <= x"1b"; when "01" & x"be1" => data <= x"20"; when "01" & x"be2" => data <= x"9d"; when "01" & x"be3" => data <= x"9a"; when "01" & x"be4" => data <= x"d0"; when "01" & x"be5" => data <= x"ce"; when "01" & x"be6" => data <= x"f0"; when "01" & x"be7" => data <= x"cd"; when "01" & x"be8" => data <= x"aa"; when "01" & x"be9" => data <= x"a4"; when "01" & x"bea" => data <= x"1b"; when "01" & x"beb" => data <= x"b1"; when "01" & x"bec" => data <= x"19"; when "01" & x"bed" => data <= x"c9"; when "01" & x"bee" => data <= x"3d"; when "01" & x"bef" => data <= x"f0"; when "01" & x"bf0" => data <= x"09"; when "01" & x"bf1" => data <= x"20"; when "01" & x"bf2" => data <= x"9d"; when "01" & x"bf3" => data <= x"9a"; when "01" & x"bf4" => data <= x"f0"; when "01" & x"bf5" => data <= x"bf"; when "01" & x"bf6" => data <= x"b0"; when "01" & x"bf7" => data <= x"bc"; when "01" & x"bf8" => data <= x"90"; when "01" & x"bf9" => data <= x"bb"; when "01" & x"bfa" => data <= x"e6"; when "01" & x"bfb" => data <= x"1b"; when "01" & x"bfc" => data <= x"20"; when "01" & x"bfd" => data <= x"9d"; when "01" & x"bfe" => data <= x"9a"; when "01" & x"bff" => data <= x"b0"; when "01" & x"c00" => data <= x"b3"; when "01" & x"c01" => data <= x"90"; when "01" & x"c02" => data <= x"b2"; when "01" & x"c03" => data <= x"00"; when "01" & x"c04" => data <= x"13"; when "01" & x"c05" => data <= x"53"; when "01" & x"c06" => data <= x"74"; when "01" & x"c07" => data <= x"72"; when "01" & x"c08" => data <= x"69"; when "01" & x"c09" => data <= x"6e"; when "01" & x"c0a" => data <= x"67"; when "01" & x"c0b" => data <= x"20"; when "01" & x"c0c" => data <= x"74"; when "01" & x"c0d" => data <= x"6f"; when "01" & x"c0e" => data <= x"6f"; when "01" & x"c0f" => data <= x"20"; when "01" & x"c10" => data <= x"6c"; when "01" & x"c11" => data <= x"6f"; when "01" & x"c12" => data <= x"6e"; when "01" & x"c13" => data <= x"67"; when "01" & x"c14" => data <= x"00"; when "01" & x"c15" => data <= x"20"; when "01" & x"c16" => data <= x"b2"; when "01" & x"c17" => data <= x"bd"; when "01" & x"c18" => data <= x"20"; when "01" & x"c19" => data <= x"20"; when "01" & x"c1a" => data <= x"9e"; when "01" & x"c1b" => data <= x"a8"; when "01" & x"c1c" => data <= x"d0"; when "01" & x"c1d" => data <= x"6a"; when "01" & x"c1e" => data <= x"18"; when "01" & x"c1f" => data <= x"86"; when "01" & x"c20" => data <= x"37"; when "01" & x"c21" => data <= x"a0"; when "01" & x"c22" => data <= x"00"; when "01" & x"c23" => data <= x"b1"; when "01" & x"c24" => data <= x"04"; when "01" & x"c25" => data <= x"65"; when "01" & x"c26" => data <= x"36"; when "01" & x"c27" => data <= x"b0"; when "01" & x"c28" => data <= x"da"; when "01" & x"c29" => data <= x"aa"; when "01" & x"c2a" => data <= x"48"; when "01" & x"c2b" => data <= x"a4"; when "01" & x"c2c" => data <= x"36"; when "01" & x"c2d" => data <= x"b9"; when "01" & x"c2e" => data <= x"ff"; when "01" & x"c2f" => data <= x"05"; when "01" & x"c30" => data <= x"9d"; when "01" & x"c31" => data <= x"ff"; when "01" & x"c32" => data <= x"05"; when "01" & x"c33" => data <= x"ca"; when "01" & x"c34" => data <= x"88"; when "01" & x"c35" => data <= x"d0"; when "01" & x"c36" => data <= x"f6"; when "01" & x"c37" => data <= x"20"; when "01" & x"c38" => data <= x"cb"; when "01" & x"c39" => data <= x"bd"; when "01" & x"c3a" => data <= x"68"; when "01" & x"c3b" => data <= x"85"; when "01" & x"c3c" => data <= x"36"; when "01" & x"c3d" => data <= x"a6"; when "01" & x"c3e" => data <= x"37"; when "01" & x"c3f" => data <= x"98"; when "01" & x"c40" => data <= x"f0"; when "01" & x"c41" => data <= x"03"; when "01" & x"c42" => data <= x"20"; when "01" & x"c43" => data <= x"d1"; when "01" & x"c44" => data <= x"9d"; when "01" & x"c45" => data <= x"e0"; when "01" & x"c46" => data <= x"2b"; when "01" & x"c47" => data <= x"f0"; when "01" & x"c48" => data <= x"05"; when "01" & x"c49" => data <= x"e0"; when "01" & x"c4a" => data <= x"2d"; when "01" & x"c4b" => data <= x"f0"; when "01" & x"c4c" => data <= x"68"; when "01" & x"c4d" => data <= x"60"; when "01" & x"c4e" => data <= x"a8"; when "01" & x"c4f" => data <= x"f0"; when "01" & x"c50" => data <= x"c4"; when "01" & x"c51" => data <= x"30"; when "01" & x"c52" => data <= x"38"; when "01" & x"c53" => data <= x"20"; when "01" & x"c54" => data <= x"ce"; when "01" & x"c55" => data <= x"9d"; when "01" & x"c56" => data <= x"a8"; when "01" & x"c57" => data <= x"f0"; when "01" & x"c58" => data <= x"2f"; when "01" & x"c59" => data <= x"30"; when "01" & x"c5a" => data <= x"4c"; when "01" & x"c5b" => data <= x"a0"; when "01" & x"c5c" => data <= x"00"; when "01" & x"c5d" => data <= x"18"; when "01" & x"c5e" => data <= x"b1"; when "01" & x"c5f" => data <= x"04"; when "01" & x"c60" => data <= x"65"; when "01" & x"c61" => data <= x"2a"; when "01" & x"c62" => data <= x"85"; when "01" & x"c63" => data <= x"2a"; when "01" & x"c64" => data <= x"c8"; when "01" & x"c65" => data <= x"b1"; when "01" & x"c66" => data <= x"04"; when "01" & x"c67" => data <= x"65"; when "01" & x"c68" => data <= x"2b"; when "01" & x"c69" => data <= x"85"; when "01" & x"c6a" => data <= x"2b"; when "01" & x"c6b" => data <= x"c8"; when "01" & x"c6c" => data <= x"b1"; when "01" & x"c6d" => data <= x"04"; when "01" & x"c6e" => data <= x"65"; when "01" & x"c6f" => data <= x"2c"; when "01" & x"c70" => data <= x"85"; when "01" & x"c71" => data <= x"2c"; when "01" & x"c72" => data <= x"c8"; when "01" & x"c73" => data <= x"b1"; when "01" & x"c74" => data <= x"04"; when "01" & x"c75" => data <= x"65"; when "01" & x"c76" => data <= x"2d"; when "01" & x"c77" => data <= x"85"; when "01" & x"c78" => data <= x"2d"; when "01" & x"c79" => data <= x"18"; when "01" & x"c7a" => data <= x"a5"; when "01" & x"c7b" => data <= x"04"; when "01" & x"c7c" => data <= x"69"; when "01" & x"c7d" => data <= x"04"; when "01" & x"c7e" => data <= x"85"; when "01" & x"c7f" => data <= x"04"; when "01" & x"c80" => data <= x"a9"; when "01" & x"c81" => data <= x"40"; when "01" & x"c82" => data <= x"90"; when "01" & x"c83" => data <= x"c1"; when "01" & x"c84" => data <= x"e6"; when "01" & x"c85" => data <= x"05"; when "01" & x"c86" => data <= x"b0"; when "01" & x"c87" => data <= x"bd"; when "01" & x"c88" => data <= x"4c"; when "01" & x"c89" => data <= x"0e"; when "01" & x"c8a" => data <= x"8c"; when "01" & x"c8b" => data <= x"20"; when "01" & x"c8c" => data <= x"51"; when "01" & x"c8d" => data <= x"bd"; when "01" & x"c8e" => data <= x"20"; when "01" & x"c8f" => data <= x"d1"; when "01" & x"c90" => data <= x"9d"; when "01" & x"c91" => data <= x"a8"; when "01" & x"c92" => data <= x"f0"; when "01" & x"c93" => data <= x"f4"; when "01" & x"c94" => data <= x"86"; when "01" & x"c95" => data <= x"27"; when "01" & x"c96" => data <= x"30"; when "01" & x"c97" => data <= x"03"; when "01" & x"c98" => data <= x"20"; when "01" & x"c99" => data <= x"be"; when "01" & x"c9a" => data <= x"a2"; when "01" & x"c9b" => data <= x"20"; when "01" & x"c9c" => data <= x"7e"; when "01" & x"c9d" => data <= x"bd"; when "01" & x"c9e" => data <= x"20"; when "01" & x"c9f" => data <= x"00"; when "01" & x"ca0" => data <= x"a5"; when "01" & x"ca1" => data <= x"a6"; when "01" & x"ca2" => data <= x"27"; when "01" & x"ca3" => data <= x"a9"; when "01" & x"ca4" => data <= x"ff"; when "01" & x"ca5" => data <= x"d0"; when "01" & x"ca6" => data <= x"9e"; when "01" & x"ca7" => data <= x"86"; when "01" & x"ca8" => data <= x"27"; when "01" & x"ca9" => data <= x"20"; when "01" & x"caa" => data <= x"ea"; when "01" & x"cab" => data <= x"bd"; when "01" & x"cac" => data <= x"20"; when "01" & x"cad" => data <= x"51"; when "01" & x"cae" => data <= x"bd"; when "01" & x"caf" => data <= x"20"; when "01" & x"cb0" => data <= x"be"; when "01" & x"cb1" => data <= x"a2"; when "01" & x"cb2" => data <= x"4c"; when "01" & x"cb3" => data <= x"9b"; when "01" & x"cb4" => data <= x"9c"; when "01" & x"cb5" => data <= x"a8"; when "01" & x"cb6" => data <= x"f0"; when "01" & x"cb7" => data <= x"d0"; when "01" & x"cb8" => data <= x"30"; when "01" & x"cb9" => data <= x"27"; when "01" & x"cba" => data <= x"20"; when "01" & x"cbb" => data <= x"ce"; when "01" & x"cbc" => data <= x"9d"; when "01" & x"cbd" => data <= x"a8"; when "01" & x"cbe" => data <= x"f0"; when "01" & x"cbf" => data <= x"c8"; when "01" & x"cc0" => data <= x"30"; when "01" & x"cc1" => data <= x"38"; when "01" & x"cc2" => data <= x"38"; when "01" & x"cc3" => data <= x"a0"; when "01" & x"cc4" => data <= x"00"; when "01" & x"cc5" => data <= x"b1"; when "01" & x"cc6" => data <= x"04"; when "01" & x"cc7" => data <= x"e5"; when "01" & x"cc8" => data <= x"2a"; when "01" & x"cc9" => data <= x"85"; when "01" & x"cca" => data <= x"2a"; when "01" & x"ccb" => data <= x"c8"; when "01" & x"ccc" => data <= x"b1"; when "01" & x"ccd" => data <= x"04"; when "01" & x"cce" => data <= x"e5"; when "01" & x"ccf" => data <= x"2b"; when "01" & x"cd0" => data <= x"85"; when "01" & x"cd1" => data <= x"2b"; when "01" & x"cd2" => data <= x"c8"; when "01" & x"cd3" => data <= x"b1"; when "01" & x"cd4" => data <= x"04"; when "01" & x"cd5" => data <= x"e5"; when "01" & x"cd6" => data <= x"2c"; when "01" & x"cd7" => data <= x"85"; when "01" & x"cd8" => data <= x"2c"; when "01" & x"cd9" => data <= x"c8"; when "01" & x"cda" => data <= x"b1"; when "01" & x"cdb" => data <= x"04"; when "01" & x"cdc" => data <= x"e5"; when "01" & x"cdd" => data <= x"2d"; when "01" & x"cde" => data <= x"4c"; when "01" & x"cdf" => data <= x"77"; when "01" & x"ce0" => data <= x"9c"; when "01" & x"ce1" => data <= x"20"; when "01" & x"ce2" => data <= x"51"; when "01" & x"ce3" => data <= x"bd"; when "01" & x"ce4" => data <= x"20"; when "01" & x"ce5" => data <= x"d1"; when "01" & x"ce6" => data <= x"9d"; when "01" & x"ce7" => data <= x"a8"; when "01" & x"ce8" => data <= x"f0"; when "01" & x"ce9" => data <= x"9e"; when "01" & x"cea" => data <= x"86"; when "01" & x"ceb" => data <= x"27"; when "01" & x"cec" => data <= x"30"; when "01" & x"ced" => data <= x"03"; when "01" & x"cee" => data <= x"20"; when "01" & x"cef" => data <= x"be"; when "01" & x"cf0" => data <= x"a2"; when "01" & x"cf1" => data <= x"20"; when "01" & x"cf2" => data <= x"7e"; when "01" & x"cf3" => data <= x"bd"; when "01" & x"cf4" => data <= x"20"; when "01" & x"cf5" => data <= x"fd"; when "01" & x"cf6" => data <= x"a4"; when "01" & x"cf7" => data <= x"4c"; when "01" & x"cf8" => data <= x"a1"; when "01" & x"cf9" => data <= x"9c"; when "01" & x"cfa" => data <= x"86"; when "01" & x"cfb" => data <= x"27"; when "01" & x"cfc" => data <= x"20"; when "01" & x"cfd" => data <= x"ea"; when "01" & x"cfe" => data <= x"bd"; when "01" & x"cff" => data <= x"20"; when "01" & x"d00" => data <= x"51"; when "01" & x"d01" => data <= x"bd"; when "01" & x"d02" => data <= x"20"; when "01" & x"d03" => data <= x"be"; when "01" & x"d04" => data <= x"a2"; when "01" & x"d05" => data <= x"20"; when "01" & x"d06" => data <= x"7e"; when "01" & x"d07" => data <= x"bd"; when "01" & x"d08" => data <= x"20"; when "01" & x"d09" => data <= x"d0"; when "01" & x"d0a" => data <= x"a4"; when "01" & x"d0b" => data <= x"4c"; when "01" & x"d0c" => data <= x"a1"; when "01" & x"d0d" => data <= x"9c"; when "01" & x"d0e" => data <= x"20"; when "01" & x"d0f" => data <= x"be"; when "01" & x"d10" => data <= x"a2"; when "01" & x"d11" => data <= x"20"; when "01" & x"d12" => data <= x"ea"; when "01" & x"d13" => data <= x"bd"; when "01" & x"d14" => data <= x"20"; when "01" & x"d15" => data <= x"51"; when "01" & x"d16" => data <= x"bd"; when "01" & x"d17" => data <= x"20"; when "01" & x"d18" => data <= x"be"; when "01" & x"d19" => data <= x"a2"; when "01" & x"d1a" => data <= x"4c"; when "01" & x"d1b" => data <= x"2c"; when "01" & x"d1c" => data <= x"9d"; when "01" & x"d1d" => data <= x"20"; when "01" & x"d1e" => data <= x"be"; when "01" & x"d1f" => data <= x"a2"; when "01" & x"d20" => data <= x"20"; when "01" & x"d21" => data <= x"51"; when "01" & x"d22" => data <= x"bd"; when "01" & x"d23" => data <= x"20"; when "01" & x"d24" => data <= x"20"; when "01" & x"d25" => data <= x"9e"; when "01" & x"d26" => data <= x"86"; when "01" & x"d27" => data <= x"27"; when "01" & x"d28" => data <= x"a8"; when "01" & x"d29" => data <= x"20"; when "01" & x"d2a" => data <= x"fd"; when "01" & x"d2b" => data <= x"92"; when "01" & x"d2c" => data <= x"20"; when "01" & x"d2d" => data <= x"7e"; when "01" & x"d2e" => data <= x"bd"; when "01" & x"d2f" => data <= x"20"; when "01" & x"d30" => data <= x"56"; when "01" & x"d31" => data <= x"a6"; when "01" & x"d32" => data <= x"a9"; when "01" & x"d33" => data <= x"ff"; when "01" & x"d34" => data <= x"a6"; when "01" & x"d35" => data <= x"27"; when "01" & x"d36" => data <= x"4c"; when "01" & x"d37" => data <= x"d4"; when "01" & x"d38" => data <= x"9d"; when "01" & x"d39" => data <= x"4c"; when "01" & x"d3a" => data <= x"0e"; when "01" & x"d3b" => data <= x"8c"; when "01" & x"d3c" => data <= x"a8"; when "01" & x"d3d" => data <= x"f0"; when "01" & x"d3e" => data <= x"fa"; when "01" & x"d3f" => data <= x"30"; when "01" & x"d40" => data <= x"df"; when "01" & x"d41" => data <= x"a5"; when "01" & x"d42" => data <= x"2d"; when "01" & x"d43" => data <= x"c5"; when "01" & x"d44" => data <= x"2c"; when "01" & x"d45" => data <= x"d0"; when "01" & x"d46" => data <= x"d6"; when "01" & x"d47" => data <= x"a8"; when "01" & x"d48" => data <= x"f0"; when "01" & x"d49" => data <= x"04"; when "01" & x"d4a" => data <= x"c9"; when "01" & x"d4b" => data <= x"ff"; when "01" & x"d4c" => data <= x"d0"; when "01" & x"d4d" => data <= x"cf"; when "01" & x"d4e" => data <= x"45"; when "01" & x"d4f" => data <= x"2b"; when "01" & x"d50" => data <= x"30"; when "01" & x"d51" => data <= x"cb"; when "01" & x"d52" => data <= x"20"; when "01" & x"d53" => data <= x"1d"; when "01" & x"d54" => data <= x"9e"; when "01" & x"d55" => data <= x"86"; when "01" & x"d56" => data <= x"27"; when "01" & x"d57" => data <= x"a8"; when "01" & x"d58" => data <= x"f0"; when "01" & x"d59" => data <= x"df"; when "01" & x"d5a" => data <= x"30"; when "01" & x"d5b" => data <= x"b5"; when "01" & x"d5c" => data <= x"a5"; when "01" & x"d5d" => data <= x"2d"; when "01" & x"d5e" => data <= x"c5"; when "01" & x"d5f" => data <= x"2c"; when "01" & x"d60" => data <= x"d0"; when "01" & x"d61" => data <= x"ac"; when "01" & x"d62" => data <= x"a8"; when "01" & x"d63" => data <= x"f0"; when "01" & x"d64" => data <= x"04"; when "01" & x"d65" => data <= x"c9"; when "01" & x"d66" => data <= x"ff"; when "01" & x"d67" => data <= x"d0"; when "01" & x"d68" => data <= x"a5"; when "01" & x"d69" => data <= x"45"; when "01" & x"d6a" => data <= x"2b"; when "01" & x"d6b" => data <= x"30"; when "01" & x"d6c" => data <= x"a1"; when "01" & x"d6d" => data <= x"a5"; when "01" & x"d6e" => data <= x"2d"; when "01" & x"d6f" => data <= x"48"; when "01" & x"d70" => data <= x"20"; when "01" & x"d71" => data <= x"71"; when "01" & x"d72" => data <= x"ad"; when "01" & x"d73" => data <= x"a2"; when "01" & x"d74" => data <= x"39"; when "01" & x"d75" => data <= x"20"; when "01" & x"d76" => data <= x"44"; when "01" & x"d77" => data <= x"be"; when "01" & x"d78" => data <= x"20"; when "01" & x"d79" => data <= x"ea"; when "01" & x"d7a" => data <= x"bd"; when "01" & x"d7b" => data <= x"68"; when "01" & x"d7c" => data <= x"45"; when "01" & x"d7d" => data <= x"2d"; when "01" & x"d7e" => data <= x"85"; when "01" & x"d7f" => data <= x"37"; when "01" & x"d80" => data <= x"20"; when "01" & x"d81" => data <= x"71"; when "01" & x"d82" => data <= x"ad"; when "01" & x"d83" => data <= x"a0"; when "01" & x"d84" => data <= x"00"; when "01" & x"d85" => data <= x"a2"; when "01" & x"d86" => data <= x"00"; when "01" & x"d87" => data <= x"84"; when "01" & x"d88" => data <= x"3f"; when "01" & x"d89" => data <= x"84"; when "01" & x"d8a" => data <= x"40"; when "01" & x"d8b" => data <= x"46"; when "01" & x"d8c" => data <= x"3a"; when "01" & x"d8d" => data <= x"66"; when "01" & x"d8e" => data <= x"39"; when "01" & x"d8f" => data <= x"90"; when "01" & x"d90" => data <= x"15"; when "01" & x"d91" => data <= x"18"; when "01" & x"d92" => data <= x"98"; when "01" & x"d93" => data <= x"65"; when "01" & x"d94" => data <= x"2a"; when "01" & x"d95" => data <= x"a8"; when "01" & x"d96" => data <= x"8a"; when "01" & x"d97" => data <= x"65"; when "01" & x"d98" => data <= x"2b"; when "01" & x"d99" => data <= x"aa"; when "01" & x"d9a" => data <= x"a5"; when "01" & x"d9b" => data <= x"3f"; when "01" & x"d9c" => data <= x"65"; when "01" & x"d9d" => data <= x"2c"; when "01" & x"d9e" => data <= x"85"; when "01" & x"d9f" => data <= x"3f"; when "01" & x"da0" => data <= x"a5"; when "01" & x"da1" => data <= x"40"; when "01" & x"da2" => data <= x"65"; when "01" & x"da3" => data <= x"2d"; when "01" & x"da4" => data <= x"85"; when "01" & x"da5" => data <= x"40"; when "01" & x"da6" => data <= x"06"; when "01" & x"da7" => data <= x"2a"; when "01" & x"da8" => data <= x"26"; when "01" & x"da9" => data <= x"2b"; when "01" & x"daa" => data <= x"26"; when "01" & x"dab" => data <= x"2c"; when "01" & x"dac" => data <= x"26"; when "01" & x"dad" => data <= x"2d"; when "01" & x"dae" => data <= x"a5"; when "01" & x"daf" => data <= x"39"; when "01" & x"db0" => data <= x"05"; when "01" & x"db1" => data <= x"3a"; when "01" & x"db2" => data <= x"d0"; when "01" & x"db3" => data <= x"d7"; when "01" & x"db4" => data <= x"84"; when "01" & x"db5" => data <= x"3d"; when "01" & x"db6" => data <= x"86"; when "01" & x"db7" => data <= x"3e"; when "01" & x"db8" => data <= x"a5"; when "01" & x"db9" => data <= x"37"; when "01" & x"dba" => data <= x"08"; when "01" & x"dbb" => data <= x"a2"; when "01" & x"dbc" => data <= x"3d"; when "01" & x"dbd" => data <= x"20"; when "01" & x"dbe" => data <= x"56"; when "01" & x"dbf" => data <= x"af"; when "01" & x"dc0" => data <= x"28"; when "01" & x"dc1" => data <= x"10"; when "01" & x"dc2" => data <= x"03"; when "01" & x"dc3" => data <= x"20"; when "01" & x"dc4" => data <= x"93"; when "01" & x"dc5" => data <= x"ad"; when "01" & x"dc6" => data <= x"a6"; when "01" & x"dc7" => data <= x"27"; when "01" & x"dc8" => data <= x"4c"; when "01" & x"dc9" => data <= x"d4"; when "01" & x"dca" => data <= x"9d"; when "01" & x"dcb" => data <= x"4c"; when "01" & x"dcc" => data <= x"3c"; when "01" & x"dcd" => data <= x"9d"; when "01" & x"dce" => data <= x"20"; when "01" & x"dcf" => data <= x"94"; when "01" & x"dd0" => data <= x"bd"; when "01" & x"dd1" => data <= x"20"; when "01" & x"dd2" => data <= x"20"; when "01" & x"dd3" => data <= x"9e"; when "01" & x"dd4" => data <= x"e0"; when "01" & x"dd5" => data <= x"2a"; when "01" & x"dd6" => data <= x"f0"; when "01" & x"dd7" => data <= x"f3"; when "01" & x"dd8" => data <= x"e0"; when "01" & x"dd9" => data <= x"2f"; when "01" & x"dda" => data <= x"f0"; when "01" & x"ddb" => data <= x"09"; when "01" & x"ddc" => data <= x"e0"; when "01" & x"ddd" => data <= x"83"; when "01" & x"dde" => data <= x"f0"; when "01" & x"ddf" => data <= x"21"; when "01" & x"de0" => data <= x"e0"; when "01" & x"de1" => data <= x"81"; when "01" & x"de2" => data <= x"f0"; when "01" & x"de3" => data <= x"26"; when "01" & x"de4" => data <= x"60"; when "01" & x"de5" => data <= x"a8"; when "01" & x"de6" => data <= x"20"; when "01" & x"de7" => data <= x"fd"; when "01" & x"de8" => data <= x"92"; when "01" & x"de9" => data <= x"20"; when "01" & x"dea" => data <= x"51"; when "01" & x"deb" => data <= x"bd"; when "01" & x"dec" => data <= x"20"; when "01" & x"ded" => data <= x"20"; when "01" & x"dee" => data <= x"9e"; when "01" & x"def" => data <= x"86"; when "01" & x"df0" => data <= x"27"; when "01" & x"df1" => data <= x"a8"; when "01" & x"df2" => data <= x"20"; when "01" & x"df3" => data <= x"fd"; when "01" & x"df4" => data <= x"92"; when "01" & x"df5" => data <= x"20"; when "01" & x"df6" => data <= x"7e"; when "01" & x"df7" => data <= x"bd"; when "01" & x"df8" => data <= x"20"; when "01" & x"df9" => data <= x"ad"; when "01" & x"dfa" => data <= x"a6"; when "01" & x"dfb" => data <= x"a6"; when "01" & x"dfc" => data <= x"27"; when "01" & x"dfd" => data <= x"a9"; when "01" & x"dfe" => data <= x"ff"; when "01" & x"dff" => data <= x"d0"; when "01" & x"e00" => data <= x"d3"; when "01" & x"e01" => data <= x"20"; when "01" & x"e02" => data <= x"be"; when "01" & x"e03" => data <= x"99"; when "01" & x"e04" => data <= x"a5"; when "01" & x"e05" => data <= x"38"; when "01" & x"e06" => data <= x"08"; when "01" & x"e07" => data <= x"4c"; when "01" & x"e08" => data <= x"bb"; when "01" & x"e09" => data <= x"9d"; when "01" & x"e0a" => data <= x"20"; when "01" & x"e0b" => data <= x"be"; when "01" & x"e0c" => data <= x"99"; when "01" & x"e0d" => data <= x"26"; when "01" & x"e0e" => data <= x"39"; when "01" & x"e0f" => data <= x"26"; when "01" & x"e10" => data <= x"3a"; when "01" & x"e11" => data <= x"26"; when "01" & x"e12" => data <= x"3b"; when "01" & x"e13" => data <= x"26"; when "01" & x"e14" => data <= x"3c"; when "01" & x"e15" => data <= x"24"; when "01" & x"e16" => data <= x"37"; when "01" & x"e17" => data <= x"08"; when "01" & x"e18" => data <= x"a2"; when "01" & x"e19" => data <= x"39"; when "01" & x"e1a" => data <= x"4c"; when "01" & x"e1b" => data <= x"bd"; when "01" & x"e1c" => data <= x"9d"; when "01" & x"e1d" => data <= x"20"; when "01" & x"e1e" => data <= x"94"; when "01" & x"e1f" => data <= x"bd"; when "01" & x"e20" => data <= x"20"; when "01" & x"e21" => data <= x"ec"; when "01" & x"e22" => data <= x"ad"; when "01" & x"e23" => data <= x"48"; when "01" & x"e24" => data <= x"a4"; when "01" & x"e25" => data <= x"1b"; when "01" & x"e26" => data <= x"e6"; when "01" & x"e27" => data <= x"1b"; when "01" & x"e28" => data <= x"b1"; when "01" & x"e29" => data <= x"19"; when "01" & x"e2a" => data <= x"c9"; when "01" & x"e2b" => data <= x"20"; when "01" & x"e2c" => data <= x"f0"; when "01" & x"e2d" => data <= x"f6"; when "01" & x"e2e" => data <= x"aa"; when "01" & x"e2f" => data <= x"68"; when "01" & x"e30" => data <= x"e0"; when "01" & x"e31" => data <= x"5e"; when "01" & x"e32" => data <= x"f0"; when "01" & x"e33" => data <= x"01"; when "01" & x"e34" => data <= x"60"; when "01" & x"e35" => data <= x"a8"; when "01" & x"e36" => data <= x"20"; when "01" & x"e37" => data <= x"fd"; when "01" & x"e38" => data <= x"92"; when "01" & x"e39" => data <= x"20"; when "01" & x"e3a" => data <= x"51"; when "01" & x"e3b" => data <= x"bd"; when "01" & x"e3c" => data <= x"20"; when "01" & x"e3d" => data <= x"fa"; when "01" & x"e3e" => data <= x"92"; when "01" & x"e3f" => data <= x"a5"; when "01" & x"e40" => data <= x"30"; when "01" & x"e41" => data <= x"c9"; when "01" & x"e42" => data <= x"87"; when "01" & x"e43" => data <= x"b0"; when "01" & x"e44" => data <= x"43"; when "01" & x"e45" => data <= x"20"; when "01" & x"e46" => data <= x"86"; when "01" & x"e47" => data <= x"a4"; when "01" & x"e48" => data <= x"d0"; when "01" & x"e49" => data <= x"0f"; when "01" & x"e4a" => data <= x"20"; when "01" & x"e4b" => data <= x"7e"; when "01" & x"e4c" => data <= x"bd"; when "01" & x"e4d" => data <= x"20"; when "01" & x"e4e" => data <= x"b5"; when "01" & x"e4f" => data <= x"a3"; when "01" & x"e50" => data <= x"a5"; when "01" & x"e51" => data <= x"4a"; when "01" & x"e52" => data <= x"20"; when "01" & x"e53" => data <= x"12"; when "01" & x"e54" => data <= x"ab"; when "01" & x"e55" => data <= x"a9"; when "01" & x"e56" => data <= x"ff"; when "01" & x"e57" => data <= x"d0"; when "01" & x"e58" => data <= x"ca"; when "01" & x"e59" => data <= x"20"; when "01" & x"e5a" => data <= x"81"; when "01" & x"e5b" => data <= x"a3"; when "01" & x"e5c" => data <= x"a5"; when "01" & x"e5d" => data <= x"04"; when "01" & x"e5e" => data <= x"85"; when "01" & x"e5f" => data <= x"4b"; when "01" & x"e60" => data <= x"a5"; when "01" & x"e61" => data <= x"05"; when "01" & x"e62" => data <= x"85"; when "01" & x"e63" => data <= x"4c"; when "01" & x"e64" => data <= x"20"; when "01" & x"e65" => data <= x"b5"; when "01" & x"e66" => data <= x"a3"; when "01" & x"e67" => data <= x"a5"; when "01" & x"e68" => data <= x"4a"; when "01" & x"e69" => data <= x"20"; when "01" & x"e6a" => data <= x"12"; when "01" & x"e6b" => data <= x"ab"; when "01" & x"e6c" => data <= x"20"; when "01" & x"e6d" => data <= x"7d"; when "01" & x"e6e" => data <= x"a3"; when "01" & x"e6f" => data <= x"20"; when "01" & x"e70" => data <= x"7e"; when "01" & x"e71" => data <= x"bd"; when "01" & x"e72" => data <= x"20"; when "01" & x"e73" => data <= x"b5"; when "01" & x"e74" => data <= x"a3"; when "01" & x"e75" => data <= x"20"; when "01" & x"e76" => data <= x"01"; when "01" & x"e77" => data <= x"a8"; when "01" & x"e78" => data <= x"20"; when "01" & x"e79" => data <= x"d1"; when "01" & x"e7a" => data <= x"aa"; when "01" & x"e7b" => data <= x"20"; when "01" & x"e7c" => data <= x"94"; when "01" & x"e7d" => data <= x"aa"; when "01" & x"e7e" => data <= x"20"; when "01" & x"e7f" => data <= x"ed"; when "01" & x"e80" => data <= x"a7"; when "01" & x"e81" => data <= x"20"; when "01" & x"e82" => data <= x"56"; when "01" & x"e83" => data <= x"a6"; when "01" & x"e84" => data <= x"a9"; when "01" & x"e85" => data <= x"ff"; when "01" & x"e86" => data <= x"d0"; when "01" & x"e87" => data <= x"9b"; when "01" & x"e88" => data <= x"20"; when "01" & x"e89" => data <= x"81"; when "01" & x"e8a" => data <= x"a3"; when "01" & x"e8b" => data <= x"20"; when "01" & x"e8c" => data <= x"99"; when "01" & x"e8d" => data <= x"a6"; when "01" & x"e8e" => data <= x"d0"; when "01" & x"e8f" => data <= x"dc"; when "01" & x"e90" => data <= x"98"; when "01" & x"e91" => data <= x"10"; when "01" & x"e92" => data <= x"03"; when "01" & x"e93" => data <= x"20"; when "01" & x"e94" => data <= x"e4"; when "01" & x"e95" => data <= x"a3"; when "01" & x"e96" => data <= x"a2"; when "01" & x"e97" => data <= x"00"; when "01" & x"e98" => data <= x"a0"; when "01" & x"e99" => data <= x"00"; when "01" & x"e9a" => data <= x"b9"; when "01" & x"e9b" => data <= x"2a"; when "01" & x"e9c" => data <= x"00"; when "01" & x"e9d" => data <= x"48"; when "01" & x"e9e" => data <= x"29"; when "01" & x"e9f" => data <= x"0f"; when "01" & x"ea0" => data <= x"95"; when "01" & x"ea1" => data <= x"3f"; when "01" & x"ea2" => data <= x"68"; when "01" & x"ea3" => data <= x"4a"; when "01" & x"ea4" => data <= x"4a"; when "01" & x"ea5" => data <= x"4a"; when "01" & x"ea6" => data <= x"4a"; when "01" & x"ea7" => data <= x"e8"; when "01" & x"ea8" => data <= x"95"; when "01" & x"ea9" => data <= x"3f"; when "01" & x"eaa" => data <= x"e8"; when "01" & x"eab" => data <= x"c8"; when "01" & x"eac" => data <= x"c0"; when "01" & x"ead" => data <= x"04"; when "01" & x"eae" => data <= x"d0"; when "01" & x"eaf" => data <= x"ea"; when "01" & x"eb0" => data <= x"ca"; when "01" & x"eb1" => data <= x"f0"; when "01" & x"eb2" => data <= x"04"; when "01" & x"eb3" => data <= x"b5"; when "01" & x"eb4" => data <= x"3f"; when "01" & x"eb5" => data <= x"f0"; when "01" & x"eb6" => data <= x"f9"; when "01" & x"eb7" => data <= x"b5"; when "01" & x"eb8" => data <= x"3f"; when "01" & x"eb9" => data <= x"c9"; when "01" & x"eba" => data <= x"0a"; when "01" & x"ebb" => data <= x"90"; when "01" & x"ebc" => data <= x"02"; when "01" & x"ebd" => data <= x"69"; when "01" & x"ebe" => data <= x"06"; when "01" & x"ebf" => data <= x"69"; when "01" & x"ec0" => data <= x"30"; when "01" & x"ec1" => data <= x"20"; when "01" & x"ec2" => data <= x"66"; when "01" & x"ec3" => data <= x"a0"; when "01" & x"ec4" => data <= x"ca"; when "01" & x"ec5" => data <= x"10"; when "01" & x"ec6" => data <= x"f0"; when "01" & x"ec7" => data <= x"60"; when "01" & x"ec8" => data <= x"10"; when "01" & x"ec9" => data <= x"07"; when "01" & x"eca" => data <= x"a9"; when "01" & x"ecb" => data <= x"2d"; when "01" & x"ecc" => data <= x"85"; when "01" & x"ecd" => data <= x"2e"; when "01" & x"ece" => data <= x"20"; when "01" & x"ecf" => data <= x"66"; when "01" & x"ed0" => data <= x"a0"; when "01" & x"ed1" => data <= x"a5"; when "01" & x"ed2" => data <= x"30"; when "01" & x"ed3" => data <= x"c9"; when "01" & x"ed4" => data <= x"81"; when "01" & x"ed5" => data <= x"b0"; when "01" & x"ed6" => data <= x"4e"; when "01" & x"ed7" => data <= x"20"; when "01" & x"ed8" => data <= x"f4"; when "01" & x"ed9" => data <= x"a1"; when "01" & x"eda" => data <= x"c6"; when "01" & x"edb" => data <= x"49"; when "01" & x"edc" => data <= x"4c"; when "01" & x"edd" => data <= x"d1"; when "01" & x"ede" => data <= x"9e"; when "01" & x"edf" => data <= x"ae"; when "01" & x"ee0" => data <= x"02"; when "01" & x"ee1" => data <= x"04"; when "01" & x"ee2" => data <= x"e0"; when "01" & x"ee3" => data <= x"03"; when "01" & x"ee4" => data <= x"90"; when "01" & x"ee5" => data <= x"02"; when "01" & x"ee6" => data <= x"a2"; when "01" & x"ee7" => data <= x"00"; when "01" & x"ee8" => data <= x"86"; when "01" & x"ee9" => data <= x"37"; when "01" & x"eea" => data <= x"ad"; when "01" & x"eeb" => data <= x"01"; when "01" & x"eec" => data <= x"04"; when "01" & x"eed" => data <= x"f0"; when "01" & x"eee" => data <= x"06"; when "01" & x"eef" => data <= x"c9"; when "01" & x"ef0" => data <= x"0a"; when "01" & x"ef1" => data <= x"b0"; when "01" & x"ef2" => data <= x"06"; when "01" & x"ef3" => data <= x"90"; when "01" & x"ef4" => data <= x"06"; when "01" & x"ef5" => data <= x"e0"; when "01" & x"ef6" => data <= x"02"; when "01" & x"ef7" => data <= x"f0"; when "01" & x"ef8" => data <= x"02"; when "01" & x"ef9" => data <= x"a9"; when "01" & x"efa" => data <= x"0a"; when "01" & x"efb" => data <= x"85"; when "01" & x"efc" => data <= x"38"; when "01" & x"efd" => data <= x"85"; when "01" & x"efe" => data <= x"4e"; when "01" & x"eff" => data <= x"a9"; when "01" & x"f00" => data <= x"00"; when "01" & x"f01" => data <= x"85"; when "01" & x"f02" => data <= x"36"; when "01" & x"f03" => data <= x"85"; when "01" & x"f04" => data <= x"49"; when "01" & x"f05" => data <= x"24"; when "01" & x"f06" => data <= x"15"; when "01" & x"f07" => data <= x"30"; when "01" & x"f08" => data <= x"87"; when "01" & x"f09" => data <= x"98"; when "01" & x"f0a" => data <= x"30"; when "01" & x"f0b" => data <= x"03"; when "01" & x"f0c" => data <= x"20"; when "01" & x"f0d" => data <= x"be"; when "01" & x"f0e" => data <= x"a2"; when "01" & x"f0f" => data <= x"20"; when "01" & x"f10" => data <= x"da"; when "01" & x"f11" => data <= x"a1"; when "01" & x"f12" => data <= x"d0"; when "01" & x"f13" => data <= x"b4"; when "01" & x"f14" => data <= x"a5"; when "01" & x"f15" => data <= x"37"; when "01" & x"f16" => data <= x"d0"; when "01" & x"f17" => data <= x"05"; when "01" & x"f18" => data <= x"a9"; when "01" & x"f19" => data <= x"30"; when "01" & x"f1a" => data <= x"4c"; when "01" & x"f1b" => data <= x"66"; when "01" & x"f1c" => data <= x"a0"; when "01" & x"f1d" => data <= x"4c"; when "01" & x"f1e" => data <= x"9c"; when "01" & x"f1f" => data <= x"9f"; when "01" & x"f20" => data <= x"20"; when "01" & x"f21" => data <= x"99"; when "01" & x"f22" => data <= x"a6"; when "01" & x"f23" => data <= x"d0"; when "01" & x"f24" => data <= x"0f"; when "01" & x"f25" => data <= x"c9"; when "01" & x"f26" => data <= x"84"; when "01" & x"f27" => data <= x"90"; when "01" & x"f28" => data <= x"10"; when "01" & x"f29" => data <= x"d0"; when "01" & x"f2a" => data <= x"06"; when "01" & x"f2b" => data <= x"a5"; when "01" & x"f2c" => data <= x"31"; when "01" & x"f2d" => data <= x"c9"; when "01" & x"f2e" => data <= x"a0"; when "01" & x"f2f" => data <= x"90"; when "01" & x"f30" => data <= x"08"; when "01" & x"f31" => data <= x"20"; when "01" & x"f32" => data <= x"4d"; when "01" & x"f33" => data <= x"a2"; when "01" & x"f34" => data <= x"e6"; when "01" & x"f35" => data <= x"49"; when "01" & x"f36" => data <= x"4c"; when "01" & x"f37" => data <= x"d1"; when "01" & x"f38" => data <= x"9e"; when "01" & x"f39" => data <= x"a5"; when "01" & x"f3a" => data <= x"35"; when "01" & x"f3b" => data <= x"85"; when "01" & x"f3c" => data <= x"27"; when "01" & x"f3d" => data <= x"20"; when "01" & x"f3e" => data <= x"85"; when "01" & x"f3f" => data <= x"a3"; when "01" & x"f40" => data <= x"a5"; when "01" & x"f41" => data <= x"4e"; when "01" & x"f42" => data <= x"85"; when "01" & x"f43" => data <= x"38"; when "01" & x"f44" => data <= x"a6"; when "01" & x"f45" => data <= x"37"; when "01" & x"f46" => data <= x"e0"; when "01" & x"f47" => data <= x"02"; when "01" & x"f48" => data <= x"d0"; when "01" & x"f49" => data <= x"12"; when "01" & x"f4a" => data <= x"65"; when "01" & x"f4b" => data <= x"49"; when "01" & x"f4c" => data <= x"30"; when "01" & x"f4d" => data <= x"52"; when "01" & x"f4e" => data <= x"85"; when "01" & x"f4f" => data <= x"38"; when "01" & x"f50" => data <= x"c9"; when "01" & x"f51" => data <= x"0b"; when "01" & x"f52" => data <= x"90"; when "01" & x"f53" => data <= x"08"; when "01" & x"f54" => data <= x"a9"; when "01" & x"f55" => data <= x"0a"; when "01" & x"f56" => data <= x"85"; when "01" & x"f57" => data <= x"38"; when "01" & x"f58" => data <= x"a9"; when "01" & x"f59" => data <= x"00"; when "01" & x"f5a" => data <= x"85"; when "01" & x"f5b" => data <= x"37"; when "01" & x"f5c" => data <= x"20"; when "01" & x"f5d" => data <= x"86"; when "01" & x"f5e" => data <= x"a6"; when "01" & x"f5f" => data <= x"a9"; when "01" & x"f60" => data <= x"a0"; when "01" & x"f61" => data <= x"85"; when "01" & x"f62" => data <= x"31"; when "01" & x"f63" => data <= x"a9"; when "01" & x"f64" => data <= x"83"; when "01" & x"f65" => data <= x"85"; when "01" & x"f66" => data <= x"30"; when "01" & x"f67" => data <= x"a6"; when "01" & x"f68" => data <= x"38"; when "01" & x"f69" => data <= x"f0"; when "01" & x"f6a" => data <= x"06"; when "01" & x"f6b" => data <= x"20"; when "01" & x"f6c" => data <= x"4d"; when "01" & x"f6d" => data <= x"a2"; when "01" & x"f6e" => data <= x"ca"; when "01" & x"f6f" => data <= x"d0"; when "01" & x"f70" => data <= x"fa"; when "01" & x"f71" => data <= x"20"; when "01" & x"f72" => data <= x"f5"; when "01" & x"f73" => data <= x"a7"; when "01" & x"f74" => data <= x"20"; when "01" & x"f75" => data <= x"4e"; when "01" & x"f76" => data <= x"a3"; when "01" & x"f77" => data <= x"a5"; when "01" & x"f78" => data <= x"27"; when "01" & x"f79" => data <= x"85"; when "01" & x"f7a" => data <= x"42"; when "01" & x"f7b" => data <= x"20"; when "01" & x"f7c" => data <= x"0b"; when "01" & x"f7d" => data <= x"a5"; when "01" & x"f7e" => data <= x"a5"; when "01" & x"f7f" => data <= x"30"; when "01" & x"f80" => data <= x"c9"; when "01" & x"f81" => data <= x"84"; when "01" & x"f82" => data <= x"b0"; when "01" & x"f83" => data <= x"0e"; when "01" & x"f84" => data <= x"66"; when "01" & x"f85" => data <= x"31"; when "01" & x"f86" => data <= x"66"; when "01" & x"f87" => data <= x"32"; when "01" & x"f88" => data <= x"66"; when "01" & x"f89" => data <= x"33"; when "01" & x"f8a" => data <= x"66"; when "01" & x"f8b" => data <= x"34"; when "01" & x"f8c" => data <= x"66"; when "01" & x"f8d" => data <= x"35"; when "01" & x"f8e" => data <= x"e6"; when "01" & x"f8f" => data <= x"30"; when "01" & x"f90" => data <= x"d0"; when "01" & x"f91" => data <= x"ec"; when "01" & x"f92" => data <= x"a5"; when "01" & x"f93" => data <= x"31"; when "01" & x"f94" => data <= x"c9"; when "01" & x"f95" => data <= x"a0"; when "01" & x"f96" => data <= x"b0"; when "01" & x"f97" => data <= x"88"; when "01" & x"f98" => data <= x"a5"; when "01" & x"f99" => data <= x"38"; when "01" & x"f9a" => data <= x"d0"; when "01" & x"f9b" => data <= x"11"; when "01" & x"f9c" => data <= x"c9"; when "01" & x"f9d" => data <= x"01"; when "01" & x"f9e" => data <= x"f0"; when "01" & x"f9f" => data <= x"46"; when "01" & x"fa0" => data <= x"20"; when "01" & x"fa1" => data <= x"86"; when "01" & x"fa2" => data <= x"a6"; when "01" & x"fa3" => data <= x"a9"; when "01" & x"fa4" => data <= x"00"; when "01" & x"fa5" => data <= x"85"; when "01" & x"fa6" => data <= x"49"; when "01" & x"fa7" => data <= x"a5"; when "01" & x"fa8" => data <= x"4e"; when "01" & x"fa9" => data <= x"85"; when "01" & x"faa" => data <= x"38"; when "01" & x"fab" => data <= x"e6"; when "01" & x"fac" => data <= x"38"; when "01" & x"fad" => data <= x"a9"; when "01" & x"fae" => data <= x"01"; when "01" & x"faf" => data <= x"c5"; when "01" & x"fb0" => data <= x"37"; when "01" & x"fb1" => data <= x"f0"; when "01" & x"fb2" => data <= x"33"; when "01" & x"fb3" => data <= x"a4"; when "01" & x"fb4" => data <= x"49"; when "01" & x"fb5" => data <= x"30"; when "01" & x"fb6" => data <= x"0c"; when "01" & x"fb7" => data <= x"c4"; when "01" & x"fb8" => data <= x"38"; when "01" & x"fb9" => data <= x"b0"; when "01" & x"fba" => data <= x"2b"; when "01" & x"fbb" => data <= x"a9"; when "01" & x"fbc" => data <= x"00"; when "01" & x"fbd" => data <= x"85"; when "01" & x"fbe" => data <= x"49"; when "01" & x"fbf" => data <= x"c8"; when "01" & x"fc0" => data <= x"98"; when "01" & x"fc1" => data <= x"d0"; when "01" & x"fc2" => data <= x"23"; when "01" & x"fc3" => data <= x"a5"; when "01" & x"fc4" => data <= x"37"; when "01" & x"fc5" => data <= x"c9"; when "01" & x"fc6" => data <= x"02"; when "01" & x"fc7" => data <= x"f0"; when "01" & x"fc8" => data <= x"06"; when "01" & x"fc9" => data <= x"a9"; when "01" & x"fca" => data <= x"01"; when "01" & x"fcb" => data <= x"c0"; when "01" & x"fcc" => data <= x"ff"; when "01" & x"fcd" => data <= x"d0"; when "01" & x"fce" => data <= x"17"; when "01" & x"fcf" => data <= x"a9"; when "01" & x"fd0" => data <= x"30"; when "01" & x"fd1" => data <= x"20"; when "01" & x"fd2" => data <= x"66"; when "01" & x"fd3" => data <= x"a0"; when "01" & x"fd4" => data <= x"a9"; when "01" & x"fd5" => data <= x"2e"; when "01" & x"fd6" => data <= x"20"; when "01" & x"fd7" => data <= x"66"; when "01" & x"fd8" => data <= x"a0"; when "01" & x"fd9" => data <= x"a9"; when "01" & x"fda" => data <= x"30"; when "01" & x"fdb" => data <= x"e6"; when "01" & x"fdc" => data <= x"49"; when "01" & x"fdd" => data <= x"f0"; when "01" & x"fde" => data <= x"05"; when "01" & x"fdf" => data <= x"20"; when "01" & x"fe0" => data <= x"66"; when "01" & x"fe1" => data <= x"a0"; when "01" & x"fe2" => data <= x"d0"; when "01" & x"fe3" => data <= x"f7"; when "01" & x"fe4" => data <= x"a9"; when "01" & x"fe5" => data <= x"80"; when "01" & x"fe6" => data <= x"85"; when "01" & x"fe7" => data <= x"4e"; when "01" & x"fe8" => data <= x"20"; when "01" & x"fe9" => data <= x"40"; when "01" & x"fea" => data <= x"a0"; when "01" & x"feb" => data <= x"c6"; when "01" & x"fec" => data <= x"4e"; when "01" & x"fed" => data <= x"d0"; when "01" & x"fee" => data <= x"05"; when "01" & x"fef" => data <= x"a9"; when "01" & x"ff0" => data <= x"2e"; when "01" & x"ff1" => data <= x"20"; when "01" & x"ff2" => data <= x"66"; when "01" & x"ff3" => data <= x"a0"; when "01" & x"ff4" => data <= x"c6"; when "01" & x"ff5" => data <= x"38"; when "01" & x"ff6" => data <= x"d0"; when "01" & x"ff7" => data <= x"f0"; when "01" & x"ff8" => data <= x"a4"; when "01" & x"ff9" => data <= x"37"; when "01" & x"ffa" => data <= x"88"; when "01" & x"ffb" => data <= x"f0"; when "01" & x"ffc" => data <= x"18"; when "01" & x"ffd" => data <= x"88"; when "01" & x"ffe" => data <= x"f0"; when "01" & x"fff" => data <= x"11"; when "10" & x"000" => data <= x"a4"; when "10" & x"001" => data <= x"36"; when "10" & x"002" => data <= x"88"; when "10" & x"003" => data <= x"b9"; when "10" & x"004" => data <= x"00"; when "10" & x"005" => data <= x"06"; when "10" & x"006" => data <= x"c9"; when "10" & x"007" => data <= x"30"; when "10" & x"008" => data <= x"f0"; when "10" & x"009" => data <= x"f8"; when "10" & x"00a" => data <= x"c9"; when "10" & x"00b" => data <= x"2e"; when "10" & x"00c" => data <= x"f0"; when "10" & x"00d" => data <= x"01"; when "10" & x"00e" => data <= x"c8"; when "10" & x"00f" => data <= x"84"; when "10" & x"010" => data <= x"36"; when "10" & x"011" => data <= x"a5"; when "10" & x"012" => data <= x"49"; when "10" & x"013" => data <= x"f0"; when "10" & x"014" => data <= x"2a"; when "10" & x"015" => data <= x"a9"; when "10" & x"016" => data <= x"45"; when "10" & x"017" => data <= x"20"; when "10" & x"018" => data <= x"66"; when "10" & x"019" => data <= x"a0"; when "10" & x"01a" => data <= x"a5"; when "10" & x"01b" => data <= x"49"; when "10" & x"01c" => data <= x"10"; when "10" & x"01d" => data <= x"0a"; when "10" & x"01e" => data <= x"a9"; when "10" & x"01f" => data <= x"2d"; when "10" & x"020" => data <= x"20"; when "10" & x"021" => data <= x"66"; when "10" & x"022" => data <= x"a0"; when "10" & x"023" => data <= x"38"; when "10" & x"024" => data <= x"a9"; when "10" & x"025" => data <= x"00"; when "10" & x"026" => data <= x"e5"; when "10" & x"027" => data <= x"49"; when "10" & x"028" => data <= x"20"; when "10" & x"029" => data <= x"52"; when "10" & x"02a" => data <= x"a0"; when "10" & x"02b" => data <= x"a5"; when "10" & x"02c" => data <= x"37"; when "10" & x"02d" => data <= x"f0"; when "10" & x"02e" => data <= x"10"; when "10" & x"02f" => data <= x"a9"; when "10" & x"030" => data <= x"20"; when "10" & x"031" => data <= x"a4"; when "10" & x"032" => data <= x"49"; when "10" & x"033" => data <= x"30"; when "10" & x"034" => data <= x"03"; when "10" & x"035" => data <= x"20"; when "10" & x"036" => data <= x"66"; when "10" & x"037" => data <= x"a0"; when "10" & x"038" => data <= x"e0"; when "10" & x"039" => data <= x"00"; when "10" & x"03a" => data <= x"d0"; when "10" & x"03b" => data <= x"03"; when "10" & x"03c" => data <= x"4c"; when "10" & x"03d" => data <= x"66"; when "10" & x"03e" => data <= x"a0"; when "10" & x"03f" => data <= x"60"; when "10" & x"040" => data <= x"a5"; when "10" & x"041" => data <= x"31"; when "10" & x"042" => data <= x"4a"; when "10" & x"043" => data <= x"4a"; when "10" & x"044" => data <= x"4a"; when "10" & x"045" => data <= x"4a"; when "10" & x"046" => data <= x"20"; when "10" & x"047" => data <= x"64"; when "10" & x"048" => data <= x"a0"; when "10" & x"049" => data <= x"a5"; when "10" & x"04a" => data <= x"31"; when "10" & x"04b" => data <= x"29"; when "10" & x"04c" => data <= x"0f"; when "10" & x"04d" => data <= x"85"; when "10" & x"04e" => data <= x"31"; when "10" & x"04f" => data <= x"4c"; when "10" & x"050" => data <= x"97"; when "10" & x"051" => data <= x"a1"; when "10" & x"052" => data <= x"a2"; when "10" & x"053" => data <= x"ff"; when "10" & x"054" => data <= x"38"; when "10" & x"055" => data <= x"e8"; when "10" & x"056" => data <= x"e9"; when "10" & x"057" => data <= x"0a"; when "10" & x"058" => data <= x"b0"; when "10" & x"059" => data <= x"fb"; when "10" & x"05a" => data <= x"69"; when "10" & x"05b" => data <= x"0a"; when "10" & x"05c" => data <= x"48"; when "10" & x"05d" => data <= x"8a"; when "10" & x"05e" => data <= x"f0"; when "10" & x"05f" => data <= x"03"; when "10" & x"060" => data <= x"20"; when "10" & x"061" => data <= x"64"; when "10" & x"062" => data <= x"a0"; when "10" & x"063" => data <= x"68"; when "10" & x"064" => data <= x"09"; when "10" & x"065" => data <= x"30"; when "10" & x"066" => data <= x"86"; when "10" & x"067" => data <= x"3b"; when "10" & x"068" => data <= x"a6"; when "10" & x"069" => data <= x"36"; when "10" & x"06a" => data <= x"9d"; when "10" & x"06b" => data <= x"00"; when "10" & x"06c" => data <= x"06"; when "10" & x"06d" => data <= x"a6"; when "10" & x"06e" => data <= x"3b"; when "10" & x"06f" => data <= x"e6"; when "10" & x"070" => data <= x"36"; when "10" & x"071" => data <= x"60"; when "10" & x"072" => data <= x"18"; when "10" & x"073" => data <= x"86"; when "10" & x"074" => data <= x"35"; when "10" & x"075" => data <= x"20"; when "10" & x"076" => data <= x"da"; when "10" & x"077" => data <= x"a1"; when "10" & x"078" => data <= x"a9"; when "10" & x"079" => data <= x"ff"; when "10" & x"07a" => data <= x"60"; when "10" & x"07b" => data <= x"a2"; when "10" & x"07c" => data <= x"00"; when "10" & x"07d" => data <= x"86"; when "10" & x"07e" => data <= x"31"; when "10" & x"07f" => data <= x"86"; when "10" & x"080" => data <= x"32"; when "10" & x"081" => data <= x"86"; when "10" & x"082" => data <= x"33"; when "10" & x"083" => data <= x"86"; when "10" & x"084" => data <= x"34"; when "10" & x"085" => data <= x"86"; when "10" & x"086" => data <= x"35"; when "10" & x"087" => data <= x"86"; when "10" & x"088" => data <= x"48"; when "10" & x"089" => data <= x"86"; when "10" & x"08a" => data <= x"49"; when "10" & x"08b" => data <= x"c9"; when "10" & x"08c" => data <= x"2e"; when "10" & x"08d" => data <= x"f0"; when "10" & x"08e" => data <= x"11"; when "10" & x"08f" => data <= x"c9"; when "10" & x"090" => data <= x"3a"; when "10" & x"091" => data <= x"b0"; when "10" & x"092" => data <= x"df"; when "10" & x"093" => data <= x"e9"; when "10" & x"094" => data <= x"2f"; when "10" & x"095" => data <= x"30"; when "10" & x"096" => data <= x"db"; when "10" & x"097" => data <= x"85"; when "10" & x"098" => data <= x"35"; when "10" & x"099" => data <= x"c8"; when "10" & x"09a" => data <= x"b1"; when "10" & x"09b" => data <= x"19"; when "10" & x"09c" => data <= x"c9"; when "10" & x"09d" => data <= x"2e"; when "10" & x"09e" => data <= x"d0"; when "10" & x"09f" => data <= x"08"; when "10" & x"0a0" => data <= x"a5"; when "10" & x"0a1" => data <= x"48"; when "10" & x"0a2" => data <= x"d0"; when "10" & x"0a3" => data <= x"44"; when "10" & x"0a4" => data <= x"e6"; when "10" & x"0a5" => data <= x"48"; when "10" & x"0a6" => data <= x"d0"; when "10" & x"0a7" => data <= x"f1"; when "10" & x"0a8" => data <= x"c9"; when "10" & x"0a9" => data <= x"45"; when "10" & x"0aa" => data <= x"f0"; when "10" & x"0ab" => data <= x"35"; when "10" & x"0ac" => data <= x"c9"; when "10" & x"0ad" => data <= x"3a"; when "10" & x"0ae" => data <= x"b0"; when "10" & x"0af" => data <= x"38"; when "10" & x"0b0" => data <= x"e9"; when "10" & x"0b1" => data <= x"2f"; when "10" & x"0b2" => data <= x"90"; when "10" & x"0b3" => data <= x"34"; when "10" & x"0b4" => data <= x"a6"; when "10" & x"0b5" => data <= x"31"; when "10" & x"0b6" => data <= x"e0"; when "10" & x"0b7" => data <= x"18"; when "10" & x"0b8" => data <= x"90"; when "10" & x"0b9" => data <= x"08"; when "10" & x"0ba" => data <= x"a6"; when "10" & x"0bb" => data <= x"48"; when "10" & x"0bc" => data <= x"d0"; when "10" & x"0bd" => data <= x"db"; when "10" & x"0be" => data <= x"e6"; when "10" & x"0bf" => data <= x"49"; when "10" & x"0c0" => data <= x"b0"; when "10" & x"0c1" => data <= x"d7"; when "10" & x"0c2" => data <= x"a6"; when "10" & x"0c3" => data <= x"48"; when "10" & x"0c4" => data <= x"f0"; when "10" & x"0c5" => data <= x"02"; when "10" & x"0c6" => data <= x"c6"; when "10" & x"0c7" => data <= x"49"; when "10" & x"0c8" => data <= x"20"; when "10" & x"0c9" => data <= x"97"; when "10" & x"0ca" => data <= x"a1"; when "10" & x"0cb" => data <= x"65"; when "10" & x"0cc" => data <= x"35"; when "10" & x"0cd" => data <= x"85"; when "10" & x"0ce" => data <= x"35"; when "10" & x"0cf" => data <= x"90"; when "10" & x"0d0" => data <= x"c8"; when "10" & x"0d1" => data <= x"e6"; when "10" & x"0d2" => data <= x"34"; when "10" & x"0d3" => data <= x"d0"; when "10" & x"0d4" => data <= x"c4"; when "10" & x"0d5" => data <= x"e6"; when "10" & x"0d6" => data <= x"33"; when "10" & x"0d7" => data <= x"d0"; when "10" & x"0d8" => data <= x"c0"; when "10" & x"0d9" => data <= x"e6"; when "10" & x"0da" => data <= x"32"; when "10" & x"0db" => data <= x"d0"; when "10" & x"0dc" => data <= x"bc"; when "10" & x"0dd" => data <= x"e6"; when "10" & x"0de" => data <= x"31"; when "10" & x"0df" => data <= x"d0"; when "10" & x"0e0" => data <= x"b8"; when "10" & x"0e1" => data <= x"20"; when "10" & x"0e2" => data <= x"40"; when "10" & x"0e3" => data <= x"a1"; when "10" & x"0e4" => data <= x"65"; when "10" & x"0e5" => data <= x"49"; when "10" & x"0e6" => data <= x"85"; when "10" & x"0e7" => data <= x"49"; when "10" & x"0e8" => data <= x"84"; when "10" & x"0e9" => data <= x"1b"; when "10" & x"0ea" => data <= x"a5"; when "10" & x"0eb" => data <= x"49"; when "10" & x"0ec" => data <= x"05"; when "10" & x"0ed" => data <= x"48"; when "10" & x"0ee" => data <= x"f0"; when "10" & x"0ef" => data <= x"2f"; when "10" & x"0f0" => data <= x"20"; when "10" & x"0f1" => data <= x"da"; when "10" & x"0f2" => data <= x"a1"; when "10" & x"0f3" => data <= x"f0"; when "10" & x"0f4" => data <= x"26"; when "10" & x"0f5" => data <= x"a9"; when "10" & x"0f6" => data <= x"a8"; when "10" & x"0f7" => data <= x"85"; when "10" & x"0f8" => data <= x"30"; when "10" & x"0f9" => data <= x"a9"; when "10" & x"0fa" => data <= x"00"; when "10" & x"0fb" => data <= x"85"; when "10" & x"0fc" => data <= x"2f"; when "10" & x"0fd" => data <= x"85"; when "10" & x"0fe" => data <= x"2e"; when "10" & x"0ff" => data <= x"20"; when "10" & x"100" => data <= x"03"; when "10" & x"101" => data <= x"a3"; when "10" & x"102" => data <= x"a5"; when "10" & x"103" => data <= x"49"; when "10" & x"104" => data <= x"30"; when "10" & x"105" => data <= x"0b"; when "10" & x"106" => data <= x"f0"; when "10" & x"107" => data <= x"10"; when "10" & x"108" => data <= x"20"; when "10" & x"109" => data <= x"f4"; when "10" & x"10a" => data <= x"a1"; when "10" & x"10b" => data <= x"c6"; when "10" & x"10c" => data <= x"49"; when "10" & x"10d" => data <= x"d0"; when "10" & x"10e" => data <= x"f9"; when "10" & x"10f" => data <= x"f0"; when "10" & x"110" => data <= x"07"; when "10" & x"111" => data <= x"20"; when "10" & x"112" => data <= x"4d"; when "10" & x"113" => data <= x"a2"; when "10" & x"114" => data <= x"e6"; when "10" & x"115" => data <= x"49"; when "10" & x"116" => data <= x"d0"; when "10" & x"117" => data <= x"f9"; when "10" & x"118" => data <= x"20"; when "10" & x"119" => data <= x"5c"; when "10" & x"11a" => data <= x"a6"; when "10" & x"11b" => data <= x"38"; when "10" & x"11c" => data <= x"a9"; when "10" & x"11d" => data <= x"ff"; when "10" & x"11e" => data <= x"60"; when "10" & x"11f" => data <= x"a5"; when "10" & x"120" => data <= x"32"; when "10" & x"121" => data <= x"85"; when "10" & x"122" => data <= x"2d"; when "10" & x"123" => data <= x"29"; when "10" & x"124" => data <= x"80"; when "10" & x"125" => data <= x"05"; when "10" & x"126" => data <= x"31"; when "10" & x"127" => data <= x"d0"; when "10" & x"128" => data <= x"cc"; when "10" & x"129" => data <= x"a5"; when "10" & x"12a" => data <= x"35"; when "10" & x"12b" => data <= x"85"; when "10" & x"12c" => data <= x"2a"; when "10" & x"12d" => data <= x"a5"; when "10" & x"12e" => data <= x"34"; when "10" & x"12f" => data <= x"85"; when "10" & x"130" => data <= x"2b"; when "10" & x"131" => data <= x"a5"; when "10" & x"132" => data <= x"33"; when "10" & x"133" => data <= x"85"; when "10" & x"134" => data <= x"2c"; when "10" & x"135" => data <= x"a9"; when "10" & x"136" => data <= x"40"; when "10" & x"137" => data <= x"38"; when "10" & x"138" => data <= x"60"; when "10" & x"139" => data <= x"20"; when "10" & x"13a" => data <= x"4b"; when "10" & x"13b" => data <= x"a1"; when "10" & x"13c" => data <= x"49"; when "10" & x"13d" => data <= x"ff"; when "10" & x"13e" => data <= x"38"; when "10" & x"13f" => data <= x"60"; when "10" & x"140" => data <= x"c8"; when "10" & x"141" => data <= x"b1"; when "10" & x"142" => data <= x"19"; when "10" & x"143" => data <= x"c9"; when "10" & x"144" => data <= x"2d"; when "10" & x"145" => data <= x"f0"; when "10" & x"146" => data <= x"f2"; when "10" & x"147" => data <= x"c9"; when "10" & x"148" => data <= x"2b"; when "10" & x"149" => data <= x"d0"; when "10" & x"14a" => data <= x"03"; when "10" & x"14b" => data <= x"c8"; when "10" & x"14c" => data <= x"b1"; when "10" & x"14d" => data <= x"19"; when "10" & x"14e" => data <= x"c9"; when "10" & x"14f" => data <= x"3a"; when "10" & x"150" => data <= x"b0"; when "10" & x"151" => data <= x"22"; when "10" & x"152" => data <= x"e9"; when "10" & x"153" => data <= x"2f"; when "10" & x"154" => data <= x"90"; when "10" & x"155" => data <= x"1e"; when "10" & x"156" => data <= x"85"; when "10" & x"157" => data <= x"4a"; when "10" & x"158" => data <= x"c8"; when "10" & x"159" => data <= x"b1"; when "10" & x"15a" => data <= x"19"; when "10" & x"15b" => data <= x"c9"; when "10" & x"15c" => data <= x"3a"; when "10" & x"15d" => data <= x"b0"; when "10" & x"15e" => data <= x"11"; when "10" & x"15f" => data <= x"e9"; when "10" & x"160" => data <= x"2f"; when "10" & x"161" => data <= x"90"; when "10" & x"162" => data <= x"0d"; when "10" & x"163" => data <= x"c8"; when "10" & x"164" => data <= x"85"; when "10" & x"165" => data <= x"43"; when "10" & x"166" => data <= x"a5"; when "10" & x"167" => data <= x"4a"; when "10" & x"168" => data <= x"0a"; when "10" & x"169" => data <= x"0a"; when "10" & x"16a" => data <= x"65"; when "10" & x"16b" => data <= x"4a"; when "10" & x"16c" => data <= x"0a"; when "10" & x"16d" => data <= x"65"; when "10" & x"16e" => data <= x"43"; when "10" & x"16f" => data <= x"60"; when "10" & x"170" => data <= x"a5"; when "10" & x"171" => data <= x"4a"; when "10" & x"172" => data <= x"18"; when "10" & x"173" => data <= x"60"; when "10" & x"174" => data <= x"a9"; when "10" & x"175" => data <= x"00"; when "10" & x"176" => data <= x"18"; when "10" & x"177" => data <= x"60"; when "10" & x"178" => data <= x"a5"; when "10" & x"179" => data <= x"35"; when "10" & x"17a" => data <= x"65"; when "10" & x"17b" => data <= x"42"; when "10" & x"17c" => data <= x"85"; when "10" & x"17d" => data <= x"35"; when "10" & x"17e" => data <= x"a5"; when "10" & x"17f" => data <= x"34"; when "10" & x"180" => data <= x"65"; when "10" & x"181" => data <= x"41"; when "10" & x"182" => data <= x"85"; when "10" & x"183" => data <= x"34"; when "10" & x"184" => data <= x"a5"; when "10" & x"185" => data <= x"33"; when "10" & x"186" => data <= x"65"; when "10" & x"187" => data <= x"40"; when "10" & x"188" => data <= x"85"; when "10" & x"189" => data <= x"33"; when "10" & x"18a" => data <= x"a5"; when "10" & x"18b" => data <= x"32"; when "10" & x"18c" => data <= x"65"; when "10" & x"18d" => data <= x"3f"; when "10" & x"18e" => data <= x"85"; when "10" & x"18f" => data <= x"32"; when "10" & x"190" => data <= x"a5"; when "10" & x"191" => data <= x"31"; when "10" & x"192" => data <= x"65"; when "10" & x"193" => data <= x"3e"; when "10" & x"194" => data <= x"85"; when "10" & x"195" => data <= x"31"; when "10" & x"196" => data <= x"60"; when "10" & x"197" => data <= x"48"; when "10" & x"198" => data <= x"a6"; when "10" & x"199" => data <= x"34"; when "10" & x"19a" => data <= x"a5"; when "10" & x"19b" => data <= x"31"; when "10" & x"19c" => data <= x"48"; when "10" & x"19d" => data <= x"a5"; when "10" & x"19e" => data <= x"32"; when "10" & x"19f" => data <= x"48"; when "10" & x"1a0" => data <= x"a5"; when "10" & x"1a1" => data <= x"33"; when "10" & x"1a2" => data <= x"48"; when "10" & x"1a3" => data <= x"a5"; when "10" & x"1a4" => data <= x"35"; when "10" & x"1a5" => data <= x"0a"; when "10" & x"1a6" => data <= x"26"; when "10" & x"1a7" => data <= x"34"; when "10" & x"1a8" => data <= x"26"; when "10" & x"1a9" => data <= x"33"; when "10" & x"1aa" => data <= x"26"; when "10" & x"1ab" => data <= x"32"; when "10" & x"1ac" => data <= x"26"; when "10" & x"1ad" => data <= x"31"; when "10" & x"1ae" => data <= x"0a"; when "10" & x"1af" => data <= x"26"; when "10" & x"1b0" => data <= x"34"; when "10" & x"1b1" => data <= x"26"; when "10" & x"1b2" => data <= x"33"; when "10" & x"1b3" => data <= x"26"; when "10" & x"1b4" => data <= x"32"; when "10" & x"1b5" => data <= x"26"; when "10" & x"1b6" => data <= x"31"; when "10" & x"1b7" => data <= x"65"; when "10" & x"1b8" => data <= x"35"; when "10" & x"1b9" => data <= x"85"; when "10" & x"1ba" => data <= x"35"; when "10" & x"1bb" => data <= x"8a"; when "10" & x"1bc" => data <= x"65"; when "10" & x"1bd" => data <= x"34"; when "10" & x"1be" => data <= x"85"; when "10" & x"1bf" => data <= x"34"; when "10" & x"1c0" => data <= x"68"; when "10" & x"1c1" => data <= x"65"; when "10" & x"1c2" => data <= x"33"; when "10" & x"1c3" => data <= x"85"; when "10" & x"1c4" => data <= x"33"; when "10" & x"1c5" => data <= x"68"; when "10" & x"1c6" => data <= x"65"; when "10" & x"1c7" => data <= x"32"; when "10" & x"1c8" => data <= x"85"; when "10" & x"1c9" => data <= x"32"; when "10" & x"1ca" => data <= x"68"; when "10" & x"1cb" => data <= x"65"; when "10" & x"1cc" => data <= x"31"; when "10" & x"1cd" => data <= x"06"; when "10" & x"1ce" => data <= x"35"; when "10" & x"1cf" => data <= x"26"; when "10" & x"1d0" => data <= x"34"; when "10" & x"1d1" => data <= x"26"; when "10" & x"1d2" => data <= x"33"; when "10" & x"1d3" => data <= x"26"; when "10" & x"1d4" => data <= x"32"; when "10" & x"1d5" => data <= x"2a"; when "10" & x"1d6" => data <= x"85"; when "10" & x"1d7" => data <= x"31"; when "10" & x"1d8" => data <= x"68"; when "10" & x"1d9" => data <= x"60"; when "10" & x"1da" => data <= x"a5"; when "10" & x"1db" => data <= x"31"; when "10" & x"1dc" => data <= x"05"; when "10" & x"1dd" => data <= x"32"; when "10" & x"1de" => data <= x"05"; when "10" & x"1df" => data <= x"33"; when "10" & x"1e0" => data <= x"05"; when "10" & x"1e1" => data <= x"34"; when "10" & x"1e2" => data <= x"05"; when "10" & x"1e3" => data <= x"35"; when "10" & x"1e4" => data <= x"f0"; when "10" & x"1e5" => data <= x"07"; when "10" & x"1e6" => data <= x"a5"; when "10" & x"1e7" => data <= x"2e"; when "10" & x"1e8" => data <= x"d0"; when "10" & x"1e9" => data <= x"09"; when "10" & x"1ea" => data <= x"a9"; when "10" & x"1eb" => data <= x"01"; when "10" & x"1ec" => data <= x"60"; when "10" & x"1ed" => data <= x"85"; when "10" & x"1ee" => data <= x"2e"; when "10" & x"1ef" => data <= x"85"; when "10" & x"1f0" => data <= x"30"; when "10" & x"1f1" => data <= x"85"; when "10" & x"1f2" => data <= x"2f"; when "10" & x"1f3" => data <= x"60"; when "10" & x"1f4" => data <= x"18"; when "10" & x"1f5" => data <= x"a5"; when "10" & x"1f6" => data <= x"30"; when "10" & x"1f7" => data <= x"69"; when "10" & x"1f8" => data <= x"03"; when "10" & x"1f9" => data <= x"85"; when "10" & x"1fa" => data <= x"30"; when "10" & x"1fb" => data <= x"90"; when "10" & x"1fc" => data <= x"02"; when "10" & x"1fd" => data <= x"e6"; when "10" & x"1fe" => data <= x"2f"; when "10" & x"1ff" => data <= x"20"; when "10" & x"200" => data <= x"1e"; when "10" & x"201" => data <= x"a2"; when "10" & x"202" => data <= x"20"; when "10" & x"203" => data <= x"42"; when "10" & x"204" => data <= x"a2"; when "10" & x"205" => data <= x"20"; when "10" & x"206" => data <= x"42"; when "10" & x"207" => data <= x"a2"; when "10" & x"208" => data <= x"20"; when "10" & x"209" => data <= x"78"; when "10" & x"20a" => data <= x"a1"; when "10" & x"20b" => data <= x"90"; when "10" & x"20c" => data <= x"10"; when "10" & x"20d" => data <= x"66"; when "10" & x"20e" => data <= x"31"; when "10" & x"20f" => data <= x"66"; when "10" & x"210" => data <= x"32"; when "10" & x"211" => data <= x"66"; when "10" & x"212" => data <= x"33"; when "10" & x"213" => data <= x"66"; when "10" & x"214" => data <= x"34"; when "10" & x"215" => data <= x"66"; when "10" & x"216" => data <= x"35"; when "10" & x"217" => data <= x"e6"; when "10" & x"218" => data <= x"30"; when "10" & x"219" => data <= x"d0"; when "10" & x"21a" => data <= x"02"; when "10" & x"21b" => data <= x"e6"; when "10" & x"21c" => data <= x"2f"; when "10" & x"21d" => data <= x"60"; when "10" & x"21e" => data <= x"a5"; when "10" & x"21f" => data <= x"2e"; when "10" & x"220" => data <= x"85"; when "10" & x"221" => data <= x"3b"; when "10" & x"222" => data <= x"a5"; when "10" & x"223" => data <= x"2f"; when "10" & x"224" => data <= x"85"; when "10" & x"225" => data <= x"3c"; when "10" & x"226" => data <= x"a5"; when "10" & x"227" => data <= x"30"; when "10" & x"228" => data <= x"85"; when "10" & x"229" => data <= x"3d"; when "10" & x"22a" => data <= x"a5"; when "10" & x"22b" => data <= x"31"; when "10" & x"22c" => data <= x"85"; when "10" & x"22d" => data <= x"3e"; when "10" & x"22e" => data <= x"a5"; when "10" & x"22f" => data <= x"32"; when "10" & x"230" => data <= x"85"; when "10" & x"231" => data <= x"3f"; when "10" & x"232" => data <= x"a5"; when "10" & x"233" => data <= x"33"; when "10" & x"234" => data <= x"85"; when "10" & x"235" => data <= x"40"; when "10" & x"236" => data <= x"a5"; when "10" & x"237" => data <= x"34"; when "10" & x"238" => data <= x"85"; when "10" & x"239" => data <= x"41"; when "10" & x"23a" => data <= x"a5"; when "10" & x"23b" => data <= x"35"; when "10" & x"23c" => data <= x"85"; when "10" & x"23d" => data <= x"42"; when "10" & x"23e" => data <= x"60"; when "10" & x"23f" => data <= x"20"; when "10" & x"240" => data <= x"1e"; when "10" & x"241" => data <= x"a2"; when "10" & x"242" => data <= x"46"; when "10" & x"243" => data <= x"3e"; when "10" & x"244" => data <= x"66"; when "10" & x"245" => data <= x"3f"; when "10" & x"246" => data <= x"66"; when "10" & x"247" => data <= x"40"; when "10" & x"248" => data <= x"66"; when "10" & x"249" => data <= x"41"; when "10" & x"24a" => data <= x"66"; when "10" & x"24b" => data <= x"42"; when "10" & x"24c" => data <= x"60"; when "10" & x"24d" => data <= x"38"; when "10" & x"24e" => data <= x"a5"; when "10" & x"24f" => data <= x"30"; when "10" & x"250" => data <= x"e9"; when "10" & x"251" => data <= x"04"; when "10" & x"252" => data <= x"85"; when "10" & x"253" => data <= x"30"; when "10" & x"254" => data <= x"b0"; when "10" & x"255" => data <= x"02"; when "10" & x"256" => data <= x"c6"; when "10" & x"257" => data <= x"2f"; when "10" & x"258" => data <= x"20"; when "10" & x"259" => data <= x"3f"; when "10" & x"25a" => data <= x"a2"; when "10" & x"25b" => data <= x"20"; when "10" & x"25c" => data <= x"08"; when "10" & x"25d" => data <= x"a2"; when "10" & x"25e" => data <= x"20"; when "10" & x"25f" => data <= x"3f"; when "10" & x"260" => data <= x"a2"; when "10" & x"261" => data <= x"20"; when "10" & x"262" => data <= x"42"; when "10" & x"263" => data <= x"a2"; when "10" & x"264" => data <= x"20"; when "10" & x"265" => data <= x"42"; when "10" & x"266" => data <= x"a2"; when "10" & x"267" => data <= x"20"; when "10" & x"268" => data <= x"42"; when "10" & x"269" => data <= x"a2"; when "10" & x"26a" => data <= x"20"; when "10" & x"26b" => data <= x"08"; when "10" & x"26c" => data <= x"a2"; when "10" & x"26d" => data <= x"a9"; when "10" & x"26e" => data <= x"00"; when "10" & x"26f" => data <= x"85"; when "10" & x"270" => data <= x"3e"; when "10" & x"271" => data <= x"a5"; when "10" & x"272" => data <= x"31"; when "10" & x"273" => data <= x"85"; when "10" & x"274" => data <= x"3f"; when "10" & x"275" => data <= x"a5"; when "10" & x"276" => data <= x"32"; when "10" & x"277" => data <= x"85"; when "10" & x"278" => data <= x"40"; when "10" & x"279" => data <= x"a5"; when "10" & x"27a" => data <= x"33"; when "10" & x"27b" => data <= x"85"; when "10" & x"27c" => data <= x"41"; when "10" & x"27d" => data <= x"a5"; when "10" & x"27e" => data <= x"34"; when "10" & x"27f" => data <= x"85"; when "10" & x"280" => data <= x"42"; when "10" & x"281" => data <= x"a5"; when "10" & x"282" => data <= x"35"; when "10" & x"283" => data <= x"2a"; when "10" & x"284" => data <= x"20"; when "10" & x"285" => data <= x"08"; when "10" & x"286" => data <= x"a2"; when "10" & x"287" => data <= x"a9"; when "10" & x"288" => data <= x"00"; when "10" & x"289" => data <= x"85"; when "10" & x"28a" => data <= x"3e"; when "10" & x"28b" => data <= x"85"; when "10" & x"28c" => data <= x"3f"; when "10" & x"28d" => data <= x"a5"; when "10" & x"28e" => data <= x"31"; when "10" & x"28f" => data <= x"85"; when "10" & x"290" => data <= x"40"; when "10" & x"291" => data <= x"a5"; when "10" & x"292" => data <= x"32"; when "10" & x"293" => data <= x"85"; when "10" & x"294" => data <= x"41"; when "10" & x"295" => data <= x"a5"; when "10" & x"296" => data <= x"33"; when "10" & x"297" => data <= x"85"; when "10" & x"298" => data <= x"42"; when "10" & x"299" => data <= x"a5"; when "10" & x"29a" => data <= x"34"; when "10" & x"29b" => data <= x"2a"; when "10" & x"29c" => data <= x"20"; when "10" & x"29d" => data <= x"08"; when "10" & x"29e" => data <= x"a2"; when "10" & x"29f" => data <= x"a5"; when "10" & x"2a0" => data <= x"32"; when "10" & x"2a1" => data <= x"2a"; when "10" & x"2a2" => data <= x"a5"; when "10" & x"2a3" => data <= x"31"; when "10" & x"2a4" => data <= x"65"; when "10" & x"2a5" => data <= x"35"; when "10" & x"2a6" => data <= x"85"; when "10" & x"2a7" => data <= x"35"; when "10" & x"2a8" => data <= x"90"; when "10" & x"2a9" => data <= x"13"; when "10" & x"2aa" => data <= x"e6"; when "10" & x"2ab" => data <= x"34"; when "10" & x"2ac" => data <= x"d0"; when "10" & x"2ad" => data <= x"0f"; when "10" & x"2ae" => data <= x"e6"; when "10" & x"2af" => data <= x"33"; when "10" & x"2b0" => data <= x"d0"; when "10" & x"2b1" => data <= x"0b"; when "10" & x"2b2" => data <= x"e6"; when "10" & x"2b3" => data <= x"32"; when "10" & x"2b4" => data <= x"d0"; when "10" & x"2b5" => data <= x"07"; when "10" & x"2b6" => data <= x"e6"; when "10" & x"2b7" => data <= x"31"; when "10" & x"2b8" => data <= x"d0"; when "10" & x"2b9" => data <= x"03"; when "10" & x"2ba" => data <= x"4c"; when "10" & x"2bb" => data <= x"0b"; when "10" & x"2bc" => data <= x"a2"; when "10" & x"2bd" => data <= x"60"; when "10" & x"2be" => data <= x"a2"; when "10" & x"2bf" => data <= x"00"; when "10" & x"2c0" => data <= x"86"; when "10" & x"2c1" => data <= x"35"; when "10" & x"2c2" => data <= x"86"; when "10" & x"2c3" => data <= x"2f"; when "10" & x"2c4" => data <= x"a5"; when "10" & x"2c5" => data <= x"2d"; when "10" & x"2c6" => data <= x"10"; when "10" & x"2c7" => data <= x"05"; when "10" & x"2c8" => data <= x"20"; when "10" & x"2c9" => data <= x"93"; when "10" & x"2ca" => data <= x"ad"; when "10" & x"2cb" => data <= x"a2"; when "10" & x"2cc" => data <= x"ff"; when "10" & x"2cd" => data <= x"86"; when "10" & x"2ce" => data <= x"2e"; when "10" & x"2cf" => data <= x"a5"; when "10" & x"2d0" => data <= x"2a"; when "10" & x"2d1" => data <= x"85"; when "10" & x"2d2" => data <= x"34"; when "10" & x"2d3" => data <= x"a5"; when "10" & x"2d4" => data <= x"2b"; when "10" & x"2d5" => data <= x"85"; when "10" & x"2d6" => data <= x"33"; when "10" & x"2d7" => data <= x"a5"; when "10" & x"2d8" => data <= x"2c"; when "10" & x"2d9" => data <= x"85"; when "10" & x"2da" => data <= x"32"; when "10" & x"2db" => data <= x"a5"; when "10" & x"2dc" => data <= x"2d"; when "10" & x"2dd" => data <= x"85"; when "10" & x"2de" => data <= x"31"; when "10" & x"2df" => data <= x"a9"; when "10" & x"2e0" => data <= x"a0"; when "10" & x"2e1" => data <= x"85"; when "10" & x"2e2" => data <= x"30"; when "10" & x"2e3" => data <= x"4c"; when "10" & x"2e4" => data <= x"03"; when "10" & x"2e5" => data <= x"a3"; when "10" & x"2e6" => data <= x"85"; when "10" & x"2e7" => data <= x"2e"; when "10" & x"2e8" => data <= x"85"; when "10" & x"2e9" => data <= x"30"; when "10" & x"2ea" => data <= x"85"; when "10" & x"2eb" => data <= x"2f"; when "10" & x"2ec" => data <= x"60"; when "10" & x"2ed" => data <= x"48"; when "10" & x"2ee" => data <= x"20"; when "10" & x"2ef" => data <= x"86"; when "10" & x"2f0" => data <= x"a6"; when "10" & x"2f1" => data <= x"68"; when "10" & x"2f2" => data <= x"f0"; when "10" & x"2f3" => data <= x"f8"; when "10" & x"2f4" => data <= x"10"; when "10" & x"2f5" => data <= x"07"; when "10" & x"2f6" => data <= x"85"; when "10" & x"2f7" => data <= x"2e"; when "10" & x"2f8" => data <= x"a9"; when "10" & x"2f9" => data <= x"00"; when "10" & x"2fa" => data <= x"38"; when "10" & x"2fb" => data <= x"e5"; when "10" & x"2fc" => data <= x"2e"; when "10" & x"2fd" => data <= x"85"; when "10" & x"2fe" => data <= x"31"; when "10" & x"2ff" => data <= x"a9"; when "10" & x"300" => data <= x"88"; when "10" & x"301" => data <= x"85"; when "10" & x"302" => data <= x"30"; when "10" & x"303" => data <= x"a5"; when "10" & x"304" => data <= x"31"; when "10" & x"305" => data <= x"30"; when "10" & x"306" => data <= x"e5"; when "10" & x"307" => data <= x"05"; when "10" & x"308" => data <= x"32"; when "10" & x"309" => data <= x"05"; when "10" & x"30a" => data <= x"33"; when "10" & x"30b" => data <= x"05"; when "10" & x"30c" => data <= x"34"; when "10" & x"30d" => data <= x"05"; when "10" & x"30e" => data <= x"35"; when "10" & x"30f" => data <= x"f0"; when "10" & x"310" => data <= x"d5"; when "10" & x"311" => data <= x"a5"; when "10" & x"312" => data <= x"30"; when "10" & x"313" => data <= x"a4"; when "10" & x"314" => data <= x"31"; when "10" & x"315" => data <= x"30"; when "10" & x"316" => data <= x"d5"; when "10" & x"317" => data <= x"d0"; when "10" & x"318" => data <= x"21"; when "10" & x"319" => data <= x"a6"; when "10" & x"31a" => data <= x"32"; when "10" & x"31b" => data <= x"86"; when "10" & x"31c" => data <= x"31"; when "10" & x"31d" => data <= x"a6"; when "10" & x"31e" => data <= x"33"; when "10" & x"31f" => data <= x"86"; when "10" & x"320" => data <= x"32"; when "10" & x"321" => data <= x"a6"; when "10" & x"322" => data <= x"34"; when "10" & x"323" => data <= x"86"; when "10" & x"324" => data <= x"33"; when "10" & x"325" => data <= x"a6"; when "10" & x"326" => data <= x"35"; when "10" & x"327" => data <= x"86"; when "10" & x"328" => data <= x"34"; when "10" & x"329" => data <= x"84"; when "10" & x"32a" => data <= x"35"; when "10" & x"32b" => data <= x"38"; when "10" & x"32c" => data <= x"e9"; when "10" & x"32d" => data <= x"08"; when "10" & x"32e" => data <= x"85"; when "10" & x"32f" => data <= x"30"; when "10" & x"330" => data <= x"b0"; when "10" & x"331" => data <= x"e1"; when "10" & x"332" => data <= x"c6"; when "10" & x"333" => data <= x"2f"; when "10" & x"334" => data <= x"90"; when "10" & x"335" => data <= x"dd"; when "10" & x"336" => data <= x"a4"; when "10" & x"337" => data <= x"31"; when "10" & x"338" => data <= x"30"; when "10" & x"339" => data <= x"b2"; when "10" & x"33a" => data <= x"06"; when "10" & x"33b" => data <= x"35"; when "10" & x"33c" => data <= x"26"; when "10" & x"33d" => data <= x"34"; when "10" & x"33e" => data <= x"26"; when "10" & x"33f" => data <= x"33"; when "10" & x"340" => data <= x"26"; when "10" & x"341" => data <= x"32"; when "10" & x"342" => data <= x"26"; when "10" & x"343" => data <= x"31"; when "10" & x"344" => data <= x"e9"; when "10" & x"345" => data <= x"00"; when "10" & x"346" => data <= x"85"; when "10" & x"347" => data <= x"30"; when "10" & x"348" => data <= x"b0"; when "10" & x"349" => data <= x"ec"; when "10" & x"34a" => data <= x"c6"; when "10" & x"34b" => data <= x"2f"; when "10" & x"34c" => data <= x"90"; when "10" & x"34d" => data <= x"e8"; when "10" & x"34e" => data <= x"a0"; when "10" & x"34f" => data <= x"04"; when "10" & x"350" => data <= x"b1"; when "10" & x"351" => data <= x"4b"; when "10" & x"352" => data <= x"85"; when "10" & x"353" => data <= x"41"; when "10" & x"354" => data <= x"88"; when "10" & x"355" => data <= x"b1"; when "10" & x"356" => data <= x"4b"; when "10" & x"357" => data <= x"85"; when "10" & x"358" => data <= x"40"; when "10" & x"359" => data <= x"88"; when "10" & x"35a" => data <= x"b1"; when "10" & x"35b" => data <= x"4b"; when "10" & x"35c" => data <= x"85"; when "10" & x"35d" => data <= x"3f"; when "10" & x"35e" => data <= x"88"; when "10" & x"35f" => data <= x"b1"; when "10" & x"360" => data <= x"4b"; when "10" & x"361" => data <= x"85"; when "10" & x"362" => data <= x"3b"; when "10" & x"363" => data <= x"88"; when "10" & x"364" => data <= x"84"; when "10" & x"365" => data <= x"42"; when "10" & x"366" => data <= x"84"; when "10" & x"367" => data <= x"3c"; when "10" & x"368" => data <= x"b1"; when "10" & x"369" => data <= x"4b"; when "10" & x"36a" => data <= x"85"; when "10" & x"36b" => data <= x"3d"; when "10" & x"36c" => data <= x"05"; when "10" & x"36d" => data <= x"3b"; when "10" & x"36e" => data <= x"05"; when "10" & x"36f" => data <= x"3f"; when "10" & x"370" => data <= x"05"; when "10" & x"371" => data <= x"40"; when "10" & x"372" => data <= x"05"; when "10" & x"373" => data <= x"41"; when "10" & x"374" => data <= x"f0"; when "10" & x"375" => data <= x"04"; when "10" & x"376" => data <= x"a5"; when "10" & x"377" => data <= x"3b"; when "10" & x"378" => data <= x"09"; when "10" & x"379" => data <= x"80"; when "10" & x"37a" => data <= x"85"; when "10" & x"37b" => data <= x"3e"; when "10" & x"37c" => data <= x"60"; when "10" & x"37d" => data <= x"a9"; when "10" & x"37e" => data <= x"71"; when "10" & x"37f" => data <= x"d0"; when "10" & x"380" => data <= x"06"; when "10" & x"381" => data <= x"a9"; when "10" & x"382" => data <= x"76"; when "10" & x"383" => data <= x"d0"; when "10" & x"384" => data <= x"02"; when "10" & x"385" => data <= x"a9"; when "10" & x"386" => data <= x"6c"; when "10" & x"387" => data <= x"85"; when "10" & x"388" => data <= x"4b"; when "10" & x"389" => data <= x"a9"; when "10" & x"38a" => data <= x"04"; when "10" & x"38b" => data <= x"85"; when "10" & x"38c" => data <= x"4c"; when "10" & x"38d" => data <= x"a0"; when "10" & x"38e" => data <= x"00"; when "10" & x"38f" => data <= x"a5"; when "10" & x"390" => data <= x"30"; when "10" & x"391" => data <= x"91"; when "10" & x"392" => data <= x"4b"; when "10" & x"393" => data <= x"c8"; when "10" & x"394" => data <= x"a5"; when "10" & x"395" => data <= x"2e"; when "10" & x"396" => data <= x"29"; when "10" & x"397" => data <= x"80"; when "10" & x"398" => data <= x"85"; when "10" & x"399" => data <= x"2e"; when "10" & x"39a" => data <= x"a5"; when "10" & x"39b" => data <= x"31"; when "10" & x"39c" => data <= x"29"; when "10" & x"39d" => data <= x"7f"; when "10" & x"39e" => data <= x"05"; when "10" & x"39f" => data <= x"2e"; when "10" & x"3a0" => data <= x"91"; when "10" & x"3a1" => data <= x"4b"; when "10" & x"3a2" => data <= x"a5"; when "10" & x"3a3" => data <= x"32"; when "10" & x"3a4" => data <= x"c8"; when "10" & x"3a5" => data <= x"91"; when "10" & x"3a6" => data <= x"4b"; when "10" & x"3a7" => data <= x"a5"; when "10" & x"3a8" => data <= x"33"; when "10" & x"3a9" => data <= x"c8"; when "10" & x"3aa" => data <= x"91"; when "10" & x"3ab" => data <= x"4b"; when "10" & x"3ac" => data <= x"a5"; when "10" & x"3ad" => data <= x"34"; when "10" & x"3ae" => data <= x"c8"; when "10" & x"3af" => data <= x"91"; when "10" & x"3b0" => data <= x"4b"; when "10" & x"3b1" => data <= x"60"; when "10" & x"3b2" => data <= x"20"; when "10" & x"3b3" => data <= x"f5"; when "10" & x"3b4" => data <= x"a7"; when "10" & x"3b5" => data <= x"a0"; when "10" & x"3b6" => data <= x"04"; when "10" & x"3b7" => data <= x"b1"; when "10" & x"3b8" => data <= x"4b"; when "10" & x"3b9" => data <= x"85"; when "10" & x"3ba" => data <= x"34"; when "10" & x"3bb" => data <= x"88"; when "10" & x"3bc" => data <= x"b1"; when "10" & x"3bd" => data <= x"4b"; when "10" & x"3be" => data <= x"85"; when "10" & x"3bf" => data <= x"33"; when "10" & x"3c0" => data <= x"88"; when "10" & x"3c1" => data <= x"b1"; when "10" & x"3c2" => data <= x"4b"; when "10" & x"3c3" => data <= x"85"; when "10" & x"3c4" => data <= x"32"; when "10" & x"3c5" => data <= x"88"; when "10" & x"3c6" => data <= x"b1"; when "10" & x"3c7" => data <= x"4b"; when "10" & x"3c8" => data <= x"85"; when "10" & x"3c9" => data <= x"2e"; when "10" & x"3ca" => data <= x"88"; when "10" & x"3cb" => data <= x"b1"; when "10" & x"3cc" => data <= x"4b"; when "10" & x"3cd" => data <= x"85"; when "10" & x"3ce" => data <= x"30"; when "10" & x"3cf" => data <= x"84"; when "10" & x"3d0" => data <= x"35"; when "10" & x"3d1" => data <= x"84"; when "10" & x"3d2" => data <= x"2f"; when "10" & x"3d3" => data <= x"05"; when "10" & x"3d4" => data <= x"2e"; when "10" & x"3d5" => data <= x"05"; when "10" & x"3d6" => data <= x"32"; when "10" & x"3d7" => data <= x"05"; when "10" & x"3d8" => data <= x"33"; when "10" & x"3d9" => data <= x"05"; when "10" & x"3da" => data <= x"34"; when "10" & x"3db" => data <= x"f0"; when "10" & x"3dc" => data <= x"04"; when "10" & x"3dd" => data <= x"a5"; when "10" & x"3de" => data <= x"2e"; when "10" & x"3df" => data <= x"09"; when "10" & x"3e0" => data <= x"80"; when "10" & x"3e1" => data <= x"85"; when "10" & x"3e2" => data <= x"31"; when "10" & x"3e3" => data <= x"60"; when "10" & x"3e4" => data <= x"20"; when "10" & x"3e5" => data <= x"fe"; when "10" & x"3e6" => data <= x"a3"; when "10" & x"3e7" => data <= x"a5"; when "10" & x"3e8" => data <= x"31"; when "10" & x"3e9" => data <= x"85"; when "10" & x"3ea" => data <= x"2d"; when "10" & x"3eb" => data <= x"a5"; when "10" & x"3ec" => data <= x"32"; when "10" & x"3ed" => data <= x"85"; when "10" & x"3ee" => data <= x"2c"; when "10" & x"3ef" => data <= x"a5"; when "10" & x"3f0" => data <= x"33"; when "10" & x"3f1" => data <= x"85"; when "10" & x"3f2" => data <= x"2b"; when "10" & x"3f3" => data <= x"a5"; when "10" & x"3f4" => data <= x"34"; when "10" & x"3f5" => data <= x"85"; when "10" & x"3f6" => data <= x"2a"; when "10" & x"3f7" => data <= x"60"; when "10" & x"3f8" => data <= x"20"; when "10" & x"3f9" => data <= x"1e"; when "10" & x"3fa" => data <= x"a2"; when "10" & x"3fb" => data <= x"4c"; when "10" & x"3fc" => data <= x"86"; when "10" & x"3fd" => data <= x"a6"; when "10" & x"3fe" => data <= x"a5"; when "10" & x"3ff" => data <= x"30"; when "10" & x"400" => data <= x"10"; when "10" & x"401" => data <= x"f6"; when "10" & x"402" => data <= x"20"; when "10" & x"403" => data <= x"53"; when "10" & x"404" => data <= x"a4"; when "10" & x"405" => data <= x"20"; when "10" & x"406" => data <= x"da"; when "10" & x"407" => data <= x"a1"; when "10" & x"408" => data <= x"d0"; when "10" & x"409" => data <= x"32"; when "10" & x"40a" => data <= x"f0"; when "10" & x"40b" => data <= x"5c"; when "10" & x"40c" => data <= x"a5"; when "10" & x"40d" => data <= x"30"; when "10" & x"40e" => data <= x"c9"; when "10" & x"40f" => data <= x"a0"; when "10" & x"410" => data <= x"b0"; when "10" & x"411" => data <= x"54"; when "10" & x"412" => data <= x"c9"; when "10" & x"413" => data <= x"99"; when "10" & x"414" => data <= x"b0"; when "10" & x"415" => data <= x"26"; when "10" & x"416" => data <= x"69"; when "10" & x"417" => data <= x"08"; when "10" & x"418" => data <= x"85"; when "10" & x"419" => data <= x"30"; when "10" & x"41a" => data <= x"a5"; when "10" & x"41b" => data <= x"40"; when "10" & x"41c" => data <= x"85"; when "10" & x"41d" => data <= x"41"; when "10" & x"41e" => data <= x"a5"; when "10" & x"41f" => data <= x"3f"; when "10" & x"420" => data <= x"85"; when "10" & x"421" => data <= x"40"; when "10" & x"422" => data <= x"a5"; when "10" & x"423" => data <= x"3e"; when "10" & x"424" => data <= x"85"; when "10" & x"425" => data <= x"3f"; when "10" & x"426" => data <= x"a5"; when "10" & x"427" => data <= x"34"; when "10" & x"428" => data <= x"85"; when "10" & x"429" => data <= x"3e"; when "10" & x"42a" => data <= x"a5"; when "10" & x"42b" => data <= x"33"; when "10" & x"42c" => data <= x"85"; when "10" & x"42d" => data <= x"34"; when "10" & x"42e" => data <= x"a5"; when "10" & x"42f" => data <= x"32"; when "10" & x"430" => data <= x"85"; when "10" & x"431" => data <= x"33"; when "10" & x"432" => data <= x"a5"; when "10" & x"433" => data <= x"31"; when "10" & x"434" => data <= x"85"; when "10" & x"435" => data <= x"32"; when "10" & x"436" => data <= x"a9"; when "10" & x"437" => data <= x"00"; when "10" & x"438" => data <= x"85"; when "10" & x"439" => data <= x"31"; when "10" & x"43a" => data <= x"f0"; when "10" & x"43b" => data <= x"d0"; when "10" & x"43c" => data <= x"46"; when "10" & x"43d" => data <= x"31"; when "10" & x"43e" => data <= x"66"; when "10" & x"43f" => data <= x"32"; when "10" & x"440" => data <= x"66"; when "10" & x"441" => data <= x"33"; when "10" & x"442" => data <= x"66"; when "10" & x"443" => data <= x"34"; when "10" & x"444" => data <= x"66"; when "10" & x"445" => data <= x"3e"; when "10" & x"446" => data <= x"66"; when "10" & x"447" => data <= x"3f"; when "10" & x"448" => data <= x"66"; when "10" & x"449" => data <= x"40"; when "10" & x"44a" => data <= x"66"; when "10" & x"44b" => data <= x"41"; when "10" & x"44c" => data <= x"e6"; when "10" & x"44d" => data <= x"30"; when "10" & x"44e" => data <= x"d0"; when "10" & x"44f" => data <= x"bc"; when "10" & x"450" => data <= x"4c"; when "10" & x"451" => data <= x"6c"; when "10" & x"452" => data <= x"a6"; when "10" & x"453" => data <= x"a9"; when "10" & x"454" => data <= x"00"; when "10" & x"455" => data <= x"85"; when "10" & x"456" => data <= x"3b"; when "10" & x"457" => data <= x"85"; when "10" & x"458" => data <= x"3c"; when "10" & x"459" => data <= x"85"; when "10" & x"45a" => data <= x"3d"; when "10" & x"45b" => data <= x"85"; when "10" & x"45c" => data <= x"3e"; when "10" & x"45d" => data <= x"85"; when "10" & x"45e" => data <= x"3f"; when "10" & x"45f" => data <= x"85"; when "10" & x"460" => data <= x"40"; when "10" & x"461" => data <= x"85"; when "10" & x"462" => data <= x"41"; when "10" & x"463" => data <= x"85"; when "10" & x"464" => data <= x"42"; when "10" & x"465" => data <= x"60"; when "10" & x"466" => data <= x"d0"; when "10" & x"467" => data <= x"e8"; when "10" & x"468" => data <= x"a5"; when "10" & x"469" => data <= x"2e"; when "10" & x"46a" => data <= x"10"; when "10" & x"46b" => data <= x"19"; when "10" & x"46c" => data <= x"38"; when "10" & x"46d" => data <= x"a9"; when "10" & x"46e" => data <= x"00"; when "10" & x"46f" => data <= x"e5"; when "10" & x"470" => data <= x"34"; when "10" & x"471" => data <= x"85"; when "10" & x"472" => data <= x"34"; when "10" & x"473" => data <= x"a9"; when "10" & x"474" => data <= x"00"; when "10" & x"475" => data <= x"e5"; when "10" & x"476" => data <= x"33"; when "10" & x"477" => data <= x"85"; when "10" & x"478" => data <= x"33"; when "10" & x"479" => data <= x"a9"; when "10" & x"47a" => data <= x"00"; when "10" & x"47b" => data <= x"e5"; when "10" & x"47c" => data <= x"32"; when "10" & x"47d" => data <= x"85"; when "10" & x"47e" => data <= x"32"; when "10" & x"47f" => data <= x"a9"; when "10" & x"480" => data <= x"00"; when "10" & x"481" => data <= x"e5"; when "10" & x"482" => data <= x"31"; when "10" & x"483" => data <= x"85"; when "10" & x"484" => data <= x"31"; when "10" & x"485" => data <= x"60"; when "10" & x"486" => data <= x"a5"; when "10" & x"487" => data <= x"30"; when "10" & x"488" => data <= x"30"; when "10" & x"489" => data <= x"07"; when "10" & x"48a" => data <= x"a9"; when "10" & x"48b" => data <= x"00"; when "10" & x"48c" => data <= x"85"; when "10" & x"48d" => data <= x"4a"; when "10" & x"48e" => data <= x"4c"; when "10" & x"48f" => data <= x"da"; when "10" & x"490" => data <= x"a1"; when "10" & x"491" => data <= x"20"; when "10" & x"492" => data <= x"fe"; when "10" & x"493" => data <= x"a3"; when "10" & x"494" => data <= x"a5"; when "10" & x"495" => data <= x"34"; when "10" & x"496" => data <= x"85"; when "10" & x"497" => data <= x"4a"; when "10" & x"498" => data <= x"20"; when "10" & x"499" => data <= x"e8"; when "10" & x"49a" => data <= x"a4"; when "10" & x"49b" => data <= x"a9"; when "10" & x"49c" => data <= x"80"; when "10" & x"49d" => data <= x"85"; when "10" & x"49e" => data <= x"30"; when "10" & x"49f" => data <= x"a6"; when "10" & x"4a0" => data <= x"31"; when "10" & x"4a1" => data <= x"10"; when "10" & x"4a2" => data <= x"10"; when "10" & x"4a3" => data <= x"45"; when "10" & x"4a4" => data <= x"2e"; when "10" & x"4a5" => data <= x"85"; when "10" & x"4a6" => data <= x"2e"; when "10" & x"4a7" => data <= x"10"; when "10" & x"4a8" => data <= x"05"; when "10" & x"4a9" => data <= x"e6"; when "10" & x"4aa" => data <= x"4a"; when "10" & x"4ab" => data <= x"4c"; when "10" & x"4ac" => data <= x"b0"; when "10" & x"4ad" => data <= x"a4"; when "10" & x"4ae" => data <= x"c6"; when "10" & x"4af" => data <= x"4a"; when "10" & x"4b0" => data <= x"20"; when "10" & x"4b1" => data <= x"6c"; when "10" & x"4b2" => data <= x"a4"; when "10" & x"4b3" => data <= x"4c"; when "10" & x"4b4" => data <= x"03"; when "10" & x"4b5" => data <= x"a3"; when "10" & x"4b6" => data <= x"e6"; when "10" & x"4b7" => data <= x"34"; when "10" & x"4b8" => data <= x"d0"; when "10" & x"4b9" => data <= x"0c"; when "10" & x"4ba" => data <= x"e6"; when "10" & x"4bb" => data <= x"33"; when "10" & x"4bc" => data <= x"d0"; when "10" & x"4bd" => data <= x"08"; when "10" & x"4be" => data <= x"e6"; when "10" & x"4bf" => data <= x"32"; when "10" & x"4c0" => data <= x"d0"; when "10" & x"4c1" => data <= x"04"; when "10" & x"4c2" => data <= x"e6"; when "10" & x"4c3" => data <= x"31"; when "10" & x"4c4" => data <= x"f0"; when "10" & x"4c5" => data <= x"8a"; when "10" & x"4c6" => data <= x"60"; when "10" & x"4c7" => data <= x"20"; when "10" & x"4c8" => data <= x"6c"; when "10" & x"4c9" => data <= x"a4"; when "10" & x"4ca" => data <= x"20"; when "10" & x"4cb" => data <= x"b6"; when "10" & x"4cc" => data <= x"a4"; when "10" & x"4cd" => data <= x"4c"; when "10" & x"4ce" => data <= x"6c"; when "10" & x"4cf" => data <= x"a4"; when "10" & x"4d0" => data <= x"20"; when "10" & x"4d1" => data <= x"fd"; when "10" & x"4d2" => data <= x"a4"; when "10" & x"4d3" => data <= x"4c"; when "10" & x"4d4" => data <= x"7e"; when "10" & x"4d5" => data <= x"ad"; when "10" & x"4d6" => data <= x"20"; when "10" & x"4d7" => data <= x"4e"; when "10" & x"4d8" => data <= x"a3"; when "10" & x"4d9" => data <= x"20"; when "10" & x"4da" => data <= x"8d"; when "10" & x"4db" => data <= x"a3"; when "10" & x"4dc" => data <= x"a5"; when "10" & x"4dd" => data <= x"3b"; when "10" & x"4de" => data <= x"85"; when "10" & x"4df" => data <= x"2e"; when "10" & x"4e0" => data <= x"a5"; when "10" & x"4e1" => data <= x"3c"; when "10" & x"4e2" => data <= x"85"; when "10" & x"4e3" => data <= x"2f"; when "10" & x"4e4" => data <= x"a5"; when "10" & x"4e5" => data <= x"3d"; when "10" & x"4e6" => data <= x"85"; when "10" & x"4e7" => data <= x"30"; when "10" & x"4e8" => data <= x"a5"; when "10" & x"4e9" => data <= x"3e"; when "10" & x"4ea" => data <= x"85"; when "10" & x"4eb" => data <= x"31"; when "10" & x"4ec" => data <= x"a5"; when "10" & x"4ed" => data <= x"3f"; when "10" & x"4ee" => data <= x"85"; when "10" & x"4ef" => data <= x"32"; when "10" & x"4f0" => data <= x"a5"; when "10" & x"4f1" => data <= x"40"; when "10" & x"4f2" => data <= x"85"; when "10" & x"4f3" => data <= x"33"; when "10" & x"4f4" => data <= x"a5"; when "10" & x"4f5" => data <= x"41"; when "10" & x"4f6" => data <= x"85"; when "10" & x"4f7" => data <= x"34"; when "10" & x"4f8" => data <= x"a5"; when "10" & x"4f9" => data <= x"42"; when "10" & x"4fa" => data <= x"85"; when "10" & x"4fb" => data <= x"35"; when "10" & x"4fc" => data <= x"60"; when "10" & x"4fd" => data <= x"20"; when "10" & x"4fe" => data <= x"7e"; when "10" & x"4ff" => data <= x"ad"; when "10" & x"500" => data <= x"20"; when "10" & x"501" => data <= x"4e"; when "10" & x"502" => data <= x"a3"; when "10" & x"503" => data <= x"f0"; when "10" & x"504" => data <= x"f7"; when "10" & x"505" => data <= x"20"; when "10" & x"506" => data <= x"0b"; when "10" & x"507" => data <= x"a5"; when "10" & x"508" => data <= x"4c"; when "10" & x"509" => data <= x"5c"; when "10" & x"50a" => data <= x"a6"; when "10" & x"50b" => data <= x"20"; when "10" & x"50c" => data <= x"da"; when "10" & x"50d" => data <= x"a1"; when "10" & x"50e" => data <= x"f0"; when "10" & x"50f" => data <= x"cc"; when "10" & x"510" => data <= x"a0"; when "10" & x"511" => data <= x"00"; when "10" & x"512" => data <= x"38"; when "10" & x"513" => data <= x"a5"; when "10" & x"514" => data <= x"30"; when "10" & x"515" => data <= x"e5"; when "10" & x"516" => data <= x"3d"; when "10" & x"517" => data <= x"f0"; when "10" & x"518" => data <= x"77"; when "10" & x"519" => data <= x"90"; when "10" & x"51a" => data <= x"37"; when "10" & x"51b" => data <= x"c9"; when "10" & x"51c" => data <= x"25"; when "10" & x"51d" => data <= x"b0"; when "10" & x"51e" => data <= x"dd"; when "10" & x"51f" => data <= x"48"; when "10" & x"520" => data <= x"29"; when "10" & x"521" => data <= x"38"; when "10" & x"522" => data <= x"f0"; when "10" & x"523" => data <= x"19"; when "10" & x"524" => data <= x"4a"; when "10" & x"525" => data <= x"4a"; when "10" & x"526" => data <= x"4a"; when "10" & x"527" => data <= x"aa"; when "10" & x"528" => data <= x"a5"; when "10" & x"529" => data <= x"41"; when "10" & x"52a" => data <= x"85"; when "10" & x"52b" => data <= x"42"; when "10" & x"52c" => data <= x"a5"; when "10" & x"52d" => data <= x"40"; when "10" & x"52e" => data <= x"85"; when "10" & x"52f" => data <= x"41"; when "10" & x"530" => data <= x"a5"; when "10" & x"531" => data <= x"3f"; when "10" & x"532" => data <= x"85"; when "10" & x"533" => data <= x"40"; when "10" & x"534" => data <= x"a5"; when "10" & x"535" => data <= x"3e"; when "10" & x"536" => data <= x"85"; when "10" & x"537" => data <= x"3f"; when "10" & x"538" => data <= x"84"; when "10" & x"539" => data <= x"3e"; when "10" & x"53a" => data <= x"ca"; when "10" & x"53b" => data <= x"d0"; when "10" & x"53c" => data <= x"eb"; when "10" & x"53d" => data <= x"68"; when "10" & x"53e" => data <= x"29"; when "10" & x"53f" => data <= x"07"; when "10" & x"540" => data <= x"f0"; when "10" & x"541" => data <= x"4e"; when "10" & x"542" => data <= x"aa"; when "10" & x"543" => data <= x"46"; when "10" & x"544" => data <= x"3e"; when "10" & x"545" => data <= x"66"; when "10" & x"546" => data <= x"3f"; when "10" & x"547" => data <= x"66"; when "10" & x"548" => data <= x"40"; when "10" & x"549" => data <= x"66"; when "10" & x"54a" => data <= x"41"; when "10" & x"54b" => data <= x"66"; when "10" & x"54c" => data <= x"42"; when "10" & x"54d" => data <= x"ca"; when "10" & x"54e" => data <= x"d0"; when "10" & x"54f" => data <= x"f3"; when "10" & x"550" => data <= x"f0"; when "10" & x"551" => data <= x"3e"; when "10" & x"552" => data <= x"38"; when "10" & x"553" => data <= x"a5"; when "10" & x"554" => data <= x"3d"; when "10" & x"555" => data <= x"e5"; when "10" & x"556" => data <= x"30"; when "10" & x"557" => data <= x"c9"; when "10" & x"558" => data <= x"25"; when "10" & x"559" => data <= x"b0"; when "10" & x"55a" => data <= x"81"; when "10" & x"55b" => data <= x"48"; when "10" & x"55c" => data <= x"29"; when "10" & x"55d" => data <= x"38"; when "10" & x"55e" => data <= x"f0"; when "10" & x"55f" => data <= x"19"; when "10" & x"560" => data <= x"4a"; when "10" & x"561" => data <= x"4a"; when "10" & x"562" => data <= x"4a"; when "10" & x"563" => data <= x"aa"; when "10" & x"564" => data <= x"a5"; when "10" & x"565" => data <= x"34"; when "10" & x"566" => data <= x"85"; when "10" & x"567" => data <= x"35"; when "10" & x"568" => data <= x"a5"; when "10" & x"569" => data <= x"33"; when "10" & x"56a" => data <= x"85"; when "10" & x"56b" => data <= x"34"; when "10" & x"56c" => data <= x"a5"; when "10" & x"56d" => data <= x"32"; when "10" & x"56e" => data <= x"85"; when "10" & x"56f" => data <= x"33"; when "10" & x"570" => data <= x"a5"; when "10" & x"571" => data <= x"31"; when "10" & x"572" => data <= x"85"; when "10" & x"573" => data <= x"32"; when "10" & x"574" => data <= x"84"; when "10" & x"575" => data <= x"31"; when "10" & x"576" => data <= x"ca"; when "10" & x"577" => data <= x"d0"; when "10" & x"578" => data <= x"eb"; when "10" & x"579" => data <= x"68"; when "10" & x"57a" => data <= x"29"; when "10" & x"57b" => data <= x"07"; when "10" & x"57c" => data <= x"f0"; when "10" & x"57d" => data <= x"0e"; when "10" & x"57e" => data <= x"aa"; when "10" & x"57f" => data <= x"46"; when "10" & x"580" => data <= x"31"; when "10" & x"581" => data <= x"66"; when "10" & x"582" => data <= x"32"; when "10" & x"583" => data <= x"66"; when "10" & x"584" => data <= x"33"; when "10" & x"585" => data <= x"66"; when "10" & x"586" => data <= x"34"; when "10" & x"587" => data <= x"66"; when "10" & x"588" => data <= x"35"; when "10" & x"589" => data <= x"ca"; when "10" & x"58a" => data <= x"d0"; when "10" & x"58b" => data <= x"f3"; when "10" & x"58c" => data <= x"a5"; when "10" & x"58d" => data <= x"3d"; when "10" & x"58e" => data <= x"85"; when "10" & x"58f" => data <= x"30"; when "10" & x"590" => data <= x"a5"; when "10" & x"591" => data <= x"2e"; when "10" & x"592" => data <= x"45"; when "10" & x"593" => data <= x"3b"; when "10" & x"594" => data <= x"10"; when "10" & x"595" => data <= x"49"; when "10" & x"596" => data <= x"a5"; when "10" & x"597" => data <= x"31"; when "10" & x"598" => data <= x"c5"; when "10" & x"599" => data <= x"3e"; when "10" & x"59a" => data <= x"d0"; when "10" & x"59b" => data <= x"1b"; when "10" & x"59c" => data <= x"a5"; when "10" & x"59d" => data <= x"32"; when "10" & x"59e" => data <= x"c5"; when "10" & x"59f" => data <= x"3f"; when "10" & x"5a0" => data <= x"d0"; when "10" & x"5a1" => data <= x"15"; when "10" & x"5a2" => data <= x"a5"; when "10" & x"5a3" => data <= x"33"; when "10" & x"5a4" => data <= x"c5"; when "10" & x"5a5" => data <= x"40"; when "10" & x"5a6" => data <= x"d0"; when "10" & x"5a7" => data <= x"0f"; when "10" & x"5a8" => data <= x"a5"; when "10" & x"5a9" => data <= x"34"; when "10" & x"5aa" => data <= x"c5"; when "10" & x"5ab" => data <= x"41"; when "10" & x"5ac" => data <= x"d0"; when "10" & x"5ad" => data <= x"09"; when "10" & x"5ae" => data <= x"a5"; when "10" & x"5af" => data <= x"35"; when "10" & x"5b0" => data <= x"c5"; when "10" & x"5b1" => data <= x"42"; when "10" & x"5b2" => data <= x"d0"; when "10" & x"5b3" => data <= x"03"; when "10" & x"5b4" => data <= x"4c"; when "10" & x"5b5" => data <= x"86"; when "10" & x"5b6" => data <= x"a6"; when "10" & x"5b7" => data <= x"b0"; when "10" & x"5b8" => data <= x"2a"; when "10" & x"5b9" => data <= x"38"; when "10" & x"5ba" => data <= x"a5"; when "10" & x"5bb" => data <= x"42"; when "10" & x"5bc" => data <= x"e5"; when "10" & x"5bd" => data <= x"35"; when "10" & x"5be" => data <= x"85"; when "10" & x"5bf" => data <= x"35"; when "10" & x"5c0" => data <= x"a5"; when "10" & x"5c1" => data <= x"41"; when "10" & x"5c2" => data <= x"e5"; when "10" & x"5c3" => data <= x"34"; when "10" & x"5c4" => data <= x"85"; when "10" & x"5c5" => data <= x"34"; when "10" & x"5c6" => data <= x"a5"; when "10" & x"5c7" => data <= x"40"; when "10" & x"5c8" => data <= x"e5"; when "10" & x"5c9" => data <= x"33"; when "10" & x"5ca" => data <= x"85"; when "10" & x"5cb" => data <= x"33"; when "10" & x"5cc" => data <= x"a5"; when "10" & x"5cd" => data <= x"3f"; when "10" & x"5ce" => data <= x"e5"; when "10" & x"5cf" => data <= x"32"; when "10" & x"5d0" => data <= x"85"; when "10" & x"5d1" => data <= x"32"; when "10" & x"5d2" => data <= x"a5"; when "10" & x"5d3" => data <= x"3e"; when "10" & x"5d4" => data <= x"e5"; when "10" & x"5d5" => data <= x"31"; when "10" & x"5d6" => data <= x"85"; when "10" & x"5d7" => data <= x"31"; when "10" & x"5d8" => data <= x"a5"; when "10" & x"5d9" => data <= x"3b"; when "10" & x"5da" => data <= x"85"; when "10" & x"5db" => data <= x"2e"; when "10" & x"5dc" => data <= x"4c"; when "10" & x"5dd" => data <= x"03"; when "10" & x"5de" => data <= x"a3"; when "10" & x"5df" => data <= x"18"; when "10" & x"5e0" => data <= x"4c"; when "10" & x"5e1" => data <= x"08"; when "10" & x"5e2" => data <= x"a2"; when "10" & x"5e3" => data <= x"38"; when "10" & x"5e4" => data <= x"a5"; when "10" & x"5e5" => data <= x"35"; when "10" & x"5e6" => data <= x"e5"; when "10" & x"5e7" => data <= x"42"; when "10" & x"5e8" => data <= x"85"; when "10" & x"5e9" => data <= x"35"; when "10" & x"5ea" => data <= x"a5"; when "10" & x"5eb" => data <= x"34"; when "10" & x"5ec" => data <= x"e5"; when "10" & x"5ed" => data <= x"41"; when "10" & x"5ee" => data <= x"85"; when "10" & x"5ef" => data <= x"34"; when "10" & x"5f0" => data <= x"a5"; when "10" & x"5f1" => data <= x"33"; when "10" & x"5f2" => data <= x"e5"; when "10" & x"5f3" => data <= x"40"; when "10" & x"5f4" => data <= x"85"; when "10" & x"5f5" => data <= x"33"; when "10" & x"5f6" => data <= x"a5"; when "10" & x"5f7" => data <= x"32"; when "10" & x"5f8" => data <= x"e5"; when "10" & x"5f9" => data <= x"3f"; when "10" & x"5fa" => data <= x"85"; when "10" & x"5fb" => data <= x"32"; when "10" & x"5fc" => data <= x"a5"; when "10" & x"5fd" => data <= x"31"; when "10" & x"5fe" => data <= x"e5"; when "10" & x"5ff" => data <= x"3e"; when "10" & x"600" => data <= x"85"; when "10" & x"601" => data <= x"31"; when "10" & x"602" => data <= x"4c"; when "10" & x"603" => data <= x"03"; when "10" & x"604" => data <= x"a3"; when "10" & x"605" => data <= x"60"; when "10" & x"606" => data <= x"20"; when "10" & x"607" => data <= x"da"; when "10" & x"608" => data <= x"a1"; when "10" & x"609" => data <= x"f0"; when "10" & x"60a" => data <= x"fa"; when "10" & x"60b" => data <= x"20"; when "10" & x"60c" => data <= x"4e"; when "10" & x"60d" => data <= x"a3"; when "10" & x"60e" => data <= x"d0"; when "10" & x"60f" => data <= x"03"; when "10" & x"610" => data <= x"4c"; when "10" & x"611" => data <= x"86"; when "10" & x"612" => data <= x"a6"; when "10" & x"613" => data <= x"18"; when "10" & x"614" => data <= x"a5"; when "10" & x"615" => data <= x"30"; when "10" & x"616" => data <= x"65"; when "10" & x"617" => data <= x"3d"; when "10" & x"618" => data <= x"90"; when "10" & x"619" => data <= x"03"; when "10" & x"61a" => data <= x"e6"; when "10" & x"61b" => data <= x"2f"; when "10" & x"61c" => data <= x"18"; when "10" & x"61d" => data <= x"e9"; when "10" & x"61e" => data <= x"7f"; when "10" & x"61f" => data <= x"85"; when "10" & x"620" => data <= x"30"; when "10" & x"621" => data <= x"b0"; when "10" & x"622" => data <= x"02"; when "10" & x"623" => data <= x"c6"; when "10" & x"624" => data <= x"2f"; when "10" & x"625" => data <= x"a2"; when "10" & x"626" => data <= x"05"; when "10" & x"627" => data <= x"a0"; when "10" & x"628" => data <= x"00"; when "10" & x"629" => data <= x"b5"; when "10" & x"62a" => data <= x"30"; when "10" & x"62b" => data <= x"95"; when "10" & x"62c" => data <= x"42"; when "10" & x"62d" => data <= x"94"; when "10" & x"62e" => data <= x"30"; when "10" & x"62f" => data <= x"ca"; when "10" & x"630" => data <= x"d0"; when "10" & x"631" => data <= x"f7"; when "10" & x"632" => data <= x"a5"; when "10" & x"633" => data <= x"2e"; when "10" & x"634" => data <= x"45"; when "10" & x"635" => data <= x"3b"; when "10" & x"636" => data <= x"85"; when "10" & x"637" => data <= x"2e"; when "10" & x"638" => data <= x"a0"; when "10" & x"639" => data <= x"20"; when "10" & x"63a" => data <= x"46"; when "10" & x"63b" => data <= x"3e"; when "10" & x"63c" => data <= x"66"; when "10" & x"63d" => data <= x"3f"; when "10" & x"63e" => data <= x"66"; when "10" & x"63f" => data <= x"40"; when "10" & x"640" => data <= x"66"; when "10" & x"641" => data <= x"41"; when "10" & x"642" => data <= x"66"; when "10" & x"643" => data <= x"42"; when "10" & x"644" => data <= x"06"; when "10" & x"645" => data <= x"46"; when "10" & x"646" => data <= x"26"; when "10" & x"647" => data <= x"45"; when "10" & x"648" => data <= x"26"; when "10" & x"649" => data <= x"44"; when "10" & x"64a" => data <= x"26"; when "10" & x"64b" => data <= x"43"; when "10" & x"64c" => data <= x"90"; when "10" & x"64d" => data <= x"04"; when "10" & x"64e" => data <= x"18"; when "10" & x"64f" => data <= x"20"; when "10" & x"650" => data <= x"78"; when "10" & x"651" => data <= x"a1"; when "10" & x"652" => data <= x"88"; when "10" & x"653" => data <= x"d0"; when "10" & x"654" => data <= x"e5"; when "10" & x"655" => data <= x"60"; when "10" & x"656" => data <= x"20"; when "10" & x"657" => data <= x"06"; when "10" & x"658" => data <= x"a6"; when "10" & x"659" => data <= x"20"; when "10" & x"65a" => data <= x"03"; when "10" & x"65b" => data <= x"a3"; when "10" & x"65c" => data <= x"a5"; when "10" & x"65d" => data <= x"35"; when "10" & x"65e" => data <= x"c9"; when "10" & x"65f" => data <= x"80"; when "10" & x"660" => data <= x"90"; when "10" & x"661" => data <= x"1a"; when "10" & x"662" => data <= x"f0"; when "10" & x"663" => data <= x"12"; when "10" & x"664" => data <= x"a9"; when "10" & x"665" => data <= x"ff"; when "10" & x"666" => data <= x"20"; when "10" & x"667" => data <= x"a4"; when "10" & x"668" => data <= x"a2"; when "10" & x"669" => data <= x"4c"; when "10" & x"66a" => data <= x"7c"; when "10" & x"66b" => data <= x"a6"; when "10" & x"66c" => data <= x"00"; when "10" & x"66d" => data <= x"14"; when "10" & x"66e" => data <= x"54"; when "10" & x"66f" => data <= x"6f"; when "10" & x"670" => data <= x"6f"; when "10" & x"671" => data <= x"20"; when "10" & x"672" => data <= x"62"; when "10" & x"673" => data <= x"69"; when "10" & x"674" => data <= x"67"; when "10" & x"675" => data <= x"00"; when "10" & x"676" => data <= x"a5"; when "10" & x"677" => data <= x"34"; when "10" & x"678" => data <= x"09"; when "10" & x"679" => data <= x"01"; when "10" & x"67a" => data <= x"85"; when "10" & x"67b" => data <= x"34"; when "10" & x"67c" => data <= x"a9"; when "10" & x"67d" => data <= x"00"; when "10" & x"67e" => data <= x"85"; when "10" & x"67f" => data <= x"35"; when "10" & x"680" => data <= x"a5"; when "10" & x"681" => data <= x"2f"; when "10" & x"682" => data <= x"f0"; when "10" & x"683" => data <= x"14"; when "10" & x"684" => data <= x"10"; when "10" & x"685" => data <= x"e6"; when "10" & x"686" => data <= x"a9"; when "10" & x"687" => data <= x"00"; when "10" & x"688" => data <= x"85"; when "10" & x"689" => data <= x"2e"; when "10" & x"68a" => data <= x"85"; when "10" & x"68b" => data <= x"2f"; when "10" & x"68c" => data <= x"85"; when "10" & x"68d" => data <= x"30"; when "10" & x"68e" => data <= x"85"; when "10" & x"68f" => data <= x"31"; when "10" & x"690" => data <= x"85"; when "10" & x"691" => data <= x"32"; when "10" & x"692" => data <= x"85"; when "10" & x"693" => data <= x"33"; when "10" & x"694" => data <= x"85"; when "10" & x"695" => data <= x"34"; when "10" & x"696" => data <= x"85"; when "10" & x"697" => data <= x"35"; when "10" & x"698" => data <= x"60"; when "10" & x"699" => data <= x"20"; when "10" & x"69a" => data <= x"86"; when "10" & x"69b" => data <= x"a6"; when "10" & x"69c" => data <= x"a0"; when "10" & x"69d" => data <= x"80"; when "10" & x"69e" => data <= x"84"; when "10" & x"69f" => data <= x"31"; when "10" & x"6a0" => data <= x"c8"; when "10" & x"6a1" => data <= x"84"; when "10" & x"6a2" => data <= x"30"; when "10" & x"6a3" => data <= x"98"; when "10" & x"6a4" => data <= x"60"; when "10" & x"6a5" => data <= x"20"; when "10" & x"6a6" => data <= x"85"; when "10" & x"6a7" => data <= x"a3"; when "10" & x"6a8" => data <= x"20"; when "10" & x"6a9" => data <= x"99"; when "10" & x"6aa" => data <= x"a6"; when "10" & x"6ab" => data <= x"d0"; when "10" & x"6ac" => data <= x"3a"; when "10" & x"6ad" => data <= x"20"; when "10" & x"6ae" => data <= x"da"; when "10" & x"6af" => data <= x"a1"; when "10" & x"6b0" => data <= x"f0"; when "10" & x"6b1" => data <= x"09"; when "10" & x"6b2" => data <= x"20"; when "10" & x"6b3" => data <= x"1e"; when "10" & x"6b4" => data <= x"a2"; when "10" & x"6b5" => data <= x"20"; when "10" & x"6b6" => data <= x"b5"; when "10" & x"6b7" => data <= x"a3"; when "10" & x"6b8" => data <= x"d0"; when "10" & x"6b9" => data <= x"37"; when "10" & x"6ba" => data <= x"60"; when "10" & x"6bb" => data <= x"4c"; when "10" & x"6bc" => data <= x"a7"; when "10" & x"6bd" => data <= x"99"; when "10" & x"6be" => data <= x"20"; when "10" & x"6bf" => data <= x"fa"; when "10" & x"6c0" => data <= x"92"; when "10" & x"6c1" => data <= x"20"; when "10" & x"6c2" => data <= x"d3"; when "10" & x"6c3" => data <= x"a9"; when "10" & x"6c4" => data <= x"a5"; when "10" & x"6c5" => data <= x"4a"; when "10" & x"6c6" => data <= x"48"; when "10" & x"6c7" => data <= x"20"; when "10" & x"6c8" => data <= x"e9"; when "10" & x"6c9" => data <= x"a7"; when "10" & x"6ca" => data <= x"20"; when "10" & x"6cb" => data <= x"8d"; when "10" & x"6cc" => data <= x"a3"; when "10" & x"6cd" => data <= x"e6"; when "10" & x"6ce" => data <= x"4a"; when "10" & x"6cf" => data <= x"20"; when "10" & x"6d0" => data <= x"9e"; when "10" & x"6d1" => data <= x"a9"; when "10" & x"6d2" => data <= x"20"; when "10" & x"6d3" => data <= x"e9"; when "10" & x"6d4" => data <= x"a7"; when "10" & x"6d5" => data <= x"20"; when "10" & x"6d6" => data <= x"d6"; when "10" & x"6d7" => data <= x"a4"; when "10" & x"6d8" => data <= x"68"; when "10" & x"6d9" => data <= x"85"; when "10" & x"6da" => data <= x"4a"; when "10" & x"6db" => data <= x"20"; when "10" & x"6dc" => data <= x"9e"; when "10" & x"6dd" => data <= x"a9"; when "10" & x"6de" => data <= x"20"; when "10" & x"6df" => data <= x"e9"; when "10" & x"6e0" => data <= x"a7"; when "10" & x"6e1" => data <= x"20"; when "10" & x"6e2" => data <= x"e7"; when "10" & x"6e3" => data <= x"a6"; when "10" & x"6e4" => data <= x"a9"; when "10" & x"6e5" => data <= x"ff"; when "10" & x"6e6" => data <= x"60"; when "10" & x"6e7" => data <= x"20"; when "10" & x"6e8" => data <= x"da"; when "10" & x"6e9" => data <= x"a1"; when "10" & x"6ea" => data <= x"f0"; when "10" & x"6eb" => data <= x"ac"; when "10" & x"6ec" => data <= x"20"; when "10" & x"6ed" => data <= x"4e"; when "10" & x"6ee" => data <= x"a3"; when "10" & x"6ef" => data <= x"f0"; when "10" & x"6f0" => data <= x"ca"; when "10" & x"6f1" => data <= x"a5"; when "10" & x"6f2" => data <= x"2e"; when "10" & x"6f3" => data <= x"45"; when "10" & x"6f4" => data <= x"3b"; when "10" & x"6f5" => data <= x"85"; when "10" & x"6f6" => data <= x"2e"; when "10" & x"6f7" => data <= x"38"; when "10" & x"6f8" => data <= x"a5"; when "10" & x"6f9" => data <= x"30"; when "10" & x"6fa" => data <= x"e5"; when "10" & x"6fb" => data <= x"3d"; when "10" & x"6fc" => data <= x"b0"; when "10" & x"6fd" => data <= x"03"; when "10" & x"6fe" => data <= x"c6"; when "10" & x"6ff" => data <= x"2f"; when "10" & x"700" => data <= x"38"; when "10" & x"701" => data <= x"69"; when "10" & x"702" => data <= x"80"; when "10" & x"703" => data <= x"85"; when "10" & x"704" => data <= x"30"; when "10" & x"705" => data <= x"90"; when "10" & x"706" => data <= x"03"; when "10" & x"707" => data <= x"e6"; when "10" & x"708" => data <= x"2f"; when "10" & x"709" => data <= x"18"; when "10" & x"70a" => data <= x"a2"; when "10" & x"70b" => data <= x"20"; when "10" & x"70c" => data <= x"b0"; when "10" & x"70d" => data <= x"18"; when "10" & x"70e" => data <= x"a5"; when "10" & x"70f" => data <= x"31"; when "10" & x"710" => data <= x"c5"; when "10" & x"711" => data <= x"3e"; when "10" & x"712" => data <= x"d0"; when "10" & x"713" => data <= x"10"; when "10" & x"714" => data <= x"a5"; when "10" & x"715" => data <= x"32"; when "10" & x"716" => data <= x"c5"; when "10" & x"717" => data <= x"3f"; when "10" & x"718" => data <= x"d0"; when "10" & x"719" => data <= x"0a"; when "10" & x"71a" => data <= x"a5"; when "10" & x"71b" => data <= x"33"; when "10" & x"71c" => data <= x"c5"; when "10" & x"71d" => data <= x"40"; when "10" & x"71e" => data <= x"d0"; when "10" & x"71f" => data <= x"04"; when "10" & x"720" => data <= x"a5"; when "10" & x"721" => data <= x"34"; when "10" & x"722" => data <= x"c5"; when "10" & x"723" => data <= x"41"; when "10" & x"724" => data <= x"90"; when "10" & x"725" => data <= x"19"; when "10" & x"726" => data <= x"a5"; when "10" & x"727" => data <= x"34"; when "10" & x"728" => data <= x"e5"; when "10" & x"729" => data <= x"41"; when "10" & x"72a" => data <= x"85"; when "10" & x"72b" => data <= x"34"; when "10" & x"72c" => data <= x"a5"; when "10" & x"72d" => data <= x"33"; when "10" & x"72e" => data <= x"e5"; when "10" & x"72f" => data <= x"40"; when "10" & x"730" => data <= x"85"; when "10" & x"731" => data <= x"33"; when "10" & x"732" => data <= x"a5"; when "10" & x"733" => data <= x"32"; when "10" & x"734" => data <= x"e5"; when "10" & x"735" => data <= x"3f"; when "10" & x"736" => data <= x"85"; when "10" & x"737" => data <= x"32"; when "10" & x"738" => data <= x"a5"; when "10" & x"739" => data <= x"31"; when "10" & x"73a" => data <= x"e5"; when "10" & x"73b" => data <= x"3e"; when "10" & x"73c" => data <= x"85"; when "10" & x"73d" => data <= x"31"; when "10" & x"73e" => data <= x"38"; when "10" & x"73f" => data <= x"26"; when "10" & x"740" => data <= x"46"; when "10" & x"741" => data <= x"26"; when "10" & x"742" => data <= x"45"; when "10" & x"743" => data <= x"26"; when "10" & x"744" => data <= x"44"; when "10" & x"745" => data <= x"26"; when "10" & x"746" => data <= x"43"; when "10" & x"747" => data <= x"06"; when "10" & x"748" => data <= x"34"; when "10" & x"749" => data <= x"26"; when "10" & x"74a" => data <= x"33"; when "10" & x"74b" => data <= x"26"; when "10" & x"74c" => data <= x"32"; when "10" & x"74d" => data <= x"26"; when "10" & x"74e" => data <= x"31"; when "10" & x"74f" => data <= x"ca"; when "10" & x"750" => data <= x"d0"; when "10" & x"751" => data <= x"ba"; when "10" & x"752" => data <= x"a2"; when "10" & x"753" => data <= x"07"; when "10" & x"754" => data <= x"b0"; when "10" & x"755" => data <= x"18"; when "10" & x"756" => data <= x"a5"; when "10" & x"757" => data <= x"31"; when "10" & x"758" => data <= x"c5"; when "10" & x"759" => data <= x"3e"; when "10" & x"75a" => data <= x"d0"; when "10" & x"75b" => data <= x"10"; when "10" & x"75c" => data <= x"a5"; when "10" & x"75d" => data <= x"32"; when "10" & x"75e" => data <= x"c5"; when "10" & x"75f" => data <= x"3f"; when "10" & x"760" => data <= x"d0"; when "10" & x"761" => data <= x"0a"; when "10" & x"762" => data <= x"a5"; when "10" & x"763" => data <= x"33"; when "10" & x"764" => data <= x"c5"; when "10" & x"765" => data <= x"40"; when "10" & x"766" => data <= x"d0"; when "10" & x"767" => data <= x"04"; when "10" & x"768" => data <= x"a5"; when "10" & x"769" => data <= x"34"; when "10" & x"76a" => data <= x"c5"; when "10" & x"76b" => data <= x"41"; when "10" & x"76c" => data <= x"90"; when "10" & x"76d" => data <= x"19"; when "10" & x"76e" => data <= x"a5"; when "10" & x"76f" => data <= x"34"; when "10" & x"770" => data <= x"e5"; when "10" & x"771" => data <= x"41"; when "10" & x"772" => data <= x"85"; when "10" & x"773" => data <= x"34"; when "10" & x"774" => data <= x"a5"; when "10" & x"775" => data <= x"33"; when "10" & x"776" => data <= x"e5"; when "10" & x"777" => data <= x"40"; when "10" & x"778" => data <= x"85"; when "10" & x"779" => data <= x"33"; when "10" & x"77a" => data <= x"a5"; when "10" & x"77b" => data <= x"32"; when "10" & x"77c" => data <= x"e5"; when "10" & x"77d" => data <= x"3f"; when "10" & x"77e" => data <= x"85"; when "10" & x"77f" => data <= x"32"; when "10" & x"780" => data <= x"a5"; when "10" & x"781" => data <= x"31"; when "10" & x"782" => data <= x"e5"; when "10" & x"783" => data <= x"3e"; when "10" & x"784" => data <= x"85"; when "10" & x"785" => data <= x"31"; when "10" & x"786" => data <= x"38"; when "10" & x"787" => data <= x"26"; when "10" & x"788" => data <= x"35"; when "10" & x"789" => data <= x"06"; when "10" & x"78a" => data <= x"34"; when "10" & x"78b" => data <= x"26"; when "10" & x"78c" => data <= x"33"; when "10" & x"78d" => data <= x"26"; when "10" & x"78e" => data <= x"32"; when "10" & x"78f" => data <= x"26"; when "10" & x"790" => data <= x"31"; when "10" & x"791" => data <= x"ca"; when "10" & x"792" => data <= x"d0"; when "10" & x"793" => data <= x"c0"; when "10" & x"794" => data <= x"06"; when "10" & x"795" => data <= x"35"; when "10" & x"796" => data <= x"a5"; when "10" & x"797" => data <= x"46"; when "10" & x"798" => data <= x"85"; when "10" & x"799" => data <= x"34"; when "10" & x"79a" => data <= x"a5"; when "10" & x"79b" => data <= x"45"; when "10" & x"79c" => data <= x"85"; when "10" & x"79d" => data <= x"33"; when "10" & x"79e" => data <= x"a5"; when "10" & x"79f" => data <= x"44"; when "10" & x"7a0" => data <= x"85"; when "10" & x"7a1" => data <= x"32"; when "10" & x"7a2" => data <= x"a5"; when "10" & x"7a3" => data <= x"43"; when "10" & x"7a4" => data <= x"85"; when "10" & x"7a5" => data <= x"31"; when "10" & x"7a6" => data <= x"4c"; when "10" & x"7a7" => data <= x"59"; when "10" & x"7a8" => data <= x"a6"; when "10" & x"7a9" => data <= x"00"; when "10" & x"7aa" => data <= x"15"; when "10" & x"7ab" => data <= x"2d"; when "10" & x"7ac" => data <= x"76"; when "10" & x"7ad" => data <= x"65"; when "10" & x"7ae" => data <= x"20"; when "10" & x"7af" => data <= x"72"; when "10" & x"7b0" => data <= x"6f"; when "10" & x"7b1" => data <= x"6f"; when "10" & x"7b2" => data <= x"74"; when "10" & x"7b3" => data <= x"00"; when "10" & x"7b4" => data <= x"20"; when "10" & x"7b5" => data <= x"fa"; when "10" & x"7b6" => data <= x"92"; when "10" & x"7b7" => data <= x"20"; when "10" & x"7b8" => data <= x"da"; when "10" & x"7b9" => data <= x"a1"; when "10" & x"7ba" => data <= x"f0"; when "10" & x"7bb" => data <= x"2a"; when "10" & x"7bc" => data <= x"30"; when "10" & x"7bd" => data <= x"eb"; when "10" & x"7be" => data <= x"20"; when "10" & x"7bf" => data <= x"85"; when "10" & x"7c0" => data <= x"a3"; when "10" & x"7c1" => data <= x"a5"; when "10" & x"7c2" => data <= x"30"; when "10" & x"7c3" => data <= x"4a"; when "10" & x"7c4" => data <= x"69"; when "10" & x"7c5" => data <= x"40"; when "10" & x"7c6" => data <= x"85"; when "10" & x"7c7" => data <= x"30"; when "10" & x"7c8" => data <= x"a9"; when "10" & x"7c9" => data <= x"05"; when "10" & x"7ca" => data <= x"85"; when "10" & x"7cb" => data <= x"4a"; when "10" & x"7cc" => data <= x"20"; when "10" & x"7cd" => data <= x"ed"; when "10" & x"7ce" => data <= x"a7"; when "10" & x"7cf" => data <= x"20"; when "10" & x"7d0" => data <= x"8d"; when "10" & x"7d1" => data <= x"a3"; when "10" & x"7d2" => data <= x"a9"; when "10" & x"7d3" => data <= x"6c"; when "10" & x"7d4" => data <= x"85"; when "10" & x"7d5" => data <= x"4b"; when "10" & x"7d6" => data <= x"20"; when "10" & x"7d7" => data <= x"ad"; when "10" & x"7d8" => data <= x"a6"; when "10" & x"7d9" => data <= x"a9"; when "10" & x"7da" => data <= x"71"; when "10" & x"7db" => data <= x"85"; when "10" & x"7dc" => data <= x"4b"; when "10" & x"7dd" => data <= x"20"; when "10" & x"7de" => data <= x"00"; when "10" & x"7df" => data <= x"a5"; when "10" & x"7e0" => data <= x"c6"; when "10" & x"7e1" => data <= x"30"; when "10" & x"7e2" => data <= x"c6"; when "10" & x"7e3" => data <= x"4a"; when "10" & x"7e4" => data <= x"d0"; when "10" & x"7e5" => data <= x"e9"; when "10" & x"7e6" => data <= x"a9"; when "10" & x"7e7" => data <= x"ff"; when "10" & x"7e8" => data <= x"60"; when "10" & x"7e9" => data <= x"a9"; when "10" & x"7ea" => data <= x"7b"; when "10" & x"7eb" => data <= x"d0"; when "10" & x"7ec" => data <= x"0a"; when "10" & x"7ed" => data <= x"a9"; when "10" & x"7ee" => data <= x"71"; when "10" & x"7ef" => data <= x"d0"; when "10" & x"7f0" => data <= x"06"; when "10" & x"7f1" => data <= x"a9"; when "10" & x"7f2" => data <= x"76"; when "10" & x"7f3" => data <= x"d0"; when "10" & x"7f4" => data <= x"02"; when "10" & x"7f5" => data <= x"a9"; when "10" & x"7f6" => data <= x"6c"; when "10" & x"7f7" => data <= x"85"; when "10" & x"7f8" => data <= x"4b"; when "10" & x"7f9" => data <= x"a9"; when "10" & x"7fa" => data <= x"04"; when "10" & x"7fb" => data <= x"85"; when "10" & x"7fc" => data <= x"4c"; when "10" & x"7fd" => data <= x"60"; when "10" & x"7fe" => data <= x"20"; when "10" & x"7ff" => data <= x"fa"; when "10" & x"800" => data <= x"92"; when "10" & x"801" => data <= x"20"; when "10" & x"802" => data <= x"da"; when "10" & x"803" => data <= x"a1"; when "10" & x"804" => data <= x"f0"; when "10" & x"805" => data <= x"02"; when "10" & x"806" => data <= x"10"; when "10" & x"807" => data <= x"0c"; when "10" & x"808" => data <= x"00"; when "10" & x"809" => data <= x"16"; when "10" & x"80a" => data <= x"4c"; when "10" & x"80b" => data <= x"6f"; when "10" & x"80c" => data <= x"67"; when "10" & x"80d" => data <= x"20"; when "10" & x"80e" => data <= x"72"; when "10" & x"80f" => data <= x"61"; when "10" & x"810" => data <= x"6e"; when "10" & x"811" => data <= x"67"; when "10" & x"812" => data <= x"65"; when "10" & x"813" => data <= x"00"; when "10" & x"814" => data <= x"20"; when "10" & x"815" => data <= x"53"; when "10" & x"816" => data <= x"a4"; when "10" & x"817" => data <= x"a0"; when "10" & x"818" => data <= x"80"; when "10" & x"819" => data <= x"84"; when "10" & x"81a" => data <= x"3b"; when "10" & x"81b" => data <= x"84"; when "10" & x"81c" => data <= x"3e"; when "10" & x"81d" => data <= x"c8"; when "10" & x"81e" => data <= x"84"; when "10" & x"81f" => data <= x"3d"; when "10" & x"820" => data <= x"a6"; when "10" & x"821" => data <= x"30"; when "10" & x"822" => data <= x"f0"; when "10" & x"823" => data <= x"06"; when "10" & x"824" => data <= x"a5"; when "10" & x"825" => data <= x"31"; when "10" & x"826" => data <= x"c9"; when "10" & x"827" => data <= x"b5"; when "10" & x"828" => data <= x"90"; when "10" & x"829" => data <= x"02"; when "10" & x"82a" => data <= x"e8"; when "10" & x"82b" => data <= x"88"; when "10" & x"82c" => data <= x"8a"; when "10" & x"82d" => data <= x"48"; when "10" & x"82e" => data <= x"84"; when "10" & x"82f" => data <= x"30"; when "10" & x"830" => data <= x"20"; when "10" & x"831" => data <= x"05"; when "10" & x"832" => data <= x"a5"; when "10" & x"833" => data <= x"a9"; when "10" & x"834" => data <= x"7b"; when "10" & x"835" => data <= x"20"; when "10" & x"836" => data <= x"87"; when "10" & x"837" => data <= x"a3"; when "10" & x"838" => data <= x"a9"; when "10" & x"839" => data <= x"73"; when "10" & x"83a" => data <= x"a0"; when "10" & x"83b" => data <= x"a8"; when "10" & x"83c" => data <= x"20"; when "10" & x"83d" => data <= x"97"; when "10" & x"83e" => data <= x"a8"; when "10" & x"83f" => data <= x"20"; when "10" & x"840" => data <= x"e9"; when "10" & x"841" => data <= x"a7"; when "10" & x"842" => data <= x"20"; when "10" & x"843" => data <= x"56"; when "10" & x"844" => data <= x"a6"; when "10" & x"845" => data <= x"20"; when "10" & x"846" => data <= x"56"; when "10" & x"847" => data <= x"a6"; when "10" & x"848" => data <= x"20"; when "10" & x"849" => data <= x"00"; when "10" & x"84a" => data <= x"a5"; when "10" & x"84b" => data <= x"20"; when "10" & x"84c" => data <= x"85"; when "10" & x"84d" => data <= x"a3"; when "10" & x"84e" => data <= x"68"; when "10" & x"84f" => data <= x"38"; when "10" & x"850" => data <= x"e9"; when "10" & x"851" => data <= x"81"; when "10" & x"852" => data <= x"20"; when "10" & x"853" => data <= x"ed"; when "10" & x"854" => data <= x"a2"; when "10" & x"855" => data <= x"a9"; when "10" & x"856" => data <= x"6e"; when "10" & x"857" => data <= x"85"; when "10" & x"858" => data <= x"4b"; when "10" & x"859" => data <= x"a9"; when "10" & x"85a" => data <= x"a8"; when "10" & x"85b" => data <= x"85"; when "10" & x"85c" => data <= x"4c"; when "10" & x"85d" => data <= x"20"; when "10" & x"85e" => data <= x"56"; when "10" & x"85f" => data <= x"a6"; when "10" & x"860" => data <= x"20"; when "10" & x"861" => data <= x"f5"; when "10" & x"862" => data <= x"a7"; when "10" & x"863" => data <= x"20"; when "10" & x"864" => data <= x"00"; when "10" & x"865" => data <= x"a5"; when "10" & x"866" => data <= x"a9"; when "10" & x"867" => data <= x"ff"; when "10" & x"868" => data <= x"60"; when "10" & x"869" => data <= x"7f"; when "10" & x"86a" => data <= x"5e"; when "10" & x"86b" => data <= x"5b"; when "10" & x"86c" => data <= x"d8"; when "10" & x"86d" => data <= x"aa"; when "10" & x"86e" => data <= x"80"; when "10" & x"86f" => data <= x"31"; when "10" & x"870" => data <= x"72"; when "10" & x"871" => data <= x"17"; when "10" & x"872" => data <= x"f8"; when "10" & x"873" => data <= x"06"; when "10" & x"874" => data <= x"7a"; when "10" & x"875" => data <= x"12"; when "10" & x"876" => data <= x"38"; when "10" & x"877" => data <= x"a5"; when "10" & x"878" => data <= x"0b"; when "10" & x"879" => data <= x"88"; when "10" & x"87a" => data <= x"79"; when "10" & x"87b" => data <= x"0e"; when "10" & x"87c" => data <= x"9f"; when "10" & x"87d" => data <= x"f3"; when "10" & x"87e" => data <= x"7c"; when "10" & x"87f" => data <= x"2a"; when "10" & x"880" => data <= x"ac"; when "10" & x"881" => data <= x"3f"; when "10" & x"882" => data <= x"b5"; when "10" & x"883" => data <= x"86"; when "10" & x"884" => data <= x"34"; when "10" & x"885" => data <= x"01"; when "10" & x"886" => data <= x"a2"; when "10" & x"887" => data <= x"7a"; when "10" & x"888" => data <= x"7f"; when "10" & x"889" => data <= x"63"; when "10" & x"88a" => data <= x"8e"; when "10" & x"88b" => data <= x"37"; when "10" & x"88c" => data <= x"ec"; when "10" & x"88d" => data <= x"82"; when "10" & x"88e" => data <= x"3f"; when "10" & x"88f" => data <= x"ff"; when "10" & x"890" => data <= x"ff"; when "10" & x"891" => data <= x"c1"; when "10" & x"892" => data <= x"7f"; when "10" & x"893" => data <= x"ff"; when "10" & x"894" => data <= x"ff"; when "10" & x"895" => data <= x"ff"; when "10" & x"896" => data <= x"ff"; when "10" & x"897" => data <= x"85"; when "10" & x"898" => data <= x"4d"; when "10" & x"899" => data <= x"84"; when "10" & x"89a" => data <= x"4e"; when "10" & x"89b" => data <= x"20"; when "10" & x"89c" => data <= x"85"; when "10" & x"89d" => data <= x"a3"; when "10" & x"89e" => data <= x"a0"; when "10" & x"89f" => data <= x"00"; when "10" & x"8a0" => data <= x"b1"; when "10" & x"8a1" => data <= x"4d"; when "10" & x"8a2" => data <= x"85"; when "10" & x"8a3" => data <= x"48"; when "10" & x"8a4" => data <= x"e6"; when "10" & x"8a5" => data <= x"4d"; when "10" & x"8a6" => data <= x"d0"; when "10" & x"8a7" => data <= x"02"; when "10" & x"8a8" => data <= x"e6"; when "10" & x"8a9" => data <= x"4e"; when "10" & x"8aa" => data <= x"a5"; when "10" & x"8ab" => data <= x"4d"; when "10" & x"8ac" => data <= x"85"; when "10" & x"8ad" => data <= x"4b"; when "10" & x"8ae" => data <= x"a5"; when "10" & x"8af" => data <= x"4e"; when "10" & x"8b0" => data <= x"85"; when "10" & x"8b1" => data <= x"4c"; when "10" & x"8b2" => data <= x"20"; when "10" & x"8b3" => data <= x"b5"; when "10" & x"8b4" => data <= x"a3"; when "10" & x"8b5" => data <= x"20"; when "10" & x"8b6" => data <= x"f5"; when "10" & x"8b7" => data <= x"a7"; when "10" & x"8b8" => data <= x"20"; when "10" & x"8b9" => data <= x"ad"; when "10" & x"8ba" => data <= x"a6"; when "10" & x"8bb" => data <= x"18"; when "10" & x"8bc" => data <= x"a5"; when "10" & x"8bd" => data <= x"4d"; when "10" & x"8be" => data <= x"69"; when "10" & x"8bf" => data <= x"05"; when "10" & x"8c0" => data <= x"85"; when "10" & x"8c1" => data <= x"4d"; when "10" & x"8c2" => data <= x"85"; when "10" & x"8c3" => data <= x"4b"; when "10" & x"8c4" => data <= x"a5"; when "10" & x"8c5" => data <= x"4e"; when "10" & x"8c6" => data <= x"69"; when "10" & x"8c7" => data <= x"00"; when "10" & x"8c8" => data <= x"85"; when "10" & x"8c9" => data <= x"4e"; when "10" & x"8ca" => data <= x"85"; when "10" & x"8cb" => data <= x"4c"; when "10" & x"8cc" => data <= x"20"; when "10" & x"8cd" => data <= x"00"; when "10" & x"8ce" => data <= x"a5"; when "10" & x"8cf" => data <= x"c6"; when "10" & x"8d0" => data <= x"48"; when "10" & x"8d1" => data <= x"d0"; when "10" & x"8d2" => data <= x"e2"; when "10" & x"8d3" => data <= x"60"; when "10" & x"8d4" => data <= x"20"; when "10" & x"8d5" => data <= x"da"; when "10" & x"8d6" => data <= x"a8"; when "10" & x"8d7" => data <= x"4c"; when "10" & x"8d8" => data <= x"27"; when "10" & x"8d9" => data <= x"a9"; when "10" & x"8da" => data <= x"20"; when "10" & x"8db" => data <= x"fa"; when "10" & x"8dc" => data <= x"92"; when "10" & x"8dd" => data <= x"20"; when "10" & x"8de" => data <= x"da"; when "10" & x"8df" => data <= x"a1"; when "10" & x"8e0" => data <= x"10"; when "10" & x"8e1" => data <= x"08"; when "10" & x"8e2" => data <= x"46"; when "10" & x"8e3" => data <= x"2e"; when "10" & x"8e4" => data <= x"20"; when "10" & x"8e5" => data <= x"ea"; when "10" & x"8e6" => data <= x"a8"; when "10" & x"8e7" => data <= x"4c"; when "10" & x"8e8" => data <= x"16"; when "10" & x"8e9" => data <= x"a9"; when "10" & x"8ea" => data <= x"20"; when "10" & x"8eb" => data <= x"81"; when "10" & x"8ec" => data <= x"a3"; when "10" & x"8ed" => data <= x"20"; when "10" & x"8ee" => data <= x"b1"; when "10" & x"8ef" => data <= x"a9"; when "10" & x"8f0" => data <= x"20"; when "10" & x"8f1" => data <= x"da"; when "10" & x"8f2" => data <= x"a1"; when "10" & x"8f3" => data <= x"f0"; when "10" & x"8f4" => data <= x"09"; when "10" & x"8f5" => data <= x"20"; when "10" & x"8f6" => data <= x"f1"; when "10" & x"8f7" => data <= x"a7"; when "10" & x"8f8" => data <= x"20"; when "10" & x"8f9" => data <= x"ad"; when "10" & x"8fa" => data <= x"a6"; when "10" & x"8fb" => data <= x"4c"; when "10" & x"8fc" => data <= x"0a"; when "10" & x"8fd" => data <= x"a9"; when "10" & x"8fe" => data <= x"20"; when "10" & x"8ff" => data <= x"55"; when "10" & x"900" => data <= x"aa"; when "10" & x"901" => data <= x"20"; when "10" & x"902" => data <= x"b5"; when "10" & x"903" => data <= x"a3"; when "10" & x"904" => data <= x"a9"; when "10" & x"905" => data <= x"ff"; when "10" & x"906" => data <= x"60"; when "10" & x"907" => data <= x"20"; when "10" & x"908" => data <= x"fa"; when "10" & x"909" => data <= x"92"; when "10" & x"90a" => data <= x"20"; when "10" & x"90b" => data <= x"da"; when "10" & x"90c" => data <= x"a1"; when "10" & x"90d" => data <= x"f0"; when "10" & x"90e" => data <= x"f5"; when "10" & x"90f" => data <= x"10"; when "10" & x"910" => data <= x"0a"; when "10" & x"911" => data <= x"46"; when "10" & x"912" => data <= x"2e"; when "10" & x"913" => data <= x"20"; when "10" & x"914" => data <= x"1b"; when "10" & x"915" => data <= x"a9"; when "10" & x"916" => data <= x"a9"; when "10" & x"917" => data <= x"80"; when "10" & x"918" => data <= x"85"; when "10" & x"919" => data <= x"2e"; when "10" & x"91a" => data <= x"60"; when "10" & x"91b" => data <= x"a5"; when "10" & x"91c" => data <= x"30"; when "10" & x"91d" => data <= x"c9"; when "10" & x"91e" => data <= x"81"; when "10" & x"91f" => data <= x"90"; when "10" & x"920" => data <= x"15"; when "10" & x"921" => data <= x"20"; when "10" & x"922" => data <= x"a5"; when "10" & x"923" => data <= x"a6"; when "10" & x"924" => data <= x"20"; when "10" & x"925" => data <= x"36"; when "10" & x"926" => data <= x"a9"; when "10" & x"927" => data <= x"20"; when "10" & x"928" => data <= x"48"; when "10" & x"929" => data <= x"aa"; when "10" & x"92a" => data <= x"20"; when "10" & x"92b" => data <= x"00"; when "10" & x"92c" => data <= x"a5"; when "10" & x"92d" => data <= x"20"; when "10" & x"92e" => data <= x"4c"; when "10" & x"92f" => data <= x"aa"; when "10" & x"930" => data <= x"20"; when "10" & x"931" => data <= x"00"; when "10" & x"932" => data <= x"a5"; when "10" & x"933" => data <= x"4c"; when "10" & x"934" => data <= x"7e"; when "10" & x"935" => data <= x"ad"; when "10" & x"936" => data <= x"a5"; when "10" & x"937" => data <= x"30"; when "10" & x"938" => data <= x"c9"; when "10" & x"939" => data <= x"73"; when "10" & x"93a" => data <= x"90"; when "10" & x"93b" => data <= x"c8"; when "10" & x"93c" => data <= x"20"; when "10" & x"93d" => data <= x"81"; when "10" & x"93e" => data <= x"a3"; when "10" & x"93f" => data <= x"20"; when "10" & x"940" => data <= x"53"; when "10" & x"941" => data <= x"a4"; when "10" & x"942" => data <= x"a9"; when "10" & x"943" => data <= x"80"; when "10" & x"944" => data <= x"85"; when "10" & x"945" => data <= x"3d"; when "10" & x"946" => data <= x"85"; when "10" & x"947" => data <= x"3e"; when "10" & x"948" => data <= x"85"; when "10" & x"949" => data <= x"3b"; when "10" & x"94a" => data <= x"20"; when "10" & x"94b" => data <= x"05"; when "10" & x"94c" => data <= x"a5"; when "10" & x"94d" => data <= x"a9"; when "10" & x"94e" => data <= x"5a"; when "10" & x"94f" => data <= x"a0"; when "10" & x"950" => data <= x"a9"; when "10" & x"951" => data <= x"20"; when "10" & x"952" => data <= x"97"; when "10" & x"953" => data <= x"a8"; when "10" & x"954" => data <= x"20"; when "10" & x"955" => data <= x"d1"; when "10" & x"956" => data <= x"aa"; when "10" & x"957" => data <= x"a9"; when "10" & x"958" => data <= x"ff"; when "10" & x"959" => data <= x"60"; when "10" & x"95a" => data <= x"09"; when "10" & x"95b" => data <= x"85"; when "10" & x"95c" => data <= x"a3"; when "10" & x"95d" => data <= x"59"; when "10" & x"95e" => data <= x"e8"; when "10" & x"95f" => data <= x"67"; when "10" & x"960" => data <= x"80"; when "10" & x"961" => data <= x"1c"; when "10" & x"962" => data <= x"9d"; when "10" & x"963" => data <= x"07"; when "10" & x"964" => data <= x"36"; when "10" & x"965" => data <= x"80"; when "10" & x"966" => data <= x"57"; when "10" & x"967" => data <= x"bb"; when "10" & x"968" => data <= x"78"; when "10" & x"969" => data <= x"df"; when "10" & x"96a" => data <= x"80"; when "10" & x"96b" => data <= x"ca"; when "10" & x"96c" => data <= x"9a"; when "10" & x"96d" => data <= x"0e"; when "10" & x"96e" => data <= x"83"; when "10" & x"96f" => data <= x"84"; when "10" & x"970" => data <= x"8c"; when "10" & x"971" => data <= x"bb"; when "10" & x"972" => data <= x"ca"; when "10" & x"973" => data <= x"6e"; when "10" & x"974" => data <= x"81"; when "10" & x"975" => data <= x"95"; when "10" & x"976" => data <= x"96"; when "10" & x"977" => data <= x"06"; when "10" & x"978" => data <= x"de"; when "10" & x"979" => data <= x"81"; when "10" & x"97a" => data <= x"0a"; when "10" & x"97b" => data <= x"c7"; when "10" & x"97c" => data <= x"6c"; when "10" & x"97d" => data <= x"52"; when "10" & x"97e" => data <= x"7f"; when "10" & x"97f" => data <= x"7d"; when "10" & x"980" => data <= x"ad"; when "10" & x"981" => data <= x"90"; when "10" & x"982" => data <= x"a1"; when "10" & x"983" => data <= x"82"; when "10" & x"984" => data <= x"fb"; when "10" & x"985" => data <= x"62"; when "10" & x"986" => data <= x"57"; when "10" & x"987" => data <= x"2f"; when "10" & x"988" => data <= x"80"; when "10" & x"989" => data <= x"6d"; when "10" & x"98a" => data <= x"63"; when "10" & x"98b" => data <= x"38"; when "10" & x"98c" => data <= x"2c"; when "10" & x"98d" => data <= x"20"; when "10" & x"98e" => data <= x"fa"; when "10" & x"98f" => data <= x"92"; when "10" & x"990" => data <= x"20"; when "10" & x"991" => data <= x"d3"; when "10" & x"992" => data <= x"a9"; when "10" & x"993" => data <= x"e6"; when "10" & x"994" => data <= x"4a"; when "10" & x"995" => data <= x"4c"; when "10" & x"996" => data <= x"9e"; when "10" & x"997" => data <= x"a9"; when "10" & x"998" => data <= x"20"; when "10" & x"999" => data <= x"fa"; when "10" & x"99a" => data <= x"92"; when "10" & x"99b" => data <= x"20"; when "10" & x"99c" => data <= x"d3"; when "10" & x"99d" => data <= x"a9"; when "10" & x"99e" => data <= x"a5"; when "10" & x"99f" => data <= x"4a"; when "10" & x"9a0" => data <= x"29"; when "10" & x"9a1" => data <= x"02"; when "10" & x"9a2" => data <= x"f0"; when "10" & x"9a3" => data <= x"06"; when "10" & x"9a4" => data <= x"20"; when "10" & x"9a5" => data <= x"aa"; when "10" & x"9a6" => data <= x"a9"; when "10" & x"9a7" => data <= x"4c"; when "10" & x"9a8" => data <= x"7e"; when "10" & x"9a9" => data <= x"ad"; when "10" & x"9aa" => data <= x"46"; when "10" & x"9ab" => data <= x"4a"; when "10" & x"9ac" => data <= x"90"; when "10" & x"9ad" => data <= x"15"; when "10" & x"9ae" => data <= x"20"; when "10" & x"9af" => data <= x"c3"; when "10" & x"9b0" => data <= x"a9"; when "10" & x"9b1" => data <= x"20"; when "10" & x"9b2" => data <= x"85"; when "10" & x"9b3" => data <= x"a3"; when "10" & x"9b4" => data <= x"20"; when "10" & x"9b5" => data <= x"56"; when "10" & x"9b6" => data <= x"a6"; when "10" & x"9b7" => data <= x"20"; when "10" & x"9b8" => data <= x"8d"; when "10" & x"9b9" => data <= x"a3"; when "10" & x"9ba" => data <= x"20"; when "10" & x"9bb" => data <= x"99"; when "10" & x"9bc" => data <= x"a6"; when "10" & x"9bd" => data <= x"20"; when "10" & x"9be" => data <= x"d0"; when "10" & x"9bf" => data <= x"a4"; when "10" & x"9c0" => data <= x"4c"; when "10" & x"9c1" => data <= x"b7"; when "10" & x"9c2" => data <= x"a7"; when "10" & x"9c3" => data <= x"20"; when "10" & x"9c4" => data <= x"81"; when "10" & x"9c5" => data <= x"a3"; when "10" & x"9c6" => data <= x"20"; when "10" & x"9c7" => data <= x"56"; when "10" & x"9c8" => data <= x"a6"; when "10" & x"9c9" => data <= x"a9"; when "10" & x"9ca" => data <= x"72"; when "10" & x"9cb" => data <= x"a0"; when "10" & x"9cc" => data <= x"aa"; when "10" & x"9cd" => data <= x"20"; when "10" & x"9ce" => data <= x"97"; when "10" & x"9cf" => data <= x"a8"; when "10" & x"9d0" => data <= x"4c"; when "10" & x"9d1" => data <= x"d1"; when "10" & x"9d2" => data <= x"aa"; when "10" & x"9d3" => data <= x"a5"; when "10" & x"9d4" => data <= x"30"; when "10" & x"9d5" => data <= x"c9"; when "10" & x"9d6" => data <= x"98"; when "10" & x"9d7" => data <= x"b0"; when "10" & x"9d8" => data <= x"5f"; when "10" & x"9d9" => data <= x"20"; when "10" & x"9da" => data <= x"85"; when "10" & x"9db" => data <= x"a3"; when "10" & x"9dc" => data <= x"20"; when "10" & x"9dd" => data <= x"55"; when "10" & x"9de" => data <= x"aa"; when "10" & x"9df" => data <= x"20"; when "10" & x"9e0" => data <= x"4e"; when "10" & x"9e1" => data <= x"a3"; when "10" & x"9e2" => data <= x"a5"; when "10" & x"9e3" => data <= x"2e"; when "10" & x"9e4" => data <= x"85"; when "10" & x"9e5" => data <= x"3b"; when "10" & x"9e6" => data <= x"c6"; when "10" & x"9e7" => data <= x"3d"; when "10" & x"9e8" => data <= x"20"; when "10" & x"9e9" => data <= x"05"; when "10" & x"9ea" => data <= x"a5"; when "10" & x"9eb" => data <= x"20"; when "10" & x"9ec" => data <= x"e7"; when "10" & x"9ed" => data <= x"a6"; when "10" & x"9ee" => data <= x"20"; when "10" & x"9ef" => data <= x"fe"; when "10" & x"9f0" => data <= x"a3"; when "10" & x"9f1" => data <= x"a5"; when "10" & x"9f2" => data <= x"34"; when "10" & x"9f3" => data <= x"85"; when "10" & x"9f4" => data <= x"4a"; when "10" & x"9f5" => data <= x"05"; when "10" & x"9f6" => data <= x"33"; when "10" & x"9f7" => data <= x"05"; when "10" & x"9f8" => data <= x"32"; when "10" & x"9f9" => data <= x"05"; when "10" & x"9fa" => data <= x"31"; when "10" & x"9fb" => data <= x"f0"; when "10" & x"9fc" => data <= x"38"; when "10" & x"9fd" => data <= x"a9"; when "10" & x"9fe" => data <= x"a0"; when "10" & x"9ff" => data <= x"85"; when "10" & x"a00" => data <= x"30"; when "10" & x"a01" => data <= x"a0"; when "10" & x"a02" => data <= x"00"; when "10" & x"a03" => data <= x"84"; when "10" & x"a04" => data <= x"35"; when "10" & x"a05" => data <= x"a5"; when "10" & x"a06" => data <= x"31"; when "10" & x"a07" => data <= x"85"; when "10" & x"a08" => data <= x"2e"; when "10" & x"a09" => data <= x"10"; when "10" & x"a0a" => data <= x"03"; when "10" & x"a0b" => data <= x"20"; when "10" & x"a0c" => data <= x"6c"; when "10" & x"a0d" => data <= x"a4"; when "10" & x"a0e" => data <= x"20"; when "10" & x"a0f" => data <= x"03"; when "10" & x"a10" => data <= x"a3"; when "10" & x"a11" => data <= x"20"; when "10" & x"a12" => data <= x"7d"; when "10" & x"a13" => data <= x"a3"; when "10" & x"a14" => data <= x"20"; when "10" & x"a15" => data <= x"48"; when "10" & x"a16" => data <= x"aa"; when "10" & x"a17" => data <= x"20"; when "10" & x"a18" => data <= x"56"; when "10" & x"a19" => data <= x"a6"; when "10" & x"a1a" => data <= x"20"; when "10" & x"a1b" => data <= x"f5"; when "10" & x"a1c" => data <= x"a7"; when "10" & x"a1d" => data <= x"20"; when "10" & x"a1e" => data <= x"00"; when "10" & x"a1f" => data <= x"a5"; when "10" & x"a20" => data <= x"20"; when "10" & x"a21" => data <= x"8d"; when "10" & x"a22" => data <= x"a3"; when "10" & x"a23" => data <= x"20"; when "10" & x"a24" => data <= x"ed"; when "10" & x"a25" => data <= x"a7"; when "10" & x"a26" => data <= x"20"; when "10" & x"a27" => data <= x"b5"; when "10" & x"a28" => data <= x"a3"; when "10" & x"a29" => data <= x"20"; when "10" & x"a2a" => data <= x"4c"; when "10" & x"a2b" => data <= x"aa"; when "10" & x"a2c" => data <= x"20"; when "10" & x"a2d" => data <= x"56"; when "10" & x"a2e" => data <= x"a6"; when "10" & x"a2f" => data <= x"20"; when "10" & x"a30" => data <= x"f5"; when "10" & x"a31" => data <= x"a7"; when "10" & x"a32" => data <= x"4c"; when "10" & x"a33" => data <= x"00"; when "10" & x"a34" => data <= x"a5"; when "10" & x"a35" => data <= x"4c"; when "10" & x"a36" => data <= x"b2"; when "10" & x"a37" => data <= x"a3"; when "10" & x"a38" => data <= x"00"; when "10" & x"a39" => data <= x"17"; when "10" & x"a3a" => data <= x"41"; when "10" & x"a3b" => data <= x"63"; when "10" & x"a3c" => data <= x"63"; when "10" & x"a3d" => data <= x"75"; when "10" & x"a3e" => data <= x"72"; when "10" & x"a3f" => data <= x"61"; when "10" & x"a40" => data <= x"63"; when "10" & x"a41" => data <= x"79"; when "10" & x"a42" => data <= x"20"; when "10" & x"a43" => data <= x"6c"; when "10" & x"a44" => data <= x"6f"; when "10" & x"a45" => data <= x"73"; when "10" & x"a46" => data <= x"74"; when "10" & x"a47" => data <= x"00"; when "10" & x"a48" => data <= x"a9"; when "10" & x"a49" => data <= x"59"; when "10" & x"a4a" => data <= x"d0"; when "10" & x"a4b" => data <= x"02"; when "10" & x"a4c" => data <= x"a9"; when "10" & x"a4d" => data <= x"5e"; when "10" & x"a4e" => data <= x"85"; when "10" & x"a4f" => data <= x"4b"; when "10" & x"a50" => data <= x"a9"; when "10" & x"a51" => data <= x"aa"; when "10" & x"a52" => data <= x"85"; when "10" & x"a53" => data <= x"4c"; when "10" & x"a54" => data <= x"60"; when "10" & x"a55" => data <= x"a9"; when "10" & x"a56" => data <= x"63"; when "10" & x"a57" => data <= x"d0"; when "10" & x"a58" => data <= x"f5"; when "10" & x"a59" => data <= x"81"; when "10" & x"a5a" => data <= x"c9"; when "10" & x"a5b" => data <= x"10"; when "10" & x"a5c" => data <= x"00"; when "10" & x"a5d" => data <= x"00"; when "10" & x"a5e" => data <= x"6f"; when "10" & x"a5f" => data <= x"15"; when "10" & x"a60" => data <= x"77"; when "10" & x"a61" => data <= x"7a"; when "10" & x"a62" => data <= x"61"; when "10" & x"a63" => data <= x"81"; when "10" & x"a64" => data <= x"49"; when "10" & x"a65" => data <= x"0f"; when "10" & x"a66" => data <= x"da"; when "10" & x"a67" => data <= x"a2"; when "10" & x"a68" => data <= x"7b"; when "10" & x"a69" => data <= x"0e"; when "10" & x"a6a" => data <= x"fa"; when "10" & x"a6b" => data <= x"35"; when "10" & x"a6c" => data <= x"12"; when "10" & x"a6d" => data <= x"86"; when "10" & x"a6e" => data <= x"65"; when "10" & x"a6f" => data <= x"2e"; when "10" & x"a70" => data <= x"e0"; when "10" & x"a71" => data <= x"d3"; when "10" & x"a72" => data <= x"05"; when "10" & x"a73" => data <= x"84"; when "10" & x"a74" => data <= x"8a"; when "10" & x"a75" => data <= x"ea"; when "10" & x"a76" => data <= x"0c"; when "10" & x"a77" => data <= x"1b"; when "10" & x"a78" => data <= x"84"; when "10" & x"a79" => data <= x"1a"; when "10" & x"a7a" => data <= x"be"; when "10" & x"a7b" => data <= x"bb"; when "10" & x"a7c" => data <= x"2b"; when "10" & x"a7d" => data <= x"84"; when "10" & x"a7e" => data <= x"37"; when "10" & x"a7f" => data <= x"45"; when "10" & x"a80" => data <= x"55"; when "10" & x"a81" => data <= x"ab"; when "10" & x"a82" => data <= x"82"; when "10" & x"a83" => data <= x"d5"; when "10" & x"a84" => data <= x"55"; when "10" & x"a85" => data <= x"57"; when "10" & x"a86" => data <= x"7c"; when "10" & x"a87" => data <= x"83"; when "10" & x"a88" => data <= x"c0"; when "10" & x"a89" => data <= x"00"; when "10" & x"a8a" => data <= x"00"; when "10" & x"a8b" => data <= x"05"; when "10" & x"a8c" => data <= x"81"; when "10" & x"a8d" => data <= x"00"; when "10" & x"a8e" => data <= x"00"; when "10" & x"a8f" => data <= x"00"; when "10" & x"a90" => data <= x"00"; when "10" & x"a91" => data <= x"20"; when "10" & x"a92" => data <= x"fa"; when "10" & x"a93" => data <= x"92"; when "10" & x"a94" => data <= x"a5"; when "10" & x"a95" => data <= x"30"; when "10" & x"a96" => data <= x"c9"; when "10" & x"a97" => data <= x"87"; when "10" & x"a98" => data <= x"90"; when "10" & x"a99" => data <= x"1e"; when "10" & x"a9a" => data <= x"d0"; when "10" & x"a9b" => data <= x"06"; when "10" & x"a9c" => data <= x"a4"; when "10" & x"a9d" => data <= x"31"; when "10" & x"a9e" => data <= x"c0"; when "10" & x"a9f" => data <= x"b3"; when "10" & x"aa0" => data <= x"90"; when "10" & x"aa1" => data <= x"16"; when "10" & x"aa2" => data <= x"a5"; when "10" & x"aa3" => data <= x"2e"; when "10" & x"aa4" => data <= x"10"; when "10" & x"aa5" => data <= x"06"; when "10" & x"aa6" => data <= x"20"; when "10" & x"aa7" => data <= x"86"; when "10" & x"aa8" => data <= x"a6"; when "10" & x"aa9" => data <= x"a9"; when "10" & x"aaa" => data <= x"ff"; when "10" & x"aab" => data <= x"60"; when "10" & x"aac" => data <= x"00"; when "10" & x"aad" => data <= x"18"; when "10" & x"aae" => data <= x"45"; when "10" & x"aaf" => data <= x"78"; when "10" & x"ab0" => data <= x"70"; when "10" & x"ab1" => data <= x"20"; when "10" & x"ab2" => data <= x"72"; when "10" & x"ab3" => data <= x"61"; when "10" & x"ab4" => data <= x"6e"; when "10" & x"ab5" => data <= x"67"; when "10" & x"ab6" => data <= x"65"; when "10" & x"ab7" => data <= x"00"; when "10" & x"ab8" => data <= x"20"; when "10" & x"ab9" => data <= x"86"; when "10" & x"aba" => data <= x"a4"; when "10" & x"abb" => data <= x"20"; when "10" & x"abc" => data <= x"da"; when "10" & x"abd" => data <= x"aa"; when "10" & x"abe" => data <= x"20"; when "10" & x"abf" => data <= x"81"; when "10" & x"ac0" => data <= x"a3"; when "10" & x"ac1" => data <= x"a9"; when "10" & x"ac2" => data <= x"e4"; when "10" & x"ac3" => data <= x"85"; when "10" & x"ac4" => data <= x"4b"; when "10" & x"ac5" => data <= x"a9"; when "10" & x"ac6" => data <= x"aa"; when "10" & x"ac7" => data <= x"85"; when "10" & x"ac8" => data <= x"4c"; when "10" & x"ac9" => data <= x"20"; when "10" & x"aca" => data <= x"b5"; when "10" & x"acb" => data <= x"a3"; when "10" & x"acc" => data <= x"a5"; when "10" & x"acd" => data <= x"4a"; when "10" & x"ace" => data <= x"20"; when "10" & x"acf" => data <= x"12"; when "10" & x"ad0" => data <= x"ab"; when "10" & x"ad1" => data <= x"20"; when "10" & x"ad2" => data <= x"f1"; when "10" & x"ad3" => data <= x"a7"; when "10" & x"ad4" => data <= x"20"; when "10" & x"ad5" => data <= x"56"; when "10" & x"ad6" => data <= x"a6"; when "10" & x"ad7" => data <= x"a9"; when "10" & x"ad8" => data <= x"ff"; when "10" & x"ad9" => data <= x"60"; when "10" & x"ada" => data <= x"a9"; when "10" & x"adb" => data <= x"e9"; when "10" & x"adc" => data <= x"a0"; when "10" & x"add" => data <= x"aa"; when "10" & x"ade" => data <= x"20"; when "10" & x"adf" => data <= x"97"; when "10" & x"ae0" => data <= x"a8"; when "10" & x"ae1" => data <= x"a9"; when "10" & x"ae2" => data <= x"ff"; when "10" & x"ae3" => data <= x"60"; when "10" & x"ae4" => data <= x"82"; when "10" & x"ae5" => data <= x"2d"; when "10" & x"ae6" => data <= x"f8"; when "10" & x"ae7" => data <= x"54"; when "10" & x"ae8" => data <= x"58"; when "10" & x"ae9" => data <= x"07"; when "10" & x"aea" => data <= x"83"; when "10" & x"aeb" => data <= x"e0"; when "10" & x"aec" => data <= x"20"; when "10" & x"aed" => data <= x"86"; when "10" & x"aee" => data <= x"5b"; when "10" & x"aef" => data <= x"82"; when "10" & x"af0" => data <= x"80"; when "10" & x"af1" => data <= x"53"; when "10" & x"af2" => data <= x"93"; when "10" & x"af3" => data <= x"b8"; when "10" & x"af4" => data <= x"83"; when "10" & x"af5" => data <= x"20"; when "10" & x"af6" => data <= x"00"; when "10" & x"af7" => data <= x"06"; when "10" & x"af8" => data <= x"a1"; when "10" & x"af9" => data <= x"82"; when "10" & x"afa" => data <= x"00"; when "10" & x"afb" => data <= x"00"; when "10" & x"afc" => data <= x"21"; when "10" & x"afd" => data <= x"63"; when "10" & x"afe" => data <= x"82"; when "10" & x"aff" => data <= x"c0"; when "10" & x"b00" => data <= x"00"; when "10" & x"b01" => data <= x"00"; when "10" & x"b02" => data <= x"02"; when "10" & x"b03" => data <= x"82"; when "10" & x"b04" => data <= x"80"; when "10" & x"b05" => data <= x"00"; when "10" & x"b06" => data <= x"00"; when "10" & x"b07" => data <= x"0c"; when "10" & x"b08" => data <= x"81"; when "10" & x"b09" => data <= x"00"; when "10" & x"b0a" => data <= x"00"; when "10" & x"b0b" => data <= x"00"; when "10" & x"b0c" => data <= x"00"; when "10" & x"b0d" => data <= x"81"; when "10" & x"b0e" => data <= x"00"; when "10" & x"b0f" => data <= x"00"; when "10" & x"b10" => data <= x"00"; when "10" & x"b11" => data <= x"00"; when "10" & x"b12" => data <= x"aa"; when "10" & x"b13" => data <= x"10"; when "10" & x"b14" => data <= x"09"; when "10" & x"b15" => data <= x"ca"; when "10" & x"b16" => data <= x"8a"; when "10" & x"b17" => data <= x"49"; when "10" & x"b18" => data <= x"ff"; when "10" & x"b19" => data <= x"48"; when "10" & x"b1a" => data <= x"20"; when "10" & x"b1b" => data <= x"a5"; when "10" & x"b1c" => data <= x"a6"; when "10" & x"b1d" => data <= x"68"; when "10" & x"b1e" => data <= x"48"; when "10" & x"b1f" => data <= x"20"; when "10" & x"b20" => data <= x"85"; when "10" & x"b21" => data <= x"a3"; when "10" & x"b22" => data <= x"20"; when "10" & x"b23" => data <= x"99"; when "10" & x"b24" => data <= x"a6"; when "10" & x"b25" => data <= x"68"; when "10" & x"b26" => data <= x"f0"; when "10" & x"b27" => data <= x"0a"; when "10" & x"b28" => data <= x"38"; when "10" & x"b29" => data <= x"e9"; when "10" & x"b2a" => data <= x"01"; when "10" & x"b2b" => data <= x"48"; when "10" & x"b2c" => data <= x"20"; when "10" & x"b2d" => data <= x"56"; when "10" & x"b2e" => data <= x"a6"; when "10" & x"b2f" => data <= x"4c"; when "10" & x"b30" => data <= x"25"; when "10" & x"b31" => data <= x"ab"; when "10" & x"b32" => data <= x"60"; when "10" & x"b33" => data <= x"20"; when "10" & x"b34" => data <= x"e3"; when "10" & x"b35" => data <= x"92"; when "10" & x"b36" => data <= x"a6"; when "10" & x"b37" => data <= x"2a"; when "10" & x"b38" => data <= x"a9"; when "10" & x"b39" => data <= x"80"; when "10" & x"b3a" => data <= x"20"; when "10" & x"b3b" => data <= x"f4"; when "10" & x"b3c" => data <= x"ff"; when "10" & x"b3d" => data <= x"8a"; when "10" & x"b3e" => data <= x"4c"; when "10" & x"b3f" => data <= x"ea"; when "10" & x"b40" => data <= x"ae"; when "10" & x"b41" => data <= x"20"; when "10" & x"b42" => data <= x"dd"; when "10" & x"b43" => data <= x"92"; when "10" & x"b44" => data <= x"20"; when "10" & x"b45" => data <= x"94"; when "10" & x"b46" => data <= x"bd"; when "10" & x"b47" => data <= x"20"; when "10" & x"b48" => data <= x"ae"; when "10" & x"b49" => data <= x"8a"; when "10" & x"b4a" => data <= x"20"; when "10" & x"b4b" => data <= x"56"; when "10" & x"b4c" => data <= x"ae"; when "10" & x"b4d" => data <= x"20"; when "10" & x"b4e" => data <= x"f0"; when "10" & x"b4f" => data <= x"92"; when "10" & x"b50" => data <= x"a5"; when "10" & x"b51" => data <= x"2a"; when "10" & x"b52" => data <= x"48"; when "10" & x"b53" => data <= x"a5"; when "10" & x"b54" => data <= x"2b"; when "10" & x"b55" => data <= x"48"; when "10" & x"b56" => data <= x"20"; when "10" & x"b57" => data <= x"ea"; when "10" & x"b58" => data <= x"bd"; when "10" & x"b59" => data <= x"68"; when "10" & x"b5a" => data <= x"85"; when "10" & x"b5b" => data <= x"2d"; when "10" & x"b5c" => data <= x"68"; when "10" & x"b5d" => data <= x"85"; when "10" & x"b5e" => data <= x"2c"; when "10" & x"b5f" => data <= x"a2"; when "10" & x"b60" => data <= x"2a"; when "10" & x"b61" => data <= x"a9"; when "10" & x"b62" => data <= x"09"; when "10" & x"b63" => data <= x"20"; when "10" & x"b64" => data <= x"f1"; when "10" & x"b65" => data <= x"ff"; when "10" & x"b66" => data <= x"a5"; when "10" & x"b67" => data <= x"2e"; when "10" & x"b68" => data <= x"30"; when "10" & x"b69" => data <= x"33"; when "10" & x"b6a" => data <= x"4c"; when "10" & x"b6b" => data <= x"d8"; when "10" & x"b6c" => data <= x"ae"; when "10" & x"b6d" => data <= x"a9"; when "10" & x"b6e" => data <= x"86"; when "10" & x"b6f" => data <= x"20"; when "10" & x"b70" => data <= x"f4"; when "10" & x"b71" => data <= x"ff"; when "10" & x"b72" => data <= x"8a"; when "10" & x"b73" => data <= x"4c"; when "10" & x"b74" => data <= x"d8"; when "10" & x"b75" => data <= x"ae"; when "10" & x"b76" => data <= x"a9"; when "10" & x"b77" => data <= x"86"; when "10" & x"b78" => data <= x"20"; when "10" & x"b79" => data <= x"f4"; when "10" & x"b7a" => data <= x"ff"; when "10" & x"b7b" => data <= x"98"; when "10" & x"b7c" => data <= x"4c"; when "10" & x"b7d" => data <= x"d8"; when "10" & x"b7e" => data <= x"ae"; when "10" & x"b7f" => data <= x"20"; when "10" & x"b80" => data <= x"da"; when "10" & x"b81" => data <= x"a1"; when "10" & x"b82" => data <= x"f0"; when "10" & x"b83" => data <= x"1e"; when "10" & x"b84" => data <= x"10"; when "10" & x"b85" => data <= x"1a"; when "10" & x"b86" => data <= x"30"; when "10" & x"b87" => data <= x"15"; when "10" & x"b88" => data <= x"20"; when "10" & x"b89" => data <= x"ec"; when "10" & x"b8a" => data <= x"ad"; when "10" & x"b8b" => data <= x"f0"; when "10" & x"b8c" => data <= x"59"; when "10" & x"b8d" => data <= x"30"; when "10" & x"b8e" => data <= x"f0"; when "10" & x"b8f" => data <= x"a5"; when "10" & x"b90" => data <= x"2d"; when "10" & x"b91" => data <= x"05"; when "10" & x"b92" => data <= x"2c"; when "10" & x"b93" => data <= x"05"; when "10" & x"b94" => data <= x"2b"; when "10" & x"b95" => data <= x"05"; when "10" & x"b96" => data <= x"2a"; when "10" & x"b97" => data <= x"f0"; when "10" & x"b98" => data <= x"0c"; when "10" & x"b99" => data <= x"a5"; when "10" & x"b9a" => data <= x"2d"; when "10" & x"b9b" => data <= x"10"; when "10" & x"b9c" => data <= x"03"; when "10" & x"b9d" => data <= x"4c"; when "10" & x"b9e" => data <= x"c4"; when "10" & x"b9f" => data <= x"ac"; when "10" & x"ba0" => data <= x"a9"; when "10" & x"ba1" => data <= x"01"; when "10" & x"ba2" => data <= x"4c"; when "10" & x"ba3" => data <= x"d8"; when "10" & x"ba4" => data <= x"ae"; when "10" & x"ba5" => data <= x"a9"; when "10" & x"ba6" => data <= x"40"; when "10" & x"ba7" => data <= x"60"; when "10" & x"ba8" => data <= x"20"; when "10" & x"ba9" => data <= x"fe"; when "10" & x"baa" => data <= x"a7"; when "10" & x"bab" => data <= x"a0"; when "10" & x"bac" => data <= x"69"; when "10" & x"bad" => data <= x"a9"; when "10" & x"bae" => data <= x"a8"; when "10" & x"baf" => data <= x"d0"; when "10" & x"bb0" => data <= x"07"; when "10" & x"bb1" => data <= x"20"; when "10" & x"bb2" => data <= x"fa"; when "10" & x"bb3" => data <= x"92"; when "10" & x"bb4" => data <= x"a0"; when "10" & x"bb5" => data <= x"68"; when "10" & x"bb6" => data <= x"a9"; when "10" & x"bb7" => data <= x"aa"; when "10" & x"bb8" => data <= x"84"; when "10" & x"bb9" => data <= x"4b"; when "10" & x"bba" => data <= x"85"; when "10" & x"bbb" => data <= x"4c"; when "10" & x"bbc" => data <= x"20"; when "10" & x"bbd" => data <= x"56"; when "10" & x"bbe" => data <= x"a6"; when "10" & x"bbf" => data <= x"a9"; when "10" & x"bc0" => data <= x"ff"; when "10" & x"bc1" => data <= x"60"; when "10" & x"bc2" => data <= x"20"; when "10" & x"bc3" => data <= x"fa"; when "10" & x"bc4" => data <= x"92"; when "10" & x"bc5" => data <= x"a0"; when "10" & x"bc6" => data <= x"6d"; when "10" & x"bc7" => data <= x"a9"; when "10" & x"bc8" => data <= x"aa"; when "10" & x"bc9" => data <= x"d0"; when "10" & x"bca" => data <= x"ed"; when "10" & x"bcb" => data <= x"20"; when "10" & x"bcc" => data <= x"fe"; when "10" & x"bcd" => data <= x"a8"; when "10" & x"bce" => data <= x"e6"; when "10" & x"bcf" => data <= x"30"; when "10" & x"bd0" => data <= x"a8"; when "10" & x"bd1" => data <= x"60"; when "10" & x"bd2" => data <= x"20"; when "10" & x"bd3" => data <= x"e3"; when "10" & x"bd4" => data <= x"92"; when "10" & x"bd5" => data <= x"20"; when "10" & x"bd6" => data <= x"1e"; when "10" & x"bd7" => data <= x"8f"; when "10" & x"bd8" => data <= x"85"; when "10" & x"bd9" => data <= x"2a"; when "10" & x"bda" => data <= x"86"; when "10" & x"bdb" => data <= x"2b"; when "10" & x"bdc" => data <= x"84"; when "10" & x"bdd" => data <= x"2c"; when "10" & x"bde" => data <= x"08"; when "10" & x"bdf" => data <= x"68"; when "10" & x"be0" => data <= x"85"; when "10" & x"be1" => data <= x"2d"; when "10" & x"be2" => data <= x"d8"; when "10" & x"be3" => data <= x"a9"; when "10" & x"be4" => data <= x"40"; when "10" & x"be5" => data <= x"60"; when "10" & x"be6" => data <= x"4c"; when "10" & x"be7" => data <= x"0e"; when "10" & x"be8" => data <= x"8c"; when "10" & x"be9" => data <= x"20"; when "10" & x"bea" => data <= x"ec"; when "10" & x"beb" => data <= x"ad"; when "10" & x"bec" => data <= x"d0"; when "10" & x"bed" => data <= x"f8"; when "10" & x"bee" => data <= x"e6"; when "10" & x"bef" => data <= x"36"; when "10" & x"bf0" => data <= x"a4"; when "10" & x"bf1" => data <= x"36"; when "10" & x"bf2" => data <= x"a9"; when "10" & x"bf3" => data <= x"0d"; when "10" & x"bf4" => data <= x"99"; when "10" & x"bf5" => data <= x"ff"; when "10" & x"bf6" => data <= x"05"; when "10" & x"bf7" => data <= x"20"; when "10" & x"bf8" => data <= x"b2"; when "10" & x"bf9" => data <= x"bd"; when "10" & x"bfa" => data <= x"a5"; when "10" & x"bfb" => data <= x"19"; when "10" & x"bfc" => data <= x"48"; when "10" & x"bfd" => data <= x"a5"; when "10" & x"bfe" => data <= x"1a"; when "10" & x"bff" => data <= x"48"; when "10" & x"c00" => data <= x"a5"; when "10" & x"c01" => data <= x"1b"; when "10" & x"c02" => data <= x"48"; when "10" & x"c03" => data <= x"a4"; when "10" & x"c04" => data <= x"04"; when "10" & x"c05" => data <= x"a6"; when "10" & x"c06" => data <= x"05"; when "10" & x"c07" => data <= x"c8"; when "10" & x"c08" => data <= x"84"; when "10" & x"c09" => data <= x"19"; when "10" & x"c0a" => data <= x"84"; when "10" & x"c0b" => data <= x"37"; when "10" & x"c0c" => data <= x"d0"; when "10" & x"c0d" => data <= x"01"; when "10" & x"c0e" => data <= x"e8"; when "10" & x"c0f" => data <= x"86"; when "10" & x"c10" => data <= x"1a"; when "10" & x"c11" => data <= x"86"; when "10" & x"c12" => data <= x"38"; when "10" & x"c13" => data <= x"a0"; when "10" & x"c14" => data <= x"ff"; when "10" & x"c15" => data <= x"84"; when "10" & x"c16" => data <= x"3b"; when "10" & x"c17" => data <= x"c8"; when "10" & x"c18" => data <= x"84"; when "10" & x"c19" => data <= x"1b"; when "10" & x"c1a" => data <= x"20"; when "10" & x"c1b" => data <= x"55"; when "10" & x"c1c" => data <= x"89"; when "10" & x"c1d" => data <= x"20"; when "10" & x"c1e" => data <= x"29"; when "10" & x"c1f" => data <= x"9b"; when "10" & x"c20" => data <= x"20"; when "10" & x"c21" => data <= x"dc"; when "10" & x"c22" => data <= x"bd"; when "10" & x"c23" => data <= x"68"; when "10" & x"c24" => data <= x"85"; when "10" & x"c25" => data <= x"1b"; when "10" & x"c26" => data <= x"68"; when "10" & x"c27" => data <= x"85"; when "10" & x"c28" => data <= x"1a"; when "10" & x"c29" => data <= x"68"; when "10" & x"c2a" => data <= x"85"; when "10" & x"c2b" => data <= x"19"; when "10" & x"c2c" => data <= x"a5"; when "10" & x"c2d" => data <= x"27"; when "10" & x"c2e" => data <= x"60"; when "10" & x"c2f" => data <= x"20"; when "10" & x"c30" => data <= x"ec"; when "10" & x"c31" => data <= x"ad"; when "10" & x"c32" => data <= x"d0"; when "10" & x"c33" => data <= x"67"; when "10" & x"c34" => data <= x"a4"; when "10" & x"c35" => data <= x"36"; when "10" & x"c36" => data <= x"a9"; when "10" & x"c37" => data <= x"00"; when "10" & x"c38" => data <= x"99"; when "10" & x"c39" => data <= x"00"; when "10" & x"c3a" => data <= x"06"; when "10" & x"c3b" => data <= x"a5"; when "10" & x"c3c" => data <= x"19"; when "10" & x"c3d" => data <= x"48"; when "10" & x"c3e" => data <= x"a5"; when "10" & x"c3f" => data <= x"1a"; when "10" & x"c40" => data <= x"48"; when "10" & x"c41" => data <= x"a5"; when "10" & x"c42" => data <= x"1b"; when "10" & x"c43" => data <= x"48"; when "10" & x"c44" => data <= x"a9"; when "10" & x"c45" => data <= x"00"; when "10" & x"c46" => data <= x"85"; when "10" & x"c47" => data <= x"1b"; when "10" & x"c48" => data <= x"a9"; when "10" & x"c49" => data <= x"00"; when "10" & x"c4a" => data <= x"85"; when "10" & x"c4b" => data <= x"19"; when "10" & x"c4c" => data <= x"a9"; when "10" & x"c4d" => data <= x"06"; when "10" & x"c4e" => data <= x"85"; when "10" & x"c4f" => data <= x"1a"; when "10" & x"c50" => data <= x"20"; when "10" & x"c51" => data <= x"8c"; when "10" & x"c52" => data <= x"8a"; when "10" & x"c53" => data <= x"c9"; when "10" & x"c54" => data <= x"2d"; when "10" & x"c55" => data <= x"f0"; when "10" & x"c56" => data <= x"0f"; when "10" & x"c57" => data <= x"c9"; when "10" & x"c58" => data <= x"2b"; when "10" & x"c59" => data <= x"d0"; when "10" & x"c5a" => data <= x"03"; when "10" & x"c5b" => data <= x"20"; when "10" & x"c5c" => data <= x"8c"; when "10" & x"c5d" => data <= x"8a"; when "10" & x"c5e" => data <= x"c6"; when "10" & x"c5f" => data <= x"1b"; when "10" & x"c60" => data <= x"20"; when "10" & x"c61" => data <= x"7b"; when "10" & x"c62" => data <= x"a0"; when "10" & x"c63" => data <= x"4c"; when "10" & x"c64" => data <= x"73"; when "10" & x"c65" => data <= x"ac"; when "10" & x"c66" => data <= x"20"; when "10" & x"c67" => data <= x"8c"; when "10" & x"c68" => data <= x"8a"; when "10" & x"c69" => data <= x"c6"; when "10" & x"c6a" => data <= x"1b"; when "10" & x"c6b" => data <= x"20"; when "10" & x"c6c" => data <= x"7b"; when "10" & x"c6d" => data <= x"a0"; when "10" & x"c6e" => data <= x"90"; when "10" & x"c6f" => data <= x"03"; when "10" & x"c70" => data <= x"20"; when "10" & x"c71" => data <= x"8f"; when "10" & x"c72" => data <= x"ad"; when "10" & x"c73" => data <= x"85"; when "10" & x"c74" => data <= x"27"; when "10" & x"c75" => data <= x"4c"; when "10" & x"c76" => data <= x"23"; when "10" & x"c77" => data <= x"ac"; when "10" & x"c78" => data <= x"20"; when "10" & x"c79" => data <= x"ec"; when "10" & x"c7a" => data <= x"ad"; when "10" & x"c7b" => data <= x"f0"; when "10" & x"c7c" => data <= x"1e"; when "10" & x"c7d" => data <= x"10"; when "10" & x"c7e" => data <= x"1b"; when "10" & x"c7f" => data <= x"a5"; when "10" & x"c80" => data <= x"2e"; when "10" & x"c81" => data <= x"08"; when "10" & x"c82" => data <= x"20"; when "10" & x"c83" => data <= x"fe"; when "10" & x"c84" => data <= x"a3"; when "10" & x"c85" => data <= x"28"; when "10" & x"c86" => data <= x"10"; when "10" & x"c87" => data <= x"0d"; when "10" & x"c88" => data <= x"a5"; when "10" & x"c89" => data <= x"3e"; when "10" & x"c8a" => data <= x"05"; when "10" & x"c8b" => data <= x"3f"; when "10" & x"c8c" => data <= x"05"; when "10" & x"c8d" => data <= x"40"; when "10" & x"c8e" => data <= x"05"; when "10" & x"c8f" => data <= x"41"; when "10" & x"c90" => data <= x"f0"; when "10" & x"c91" => data <= x"03"; when "10" & x"c92" => data <= x"20"; when "10" & x"c93" => data <= x"c7"; when "10" & x"c94" => data <= x"a4"; when "10" & x"c95" => data <= x"20"; when "10" & x"c96" => data <= x"e7"; when "10" & x"c97" => data <= x"a3"; when "10" & x"c98" => data <= x"a9"; when "10" & x"c99" => data <= x"40"; when "10" & x"c9a" => data <= x"60"; when "10" & x"c9b" => data <= x"4c"; when "10" & x"c9c" => data <= x"0e"; when "10" & x"c9d" => data <= x"8c"; when "10" & x"c9e" => data <= x"20"; when "10" & x"c9f" => data <= x"ec"; when "10" & x"ca0" => data <= x"ad"; when "10" & x"ca1" => data <= x"d0"; when "10" & x"ca2" => data <= x"f8"; when "10" & x"ca3" => data <= x"a5"; when "10" & x"ca4" => data <= x"36"; when "10" & x"ca5" => data <= x"f0"; when "10" & x"ca6" => data <= x"1d"; when "10" & x"ca7" => data <= x"ad"; when "10" & x"ca8" => data <= x"00"; when "10" & x"ca9" => data <= x"06"; when "10" & x"caa" => data <= x"4c"; when "10" & x"cab" => data <= x"d8"; when "10" & x"cac" => data <= x"ae"; when "10" & x"cad" => data <= x"20"; when "10" & x"cae" => data <= x"ad"; when "10" & x"caf" => data <= x"af"; when "10" & x"cb0" => data <= x"c0"; when "10" & x"cb1" => data <= x"00"; when "10" & x"cb2" => data <= x"d0"; when "10" & x"cb3" => data <= x"10"; when "10" & x"cb4" => data <= x"8a"; when "10" & x"cb5" => data <= x"4c"; when "10" & x"cb6" => data <= x"ea"; when "10" & x"cb7" => data <= x"ae"; when "10" & x"cb8" => data <= x"20"; when "10" & x"cb9" => data <= x"b5"; when "10" & x"cba" => data <= x"bf"; when "10" & x"cbb" => data <= x"aa"; when "10" & x"cbc" => data <= x"a9"; when "10" & x"cbd" => data <= x"7f"; when "10" & x"cbe" => data <= x"20"; when "10" & x"cbf" => data <= x"f4"; when "10" & x"cc0" => data <= x"ff"; when "10" & x"cc1" => data <= x"8a"; when "10" & x"cc2" => data <= x"f0"; when "10" & x"cc3" => data <= x"e6"; when "10" & x"cc4" => data <= x"a9"; when "10" & x"cc5" => data <= x"ff"; when "10" & x"cc6" => data <= x"85"; when "10" & x"cc7" => data <= x"2a"; when "10" & x"cc8" => data <= x"85"; when "10" & x"cc9" => data <= x"2b"; when "10" & x"cca" => data <= x"85"; when "10" & x"ccb" => data <= x"2c"; when "10" & x"ccc" => data <= x"85"; when "10" & x"ccd" => data <= x"2d"; when "10" & x"cce" => data <= x"a9"; when "10" & x"ccf" => data <= x"40"; when "10" & x"cd0" => data <= x"60"; when "10" & x"cd1" => data <= x"20"; when "10" & x"cd2" => data <= x"e3"; when "10" & x"cd3" => data <= x"92"; when "10" & x"cd4" => data <= x"a2"; when "10" & x"cd5" => data <= x"03"; when "10" & x"cd6" => data <= x"b5"; when "10" & x"cd7" => data <= x"2a"; when "10" & x"cd8" => data <= x"49"; when "10" & x"cd9" => data <= x"ff"; when "10" & x"cda" => data <= x"95"; when "10" & x"cdb" => data <= x"2a"; when "10" & x"cdc" => data <= x"ca"; when "10" & x"cdd" => data <= x"10"; when "10" & x"cde" => data <= x"f7"; when "10" & x"cdf" => data <= x"a9"; when "10" & x"ce0" => data <= x"40"; when "10" & x"ce1" => data <= x"60"; when "10" & x"ce2" => data <= x"20"; when "10" & x"ce3" => data <= x"29"; when "10" & x"ce4" => data <= x"9b"; when "10" & x"ce5" => data <= x"d0"; when "10" & x"ce6" => data <= x"b4"; when "10" & x"ce7" => data <= x"e0"; when "10" & x"ce8" => data <= x"2c"; when "10" & x"ce9" => data <= x"d0"; when "10" & x"cea" => data <= x"18"; when "10" & x"ceb" => data <= x"e6"; when "10" & x"cec" => data <= x"1b"; when "10" & x"ced" => data <= x"20"; when "10" & x"cee" => data <= x"b2"; when "10" & x"cef" => data <= x"bd"; when "10" & x"cf0" => data <= x"20"; when "10" & x"cf1" => data <= x"29"; when "10" & x"cf2" => data <= x"9b"; when "10" & x"cf3" => data <= x"d0"; when "10" & x"cf4" => data <= x"a6"; when "10" & x"cf5" => data <= x"a9"; when "10" & x"cf6" => data <= x"01"; when "10" & x"cf7" => data <= x"85"; when "10" & x"cf8" => data <= x"2a"; when "10" & x"cf9" => data <= x"e6"; when "10" & x"cfa" => data <= x"1b"; when "10" & x"cfb" => data <= x"e0"; when "10" & x"cfc" => data <= x"29"; when "10" & x"cfd" => data <= x"f0"; when "10" & x"cfe" => data <= x"13"; when "10" & x"cff" => data <= x"e0"; when "10" & x"d00" => data <= x"2c"; when "10" & x"d01" => data <= x"f0"; when "10" & x"d02" => data <= x"03"; when "10" & x"d03" => data <= x"4c"; when "10" & x"d04" => data <= x"a2"; when "10" & x"d05" => data <= x"8a"; when "10" & x"d06" => data <= x"20"; when "10" & x"d07" => data <= x"b2"; when "10" & x"d08" => data <= x"bd"; when "10" & x"d09" => data <= x"20"; when "10" & x"d0a" => data <= x"56"; when "10" & x"d0b" => data <= x"ae"; when "10" & x"d0c" => data <= x"20"; when "10" & x"d0d" => data <= x"f0"; when "10" & x"d0e" => data <= x"92"; when "10" & x"d0f" => data <= x"20"; when "10" & x"d10" => data <= x"cb"; when "10" & x"d11" => data <= x"bd"; when "10" & x"d12" => data <= x"a0"; when "10" & x"d13" => data <= x"00"; when "10" & x"d14" => data <= x"a6"; when "10" & x"d15" => data <= x"2a"; when "10" & x"d16" => data <= x"d0"; when "10" & x"d17" => data <= x"02"; when "10" & x"d18" => data <= x"a2"; when "10" & x"d19" => data <= x"01"; when "10" & x"d1a" => data <= x"86"; when "10" & x"d1b" => data <= x"2a"; when "10" & x"d1c" => data <= x"8a"; when "10" & x"d1d" => data <= x"ca"; when "10" & x"d1e" => data <= x"86"; when "10" & x"d1f" => data <= x"2d"; when "10" & x"d20" => data <= x"18"; when "10" & x"d21" => data <= x"65"; when "10" & x"d22" => data <= x"04"; when "10" & x"d23" => data <= x"85"; when "10" & x"d24" => data <= x"37"; when "10" & x"d25" => data <= x"98"; when "10" & x"d26" => data <= x"65"; when "10" & x"d27" => data <= x"05"; when "10" & x"d28" => data <= x"85"; when "10" & x"d29" => data <= x"38"; when "10" & x"d2a" => data <= x"b1"; when "10" & x"d2b" => data <= x"04"; when "10" & x"d2c" => data <= x"38"; when "10" & x"d2d" => data <= x"e5"; when "10" & x"d2e" => data <= x"2d"; when "10" & x"d2f" => data <= x"90"; when "10" & x"d30" => data <= x"21"; when "10" & x"d31" => data <= x"e5"; when "10" & x"d32" => data <= x"36"; when "10" & x"d33" => data <= x"90"; when "10" & x"d34" => data <= x"1d"; when "10" & x"d35" => data <= x"69"; when "10" & x"d36" => data <= x"00"; when "10" & x"d37" => data <= x"85"; when "10" & x"d38" => data <= x"2b"; when "10" & x"d39" => data <= x"20"; when "10" & x"d3a" => data <= x"dc"; when "10" & x"d3b" => data <= x"bd"; when "10" & x"d3c" => data <= x"a0"; when "10" & x"d3d" => data <= x"00"; when "10" & x"d3e" => data <= x"a6"; when "10" & x"d3f" => data <= x"36"; when "10" & x"d40" => data <= x"f0"; when "10" & x"d41" => data <= x"0b"; when "10" & x"d42" => data <= x"b1"; when "10" & x"d43" => data <= x"37"; when "10" & x"d44" => data <= x"d9"; when "10" & x"d45" => data <= x"00"; when "10" & x"d46" => data <= x"06"; when "10" & x"d47" => data <= x"d0"; when "10" & x"d48" => data <= x"10"; when "10" & x"d49" => data <= x"c8"; when "10" & x"d4a" => data <= x"ca"; when "10" & x"d4b" => data <= x"d0"; when "10" & x"d4c" => data <= x"f5"; when "10" & x"d4d" => data <= x"a5"; when "10" & x"d4e" => data <= x"2a"; when "10" & x"d4f" => data <= x"4c"; when "10" & x"d50" => data <= x"d8"; when "10" & x"d51" => data <= x"ae"; when "10" & x"d52" => data <= x"20"; when "10" & x"d53" => data <= x"dc"; when "10" & x"d54" => data <= x"bd"; when "10" & x"d55" => data <= x"a9"; when "10" & x"d56" => data <= x"00"; when "10" & x"d57" => data <= x"f0"; when "10" & x"d58" => data <= x"f6"; when "10" & x"d59" => data <= x"e6"; when "10" & x"d5a" => data <= x"2a"; when "10" & x"d5b" => data <= x"c6"; when "10" & x"d5c" => data <= x"2b"; when "10" & x"d5d" => data <= x"f0"; when "10" & x"d5e" => data <= x"f6"; when "10" & x"d5f" => data <= x"e6"; when "10" & x"d60" => data <= x"37"; when "10" & x"d61" => data <= x"d0"; when "10" & x"d62" => data <= x"d9"; when "10" & x"d63" => data <= x"e6"; when "10" & x"d64" => data <= x"38"; when "10" & x"d65" => data <= x"d0"; when "10" & x"d66" => data <= x"d5"; when "10" & x"d67" => data <= x"4c"; when "10" & x"d68" => data <= x"0e"; when "10" & x"d69" => data <= x"8c"; when "10" & x"d6a" => data <= x"20"; when "10" & x"d6b" => data <= x"ec"; when "10" & x"d6c" => data <= x"ad"; when "10" & x"d6d" => data <= x"f0"; when "10" & x"d6e" => data <= x"f8"; when "10" & x"d6f" => data <= x"30"; when "10" & x"d70" => data <= x"06"; when "10" & x"d71" => data <= x"24"; when "10" & x"d72" => data <= x"2d"; when "10" & x"d73" => data <= x"30"; when "10" & x"d74" => data <= x"1e"; when "10" & x"d75" => data <= x"10"; when "10" & x"d76" => data <= x"33"; when "10" & x"d77" => data <= x"20"; when "10" & x"d78" => data <= x"da"; when "10" & x"d79" => data <= x"a1"; when "10" & x"d7a" => data <= x"10"; when "10" & x"d7b" => data <= x"0d"; when "10" & x"d7c" => data <= x"30"; when "10" & x"d7d" => data <= x"05"; when "10" & x"d7e" => data <= x"20"; when "10" & x"d7f" => data <= x"da"; when "10" & x"d80" => data <= x"a1"; when "10" & x"d81" => data <= x"f0"; when "10" & x"d82" => data <= x"06"; when "10" & x"d83" => data <= x"a5"; when "10" & x"d84" => data <= x"2e"; when "10" & x"d85" => data <= x"49"; when "10" & x"d86" => data <= x"80"; when "10" & x"d87" => data <= x"85"; when "10" & x"d88" => data <= x"2e"; when "10" & x"d89" => data <= x"a9"; when "10" & x"d8a" => data <= x"ff"; when "10" & x"d8b" => data <= x"60"; when "10" & x"d8c" => data <= x"20"; when "10" & x"d8d" => data <= x"02"; when "10" & x"d8e" => data <= x"ae"; when "10" & x"d8f" => data <= x"f0"; when "10" & x"d90" => data <= x"d6"; when "10" & x"d91" => data <= x"30"; when "10" & x"d92" => data <= x"eb"; when "10" & x"d93" => data <= x"38"; when "10" & x"d94" => data <= x"a9"; when "10" & x"d95" => data <= x"00"; when "10" & x"d96" => data <= x"a8"; when "10" & x"d97" => data <= x"e5"; when "10" & x"d98" => data <= x"2a"; when "10" & x"d99" => data <= x"85"; when "10" & x"d9a" => data <= x"2a"; when "10" & x"d9b" => data <= x"98"; when "10" & x"d9c" => data <= x"e5"; when "10" & x"d9d" => data <= x"2b"; when "10" & x"d9e" => data <= x"85"; when "10" & x"d9f" => data <= x"2b"; when "10" & x"da0" => data <= x"98"; when "10" & x"da1" => data <= x"e5"; when "10" & x"da2" => data <= x"2c"; when "10" & x"da3" => data <= x"85"; when "10" & x"da4" => data <= x"2c"; when "10" & x"da5" => data <= x"98"; when "10" & x"da6" => data <= x"e5"; when "10" & x"da7" => data <= x"2d"; when "10" & x"da8" => data <= x"85"; when "10" & x"da9" => data <= x"2d"; when "10" & x"daa" => data <= x"a9"; when "10" & x"dab" => data <= x"40"; when "10" & x"dac" => data <= x"60"; when "10" & x"dad" => data <= x"20"; when "10" & x"dae" => data <= x"8c"; when "10" & x"daf" => data <= x"8a"; when "10" & x"db0" => data <= x"c9"; when "10" & x"db1" => data <= x"22"; when "10" & x"db2" => data <= x"f0"; when "10" & x"db3" => data <= x"15"; when "10" & x"db4" => data <= x"a2"; when "10" & x"db5" => data <= x"00"; when "10" & x"db6" => data <= x"b1"; when "10" & x"db7" => data <= x"19"; when "10" & x"db8" => data <= x"9d"; when "10" & x"db9" => data <= x"00"; when "10" & x"dba" => data <= x"06"; when "10" & x"dbb" => data <= x"c8"; when "10" & x"dbc" => data <= x"e8"; when "10" & x"dbd" => data <= x"c9"; when "10" & x"dbe" => data <= x"0d"; when "10" & x"dbf" => data <= x"f0"; when "10" & x"dc0" => data <= x"04"; when "10" & x"dc1" => data <= x"c9"; when "10" & x"dc2" => data <= x"2c"; when "10" & x"dc3" => data <= x"d0"; when "10" & x"dc4" => data <= x"f1"; when "10" & x"dc5" => data <= x"88"; when "10" & x"dc6" => data <= x"4c"; when "10" & x"dc7" => data <= x"e1"; when "10" & x"dc8" => data <= x"ad"; when "10" & x"dc9" => data <= x"a2"; when "10" & x"dca" => data <= x"00"; when "10" & x"dcb" => data <= x"c8"; when "10" & x"dcc" => data <= x"b1"; when "10" & x"dcd" => data <= x"19"; when "10" & x"dce" => data <= x"c9"; when "10" & x"dcf" => data <= x"0d"; when "10" & x"dd0" => data <= x"f0"; when "10" & x"dd1" => data <= x"17"; when "10" & x"dd2" => data <= x"c8"; when "10" & x"dd3" => data <= x"9d"; when "10" & x"dd4" => data <= x"00"; when "10" & x"dd5" => data <= x"06"; when "10" & x"dd6" => data <= x"e8"; when "10" & x"dd7" => data <= x"c9"; when "10" & x"dd8" => data <= x"22"; when "10" & x"dd9" => data <= x"d0"; when "10" & x"dda" => data <= x"f1"; when "10" & x"ddb" => data <= x"b1"; when "10" & x"ddc" => data <= x"19"; when "10" & x"ddd" => data <= x"c9"; when "10" & x"dde" => data <= x"22"; when "10" & x"ddf" => data <= x"f0"; when "10" & x"de0" => data <= x"ea"; when "10" & x"de1" => data <= x"ca"; when "10" & x"de2" => data <= x"86"; when "10" & x"de3" => data <= x"36"; when "10" & x"de4" => data <= x"84"; when "10" & x"de5" => data <= x"1b"; when "10" & x"de6" => data <= x"a9"; when "10" & x"de7" => data <= x"00"; when "10" & x"de8" => data <= x"60"; when "10" & x"de9" => data <= x"4c"; when "10" & x"dea" => data <= x"98"; when "10" & x"deb" => data <= x"8e"; when "10" & x"dec" => data <= x"a4"; when "10" & x"ded" => data <= x"1b"; when "10" & x"dee" => data <= x"e6"; when "10" & x"def" => data <= x"1b"; when "10" & x"df0" => data <= x"b1"; when "10" & x"df1" => data <= x"19"; when "10" & x"df2" => data <= x"c9"; when "10" & x"df3" => data <= x"20"; when "10" & x"df4" => data <= x"f0"; when "10" & x"df5" => data <= x"f6"; when "10" & x"df6" => data <= x"c9"; when "10" & x"df7" => data <= x"2d"; when "10" & x"df8" => data <= x"f0"; when "10" & x"df9" => data <= x"92"; when "10" & x"dfa" => data <= x"c9"; when "10" & x"dfb" => data <= x"22"; when "10" & x"dfc" => data <= x"f0"; when "10" & x"dfd" => data <= x"cb"; when "10" & x"dfe" => data <= x"c9"; when "10" & x"dff" => data <= x"2b"; when "10" & x"e00" => data <= x"d0"; when "10" & x"e01" => data <= x"03"; when "10" & x"e02" => data <= x"20"; when "10" & x"e03" => data <= x"8c"; when "10" & x"e04" => data <= x"8a"; when "10" & x"e05" => data <= x"c9"; when "10" & x"e06" => data <= x"8e"; when "10" & x"e07" => data <= x"90"; when "10" & x"e08" => data <= x"07"; when "10" & x"e09" => data <= x"c9"; when "10" & x"e0a" => data <= x"c6"; when "10" & x"e0b" => data <= x"b0"; when "10" & x"e0c" => data <= x"36"; when "10" & x"e0d" => data <= x"4c"; when "10" & x"e0e" => data <= x"b1"; when "10" & x"e0f" => data <= x"8b"; when "10" & x"e10" => data <= x"c9"; when "10" & x"e11" => data <= x"3f"; when "10" & x"e12" => data <= x"b0"; when "10" & x"e13" => data <= x"0c"; when "10" & x"e14" => data <= x"c9"; when "10" & x"e15" => data <= x"2e"; when "10" & x"e16" => data <= x"b0"; when "10" & x"e17" => data <= x"12"; when "10" & x"e18" => data <= x"c9"; when "10" & x"e19" => data <= x"26"; when "10" & x"e1a" => data <= x"f0"; when "10" & x"e1b" => data <= x"51"; when "10" & x"e1c" => data <= x"c9"; when "10" & x"e1d" => data <= x"28"; when "10" & x"e1e" => data <= x"f0"; when "10" & x"e1f" => data <= x"36"; when "10" & x"e20" => data <= x"c6"; when "10" & x"e21" => data <= x"1b"; when "10" & x"e22" => data <= x"20"; when "10" & x"e23" => data <= x"dd"; when "10" & x"e24" => data <= x"95"; when "10" & x"e25" => data <= x"f0"; when "10" & x"e26" => data <= x"09"; when "10" & x"e27" => data <= x"4c"; when "10" & x"e28" => data <= x"2c"; when "10" & x"e29" => data <= x"b3"; when "10" & x"e2a" => data <= x"20"; when "10" & x"e2b" => data <= x"7b"; when "10" & x"e2c" => data <= x"a0"; when "10" & x"e2d" => data <= x"90"; when "10" & x"e2e" => data <= x"14"; when "10" & x"e2f" => data <= x"60"; when "10" & x"e30" => data <= x"a5"; when "10" & x"e31" => data <= x"28"; when "10" & x"e32" => data <= x"29"; when "10" & x"e33" => data <= x"02"; when "10" & x"e34" => data <= x"d0"; when "10" & x"e35" => data <= x"0d"; when "10" & x"e36" => data <= x"b0"; when "10" & x"e37" => data <= x"0b"; when "10" & x"e38" => data <= x"86"; when "10" & x"e39" => data <= x"1b"; when "10" & x"e3a" => data <= x"ad"; when "10" & x"e3b" => data <= x"40"; when "10" & x"e3c" => data <= x"04"; when "10" & x"e3d" => data <= x"ac"; when "10" & x"e3e" => data <= x"41"; when "10" & x"e3f" => data <= x"04"; when "10" & x"e40" => data <= x"4c"; when "10" & x"e41" => data <= x"ea"; when "10" & x"e42" => data <= x"ae"; when "10" & x"e43" => data <= x"00"; when "10" & x"e44" => data <= x"1a"; when "10" & x"e45" => data <= x"4e"; when "10" & x"e46" => data <= x"6f"; when "10" & x"e47" => data <= x"20"; when "10" & x"e48" => data <= x"73"; when "10" & x"e49" => data <= x"75"; when "10" & x"e4a" => data <= x"63"; when "10" & x"e4b" => data <= x"68"; when "10" & x"e4c" => data <= x"20"; when "10" & x"e4d" => data <= x"76"; when "10" & x"e4e" => data <= x"61"; when "10" & x"e4f" => data <= x"72"; when "10" & x"e50" => data <= x"69"; when "10" & x"e51" => data <= x"61"; when "10" & x"e52" => data <= x"62"; when "10" & x"e53" => data <= x"6c"; when "10" & x"e54" => data <= x"65"; when "10" & x"e55" => data <= x"00"; when "10" & x"e56" => data <= x"20"; when "10" & x"e57" => data <= x"29"; when "10" & x"e58" => data <= x"9b"; when "10" & x"e59" => data <= x"e6"; when "10" & x"e5a" => data <= x"1b"; when "10" & x"e5b" => data <= x"e0"; when "10" & x"e5c" => data <= x"29"; when "10" & x"e5d" => data <= x"d0"; when "10" & x"e5e" => data <= x"02"; when "10" & x"e5f" => data <= x"a8"; when "10" & x"e60" => data <= x"60"; when "10" & x"e61" => data <= x"00"; when "10" & x"e62" => data <= x"1b"; when "10" & x"e63" => data <= x"4d"; when "10" & x"e64" => data <= x"69"; when "10" & x"e65" => data <= x"73"; when "10" & x"e66" => data <= x"73"; when "10" & x"e67" => data <= x"69"; when "10" & x"e68" => data <= x"6e"; when "10" & x"e69" => data <= x"67"; when "10" & x"e6a" => data <= x"20"; when "10" & x"e6b" => data <= x"29"; when "10" & x"e6c" => data <= x"00"; when "10" & x"e6d" => data <= x"a2"; when "10" & x"e6e" => data <= x"00"; when "10" & x"e6f" => data <= x"86"; when "10" & x"e70" => data <= x"2a"; when "10" & x"e71" => data <= x"86"; when "10" & x"e72" => data <= x"2b"; when "10" & x"e73" => data <= x"86"; when "10" & x"e74" => data <= x"2c"; when "10" & x"e75" => data <= x"86"; when "10" & x"e76" => data <= x"2d"; when "10" & x"e77" => data <= x"a4"; when "10" & x"e78" => data <= x"1b"; when "10" & x"e79" => data <= x"b1"; when "10" & x"e7a" => data <= x"19"; when "10" & x"e7b" => data <= x"c9"; when "10" & x"e7c" => data <= x"30"; when "10" & x"e7d" => data <= x"90"; when "10" & x"e7e" => data <= x"23"; when "10" & x"e7f" => data <= x"c9"; when "10" & x"e80" => data <= x"3a"; when "10" & x"e81" => data <= x"90"; when "10" & x"e82" => data <= x"0a"; when "10" & x"e83" => data <= x"e9"; when "10" & x"e84" => data <= x"37"; when "10" & x"e85" => data <= x"c9"; when "10" & x"e86" => data <= x"0a"; when "10" & x"e87" => data <= x"90"; when "10" & x"e88" => data <= x"19"; when "10" & x"e89" => data <= x"c9"; when "10" & x"e8a" => data <= x"10"; when "10" & x"e8b" => data <= x"b0"; when "10" & x"e8c" => data <= x"15"; when "10" & x"e8d" => data <= x"0a"; when "10" & x"e8e" => data <= x"0a"; when "10" & x"e8f" => data <= x"0a"; when "10" & x"e90" => data <= x"0a"; when "10" & x"e91" => data <= x"a2"; when "10" & x"e92" => data <= x"03"; when "10" & x"e93" => data <= x"0a"; when "10" & x"e94" => data <= x"26"; when "10" & x"e95" => data <= x"2a"; when "10" & x"e96" => data <= x"26"; when "10" & x"e97" => data <= x"2b"; when "10" & x"e98" => data <= x"26"; when "10" & x"e99" => data <= x"2c"; when "10" & x"e9a" => data <= x"26"; when "10" & x"e9b" => data <= x"2d"; when "10" & x"e9c" => data <= x"ca"; when "10" & x"e9d" => data <= x"10"; when "10" & x"e9e" => data <= x"f4"; when "10" & x"e9f" => data <= x"c8"; when "10" & x"ea0" => data <= x"d0"; when "10" & x"ea1" => data <= x"d7"; when "10" & x"ea2" => data <= x"8a"; when "10" & x"ea3" => data <= x"10"; when "10" & x"ea4" => data <= x"05"; when "10" & x"ea5" => data <= x"84"; when "10" & x"ea6" => data <= x"1b"; when "10" & x"ea7" => data <= x"a9"; when "10" & x"ea8" => data <= x"40"; when "10" & x"ea9" => data <= x"60"; when "10" & x"eaa" => data <= x"00"; when "10" & x"eab" => data <= x"1c"; when "10" & x"eac" => data <= x"42"; when "10" & x"ead" => data <= x"61"; when "10" & x"eae" => data <= x"64"; when "10" & x"eaf" => data <= x"20"; when "10" & x"eb0" => data <= x"48"; when "10" & x"eb1" => data <= x"45"; when "10" & x"eb2" => data <= x"58"; when "10" & x"eb3" => data <= x"00"; when "10" & x"eb4" => data <= x"a2"; when "10" & x"eb5" => data <= x"2a"; when "10" & x"eb6" => data <= x"a0"; when "10" & x"eb7" => data <= x"00"; when "10" & x"eb8" => data <= x"a9"; when "10" & x"eb9" => data <= x"01"; when "10" & x"eba" => data <= x"20"; when "10" & x"ebb" => data <= x"f1"; when "10" & x"ebc" => data <= x"ff"; when "10" & x"ebd" => data <= x"a9"; when "10" & x"ebe" => data <= x"40"; when "10" & x"ebf" => data <= x"60"; when "10" & x"ec0" => data <= x"a9"; when "10" & x"ec1" => data <= x"00"; when "10" & x"ec2" => data <= x"a4"; when "10" & x"ec3" => data <= x"18"; when "10" & x"ec4" => data <= x"4c"; when "10" & x"ec5" => data <= x"ea"; when "10" & x"ec6" => data <= x"ae"; when "10" & x"ec7" => data <= x"4c"; when "10" & x"ec8" => data <= x"43"; when "10" & x"ec9" => data <= x"ae"; when "10" & x"eca" => data <= x"a9"; when "10" & x"ecb" => data <= x"00"; when "10" & x"ecc" => data <= x"f0"; when "10" & x"ecd" => data <= x"0a"; when "10" & x"ece" => data <= x"4c"; when "10" & x"ecf" => data <= x"0e"; when "10" & x"ed0" => data <= x"8c"; when "10" & x"ed1" => data <= x"20"; when "10" & x"ed2" => data <= x"ec"; when "10" & x"ed3" => data <= x"ad"; when "10" & x"ed4" => data <= x"d0"; when "10" & x"ed5" => data <= x"f8"; when "10" & x"ed6" => data <= x"a5"; when "10" & x"ed7" => data <= x"36"; when "10" & x"ed8" => data <= x"a0"; when "10" & x"ed9" => data <= x"00"; when "10" & x"eda" => data <= x"f0"; when "10" & x"edb" => data <= x"0e"; when "10" & x"edc" => data <= x"a4"; when "10" & x"edd" => data <= x"1b"; when "10" & x"ede" => data <= x"b1"; when "10" & x"edf" => data <= x"19"; when "10" & x"ee0" => data <= x"c9"; when "10" & x"ee1" => data <= x"50"; when "10" & x"ee2" => data <= x"d0"; when "10" & x"ee3" => data <= x"e3"; when "10" & x"ee4" => data <= x"e6"; when "10" & x"ee5" => data <= x"1b"; when "10" & x"ee6" => data <= x"a5"; when "10" & x"ee7" => data <= x"12"; when "10" & x"ee8" => data <= x"a4"; when "10" & x"ee9" => data <= x"13"; when "10" & x"eea" => data <= x"85"; when "10" & x"eeb" => data <= x"2a"; when "10" & x"eec" => data <= x"84"; when "10" & x"eed" => data <= x"2b"; when "10" & x"eee" => data <= x"a9"; when "10" & x"eef" => data <= x"00"; when "10" & x"ef0" => data <= x"85"; when "10" & x"ef1" => data <= x"2c"; when "10" & x"ef2" => data <= x"85"; when "10" & x"ef3" => data <= x"2d"; when "10" & x"ef4" => data <= x"a9"; when "10" & x"ef5" => data <= x"40"; when "10" & x"ef6" => data <= x"60"; when "10" & x"ef7" => data <= x"a5"; when "10" & x"ef8" => data <= x"1e"; when "10" & x"ef9" => data <= x"4c"; when "10" & x"efa" => data <= x"d8"; when "10" & x"efb" => data <= x"ae"; when "10" & x"efc" => data <= x"a5"; when "10" & x"efd" => data <= x"00"; when "10" & x"efe" => data <= x"a4"; when "10" & x"eff" => data <= x"01"; when "10" & x"f00" => data <= x"4c"; when "10" & x"f01" => data <= x"ea"; when "10" & x"f02" => data <= x"ae"; when "10" & x"f03" => data <= x"a5"; when "10" & x"f04" => data <= x"06"; when "10" & x"f05" => data <= x"a4"; when "10" & x"f06" => data <= x"07"; when "10" & x"f07" => data <= x"4c"; when "10" & x"f08" => data <= x"ea"; when "10" & x"f09" => data <= x"ae"; when "10" & x"f0a" => data <= x"e6"; when "10" & x"f0b" => data <= x"1b"; when "10" & x"f0c" => data <= x"20"; when "10" & x"f0d" => data <= x"56"; when "10" & x"f0e" => data <= x"ae"; when "10" & x"f0f" => data <= x"20"; when "10" & x"f10" => data <= x"f0"; when "10" & x"f11" => data <= x"92"; when "10" & x"f12" => data <= x"a5"; when "10" & x"f13" => data <= x"2d"; when "10" & x"f14" => data <= x"30"; when "10" & x"f15" => data <= x"29"; when "10" & x"f16" => data <= x"05"; when "10" & x"f17" => data <= x"2c"; when "10" & x"f18" => data <= x"05"; when "10" & x"f19" => data <= x"2b"; when "10" & x"f1a" => data <= x"d0"; when "10" & x"f1b" => data <= x"08"; when "10" & x"f1c" => data <= x"a5"; when "10" & x"f1d" => data <= x"2a"; when "10" & x"f1e" => data <= x"f0"; when "10" & x"f1f" => data <= x"4c"; when "10" & x"f20" => data <= x"c9"; when "10" & x"f21" => data <= x"01"; when "10" & x"f22" => data <= x"f0"; when "10" & x"f23" => data <= x"45"; when "10" & x"f24" => data <= x"20"; when "10" & x"f25" => data <= x"be"; when "10" & x"f26" => data <= x"a2"; when "10" & x"f27" => data <= x"20"; when "10" & x"f28" => data <= x"51"; when "10" & x"f29" => data <= x"bd"; when "10" & x"f2a" => data <= x"20"; when "10" & x"f2b" => data <= x"69"; when "10" & x"f2c" => data <= x"af"; when "10" & x"f2d" => data <= x"20"; when "10" & x"f2e" => data <= x"7e"; when "10" & x"f2f" => data <= x"bd"; when "10" & x"f30" => data <= x"20"; when "10" & x"f31" => data <= x"06"; when "10" & x"f32" => data <= x"a6"; when "10" & x"f33" => data <= x"20"; when "10" & x"f34" => data <= x"03"; when "10" & x"f35" => data <= x"a3"; when "10" & x"f36" => data <= x"20"; when "10" & x"f37" => data <= x"e4"; when "10" & x"f38" => data <= x"a3"; when "10" & x"f39" => data <= x"20"; when "10" & x"f3a" => data <= x"22"; when "10" & x"f3b" => data <= x"92"; when "10" & x"f3c" => data <= x"a9"; when "10" & x"f3d" => data <= x"40"; when "10" & x"f3e" => data <= x"60"; when "10" & x"f3f" => data <= x"a2"; when "10" & x"f40" => data <= x"0d"; when "10" & x"f41" => data <= x"20"; when "10" & x"f42" => data <= x"44"; when "10" & x"f43" => data <= x"be"; when "10" & x"f44" => data <= x"a9"; when "10" & x"f45" => data <= x"40"; when "10" & x"f46" => data <= x"85"; when "10" & x"f47" => data <= x"11"; when "10" & x"f48" => data <= x"60"; when "10" & x"f49" => data <= x"a4"; when "10" & x"f4a" => data <= x"1b"; when "10" & x"f4b" => data <= x"b1"; when "10" & x"f4c" => data <= x"19"; when "10" & x"f4d" => data <= x"c9"; when "10" & x"f4e" => data <= x"28"; when "10" & x"f4f" => data <= x"f0"; when "10" & x"f50" => data <= x"b9"; when "10" & x"f51" => data <= x"20"; when "10" & x"f52" => data <= x"87"; when "10" & x"f53" => data <= x"af"; when "10" & x"f54" => data <= x"a2"; when "10" & x"f55" => data <= x"0d"; when "10" & x"f56" => data <= x"b5"; when "10" & x"f57" => data <= x"00"; when "10" & x"f58" => data <= x"85"; when "10" & x"f59" => data <= x"2a"; when "10" & x"f5a" => data <= x"b5"; when "10" & x"f5b" => data <= x"01"; when "10" & x"f5c" => data <= x"85"; when "10" & x"f5d" => data <= x"2b"; when "10" & x"f5e" => data <= x"b5"; when "10" & x"f5f" => data <= x"02"; when "10" & x"f60" => data <= x"85"; when "10" & x"f61" => data <= x"2c"; when "10" & x"f62" => data <= x"b5"; when "10" & x"f63" => data <= x"03"; when "10" & x"f64" => data <= x"85"; when "10" & x"f65" => data <= x"2d"; when "10" & x"f66" => data <= x"a9"; when "10" & x"f67" => data <= x"40"; when "10" & x"f68" => data <= x"60"; when "10" & x"f69" => data <= x"20"; when "10" & x"f6a" => data <= x"87"; when "10" & x"f6b" => data <= x"af"; when "10" & x"f6c" => data <= x"a2"; when "10" & x"f6d" => data <= x"00"; when "10" & x"f6e" => data <= x"86"; when "10" & x"f6f" => data <= x"2e"; when "10" & x"f70" => data <= x"86"; when "10" & x"f71" => data <= x"2f"; when "10" & x"f72" => data <= x"86"; when "10" & x"f73" => data <= x"35"; when "10" & x"f74" => data <= x"a9"; when "10" & x"f75" => data <= x"80"; when "10" & x"f76" => data <= x"85"; when "10" & x"f77" => data <= x"30"; when "10" & x"f78" => data <= x"b5"; when "10" & x"f79" => data <= x"0d"; when "10" & x"f7a" => data <= x"95"; when "10" & x"f7b" => data <= x"31"; when "10" & x"f7c" => data <= x"e8"; when "10" & x"f7d" => data <= x"e0"; when "10" & x"f7e" => data <= x"04"; when "10" & x"f7f" => data <= x"d0"; when "10" & x"f80" => data <= x"f7"; when "10" & x"f81" => data <= x"20"; when "10" & x"f82" => data <= x"59"; when "10" & x"f83" => data <= x"a6"; when "10" & x"f84" => data <= x"a9"; when "10" & x"f85" => data <= x"ff"; when "10" & x"f86" => data <= x"60"; when "10" & x"f87" => data <= x"a0"; when "10" & x"f88" => data <= x"20"; when "10" & x"f89" => data <= x"a5"; when "10" & x"f8a" => data <= x"0f"; when "10" & x"f8b" => data <= x"4a"; when "10" & x"f8c" => data <= x"4a"; when "10" & x"f8d" => data <= x"4a"; when "10" & x"f8e" => data <= x"45"; when "10" & x"f8f" => data <= x"11"; when "10" & x"f90" => data <= x"6a"; when "10" & x"f91" => data <= x"26"; when "10" & x"f92" => data <= x"0d"; when "10" & x"f93" => data <= x"26"; when "10" & x"f94" => data <= x"0e"; when "10" & x"f95" => data <= x"26"; when "10" & x"f96" => data <= x"0f"; when "10" & x"f97" => data <= x"26"; when "10" & x"f98" => data <= x"10"; when "10" & x"f99" => data <= x"26"; when "10" & x"f9a" => data <= x"11"; when "10" & x"f9b" => data <= x"88"; when "10" & x"f9c" => data <= x"d0"; when "10" & x"f9d" => data <= x"eb"; when "10" & x"f9e" => data <= x"60"; when "10" & x"f9f" => data <= x"a4"; when "10" & x"fa0" => data <= x"09"; when "10" & x"fa1" => data <= x"a5"; when "10" & x"fa2" => data <= x"08"; when "10" & x"fa3" => data <= x"4c"; when "10" & x"fa4" => data <= x"ea"; when "10" & x"fa5" => data <= x"ae"; when "10" & x"fa6" => data <= x"a0"; when "10" & x"fa7" => data <= x"00"; when "10" & x"fa8" => data <= x"b1"; when "10" & x"fa9" => data <= x"fd"; when "10" & x"faa" => data <= x"4c"; when "10" & x"fab" => data <= x"ea"; when "10" & x"fac" => data <= x"ae"; when "10" & x"fad" => data <= x"20"; when "10" & x"fae" => data <= x"e3"; when "10" & x"faf" => data <= x"92"; when "10" & x"fb0" => data <= x"a9"; when "10" & x"fb1" => data <= x"81"; when "10" & x"fb2" => data <= x"a6"; when "10" & x"fb3" => data <= x"2a"; when "10" & x"fb4" => data <= x"a4"; when "10" & x"fb5" => data <= x"2b"; when "10" & x"fb6" => data <= x"4c"; when "10" & x"fb7" => data <= x"f4"; when "10" & x"fb8" => data <= x"ff"; when "10" & x"fb9" => data <= x"20"; when "10" & x"fba" => data <= x"e0"; when "10" & x"fbb" => data <= x"ff"; when "10" & x"fbc" => data <= x"4c"; when "10" & x"fbd" => data <= x"d8"; when "10" & x"fbe" => data <= x"ae"; when "10" & x"fbf" => data <= x"20"; when "10" & x"fc0" => data <= x"e0"; when "10" & x"fc1" => data <= x"ff"; when "10" & x"fc2" => data <= x"8d"; when "10" & x"fc3" => data <= x"00"; when "10" & x"fc4" => data <= x"06"; when "10" & x"fc5" => data <= x"a9"; when "10" & x"fc6" => data <= x"01"; when "10" & x"fc7" => data <= x"85"; when "10" & x"fc8" => data <= x"36"; when "10" & x"fc9" => data <= x"a9"; when "10" & x"fca" => data <= x"00"; when "10" & x"fcb" => data <= x"60"; when "10" & x"fcc" => data <= x"20"; when "10" & x"fcd" => data <= x"29"; when "10" & x"fce" => data <= x"9b"; when "10" & x"fcf" => data <= x"d0"; when "10" & x"fd0" => data <= x"62"; when "10" & x"fd1" => data <= x"e0"; when "10" & x"fd2" => data <= x"2c"; when "10" & x"fd3" => data <= x"d0"; when "10" & x"fd4" => data <= x"61"; when "10" & x"fd5" => data <= x"e6"; when "10" & x"fd6" => data <= x"1b"; when "10" & x"fd7" => data <= x"20"; when "10" & x"fd8" => data <= x"b2"; when "10" & x"fd9" => data <= x"bd"; when "10" & x"fda" => data <= x"20"; when "10" & x"fdb" => data <= x"56"; when "10" & x"fdc" => data <= x"ae"; when "10" & x"fdd" => data <= x"20"; when "10" & x"fde" => data <= x"f0"; when "10" & x"fdf" => data <= x"92"; when "10" & x"fe0" => data <= x"20"; when "10" & x"fe1" => data <= x"cb"; when "10" & x"fe2" => data <= x"bd"; when "10" & x"fe3" => data <= x"a5"; when "10" & x"fe4" => data <= x"2a"; when "10" & x"fe5" => data <= x"c5"; when "10" & x"fe6" => data <= x"36"; when "10" & x"fe7" => data <= x"b0"; when "10" & x"fe8" => data <= x"02"; when "10" & x"fe9" => data <= x"85"; when "10" & x"fea" => data <= x"36"; when "10" & x"feb" => data <= x"a9"; when "10" & x"fec" => data <= x"00"; when "10" & x"fed" => data <= x"60"; when "10" & x"fee" => data <= x"20"; when "10" & x"fef" => data <= x"29"; when "10" & x"ff0" => data <= x"9b"; when "10" & x"ff1" => data <= x"d0"; when "10" & x"ff2" => data <= x"40"; when "10" & x"ff3" => data <= x"e0"; when "10" & x"ff4" => data <= x"2c"; when "10" & x"ff5" => data <= x"d0"; when "10" & x"ff6" => data <= x"3f"; when "10" & x"ff7" => data <= x"e6"; when "10" & x"ff8" => data <= x"1b"; when "10" & x"ff9" => data <= x"20"; when "10" & x"ffa" => data <= x"b2"; when "10" & x"ffb" => data <= x"bd"; when "10" & x"ffc" => data <= x"20"; when "10" & x"ffd" => data <= x"56"; when "10" & x"ffe" => data <= x"ae"; when "10" & x"fff" => data <= x"20"; when "11" & x"000" => data <= x"f0"; when "11" & x"001" => data <= x"92"; when "11" & x"002" => data <= x"20"; when "11" & x"003" => data <= x"cb"; when "11" & x"004" => data <= x"bd"; when "11" & x"005" => data <= x"a5"; when "11" & x"006" => data <= x"36"; when "11" & x"007" => data <= x"38"; when "11" & x"008" => data <= x"e5"; when "11" & x"009" => data <= x"2a"; when "11" & x"00a" => data <= x"90"; when "11" & x"00b" => data <= x"17"; when "11" & x"00c" => data <= x"f0"; when "11" & x"00d" => data <= x"17"; when "11" & x"00e" => data <= x"aa"; when "11" & x"00f" => data <= x"a5"; when "11" & x"010" => data <= x"2a"; when "11" & x"011" => data <= x"85"; when "11" & x"012" => data <= x"36"; when "11" & x"013" => data <= x"f0"; when "11" & x"014" => data <= x"10"; when "11" & x"015" => data <= x"a0"; when "11" & x"016" => data <= x"00"; when "11" & x"017" => data <= x"bd"; when "11" & x"018" => data <= x"00"; when "11" & x"019" => data <= x"06"; when "11" & x"01a" => data <= x"99"; when "11" & x"01b" => data <= x"00"; when "11" & x"01c" => data <= x"06"; when "11" & x"01d" => data <= x"e8"; when "11" & x"01e" => data <= x"c8"; when "11" & x"01f" => data <= x"c6"; when "11" & x"020" => data <= x"2a"; when "11" & x"021" => data <= x"d0"; when "11" & x"022" => data <= x"f4"; when "11" & x"023" => data <= x"a9"; when "11" & x"024" => data <= x"00"; when "11" & x"025" => data <= x"60"; when "11" & x"026" => data <= x"20"; when "11" & x"027" => data <= x"ad"; when "11" & x"028" => data <= x"af"; when "11" & x"029" => data <= x"8a"; when "11" & x"02a" => data <= x"c0"; when "11" & x"02b" => data <= x"00"; when "11" & x"02c" => data <= x"f0"; when "11" & x"02d" => data <= x"94"; when "11" & x"02e" => data <= x"a9"; when "11" & x"02f" => data <= x"00"; when "11" & x"030" => data <= x"85"; when "11" & x"031" => data <= x"36"; when "11" & x"032" => data <= x"60"; when "11" & x"033" => data <= x"4c"; when "11" & x"034" => data <= x"0e"; when "11" & x"035" => data <= x"8c"; when "11" & x"036" => data <= x"4c"; when "11" & x"037" => data <= x"a2"; when "11" & x"038" => data <= x"8a"; when "11" & x"039" => data <= x"20"; when "11" & x"03a" => data <= x"29"; when "11" & x"03b" => data <= x"9b"; when "11" & x"03c" => data <= x"d0"; when "11" & x"03d" => data <= x"f5"; when "11" & x"03e" => data <= x"e0"; when "11" & x"03f" => data <= x"2c"; when "11" & x"040" => data <= x"d0"; when "11" & x"041" => data <= x"f4"; when "11" & x"042" => data <= x"20"; when "11" & x"043" => data <= x"b2"; when "11" & x"044" => data <= x"bd"; when "11" & x"045" => data <= x"e6"; when "11" & x"046" => data <= x"1b"; when "11" & x"047" => data <= x"20"; when "11" & x"048" => data <= x"dd"; when "11" & x"049" => data <= x"92"; when "11" & x"04a" => data <= x"a5"; when "11" & x"04b" => data <= x"2a"; when "11" & x"04c" => data <= x"48"; when "11" & x"04d" => data <= x"a9"; when "11" & x"04e" => data <= x"ff"; when "11" & x"04f" => data <= x"85"; when "11" & x"050" => data <= x"2a"; when "11" & x"051" => data <= x"e6"; when "11" & x"052" => data <= x"1b"; when "11" & x"053" => data <= x"e0"; when "11" & x"054" => data <= x"29"; when "11" & x"055" => data <= x"f0"; when "11" & x"056" => data <= x"0a"; when "11" & x"057" => data <= x"e0"; when "11" & x"058" => data <= x"2c"; when "11" & x"059" => data <= x"d0"; when "11" & x"05a" => data <= x"db"; when "11" & x"05b" => data <= x"20"; when "11" & x"05c" => data <= x"56"; when "11" & x"05d" => data <= x"ae"; when "11" & x"05e" => data <= x"20"; when "11" & x"05f" => data <= x"f0"; when "11" & x"060" => data <= x"92"; when "11" & x"061" => data <= x"20"; when "11" & x"062" => data <= x"cb"; when "11" & x"063" => data <= x"bd"; when "11" & x"064" => data <= x"68"; when "11" & x"065" => data <= x"a8"; when "11" & x"066" => data <= x"18"; when "11" & x"067" => data <= x"f0"; when "11" & x"068" => data <= x"06"; when "11" & x"069" => data <= x"e5"; when "11" & x"06a" => data <= x"36"; when "11" & x"06b" => data <= x"b0"; when "11" & x"06c" => data <= x"c1"; when "11" & x"06d" => data <= x"88"; when "11" & x"06e" => data <= x"98"; when "11" & x"06f" => data <= x"85"; when "11" & x"070" => data <= x"2c"; when "11" & x"071" => data <= x"aa"; when "11" & x"072" => data <= x"a0"; when "11" & x"073" => data <= x"00"; when "11" & x"074" => data <= x"a5"; when "11" & x"075" => data <= x"36"; when "11" & x"076" => data <= x"38"; when "11" & x"077" => data <= x"e5"; when "11" & x"078" => data <= x"2c"; when "11" & x"079" => data <= x"c5"; when "11" & x"07a" => data <= x"2a"; when "11" & x"07b" => data <= x"b0"; when "11" & x"07c" => data <= x"02"; when "11" & x"07d" => data <= x"85"; when "11" & x"07e" => data <= x"2a"; when "11" & x"07f" => data <= x"a5"; when "11" & x"080" => data <= x"2a"; when "11" & x"081" => data <= x"f0"; when "11" & x"082" => data <= x"ab"; when "11" & x"083" => data <= x"bd"; when "11" & x"084" => data <= x"00"; when "11" & x"085" => data <= x"06"; when "11" & x"086" => data <= x"99"; when "11" & x"087" => data <= x"00"; when "11" & x"088" => data <= x"06"; when "11" & x"089" => data <= x"c8"; when "11" & x"08a" => data <= x"e8"; when "11" & x"08b" => data <= x"c4"; when "11" & x"08c" => data <= x"2a"; when "11" & x"08d" => data <= x"d0"; when "11" & x"08e" => data <= x"f4"; when "11" & x"08f" => data <= x"84"; when "11" & x"090" => data <= x"36"; when "11" & x"091" => data <= x"a9"; when "11" & x"092" => data <= x"00"; when "11" & x"093" => data <= x"60"; when "11" & x"094" => data <= x"20"; when "11" & x"095" => data <= x"8c"; when "11" & x"096" => data <= x"8a"; when "11" & x"097" => data <= x"a0"; when "11" & x"098" => data <= x"ff"; when "11" & x"099" => data <= x"c9"; when "11" & x"09a" => data <= x"7e"; when "11" & x"09b" => data <= x"f0"; when "11" & x"09c" => data <= x"04"; when "11" & x"09d" => data <= x"a0"; when "11" & x"09e" => data <= x"00"; when "11" & x"09f" => data <= x"c6"; when "11" & x"0a0" => data <= x"1b"; when "11" & x"0a1" => data <= x"98"; when "11" & x"0a2" => data <= x"48"; when "11" & x"0a3" => data <= x"20"; when "11" & x"0a4" => data <= x"ec"; when "11" & x"0a5" => data <= x"ad"; when "11" & x"0a6" => data <= x"f0"; when "11" & x"0a7" => data <= x"17"; when "11" & x"0a8" => data <= x"a8"; when "11" & x"0a9" => data <= x"68"; when "11" & x"0aa" => data <= x"85"; when "11" & x"0ab" => data <= x"15"; when "11" & x"0ac" => data <= x"ad"; when "11" & x"0ad" => data <= x"03"; when "11" & x"0ae" => data <= x"04"; when "11" & x"0af" => data <= x"d0"; when "11" & x"0b0" => data <= x"08"; when "11" & x"0b1" => data <= x"85"; when "11" & x"0b2" => data <= x"37"; when "11" & x"0b3" => data <= x"20"; when "11" & x"0b4" => data <= x"f9"; when "11" & x"0b5" => data <= x"9e"; when "11" & x"0b6" => data <= x"a9"; when "11" & x"0b7" => data <= x"00"; when "11" & x"0b8" => data <= x"60"; when "11" & x"0b9" => data <= x"20"; when "11" & x"0ba" => data <= x"df"; when "11" & x"0bb" => data <= x"9e"; when "11" & x"0bc" => data <= x"a9"; when "11" & x"0bd" => data <= x"00"; when "11" & x"0be" => data <= x"60"; when "11" & x"0bf" => data <= x"4c"; when "11" & x"0c0" => data <= x"0e"; when "11" & x"0c1" => data <= x"8c"; when "11" & x"0c2" => data <= x"20"; when "11" & x"0c3" => data <= x"dd"; when "11" & x"0c4" => data <= x"92"; when "11" & x"0c5" => data <= x"20"; when "11" & x"0c6" => data <= x"94"; when "11" & x"0c7" => data <= x"bd"; when "11" & x"0c8" => data <= x"20"; when "11" & x"0c9" => data <= x"ae"; when "11" & x"0ca" => data <= x"8a"; when "11" & x"0cb" => data <= x"20"; when "11" & x"0cc" => data <= x"56"; when "11" & x"0cd" => data <= x"ae"; when "11" & x"0ce" => data <= x"d0"; when "11" & x"0cf" => data <= x"ef"; when "11" & x"0d0" => data <= x"20"; when "11" & x"0d1" => data <= x"ea"; when "11" & x"0d2" => data <= x"bd"; when "11" & x"0d3" => data <= x"a4"; when "11" & x"0d4" => data <= x"36"; when "11" & x"0d5" => data <= x"f0"; when "11" & x"0d6" => data <= x"1e"; when "11" & x"0d7" => data <= x"a5"; when "11" & x"0d8" => data <= x"2a"; when "11" & x"0d9" => data <= x"f0"; when "11" & x"0da" => data <= x"1d"; when "11" & x"0db" => data <= x"c6"; when "11" & x"0dc" => data <= x"2a"; when "11" & x"0dd" => data <= x"f0"; when "11" & x"0de" => data <= x"16"; when "11" & x"0df" => data <= x"a2"; when "11" & x"0e0" => data <= x"00"; when "11" & x"0e1" => data <= x"bd"; when "11" & x"0e2" => data <= x"00"; when "11" & x"0e3" => data <= x"06"; when "11" & x"0e4" => data <= x"99"; when "11" & x"0e5" => data <= x"00"; when "11" & x"0e6" => data <= x"06"; when "11" & x"0e7" => data <= x"e8"; when "11" & x"0e8" => data <= x"c8"; when "11" & x"0e9" => data <= x"f0"; when "11" & x"0ea" => data <= x"10"; when "11" & x"0eb" => data <= x"e4"; when "11" & x"0ec" => data <= x"36"; when "11" & x"0ed" => data <= x"90"; when "11" & x"0ee" => data <= x"f2"; when "11" & x"0ef" => data <= x"c6"; when "11" & x"0f0" => data <= x"2a"; when "11" & x"0f1" => data <= x"d0"; when "11" & x"0f2" => data <= x"ec"; when "11" & x"0f3" => data <= x"84"; when "11" & x"0f4" => data <= x"36"; when "11" & x"0f5" => data <= x"a9"; when "11" & x"0f6" => data <= x"00"; when "11" & x"0f7" => data <= x"60"; when "11" & x"0f8" => data <= x"85"; when "11" & x"0f9" => data <= x"36"; when "11" & x"0fa" => data <= x"60"; when "11" & x"0fb" => data <= x"4c"; when "11" & x"0fc" => data <= x"03"; when "11" & x"0fd" => data <= x"9c"; when "11" & x"0fe" => data <= x"68"; when "11" & x"0ff" => data <= x"85"; when "11" & x"100" => data <= x"0c"; when "11" & x"101" => data <= x"68"; when "11" & x"102" => data <= x"85"; when "11" & x"103" => data <= x"0b"; when "11" & x"104" => data <= x"00"; when "11" & x"105" => data <= x"1d"; when "11" & x"106" => data <= x"4e"; when "11" & x"107" => data <= x"6f"; when "11" & x"108" => data <= x"20"; when "11" & x"109" => data <= x"73"; when "11" & x"10a" => data <= x"75"; when "11" & x"10b" => data <= x"63"; when "11" & x"10c" => data <= x"68"; when "11" & x"10d" => data <= x"20"; when "11" & x"10e" => data <= x"a4"; when "11" & x"10f" => data <= x"2f"; when "11" & x"110" => data <= x"f2"; when "11" & x"111" => data <= x"00"; when "11" & x"112" => data <= x"a5"; when "11" & x"113" => data <= x"18"; when "11" & x"114" => data <= x"85"; when "11" & x"115" => data <= x"0c"; when "11" & x"116" => data <= x"a9"; when "11" & x"117" => data <= x"00"; when "11" & x"118" => data <= x"85"; when "11" & x"119" => data <= x"0b"; when "11" & x"11a" => data <= x"a0"; when "11" & x"11b" => data <= x"01"; when "11" & x"11c" => data <= x"b1"; when "11" & x"11d" => data <= x"0b"; when "11" & x"11e" => data <= x"30"; when "11" & x"11f" => data <= x"de"; when "11" & x"120" => data <= x"a0"; when "11" & x"121" => data <= x"03"; when "11" & x"122" => data <= x"c8"; when "11" & x"123" => data <= x"b1"; when "11" & x"124" => data <= x"0b"; when "11" & x"125" => data <= x"c9"; when "11" & x"126" => data <= x"20"; when "11" & x"127" => data <= x"f0"; when "11" & x"128" => data <= x"f9"; when "11" & x"129" => data <= x"c9"; when "11" & x"12a" => data <= x"dd"; when "11" & x"12b" => data <= x"f0"; when "11" & x"12c" => data <= x"0f"; when "11" & x"12d" => data <= x"a0"; when "11" & x"12e" => data <= x"03"; when "11" & x"12f" => data <= x"b1"; when "11" & x"130" => data <= x"0b"; when "11" & x"131" => data <= x"18"; when "11" & x"132" => data <= x"65"; when "11" & x"133" => data <= x"0b"; when "11" & x"134" => data <= x"85"; when "11" & x"135" => data <= x"0b"; when "11" & x"136" => data <= x"90"; when "11" & x"137" => data <= x"e2"; when "11" & x"138" => data <= x"e6"; when "11" & x"139" => data <= x"0c"; when "11" & x"13a" => data <= x"b0"; when "11" & x"13b" => data <= x"de"; when "11" & x"13c" => data <= x"c8"; when "11" & x"13d" => data <= x"84"; when "11" & x"13e" => data <= x"0a"; when "11" & x"13f" => data <= x"20"; when "11" & x"140" => data <= x"97"; when "11" & x"141" => data <= x"8a"; when "11" & x"142" => data <= x"98"; when "11" & x"143" => data <= x"aa"; when "11" & x"144" => data <= x"18"; when "11" & x"145" => data <= x"65"; when "11" & x"146" => data <= x"0b"; when "11" & x"147" => data <= x"a4"; when "11" & x"148" => data <= x"0c"; when "11" & x"149" => data <= x"90"; when "11" & x"14a" => data <= x"02"; when "11" & x"14b" => data <= x"c8"; when "11" & x"14c" => data <= x"18"; when "11" & x"14d" => data <= x"e9"; when "11" & x"14e" => data <= x"00"; when "11" & x"14f" => data <= x"85"; when "11" & x"150" => data <= x"3c"; when "11" & x"151" => data <= x"98"; when "11" & x"152" => data <= x"e9"; when "11" & x"153" => data <= x"00"; when "11" & x"154" => data <= x"85"; when "11" & x"155" => data <= x"3d"; when "11" & x"156" => data <= x"a0"; when "11" & x"157" => data <= x"00"; when "11" & x"158" => data <= x"c8"; when "11" & x"159" => data <= x"e8"; when "11" & x"15a" => data <= x"b1"; when "11" & x"15b" => data <= x"3c"; when "11" & x"15c" => data <= x"d1"; when "11" & x"15d" => data <= x"37"; when "11" & x"15e" => data <= x"d0"; when "11" & x"15f" => data <= x"cd"; when "11" & x"160" => data <= x"c4"; when "11" & x"161" => data <= x"39"; when "11" & x"162" => data <= x"d0"; when "11" & x"163" => data <= x"f4"; when "11" & x"164" => data <= x"c8"; when "11" & x"165" => data <= x"b1"; when "11" & x"166" => data <= x"3c"; when "11" & x"167" => data <= x"20"; when "11" & x"168" => data <= x"26"; when "11" & x"169" => data <= x"89"; when "11" & x"16a" => data <= x"b0"; when "11" & x"16b" => data <= x"c1"; when "11" & x"16c" => data <= x"8a"; when "11" & x"16d" => data <= x"a8"; when "11" & x"16e" => data <= x"20"; when "11" & x"16f" => data <= x"6d"; when "11" & x"170" => data <= x"98"; when "11" & x"171" => data <= x"20"; when "11" & x"172" => data <= x"ed"; when "11" & x"173" => data <= x"94"; when "11" & x"174" => data <= x"a2"; when "11" & x"175" => data <= x"01"; when "11" & x"176" => data <= x"20"; when "11" & x"177" => data <= x"31"; when "11" & x"178" => data <= x"95"; when "11" & x"179" => data <= x"a0"; when "11" & x"17a" => data <= x"00"; when "11" & x"17b" => data <= x"a5"; when "11" & x"17c" => data <= x"0b"; when "11" & x"17d" => data <= x"91"; when "11" & x"17e" => data <= x"02"; when "11" & x"17f" => data <= x"c8"; when "11" & x"180" => data <= x"a5"; when "11" & x"181" => data <= x"0c"; when "11" & x"182" => data <= x"91"; when "11" & x"183" => data <= x"02"; when "11" & x"184" => data <= x"20"; when "11" & x"185" => data <= x"39"; when "11" & x"186" => data <= x"95"; when "11" & x"187" => data <= x"4c"; when "11" & x"188" => data <= x"f4"; when "11" & x"189" => data <= x"b1"; when "11" & x"18a" => data <= x"00"; when "11" & x"18b" => data <= x"1e"; when "11" & x"18c" => data <= x"42"; when "11" & x"18d" => data <= x"61"; when "11" & x"18e" => data <= x"64"; when "11" & x"18f" => data <= x"20"; when "11" & x"190" => data <= x"63"; when "11" & x"191" => data <= x"61"; when "11" & x"192" => data <= x"6c"; when "11" & x"193" => data <= x"6c"; when "11" & x"194" => data <= x"00"; when "11" & x"195" => data <= x"a9"; when "11" & x"196" => data <= x"a4"; when "11" & x"197" => data <= x"85"; when "11" & x"198" => data <= x"27"; when "11" & x"199" => data <= x"ba"; when "11" & x"19a" => data <= x"8a"; when "11" & x"19b" => data <= x"18"; when "11" & x"19c" => data <= x"65"; when "11" & x"19d" => data <= x"04"; when "11" & x"19e" => data <= x"20"; when "11" & x"19f" => data <= x"2e"; when "11" & x"1a0" => data <= x"be"; when "11" & x"1a1" => data <= x"a0"; when "11" & x"1a2" => data <= x"00"; when "11" & x"1a3" => data <= x"8a"; when "11" & x"1a4" => data <= x"91"; when "11" & x"1a5" => data <= x"04"; when "11" & x"1a6" => data <= x"e8"; when "11" & x"1a7" => data <= x"c8"; when "11" & x"1a8" => data <= x"bd"; when "11" & x"1a9" => data <= x"00"; when "11" & x"1aa" => data <= x"01"; when "11" & x"1ab" => data <= x"91"; when "11" & x"1ac" => data <= x"04"; when "11" & x"1ad" => data <= x"e0"; when "11" & x"1ae" => data <= x"ff"; when "11" & x"1af" => data <= x"d0"; when "11" & x"1b0" => data <= x"f5"; when "11" & x"1b1" => data <= x"9a"; when "11" & x"1b2" => data <= x"a5"; when "11" & x"1b3" => data <= x"27"; when "11" & x"1b4" => data <= x"48"; when "11" & x"1b5" => data <= x"a5"; when "11" & x"1b6" => data <= x"0a"; when "11" & x"1b7" => data <= x"48"; when "11" & x"1b8" => data <= x"a5"; when "11" & x"1b9" => data <= x"0b"; when "11" & x"1ba" => data <= x"48"; when "11" & x"1bb" => data <= x"a5"; when "11" & x"1bc" => data <= x"0c"; when "11" & x"1bd" => data <= x"48"; when "11" & x"1be" => data <= x"a5"; when "11" & x"1bf" => data <= x"1b"; when "11" & x"1c0" => data <= x"aa"; when "11" & x"1c1" => data <= x"18"; when "11" & x"1c2" => data <= x"65"; when "11" & x"1c3" => data <= x"19"; when "11" & x"1c4" => data <= x"a4"; when "11" & x"1c5" => data <= x"1a"; when "11" & x"1c6" => data <= x"90"; when "11" & x"1c7" => data <= x"02"; when "11" & x"1c8" => data <= x"c8"; when "11" & x"1c9" => data <= x"18"; when "11" & x"1ca" => data <= x"e9"; when "11" & x"1cb" => data <= x"01"; when "11" & x"1cc" => data <= x"85"; when "11" & x"1cd" => data <= x"37"; when "11" & x"1ce" => data <= x"98"; when "11" & x"1cf" => data <= x"e9"; when "11" & x"1d0" => data <= x"00"; when "11" & x"1d1" => data <= x"85"; when "11" & x"1d2" => data <= x"38"; when "11" & x"1d3" => data <= x"a0"; when "11" & x"1d4" => data <= x"02"; when "11" & x"1d5" => data <= x"20"; when "11" & x"1d6" => data <= x"5b"; when "11" & x"1d7" => data <= x"95"; when "11" & x"1d8" => data <= x"c0"; when "11" & x"1d9" => data <= x"02"; when "11" & x"1da" => data <= x"f0"; when "11" & x"1db" => data <= x"ae"; when "11" & x"1dc" => data <= x"86"; when "11" & x"1dd" => data <= x"1b"; when "11" & x"1de" => data <= x"88"; when "11" & x"1df" => data <= x"84"; when "11" & x"1e0" => data <= x"39"; when "11" & x"1e1" => data <= x"20"; when "11" & x"1e2" => data <= x"5b"; when "11" & x"1e3" => data <= x"94"; when "11" & x"1e4" => data <= x"d0"; when "11" & x"1e5" => data <= x"03"; when "11" & x"1e6" => data <= x"4c"; when "11" & x"1e7" => data <= x"12"; when "11" & x"1e8" => data <= x"b1"; when "11" & x"1e9" => data <= x"a0"; when "11" & x"1ea" => data <= x"00"; when "11" & x"1eb" => data <= x"b1"; when "11" & x"1ec" => data <= x"2a"; when "11" & x"1ed" => data <= x"85"; when "11" & x"1ee" => data <= x"0b"; when "11" & x"1ef" => data <= x"c8"; when "11" & x"1f0" => data <= x"b1"; when "11" & x"1f1" => data <= x"2a"; when "11" & x"1f2" => data <= x"85"; when "11" & x"1f3" => data <= x"0c"; when "11" & x"1f4" => data <= x"a9"; when "11" & x"1f5" => data <= x"00"; when "11" & x"1f6" => data <= x"48"; when "11" & x"1f7" => data <= x"85"; when "11" & x"1f8" => data <= x"0a"; when "11" & x"1f9" => data <= x"20"; when "11" & x"1fa" => data <= x"97"; when "11" & x"1fb" => data <= x"8a"; when "11" & x"1fc" => data <= x"c9"; when "11" & x"1fd" => data <= x"28"; when "11" & x"1fe" => data <= x"f0"; when "11" & x"1ff" => data <= x"4d"; when "11" & x"200" => data <= x"c6"; when "11" & x"201" => data <= x"0a"; when "11" & x"202" => data <= x"a5"; when "11" & x"203" => data <= x"1b"; when "11" & x"204" => data <= x"48"; when "11" & x"205" => data <= x"a5"; when "11" & x"206" => data <= x"19"; when "11" & x"207" => data <= x"48"; when "11" & x"208" => data <= x"a5"; when "11" & x"209" => data <= x"1a"; when "11" & x"20a" => data <= x"48"; when "11" & x"20b" => data <= x"20"; when "11" & x"20c" => data <= x"a3"; when "11" & x"20d" => data <= x"8b"; when "11" & x"20e" => data <= x"68"; when "11" & x"20f" => data <= x"85"; when "11" & x"210" => data <= x"1a"; when "11" & x"211" => data <= x"68"; when "11" & x"212" => data <= x"85"; when "11" & x"213" => data <= x"19"; when "11" & x"214" => data <= x"68"; when "11" & x"215" => data <= x"85"; when "11" & x"216" => data <= x"1b"; when "11" & x"217" => data <= x"68"; when "11" & x"218" => data <= x"f0"; when "11" & x"219" => data <= x"0c"; when "11" & x"21a" => data <= x"85"; when "11" & x"21b" => data <= x"3f"; when "11" & x"21c" => data <= x"20"; when "11" & x"21d" => data <= x"0b"; when "11" & x"21e" => data <= x"be"; when "11" & x"21f" => data <= x"20"; when "11" & x"220" => data <= x"c1"; when "11" & x"221" => data <= x"8c"; when "11" & x"222" => data <= x"c6"; when "11" & x"223" => data <= x"3f"; when "11" & x"224" => data <= x"d0"; when "11" & x"225" => data <= x"f6"; when "11" & x"226" => data <= x"68"; when "11" & x"227" => data <= x"85"; when "11" & x"228" => data <= x"0c"; when "11" & x"229" => data <= x"68"; when "11" & x"22a" => data <= x"85"; when "11" & x"22b" => data <= x"0b"; when "11" & x"22c" => data <= x"68"; when "11" & x"22d" => data <= x"85"; when "11" & x"22e" => data <= x"0a"; when "11" & x"22f" => data <= x"68"; when "11" & x"230" => data <= x"a0"; when "11" & x"231" => data <= x"00"; when "11" & x"232" => data <= x"b1"; when "11" & x"233" => data <= x"04"; when "11" & x"234" => data <= x"aa"; when "11" & x"235" => data <= x"9a"; when "11" & x"236" => data <= x"c8"; when "11" & x"237" => data <= x"e8"; when "11" & x"238" => data <= x"b1"; when "11" & x"239" => data <= x"04"; when "11" & x"23a" => data <= x"9d"; when "11" & x"23b" => data <= x"00"; when "11" & x"23c" => data <= x"01"; when "11" & x"23d" => data <= x"e0"; when "11" & x"23e" => data <= x"ff"; when "11" & x"23f" => data <= x"d0"; when "11" & x"240" => data <= x"f5"; when "11" & x"241" => data <= x"98"; when "11" & x"242" => data <= x"65"; when "11" & x"243" => data <= x"04"; when "11" & x"244" => data <= x"85"; when "11" & x"245" => data <= x"04"; when "11" & x"246" => data <= x"90"; when "11" & x"247" => data <= x"02"; when "11" & x"248" => data <= x"e6"; when "11" & x"249" => data <= x"05"; when "11" & x"24a" => data <= x"a5"; when "11" & x"24b" => data <= x"27"; when "11" & x"24c" => data <= x"60"; when "11" & x"24d" => data <= x"a5"; when "11" & x"24e" => data <= x"1b"; when "11" & x"24f" => data <= x"48"; when "11" & x"250" => data <= x"a5"; when "11" & x"251" => data <= x"19"; when "11" & x"252" => data <= x"48"; when "11" & x"253" => data <= x"a5"; when "11" & x"254" => data <= x"1a"; when "11" & x"255" => data <= x"48"; when "11" & x"256" => data <= x"20"; when "11" & x"257" => data <= x"82"; when "11" & x"258" => data <= x"95"; when "11" & x"259" => data <= x"f0"; when "11" & x"25a" => data <= x"5a"; when "11" & x"25b" => data <= x"a5"; when "11" & x"25c" => data <= x"1b"; when "11" & x"25d" => data <= x"85"; when "11" & x"25e" => data <= x"0a"; when "11" & x"25f" => data <= x"68"; when "11" & x"260" => data <= x"85"; when "11" & x"261" => data <= x"1a"; when "11" & x"262" => data <= x"68"; when "11" & x"263" => data <= x"85"; when "11" & x"264" => data <= x"19"; when "11" & x"265" => data <= x"68"; when "11" & x"266" => data <= x"85"; when "11" & x"267" => data <= x"1b"; when "11" & x"268" => data <= x"68"; when "11" & x"269" => data <= x"aa"; when "11" & x"26a" => data <= x"a5"; when "11" & x"26b" => data <= x"2c"; when "11" & x"26c" => data <= x"48"; when "11" & x"26d" => data <= x"a5"; when "11" & x"26e" => data <= x"2b"; when "11" & x"26f" => data <= x"48"; when "11" & x"270" => data <= x"a5"; when "11" & x"271" => data <= x"2a"; when "11" & x"272" => data <= x"48"; when "11" & x"273" => data <= x"e8"; when "11" & x"274" => data <= x"8a"; when "11" & x"275" => data <= x"48"; when "11" & x"276" => data <= x"20"; when "11" & x"277" => data <= x"0d"; when "11" & x"278" => data <= x"b3"; when "11" & x"279" => data <= x"20"; when "11" & x"27a" => data <= x"97"; when "11" & x"27b" => data <= x"8a"; when "11" & x"27c" => data <= x"c9"; when "11" & x"27d" => data <= x"2c"; when "11" & x"27e" => data <= x"f0"; when "11" & x"27f" => data <= x"cd"; when "11" & x"280" => data <= x"c9"; when "11" & x"281" => data <= x"29"; when "11" & x"282" => data <= x"d0"; when "11" & x"283" => data <= x"31"; when "11" & x"284" => data <= x"a9"; when "11" & x"285" => data <= x"00"; when "11" & x"286" => data <= x"48"; when "11" & x"287" => data <= x"20"; when "11" & x"288" => data <= x"8c"; when "11" & x"289" => data <= x"8a"; when "11" & x"28a" => data <= x"c9"; when "11" & x"28b" => data <= x"28"; when "11" & x"28c" => data <= x"d0"; when "11" & x"28d" => data <= x"27"; when "11" & x"28e" => data <= x"20"; when "11" & x"28f" => data <= x"29"; when "11" & x"290" => data <= x"9b"; when "11" & x"291" => data <= x"20"; when "11" & x"292" => data <= x"90"; when "11" & x"293" => data <= x"bd"; when "11" & x"294" => data <= x"a5"; when "11" & x"295" => data <= x"27"; when "11" & x"296" => data <= x"85"; when "11" & x"297" => data <= x"2d"; when "11" & x"298" => data <= x"20"; when "11" & x"299" => data <= x"94"; when "11" & x"29a" => data <= x"bd"; when "11" & x"29b" => data <= x"68"; when "11" & x"29c" => data <= x"aa"; when "11" & x"29d" => data <= x"e8"; when "11" & x"29e" => data <= x"8a"; when "11" & x"29f" => data <= x"48"; when "11" & x"2a0" => data <= x"20"; when "11" & x"2a1" => data <= x"8c"; when "11" & x"2a2" => data <= x"8a"; when "11" & x"2a3" => data <= x"c9"; when "11" & x"2a4" => data <= x"2c"; when "11" & x"2a5" => data <= x"f0"; when "11" & x"2a6" => data <= x"e7"; when "11" & x"2a7" => data <= x"c9"; when "11" & x"2a8" => data <= x"29"; when "11" & x"2a9" => data <= x"d0"; when "11" & x"2aa" => data <= x"0a"; when "11" & x"2ab" => data <= x"68"; when "11" & x"2ac" => data <= x"68"; when "11" & x"2ad" => data <= x"85"; when "11" & x"2ae" => data <= x"4d"; when "11" & x"2af" => data <= x"85"; when "11" & x"2b0" => data <= x"4e"; when "11" & x"2b1" => data <= x"e4"; when "11" & x"2b2" => data <= x"4d"; when "11" & x"2b3" => data <= x"f0"; when "11" & x"2b4" => data <= x"15"; when "11" & x"2b5" => data <= x"a2"; when "11" & x"2b6" => data <= x"fb"; when "11" & x"2b7" => data <= x"9a"; when "11" & x"2b8" => data <= x"68"; when "11" & x"2b9" => data <= x"85"; when "11" & x"2ba" => data <= x"0c"; when "11" & x"2bb" => data <= x"68"; when "11" & x"2bc" => data <= x"85"; when "11" & x"2bd" => data <= x"0b"; when "11" & x"2be" => data <= x"00"; when "11" & x"2bf" => data <= x"1f"; when "11" & x"2c0" => data <= x"41"; when "11" & x"2c1" => data <= x"72"; when "11" & x"2c2" => data <= x"67"; when "11" & x"2c3" => data <= x"75"; when "11" & x"2c4" => data <= x"6d"; when "11" & x"2c5" => data <= x"65"; when "11" & x"2c6" => data <= x"6e"; when "11" & x"2c7" => data <= x"74"; when "11" & x"2c8" => data <= x"73"; when "11" & x"2c9" => data <= x"00"; when "11" & x"2ca" => data <= x"20"; when "11" & x"2cb" => data <= x"ea"; when "11" & x"2cc" => data <= x"bd"; when "11" & x"2cd" => data <= x"68"; when "11" & x"2ce" => data <= x"85"; when "11" & x"2cf" => data <= x"2a"; when "11" & x"2d0" => data <= x"68"; when "11" & x"2d1" => data <= x"85"; when "11" & x"2d2" => data <= x"2b"; when "11" & x"2d3" => data <= x"68"; when "11" & x"2d4" => data <= x"85"; when "11" & x"2d5" => data <= x"2c"; when "11" & x"2d6" => data <= x"30"; when "11" & x"2d7" => data <= x"21"; when "11" & x"2d8" => data <= x"a5"; when "11" & x"2d9" => data <= x"2d"; when "11" & x"2da" => data <= x"f0"; when "11" & x"2db" => data <= x"d9"; when "11" & x"2dc" => data <= x"85"; when "11" & x"2dd" => data <= x"27"; when "11" & x"2de" => data <= x"a2"; when "11" & x"2df" => data <= x"37"; when "11" & x"2e0" => data <= x"20"; when "11" & x"2e1" => data <= x"44"; when "11" & x"2e2" => data <= x"be"; when "11" & x"2e3" => data <= x"a5"; when "11" & x"2e4" => data <= x"27"; when "11" & x"2e5" => data <= x"10"; when "11" & x"2e6" => data <= x"09"; when "11" & x"2e7" => data <= x"20"; when "11" & x"2e8" => data <= x"7e"; when "11" & x"2e9" => data <= x"bd"; when "11" & x"2ea" => data <= x"20"; when "11" & x"2eb" => data <= x"b5"; when "11" & x"2ec" => data <= x"a3"; when "11" & x"2ed" => data <= x"4c"; when "11" & x"2ee" => data <= x"f3"; when "11" & x"2ef" => data <= x"b2"; when "11" & x"2f0" => data <= x"20"; when "11" & x"2f1" => data <= x"ea"; when "11" & x"2f2" => data <= x"bd"; when "11" & x"2f3" => data <= x"20"; when "11" & x"2f4" => data <= x"b7"; when "11" & x"2f5" => data <= x"b4"; when "11" & x"2f6" => data <= x"4c"; when "11" & x"2f7" => data <= x"03"; when "11" & x"2f8" => data <= x"b3"; when "11" & x"2f9" => data <= x"a5"; when "11" & x"2fa" => data <= x"2d"; when "11" & x"2fb" => data <= x"d0"; when "11" & x"2fc" => data <= x"b8"; when "11" & x"2fd" => data <= x"20"; when "11" & x"2fe" => data <= x"cb"; when "11" & x"2ff" => data <= x"bd"; when "11" & x"300" => data <= x"20"; when "11" & x"301" => data <= x"21"; when "11" & x"302" => data <= x"8c"; when "11" & x"303" => data <= x"c6"; when "11" & x"304" => data <= x"4d"; when "11" & x"305" => data <= x"d0"; when "11" & x"306" => data <= x"c3"; when "11" & x"307" => data <= x"a5"; when "11" & x"308" => data <= x"4e"; when "11" & x"309" => data <= x"48"; when "11" & x"30a" => data <= x"4c"; when "11" & x"30b" => data <= x"02"; when "11" & x"30c" => data <= x"b2"; when "11" & x"30d" => data <= x"a4"; when "11" & x"30e" => data <= x"2c"; when "11" & x"30f" => data <= x"c0"; when "11" & x"310" => data <= x"04"; when "11" & x"311" => data <= x"d0"; when "11" & x"312" => data <= x"05"; when "11" & x"313" => data <= x"a2"; when "11" & x"314" => data <= x"37"; when "11" & x"315" => data <= x"20"; when "11" & x"316" => data <= x"44"; when "11" & x"317" => data <= x"be"; when "11" & x"318" => data <= x"20"; when "11" & x"319" => data <= x"2c"; when "11" & x"31a" => data <= x"b3"; when "11" & x"31b" => data <= x"08"; when "11" & x"31c" => data <= x"20"; when "11" & x"31d" => data <= x"90"; when "11" & x"31e" => data <= x"bd"; when "11" & x"31f" => data <= x"28"; when "11" & x"320" => data <= x"f0"; when "11" & x"321" => data <= x"07"; when "11" & x"322" => data <= x"30"; when "11" & x"323" => data <= x"05"; when "11" & x"324" => data <= x"a2"; when "11" & x"325" => data <= x"37"; when "11" & x"326" => data <= x"20"; when "11" & x"327" => data <= x"56"; when "11" & x"328" => data <= x"af"; when "11" & x"329" => data <= x"4c"; when "11" & x"32a" => data <= x"94"; when "11" & x"32b" => data <= x"bd"; when "11" & x"32c" => data <= x"a4"; when "11" & x"32d" => data <= x"2c"; when "11" & x"32e" => data <= x"30"; when "11" & x"32f" => data <= x"54"; when "11" & x"330" => data <= x"f0"; when "11" & x"331" => data <= x"1d"; when "11" & x"332" => data <= x"c0"; when "11" & x"333" => data <= x"05"; when "11" & x"334" => data <= x"f0"; when "11" & x"335" => data <= x"1e"; when "11" & x"336" => data <= x"a0"; when "11" & x"337" => data <= x"03"; when "11" & x"338" => data <= x"b1"; when "11" & x"339" => data <= x"2a"; when "11" & x"33a" => data <= x"85"; when "11" & x"33b" => data <= x"2d"; when "11" & x"33c" => data <= x"88"; when "11" & x"33d" => data <= x"b1"; when "11" & x"33e" => data <= x"2a"; when "11" & x"33f" => data <= x"85"; when "11" & x"340" => data <= x"2c"; when "11" & x"341" => data <= x"88"; when "11" & x"342" => data <= x"b1"; when "11" & x"343" => data <= x"2a"; when "11" & x"344" => data <= x"aa"; when "11" & x"345" => data <= x"88"; when "11" & x"346" => data <= x"b1"; when "11" & x"347" => data <= x"2a"; when "11" & x"348" => data <= x"85"; when "11" & x"349" => data <= x"2a"; when "11" & x"34a" => data <= x"86"; when "11" & x"34b" => data <= x"2b"; when "11" & x"34c" => data <= x"a9"; when "11" & x"34d" => data <= x"40"; when "11" & x"34e" => data <= x"60"; when "11" & x"34f" => data <= x"b1"; when "11" & x"350" => data <= x"2a"; when "11" & x"351" => data <= x"4c"; when "11" & x"352" => data <= x"ea"; when "11" & x"353" => data <= x"ae"; when "11" & x"354" => data <= x"88"; when "11" & x"355" => data <= x"b1"; when "11" & x"356" => data <= x"2a"; when "11" & x"357" => data <= x"85"; when "11" & x"358" => data <= x"34"; when "11" & x"359" => data <= x"88"; when "11" & x"35a" => data <= x"b1"; when "11" & x"35b" => data <= x"2a"; when "11" & x"35c" => data <= x"85"; when "11" & x"35d" => data <= x"33"; when "11" & x"35e" => data <= x"88"; when "11" & x"35f" => data <= x"b1"; when "11" & x"360" => data <= x"2a"; when "11" & x"361" => data <= x"85"; when "11" & x"362" => data <= x"32"; when "11" & x"363" => data <= x"88"; when "11" & x"364" => data <= x"b1"; when "11" & x"365" => data <= x"2a"; when "11" & x"366" => data <= x"85"; when "11" & x"367" => data <= x"2e"; when "11" & x"368" => data <= x"88"; when "11" & x"369" => data <= x"b1"; when "11" & x"36a" => data <= x"2a"; when "11" & x"36b" => data <= x"85"; when "11" & x"36c" => data <= x"30"; when "11" & x"36d" => data <= x"84"; when "11" & x"36e" => data <= x"35"; when "11" & x"36f" => data <= x"84"; when "11" & x"370" => data <= x"2f"; when "11" & x"371" => data <= x"05"; when "11" & x"372" => data <= x"2e"; when "11" & x"373" => data <= x"05"; when "11" & x"374" => data <= x"32"; when "11" & x"375" => data <= x"05"; when "11" & x"376" => data <= x"33"; when "11" & x"377" => data <= x"05"; when "11" & x"378" => data <= x"34"; when "11" & x"379" => data <= x"f0"; when "11" & x"37a" => data <= x"04"; when "11" & x"37b" => data <= x"a5"; when "11" & x"37c" => data <= x"2e"; when "11" & x"37d" => data <= x"09"; when "11" & x"37e" => data <= x"80"; when "11" & x"37f" => data <= x"85"; when "11" & x"380" => data <= x"31"; when "11" & x"381" => data <= x"a9"; when "11" & x"382" => data <= x"ff"; when "11" & x"383" => data <= x"60"; when "11" & x"384" => data <= x"c0"; when "11" & x"385" => data <= x"80"; when "11" & x"386" => data <= x"f0"; when "11" & x"387" => data <= x"1f"; when "11" & x"388" => data <= x"a0"; when "11" & x"389" => data <= x"03"; when "11" & x"38a" => data <= x"b1"; when "11" & x"38b" => data <= x"2a"; when "11" & x"38c" => data <= x"85"; when "11" & x"38d" => data <= x"36"; when "11" & x"38e" => data <= x"f0"; when "11" & x"38f" => data <= x"16"; when "11" & x"390" => data <= x"a0"; when "11" & x"391" => data <= x"01"; when "11" & x"392" => data <= x"b1"; when "11" & x"393" => data <= x"2a"; when "11" & x"394" => data <= x"85"; when "11" & x"395" => data <= x"38"; when "11" & x"396" => data <= x"88"; when "11" & x"397" => data <= x"b1"; when "11" & x"398" => data <= x"2a"; when "11" & x"399" => data <= x"85"; when "11" & x"39a" => data <= x"37"; when "11" & x"39b" => data <= x"a4"; when "11" & x"39c" => data <= x"36"; when "11" & x"39d" => data <= x"88"; when "11" & x"39e" => data <= x"b1"; when "11" & x"39f" => data <= x"37"; when "11" & x"3a0" => data <= x"99"; when "11" & x"3a1" => data <= x"00"; when "11" & x"3a2" => data <= x"06"; when "11" & x"3a3" => data <= x"98"; when "11" & x"3a4" => data <= x"d0"; when "11" & x"3a5" => data <= x"f7"; when "11" & x"3a6" => data <= x"60"; when "11" & x"3a7" => data <= x"a5"; when "11" & x"3a8" => data <= x"2b"; when "11" & x"3a9" => data <= x"f0"; when "11" & x"3aa" => data <= x"15"; when "11" & x"3ab" => data <= x"a0"; when "11" & x"3ac" => data <= x"00"; when "11" & x"3ad" => data <= x"b1"; when "11" & x"3ae" => data <= x"2a"; when "11" & x"3af" => data <= x"99"; when "11" & x"3b0" => data <= x"00"; when "11" & x"3b1" => data <= x"06"; when "11" & x"3b2" => data <= x"49"; when "11" & x"3b3" => data <= x"0d"; when "11" & x"3b4" => data <= x"f0"; when "11" & x"3b5" => data <= x"04"; when "11" & x"3b6" => data <= x"c8"; when "11" & x"3b7" => data <= x"d0"; when "11" & x"3b8" => data <= x"f4"; when "11" & x"3b9" => data <= x"98"; when "11" & x"3ba" => data <= x"84"; when "11" & x"3bb" => data <= x"36"; when "11" & x"3bc" => data <= x"60"; when "11" & x"3bd" => data <= x"20"; when "11" & x"3be" => data <= x"e3"; when "11" & x"3bf" => data <= x"92"; when "11" & x"3c0" => data <= x"a5"; when "11" & x"3c1" => data <= x"2a"; when "11" & x"3c2" => data <= x"4c"; when "11" & x"3c3" => data <= x"c2"; when "11" & x"3c4" => data <= x"af"; when "11" & x"3c5" => data <= x"a0"; when "11" & x"3c6" => data <= x"00"; when "11" & x"3c7" => data <= x"84"; when "11" & x"3c8" => data <= x"08"; when "11" & x"3c9" => data <= x"84"; when "11" & x"3ca" => data <= x"09"; when "11" & x"3cb" => data <= x"a6"; when "11" & x"3cc" => data <= x"18"; when "11" & x"3cd" => data <= x"86"; when "11" & x"3ce" => data <= x"38"; when "11" & x"3cf" => data <= x"84"; when "11" & x"3d0" => data <= x"37"; when "11" & x"3d1" => data <= x"a6"; when "11" & x"3d2" => data <= x"0c"; when "11" & x"3d3" => data <= x"e0"; when "11" & x"3d4" => data <= x"07"; when "11" & x"3d5" => data <= x"f0"; when "11" & x"3d6" => data <= x"2a"; when "11" & x"3d7" => data <= x"a6"; when "11" & x"3d8" => data <= x"0b"; when "11" & x"3d9" => data <= x"20"; when "11" & x"3da" => data <= x"42"; when "11" & x"3db" => data <= x"89"; when "11" & x"3dc" => data <= x"c9"; when "11" & x"3dd" => data <= x"0d"; when "11" & x"3de" => data <= x"d0"; when "11" & x"3df" => data <= x"19"; when "11" & x"3e0" => data <= x"e4"; when "11" & x"3e1" => data <= x"37"; when "11" & x"3e2" => data <= x"a5"; when "11" & x"3e3" => data <= x"0c"; when "11" & x"3e4" => data <= x"e5"; when "11" & x"3e5" => data <= x"38"; when "11" & x"3e6" => data <= x"90"; when "11" & x"3e7" => data <= x"19"; when "11" & x"3e8" => data <= x"20"; when "11" & x"3e9" => data <= x"42"; when "11" & x"3ea" => data <= x"89"; when "11" & x"3eb" => data <= x"09"; when "11" & x"3ec" => data <= x"00"; when "11" & x"3ed" => data <= x"30"; when "11" & x"3ee" => data <= x"12"; when "11" & x"3ef" => data <= x"85"; when "11" & x"3f0" => data <= x"09"; when "11" & x"3f1" => data <= x"20"; when "11" & x"3f2" => data <= x"42"; when "11" & x"3f3" => data <= x"89"; when "11" & x"3f4" => data <= x"85"; when "11" & x"3f5" => data <= x"08"; when "11" & x"3f6" => data <= x"20"; when "11" & x"3f7" => data <= x"42"; when "11" & x"3f8" => data <= x"89"; when "11" & x"3f9" => data <= x"e4"; when "11" & x"3fa" => data <= x"37"; when "11" & x"3fb" => data <= x"a5"; when "11" & x"3fc" => data <= x"0c"; when "11" & x"3fd" => data <= x"e5"; when "11" & x"3fe" => data <= x"38"; when "11" & x"3ff" => data <= x"b0"; when "11" & x"400" => data <= x"d8"; when "11" & x"401" => data <= x"60"; when "11" & x"402" => data <= x"20"; when "11" & x"403" => data <= x"c5"; when "11" & x"404" => data <= x"b3"; when "11" & x"405" => data <= x"84"; when "11" & x"406" => data <= x"20"; when "11" & x"407" => data <= x"b1"; when "11" & x"408" => data <= x"fd"; when "11" & x"409" => data <= x"d0"; when "11" & x"40a" => data <= x"08"; when "11" & x"40b" => data <= x"a9"; when "11" & x"40c" => data <= x"33"; when "11" & x"40d" => data <= x"85"; when "11" & x"40e" => data <= x"16"; when "11" & x"40f" => data <= x"a9"; when "11" & x"410" => data <= x"b4"; when "11" & x"411" => data <= x"85"; when "11" & x"412" => data <= x"17"; when "11" & x"413" => data <= x"a5"; when "11" & x"414" => data <= x"16"; when "11" & x"415" => data <= x"85"; when "11" & x"416" => data <= x"0b"; when "11" & x"417" => data <= x"a5"; when "11" & x"418" => data <= x"17"; when "11" & x"419" => data <= x"85"; when "11" & x"41a" => data <= x"0c"; when "11" & x"41b" => data <= x"20"; when "11" & x"41c" => data <= x"3a"; when "11" & x"41d" => data <= x"bd"; when "11" & x"41e" => data <= x"aa"; when "11" & x"41f" => data <= x"86"; when "11" & x"420" => data <= x"0a"; when "11" & x"421" => data <= x"a9"; when "11" & x"422" => data <= x"da"; when "11" & x"423" => data <= x"20"; when "11" & x"424" => data <= x"f4"; when "11" & x"425" => data <= x"ff"; when "11" & x"426" => data <= x"a9"; when "11" & x"427" => data <= x"7e"; when "11" & x"428" => data <= x"20"; when "11" & x"429" => data <= x"f4"; when "11" & x"42a" => data <= x"ff"; when "11" & x"42b" => data <= x"a2"; when "11" & x"42c" => data <= x"ff"; when "11" & x"42d" => data <= x"86"; when "11" & x"42e" => data <= x"28"; when "11" & x"42f" => data <= x"9a"; when "11" & x"430" => data <= x"4c"; when "11" & x"431" => data <= x"a3"; when "11" & x"432" => data <= x"8b"; when "11" & x"433" => data <= x"f6"; when "11" & x"434" => data <= x"3a"; when "11" & x"435" => data <= x"e7"; when "11" & x"436" => data <= x"9e"; when "11" & x"437" => data <= x"f1"; when "11" & x"438" => data <= x"22"; when "11" & x"439" => data <= x"20"; when "11" & x"43a" => data <= x"61"; when "11" & x"43b" => data <= x"74"; when "11" & x"43c" => data <= x"20"; when "11" & x"43d" => data <= x"6c"; when "11" & x"43e" => data <= x"69"; when "11" & x"43f" => data <= x"6e"; when "11" & x"440" => data <= x"65"; when "11" & x"441" => data <= x"20"; when "11" & x"442" => data <= x"22"; when "11" & x"443" => data <= x"3b"; when "11" & x"444" => data <= x"9e"; when "11" & x"445" => data <= x"3a"; when "11" & x"446" => data <= x"e0"; when "11" & x"447" => data <= x"8b"; when "11" & x"448" => data <= x"f1"; when "11" & x"449" => data <= x"3a"; when "11" & x"44a" => data <= x"e0"; when "11" & x"44b" => data <= x"0d"; when "11" & x"44c" => data <= x"20"; when "11" & x"44d" => data <= x"21"; when "11" & x"44e" => data <= x"88"; when "11" & x"44f" => data <= x"a2"; when "11" & x"450" => data <= x"03"; when "11" & x"451" => data <= x"a5"; when "11" & x"452" => data <= x"2a"; when "11" & x"453" => data <= x"48"; when "11" & x"454" => data <= x"a5"; when "11" & x"455" => data <= x"2b"; when "11" & x"456" => data <= x"48"; when "11" & x"457" => data <= x"8a"; when "11" & x"458" => data <= x"48"; when "11" & x"459" => data <= x"20"; when "11" & x"45a" => data <= x"da"; when "11" & x"45b" => data <= x"92"; when "11" & x"45c" => data <= x"68"; when "11" & x"45d" => data <= x"aa"; when "11" & x"45e" => data <= x"ca"; when "11" & x"45f" => data <= x"d0"; when "11" & x"460" => data <= x"f0"; when "11" & x"461" => data <= x"20"; when "11" & x"462" => data <= x"52"; when "11" & x"463" => data <= x"98"; when "11" & x"464" => data <= x"a5"; when "11" & x"465" => data <= x"2a"; when "11" & x"466" => data <= x"85"; when "11" & x"467" => data <= x"3d"; when "11" & x"468" => data <= x"a5"; when "11" & x"469" => data <= x"2b"; when "11" & x"46a" => data <= x"85"; when "11" & x"46b" => data <= x"3e"; when "11" & x"46c" => data <= x"a0"; when "11" & x"46d" => data <= x"07"; when "11" & x"46e" => data <= x"a2"; when "11" & x"46f" => data <= x"05"; when "11" & x"470" => data <= x"d0"; when "11" & x"471" => data <= x"1d"; when "11" & x"472" => data <= x"20"; when "11" & x"473" => data <= x"21"; when "11" & x"474" => data <= x"88"; when "11" & x"475" => data <= x"a2"; when "11" & x"476" => data <= x"0d"; when "11" & x"477" => data <= x"a5"; when "11" & x"478" => data <= x"2a"; when "11" & x"479" => data <= x"48"; when "11" & x"47a" => data <= x"8a"; when "11" & x"47b" => data <= x"48"; when "11" & x"47c" => data <= x"20"; when "11" & x"47d" => data <= x"da"; when "11" & x"47e" => data <= x"92"; when "11" & x"47f" => data <= x"68"; when "11" & x"480" => data <= x"aa"; when "11" & x"481" => data <= x"ca"; when "11" & x"482" => data <= x"d0"; when "11" & x"483" => data <= x"f3"; when "11" & x"484" => data <= x"20"; when "11" & x"485" => data <= x"52"; when "11" & x"486" => data <= x"98"; when "11" & x"487" => data <= x"a5"; when "11" & x"488" => data <= x"2a"; when "11" & x"489" => data <= x"85"; when "11" & x"48a" => data <= x"44"; when "11" & x"48b" => data <= x"a2"; when "11" & x"48c" => data <= x"0c"; when "11" & x"48d" => data <= x"a0"; when "11" & x"48e" => data <= x"08"; when "11" & x"48f" => data <= x"68"; when "11" & x"490" => data <= x"95"; when "11" & x"491" => data <= x"37"; when "11" & x"492" => data <= x"ca"; when "11" & x"493" => data <= x"10"; when "11" & x"494" => data <= x"fa"; when "11" & x"495" => data <= x"98"; when "11" & x"496" => data <= x"a2"; when "11" & x"497" => data <= x"37"; when "11" & x"498" => data <= x"a0"; when "11" & x"499" => data <= x"00"; when "11" & x"49a" => data <= x"20"; when "11" & x"49b" => data <= x"f1"; when "11" & x"49c" => data <= x"ff"; when "11" & x"49d" => data <= x"4c"; when "11" & x"49e" => data <= x"9b"; when "11" & x"49f" => data <= x"8b"; when "11" & x"4a0" => data <= x"20"; when "11" & x"4a1" => data <= x"21"; when "11" & x"4a2" => data <= x"88"; when "11" & x"4a3" => data <= x"20"; when "11" & x"4a4" => data <= x"52"; when "11" & x"4a5" => data <= x"98"; when "11" & x"4a6" => data <= x"a4"; when "11" & x"4a7" => data <= x"2a"; when "11" & x"4a8" => data <= x"88"; when "11" & x"4a9" => data <= x"84"; when "11" & x"4aa" => data <= x"23"; when "11" & x"4ab" => data <= x"4c"; when "11" & x"4ac" => data <= x"9b"; when "11" & x"4ad" => data <= x"8b"; when "11" & x"4ae" => data <= x"4c"; when "11" & x"4af" => data <= x"0e"; when "11" & x"4b0" => data <= x"8c"; when "11" & x"4b1" => data <= x"20"; when "11" & x"4b2" => data <= x"29"; when "11" & x"4b3" => data <= x"9b"; when "11" & x"4b4" => data <= x"20"; when "11" & x"4b5" => data <= x"0b"; when "11" & x"4b6" => data <= x"be"; when "11" & x"4b7" => data <= x"a5"; when "11" & x"4b8" => data <= x"39"; when "11" & x"4b9" => data <= x"c9"; when "11" & x"4ba" => data <= x"05"; when "11" & x"4bb" => data <= x"f0"; when "11" & x"4bc" => data <= x"23"; when "11" & x"4bd" => data <= x"a5"; when "11" & x"4be" => data <= x"27"; when "11" & x"4bf" => data <= x"f0"; when "11" & x"4c0" => data <= x"ed"; when "11" & x"4c1" => data <= x"10"; when "11" & x"4c2" => data <= x"03"; when "11" & x"4c3" => data <= x"20"; when "11" & x"4c4" => data <= x"e4"; when "11" & x"4c5" => data <= x"a3"; when "11" & x"4c6" => data <= x"a0"; when "11" & x"4c7" => data <= x"00"; when "11" & x"4c8" => data <= x"a5"; when "11" & x"4c9" => data <= x"2a"; when "11" & x"4ca" => data <= x"91"; when "11" & x"4cb" => data <= x"37"; when "11" & x"4cc" => data <= x"a5"; when "11" & x"4cd" => data <= x"39"; when "11" & x"4ce" => data <= x"f0"; when "11" & x"4cf" => data <= x"0f"; when "11" & x"4d0" => data <= x"a5"; when "11" & x"4d1" => data <= x"2b"; when "11" & x"4d2" => data <= x"c8"; when "11" & x"4d3" => data <= x"91"; when "11" & x"4d4" => data <= x"37"; when "11" & x"4d5" => data <= x"a5"; when "11" & x"4d6" => data <= x"2c"; when "11" & x"4d7" => data <= x"c8"; when "11" & x"4d8" => data <= x"91"; when "11" & x"4d9" => data <= x"37"; when "11" & x"4da" => data <= x"a5"; when "11" & x"4db" => data <= x"2d"; when "11" & x"4dc" => data <= x"c8"; when "11" & x"4dd" => data <= x"91"; when "11" & x"4de" => data <= x"37"; when "11" & x"4df" => data <= x"60"; when "11" & x"4e0" => data <= x"a5"; when "11" & x"4e1" => data <= x"27"; when "11" & x"4e2" => data <= x"f0"; when "11" & x"4e3" => data <= x"ca"; when "11" & x"4e4" => data <= x"30"; when "11" & x"4e5" => data <= x"03"; when "11" & x"4e6" => data <= x"20"; when "11" & x"4e7" => data <= x"be"; when "11" & x"4e8" => data <= x"a2"; when "11" & x"4e9" => data <= x"a0"; when "11" & x"4ea" => data <= x"00"; when "11" & x"4eb" => data <= x"a5"; when "11" & x"4ec" => data <= x"30"; when "11" & x"4ed" => data <= x"91"; when "11" & x"4ee" => data <= x"37"; when "11" & x"4ef" => data <= x"c8"; when "11" & x"4f0" => data <= x"a5"; when "11" & x"4f1" => data <= x"2e"; when "11" & x"4f2" => data <= x"29"; when "11" & x"4f3" => data <= x"80"; when "11" & x"4f4" => data <= x"85"; when "11" & x"4f5" => data <= x"2e"; when "11" & x"4f6" => data <= x"a5"; when "11" & x"4f7" => data <= x"31"; when "11" & x"4f8" => data <= x"29"; when "11" & x"4f9" => data <= x"7f"; when "11" & x"4fa" => data <= x"05"; when "11" & x"4fb" => data <= x"2e"; when "11" & x"4fc" => data <= x"91"; when "11" & x"4fd" => data <= x"37"; when "11" & x"4fe" => data <= x"c8"; when "11" & x"4ff" => data <= x"a5"; when "11" & x"500" => data <= x"32"; when "11" & x"501" => data <= x"91"; when "11" & x"502" => data <= x"37"; when "11" & x"503" => data <= x"c8"; when "11" & x"504" => data <= x"a5"; when "11" & x"505" => data <= x"33"; when "11" & x"506" => data <= x"91"; when "11" & x"507" => data <= x"37"; when "11" & x"508" => data <= x"c8"; when "11" & x"509" => data <= x"a5"; when "11" & x"50a" => data <= x"34"; when "11" & x"50b" => data <= x"91"; when "11" & x"50c" => data <= x"37"; when "11" & x"50d" => data <= x"60"; when "11" & x"50e" => data <= x"85"; when "11" & x"50f" => data <= x"37"; when "11" & x"510" => data <= x"c9"; when "11" & x"511" => data <= x"80"; when "11" & x"512" => data <= x"90"; when "11" & x"513" => data <= x"44"; when "11" & x"514" => data <= x"a9"; when "11" & x"515" => data <= x"71"; when "11" & x"516" => data <= x"85"; when "11" & x"517" => data <= x"38"; when "11" & x"518" => data <= x"a9"; when "11" & x"519" => data <= x"80"; when "11" & x"51a" => data <= x"85"; when "11" & x"51b" => data <= x"39"; when "11" & x"51c" => data <= x"84"; when "11" & x"51d" => data <= x"3a"; when "11" & x"51e" => data <= x"a0"; when "11" & x"51f" => data <= x"00"; when "11" & x"520" => data <= x"c8"; when "11" & x"521" => data <= x"b1"; when "11" & x"522" => data <= x"38"; when "11" & x"523" => data <= x"10"; when "11" & x"524" => data <= x"fb"; when "11" & x"525" => data <= x"c5"; when "11" & x"526" => data <= x"37"; when "11" & x"527" => data <= x"f0"; when "11" & x"528" => data <= x"0d"; when "11" & x"529" => data <= x"c8"; when "11" & x"52a" => data <= x"98"; when "11" & x"52b" => data <= x"38"; when "11" & x"52c" => data <= x"65"; when "11" & x"52d" => data <= x"38"; when "11" & x"52e" => data <= x"85"; when "11" & x"52f" => data <= x"38"; when "11" & x"530" => data <= x"90"; when "11" & x"531" => data <= x"ec"; when "11" & x"532" => data <= x"e6"; when "11" & x"533" => data <= x"39"; when "11" & x"534" => data <= x"b0"; when "11" & x"535" => data <= x"e8"; when "11" & x"536" => data <= x"a0"; when "11" & x"537" => data <= x"00"; when "11" & x"538" => data <= x"b1"; when "11" & x"539" => data <= x"38"; when "11" & x"53a" => data <= x"30"; when "11" & x"53b" => data <= x"06"; when "11" & x"53c" => data <= x"20"; when "11" & x"53d" => data <= x"58"; when "11" & x"53e" => data <= x"b5"; when "11" & x"53f" => data <= x"c8"; when "11" & x"540" => data <= x"d0"; when "11" & x"541" => data <= x"f6"; when "11" & x"542" => data <= x"a4"; when "11" & x"543" => data <= x"3a"; when "11" & x"544" => data <= x"60"; when "11" & x"545" => data <= x"48"; when "11" & x"546" => data <= x"4a"; when "11" & x"547" => data <= x"4a"; when "11" & x"548" => data <= x"4a"; when "11" & x"549" => data <= x"4a"; when "11" & x"54a" => data <= x"20"; when "11" & x"54b" => data <= x"50"; when "11" & x"54c" => data <= x"b5"; when "11" & x"54d" => data <= x"68"; when "11" & x"54e" => data <= x"29"; when "11" & x"54f" => data <= x"0f"; when "11" & x"550" => data <= x"c9"; when "11" & x"551" => data <= x"0a"; when "11" & x"552" => data <= x"90"; when "11" & x"553" => data <= x"02"; when "11" & x"554" => data <= x"69"; when "11" & x"555" => data <= x"06"; when "11" & x"556" => data <= x"69"; when "11" & x"557" => data <= x"30"; when "11" & x"558" => data <= x"c9"; when "11" & x"559" => data <= x"0d"; when "11" & x"55a" => data <= x"d0"; when "11" & x"55b" => data <= x"0b"; when "11" & x"55c" => data <= x"20"; when "11" & x"55d" => data <= x"ee"; when "11" & x"55e" => data <= x"ff"; when "11" & x"55f" => data <= x"4c"; when "11" & x"560" => data <= x"28"; when "11" & x"561" => data <= x"bc"; when "11" & x"562" => data <= x"20"; when "11" & x"563" => data <= x"45"; when "11" & x"564" => data <= x"b5"; when "11" & x"565" => data <= x"a9"; when "11" & x"566" => data <= x"20"; when "11" & x"567" => data <= x"48"; when "11" & x"568" => data <= x"a5"; when "11" & x"569" => data <= x"23"; when "11" & x"56a" => data <= x"c5"; when "11" & x"56b" => data <= x"1e"; when "11" & x"56c" => data <= x"b0"; when "11" & x"56d" => data <= x"03"; when "11" & x"56e" => data <= x"20"; when "11" & x"56f" => data <= x"25"; when "11" & x"570" => data <= x"bc"; when "11" & x"571" => data <= x"68"; when "11" & x"572" => data <= x"e6"; when "11" & x"573" => data <= x"1e"; when "11" & x"574" => data <= x"6c"; when "11" & x"575" => data <= x"0e"; when "11" & x"576" => data <= x"02"; when "11" & x"577" => data <= x"25"; when "11" & x"578" => data <= x"1f"; when "11" & x"579" => data <= x"f0"; when "11" & x"57a" => data <= x"0e"; when "11" & x"57b" => data <= x"8a"; when "11" & x"57c" => data <= x"f0"; when "11" & x"57d" => data <= x"0b"; when "11" & x"57e" => data <= x"30"; when "11" & x"57f" => data <= x"e5"; when "11" & x"580" => data <= x"20"; when "11" & x"581" => data <= x"65"; when "11" & x"582" => data <= x"b5"; when "11" & x"583" => data <= x"20"; when "11" & x"584" => data <= x"58"; when "11" & x"585" => data <= x"b5"; when "11" & x"586" => data <= x"ca"; when "11" & x"587" => data <= x"d0"; when "11" & x"588" => data <= x"f7"; when "11" & x"589" => data <= x"60"; when "11" & x"58a" => data <= x"e6"; when "11" & x"58b" => data <= x"0a"; when "11" & x"58c" => data <= x"20"; when "11" & x"58d" => data <= x"1d"; when "11" & x"58e" => data <= x"9b"; when "11" & x"58f" => data <= x"20"; when "11" & x"590" => data <= x"4c"; when "11" & x"591" => data <= x"98"; when "11" & x"592" => data <= x"20"; when "11" & x"593" => data <= x"ee"; when "11" & x"594" => data <= x"92"; when "11" & x"595" => data <= x"a5"; when "11" & x"596" => data <= x"2a"; when "11" & x"597" => data <= x"85"; when "11" & x"598" => data <= x"1f"; when "11" & x"599" => data <= x"4c"; when "11" & x"59a" => data <= x"f6"; when "11" & x"59b" => data <= x"8a"; when "11" & x"59c" => data <= x"c8"; when "11" & x"59d" => data <= x"b1"; when "11" & x"59e" => data <= x"0b"; when "11" & x"59f" => data <= x"c9"; when "11" & x"5a0" => data <= x"4f"; when "11" & x"5a1" => data <= x"f0"; when "11" & x"5a2" => data <= x"e7"; when "11" & x"5a3" => data <= x"a9"; when "11" & x"5a4" => data <= x"00"; when "11" & x"5a5" => data <= x"85"; when "11" & x"5a6" => data <= x"3b"; when "11" & x"5a7" => data <= x"85"; when "11" & x"5a8" => data <= x"3c"; when "11" & x"5a9" => data <= x"20"; when "11" & x"5aa" => data <= x"d8"; when "11" & x"5ab" => data <= x"ae"; when "11" & x"5ac" => data <= x"20"; when "11" & x"5ad" => data <= x"df"; when "11" & x"5ae" => data <= x"97"; when "11" & x"5af" => data <= x"08"; when "11" & x"5b0" => data <= x"20"; when "11" & x"5b1" => data <= x"94"; when "11" & x"5b2" => data <= x"bd"; when "11" & x"5b3" => data <= x"a9"; when "11" & x"5b4" => data <= x"ff"; when "11" & x"5b5" => data <= x"85"; when "11" & x"5b6" => data <= x"2a"; when "11" & x"5b7" => data <= x"a9"; when "11" & x"5b8" => data <= x"7f"; when "11" & x"5b9" => data <= x"85"; when "11" & x"5ba" => data <= x"2b"; when "11" & x"5bb" => data <= x"28"; when "11" & x"5bc" => data <= x"90"; when "11" & x"5bd" => data <= x"11"; when "11" & x"5be" => data <= x"20"; when "11" & x"5bf" => data <= x"97"; when "11" & x"5c0" => data <= x"8a"; when "11" & x"5c1" => data <= x"c9"; when "11" & x"5c2" => data <= x"2c"; when "11" & x"5c3" => data <= x"f0"; when "11" & x"5c4" => data <= x"13"; when "11" & x"5c5" => data <= x"20"; when "11" & x"5c6" => data <= x"ea"; when "11" & x"5c7" => data <= x"bd"; when "11" & x"5c8" => data <= x"20"; when "11" & x"5c9" => data <= x"94"; when "11" & x"5ca" => data <= x"bd"; when "11" & x"5cb" => data <= x"c6"; when "11" & x"5cc" => data <= x"0a"; when "11" & x"5cd" => data <= x"10"; when "11" & x"5ce" => data <= x"0c"; when "11" & x"5cf" => data <= x"20"; when "11" & x"5d0" => data <= x"97"; when "11" & x"5d1" => data <= x"8a"; when "11" & x"5d2" => data <= x"c9"; when "11" & x"5d3" => data <= x"2c"; when "11" & x"5d4" => data <= x"f0"; when "11" & x"5d5" => data <= x"02"; when "11" & x"5d6" => data <= x"c6"; when "11" & x"5d7" => data <= x"0a"; when "11" & x"5d8" => data <= x"20"; when "11" & x"5d9" => data <= x"df"; when "11" & x"5da" => data <= x"97"; when "11" & x"5db" => data <= x"a5"; when "11" & x"5dc" => data <= x"2a"; when "11" & x"5dd" => data <= x"85"; when "11" & x"5de" => data <= x"31"; when "11" & x"5df" => data <= x"a5"; when "11" & x"5e0" => data <= x"2b"; when "11" & x"5e1" => data <= x"85"; when "11" & x"5e2" => data <= x"32"; when "11" & x"5e3" => data <= x"20"; when "11" & x"5e4" => data <= x"57"; when "11" & x"5e5" => data <= x"98"; when "11" & x"5e6" => data <= x"20"; when "11" & x"5e7" => data <= x"6f"; when "11" & x"5e8" => data <= x"be"; when "11" & x"5e9" => data <= x"20"; when "11" & x"5ea" => data <= x"ea"; when "11" & x"5eb" => data <= x"bd"; when "11" & x"5ec" => data <= x"20"; when "11" & x"5ed" => data <= x"70"; when "11" & x"5ee" => data <= x"99"; when "11" & x"5ef" => data <= x"a5"; when "11" & x"5f0" => data <= x"3d"; when "11" & x"5f1" => data <= x"85"; when "11" & x"5f2" => data <= x"0b"; when "11" & x"5f3" => data <= x"a5"; when "11" & x"5f4" => data <= x"3e"; when "11" & x"5f5" => data <= x"85"; when "11" & x"5f6" => data <= x"0c"; when "11" & x"5f7" => data <= x"90"; when "11" & x"5f8" => data <= x"16"; when "11" & x"5f9" => data <= x"88"; when "11" & x"5fa" => data <= x"b0"; when "11" & x"5fb" => data <= x"06"; when "11" & x"5fc" => data <= x"20"; when "11" & x"5fd" => data <= x"25"; when "11" & x"5fe" => data <= x"bc"; when "11" & x"5ff" => data <= x"20"; when "11" & x"600" => data <= x"6d"; when "11" & x"601" => data <= x"98"; when "11" & x"602" => data <= x"b1"; when "11" & x"603" => data <= x"0b"; when "11" & x"604" => data <= x"85"; when "11" & x"605" => data <= x"2b"; when "11" & x"606" => data <= x"c8"; when "11" & x"607" => data <= x"b1"; when "11" & x"608" => data <= x"0b"; when "11" & x"609" => data <= x"85"; when "11" & x"60a" => data <= x"2a"; when "11" & x"60b" => data <= x"c8"; when "11" & x"60c" => data <= x"c8"; when "11" & x"60d" => data <= x"84"; when "11" & x"60e" => data <= x"0a"; when "11" & x"60f" => data <= x"a5"; when "11" & x"610" => data <= x"2a"; when "11" & x"611" => data <= x"18"; when "11" & x"612" => data <= x"e5"; when "11" & x"613" => data <= x"31"; when "11" & x"614" => data <= x"a5"; when "11" & x"615" => data <= x"2b"; when "11" & x"616" => data <= x"e5"; when "11" & x"617" => data <= x"32"; when "11" & x"618" => data <= x"90"; when "11" & x"619" => data <= x"03"; when "11" & x"61a" => data <= x"4c"; when "11" & x"61b" => data <= x"f6"; when "11" & x"61c" => data <= x"8a"; when "11" & x"61d" => data <= x"20"; when "11" & x"61e" => data <= x"23"; when "11" & x"61f" => data <= x"99"; when "11" & x"620" => data <= x"a2"; when "11" & x"621" => data <= x"ff"; when "11" & x"622" => data <= x"86"; when "11" & x"623" => data <= x"4d"; when "11" & x"624" => data <= x"a9"; when "11" & x"625" => data <= x"01"; when "11" & x"626" => data <= x"20"; when "11" & x"627" => data <= x"77"; when "11" & x"628" => data <= x"b5"; when "11" & x"629" => data <= x"a6"; when "11" & x"62a" => data <= x"3b"; when "11" & x"62b" => data <= x"a9"; when "11" & x"62c" => data <= x"02"; when "11" & x"62d" => data <= x"20"; when "11" & x"62e" => data <= x"77"; when "11" & x"62f" => data <= x"b5"; when "11" & x"630" => data <= x"a6"; when "11" & x"631" => data <= x"3c"; when "11" & x"632" => data <= x"a9"; when "11" & x"633" => data <= x"04"; when "11" & x"634" => data <= x"20"; when "11" & x"635" => data <= x"77"; when "11" & x"636" => data <= x"b5"; when "11" & x"637" => data <= x"a4"; when "11" & x"638" => data <= x"0a"; when "11" & x"639" => data <= x"b1"; when "11" & x"63a" => data <= x"0b"; when "11" & x"63b" => data <= x"c9"; when "11" & x"63c" => data <= x"0d"; when "11" & x"63d" => data <= x"f0"; when "11" & x"63e" => data <= x"bd"; when "11" & x"63f" => data <= x"c9"; when "11" & x"640" => data <= x"22"; when "11" & x"641" => data <= x"d0"; when "11" & x"642" => data <= x"0e"; when "11" & x"643" => data <= x"a9"; when "11" & x"644" => data <= x"ff"; when "11" & x"645" => data <= x"45"; when "11" & x"646" => data <= x"4d"; when "11" & x"647" => data <= x"85"; when "11" & x"648" => data <= x"4d"; when "11" & x"649" => data <= x"a9"; when "11" & x"64a" => data <= x"22"; when "11" & x"64b" => data <= x"20"; when "11" & x"64c" => data <= x"58"; when "11" & x"64d" => data <= x"b5"; when "11" & x"64e" => data <= x"c8"; when "11" & x"64f" => data <= x"d0"; when "11" & x"650" => data <= x"e8"; when "11" & x"651" => data <= x"24"; when "11" & x"652" => data <= x"4d"; when "11" & x"653" => data <= x"10"; when "11" & x"654" => data <= x"f6"; when "11" & x"655" => data <= x"c9"; when "11" & x"656" => data <= x"8d"; when "11" & x"657" => data <= x"d0"; when "11" & x"658" => data <= x"0f"; when "11" & x"659" => data <= x"20"; when "11" & x"65a" => data <= x"eb"; when "11" & x"65b" => data <= x"97"; when "11" & x"65c" => data <= x"84"; when "11" & x"65d" => data <= x"0a"; when "11" & x"65e" => data <= x"a9"; when "11" & x"65f" => data <= x"00"; when "11" & x"660" => data <= x"85"; when "11" & x"661" => data <= x"14"; when "11" & x"662" => data <= x"20"; when "11" & x"663" => data <= x"1f"; when "11" & x"664" => data <= x"99"; when "11" & x"665" => data <= x"4c"; when "11" & x"666" => data <= x"37"; when "11" & x"667" => data <= x"b6"; when "11" & x"668" => data <= x"c9"; when "11" & x"669" => data <= x"e3"; when "11" & x"66a" => data <= x"d0"; when "11" & x"66b" => data <= x"02"; when "11" & x"66c" => data <= x"e6"; when "11" & x"66d" => data <= x"3b"; when "11" & x"66e" => data <= x"c9"; when "11" & x"66f" => data <= x"ed"; when "11" & x"670" => data <= x"d0"; when "11" & x"671" => data <= x"06"; when "11" & x"672" => data <= x"a6"; when "11" & x"673" => data <= x"3b"; when "11" & x"674" => data <= x"f0"; when "11" & x"675" => data <= x"02"; when "11" & x"676" => data <= x"c6"; when "11" & x"677" => data <= x"3b"; when "11" & x"678" => data <= x"c9"; when "11" & x"679" => data <= x"f5"; when "11" & x"67a" => data <= x"d0"; when "11" & x"67b" => data <= x"02"; when "11" & x"67c" => data <= x"e6"; when "11" & x"67d" => data <= x"3c"; when "11" & x"67e" => data <= x"c9"; when "11" & x"67f" => data <= x"fd"; when "11" & x"680" => data <= x"d0"; when "11" & x"681" => data <= x"06"; when "11" & x"682" => data <= x"a6"; when "11" & x"683" => data <= x"3c"; when "11" & x"684" => data <= x"f0"; when "11" & x"685" => data <= x"02"; when "11" & x"686" => data <= x"c6"; when "11" & x"687" => data <= x"3c"; when "11" & x"688" => data <= x"20"; when "11" & x"689" => data <= x"0e"; when "11" & x"68a" => data <= x"b5"; when "11" & x"68b" => data <= x"c8"; when "11" & x"68c" => data <= x"d0"; when "11" & x"68d" => data <= x"ab"; when "11" & x"68e" => data <= x"00"; when "11" & x"68f" => data <= x"20"; when "11" & x"690" => data <= x"4e"; when "11" & x"691" => data <= x"6f"; when "11" & x"692" => data <= x"20"; when "11" & x"693" => data <= x"e3"; when "11" & x"694" => data <= x"00"; when "11" & x"695" => data <= x"20"; when "11" & x"696" => data <= x"c9"; when "11" & x"697" => data <= x"95"; when "11" & x"698" => data <= x"d0"; when "11" & x"699" => data <= x"09"; when "11" & x"69a" => data <= x"a6"; when "11" & x"69b" => data <= x"26"; when "11" & x"69c" => data <= x"f0"; when "11" & x"69d" => data <= x"f0"; when "11" & x"69e" => data <= x"b0"; when "11" & x"69f" => data <= x"37"; when "11" & x"6a0" => data <= x"4c"; when "11" & x"6a1" => data <= x"2a"; when "11" & x"6a2" => data <= x"98"; when "11" & x"6a3" => data <= x"b0"; when "11" & x"6a4" => data <= x"fb"; when "11" & x"6a5" => data <= x"a6"; when "11" & x"6a6" => data <= x"26"; when "11" & x"6a7" => data <= x"f0"; when "11" & x"6a8" => data <= x"e5"; when "11" & x"6a9" => data <= x"a5"; when "11" & x"6aa" => data <= x"2a"; when "11" & x"6ab" => data <= x"dd"; when "11" & x"6ac" => data <= x"f1"; when "11" & x"6ad" => data <= x"04"; when "11" & x"6ae" => data <= x"d0"; when "11" & x"6af" => data <= x"0e"; when "11" & x"6b0" => data <= x"a5"; when "11" & x"6b1" => data <= x"2b"; when "11" & x"6b2" => data <= x"dd"; when "11" & x"6b3" => data <= x"f2"; when "11" & x"6b4" => data <= x"04"; when "11" & x"6b5" => data <= x"d0"; when "11" & x"6b6" => data <= x"07"; when "11" & x"6b7" => data <= x"a5"; when "11" & x"6b8" => data <= x"2c"; when "11" & x"6b9" => data <= x"dd"; when "11" & x"6ba" => data <= x"f3"; when "11" & x"6bb" => data <= x"04"; when "11" & x"6bc" => data <= x"f0"; when "11" & x"6bd" => data <= x"19"; when "11" & x"6be" => data <= x"8a"; when "11" & x"6bf" => data <= x"38"; when "11" & x"6c0" => data <= x"e9"; when "11" & x"6c1" => data <= x"0f"; when "11" & x"6c2" => data <= x"aa"; when "11" & x"6c3" => data <= x"86"; when "11" & x"6c4" => data <= x"26"; when "11" & x"6c5" => data <= x"d0"; when "11" & x"6c6" => data <= x"e2"; when "11" & x"6c7" => data <= x"00"; when "11" & x"6c8" => data <= x"21"; when "11" & x"6c9" => data <= x"43"; when "11" & x"6ca" => data <= x"61"; when "11" & x"6cb" => data <= x"6e"; when "11" & x"6cc" => data <= x"27"; when "11" & x"6cd" => data <= x"74"; when "11" & x"6ce" => data <= x"20"; when "11" & x"6cf" => data <= x"4d"; when "11" & x"6d0" => data <= x"61"; when "11" & x"6d1" => data <= x"74"; when "11" & x"6d2" => data <= x"63"; when "11" & x"6d3" => data <= x"68"; when "11" & x"6d4" => data <= x"20"; when "11" & x"6d5" => data <= x"e3"; when "11" & x"6d6" => data <= x"00"; when "11" & x"6d7" => data <= x"bd"; when "11" & x"6d8" => data <= x"f1"; when "11" & x"6d9" => data <= x"04"; when "11" & x"6da" => data <= x"85"; when "11" & x"6db" => data <= x"2a"; when "11" & x"6dc" => data <= x"bd"; when "11" & x"6dd" => data <= x"f2"; when "11" & x"6de" => data <= x"04"; when "11" & x"6df" => data <= x"85"; when "11" & x"6e0" => data <= x"2b"; when "11" & x"6e1" => data <= x"bc"; when "11" & x"6e2" => data <= x"f3"; when "11" & x"6e3" => data <= x"04"; when "11" & x"6e4" => data <= x"c0"; when "11" & x"6e5" => data <= x"05"; when "11" & x"6e6" => data <= x"f0"; when "11" & x"6e7" => data <= x"7e"; when "11" & x"6e8" => data <= x"a0"; when "11" & x"6e9" => data <= x"00"; when "11" & x"6ea" => data <= x"b1"; when "11" & x"6eb" => data <= x"2a"; when "11" & x"6ec" => data <= x"7d"; when "11" & x"6ed" => data <= x"f4"; when "11" & x"6ee" => data <= x"04"; when "11" & x"6ef" => data <= x"91"; when "11" & x"6f0" => data <= x"2a"; when "11" & x"6f1" => data <= x"85"; when "11" & x"6f2" => data <= x"37"; when "11" & x"6f3" => data <= x"c8"; when "11" & x"6f4" => data <= x"b1"; when "11" & x"6f5" => data <= x"2a"; when "11" & x"6f6" => data <= x"7d"; when "11" & x"6f7" => data <= x"f5"; when "11" & x"6f8" => data <= x"04"; when "11" & x"6f9" => data <= x"91"; when "11" & x"6fa" => data <= x"2a"; when "11" & x"6fb" => data <= x"85"; when "11" & x"6fc" => data <= x"38"; when "11" & x"6fd" => data <= x"c8"; when "11" & x"6fe" => data <= x"b1"; when "11" & x"6ff" => data <= x"2a"; when "11" & x"700" => data <= x"7d"; when "11" & x"701" => data <= x"f6"; when "11" & x"702" => data <= x"04"; when "11" & x"703" => data <= x"91"; when "11" & x"704" => data <= x"2a"; when "11" & x"705" => data <= x"85"; when "11" & x"706" => data <= x"39"; when "11" & x"707" => data <= x"c8"; when "11" & x"708" => data <= x"b1"; when "11" & x"709" => data <= x"2a"; when "11" & x"70a" => data <= x"7d"; when "11" & x"70b" => data <= x"f7"; when "11" & x"70c" => data <= x"04"; when "11" & x"70d" => data <= x"91"; when "11" & x"70e" => data <= x"2a"; when "11" & x"70f" => data <= x"a8"; when "11" & x"710" => data <= x"a5"; when "11" & x"711" => data <= x"37"; when "11" & x"712" => data <= x"38"; when "11" & x"713" => data <= x"fd"; when "11" & x"714" => data <= x"f9"; when "11" & x"715" => data <= x"04"; when "11" & x"716" => data <= x"85"; when "11" & x"717" => data <= x"37"; when "11" & x"718" => data <= x"a5"; when "11" & x"719" => data <= x"38"; when "11" & x"71a" => data <= x"fd"; when "11" & x"71b" => data <= x"fa"; when "11" & x"71c" => data <= x"04"; when "11" & x"71d" => data <= x"85"; when "11" & x"71e" => data <= x"38"; when "11" & x"71f" => data <= x"a5"; when "11" & x"720" => data <= x"39"; when "11" & x"721" => data <= x"fd"; when "11" & x"722" => data <= x"fb"; when "11" & x"723" => data <= x"04"; when "11" & x"724" => data <= x"85"; when "11" & x"725" => data <= x"39"; when "11" & x"726" => data <= x"98"; when "11" & x"727" => data <= x"fd"; when "11" & x"728" => data <= x"fc"; when "11" & x"729" => data <= x"04"; when "11" & x"72a" => data <= x"05"; when "11" & x"72b" => data <= x"37"; when "11" & x"72c" => data <= x"05"; when "11" & x"72d" => data <= x"38"; when "11" & x"72e" => data <= x"05"; when "11" & x"72f" => data <= x"39"; when "11" & x"730" => data <= x"f0"; when "11" & x"731" => data <= x"0f"; when "11" & x"732" => data <= x"98"; when "11" & x"733" => data <= x"5d"; when "11" & x"734" => data <= x"f7"; when "11" & x"735" => data <= x"04"; when "11" & x"736" => data <= x"5d"; when "11" & x"737" => data <= x"fc"; when "11" & x"738" => data <= x"04"; when "11" & x"739" => data <= x"10"; when "11" & x"73a" => data <= x"04"; when "11" & x"73b" => data <= x"b0"; when "11" & x"73c" => data <= x"04"; when "11" & x"73d" => data <= x"90"; when "11" & x"73e" => data <= x"12"; when "11" & x"73f" => data <= x"b0"; when "11" & x"740" => data <= x"10"; when "11" & x"741" => data <= x"bc"; when "11" & x"742" => data <= x"fe"; when "11" & x"743" => data <= x"04"; when "11" & x"744" => data <= x"bd"; when "11" & x"745" => data <= x"ff"; when "11" & x"746" => data <= x"04"; when "11" & x"747" => data <= x"84"; when "11" & x"748" => data <= x"0b"; when "11" & x"749" => data <= x"85"; when "11" & x"74a" => data <= x"0c"; when "11" & x"74b" => data <= x"20"; when "11" & x"74c" => data <= x"77"; when "11" & x"74d" => data <= x"98"; when "11" & x"74e" => data <= x"4c"; when "11" & x"74f" => data <= x"a3"; when "11" & x"750" => data <= x"8b"; when "11" & x"751" => data <= x"a5"; when "11" & x"752" => data <= x"26"; when "11" & x"753" => data <= x"38"; when "11" & x"754" => data <= x"e9"; when "11" & x"755" => data <= x"0f"; when "11" & x"756" => data <= x"85"; when "11" & x"757" => data <= x"26"; when "11" & x"758" => data <= x"a4"; when "11" & x"759" => data <= x"1b"; when "11" & x"75a" => data <= x"84"; when "11" & x"75b" => data <= x"0a"; when "11" & x"75c" => data <= x"20"; when "11" & x"75d" => data <= x"97"; when "11" & x"75e" => data <= x"8a"; when "11" & x"75f" => data <= x"c9"; when "11" & x"760" => data <= x"2c"; when "11" & x"761" => data <= x"d0"; when "11" & x"762" => data <= x"3e"; when "11" & x"763" => data <= x"4c"; when "11" & x"764" => data <= x"95"; when "11" & x"765" => data <= x"b6"; when "11" & x"766" => data <= x"20"; when "11" & x"767" => data <= x"54"; when "11" & x"768" => data <= x"b3"; when "11" & x"769" => data <= x"a5"; when "11" & x"76a" => data <= x"26"; when "11" & x"76b" => data <= x"18"; when "11" & x"76c" => data <= x"69"; when "11" & x"76d" => data <= x"f4"; when "11" & x"76e" => data <= x"85"; when "11" & x"76f" => data <= x"4b"; when "11" & x"770" => data <= x"a9"; when "11" & x"771" => data <= x"05"; when "11" & x"772" => data <= x"85"; when "11" & x"773" => data <= x"4c"; when "11" & x"774" => data <= x"20"; when "11" & x"775" => data <= x"00"; when "11" & x"776" => data <= x"a5"; when "11" & x"777" => data <= x"a5"; when "11" & x"778" => data <= x"2a"; when "11" & x"779" => data <= x"85"; when "11" & x"77a" => data <= x"37"; when "11" & x"77b" => data <= x"a5"; when "11" & x"77c" => data <= x"2b"; when "11" & x"77d" => data <= x"85"; when "11" & x"77e" => data <= x"38"; when "11" & x"77f" => data <= x"20"; when "11" & x"780" => data <= x"e9"; when "11" & x"781" => data <= x"b4"; when "11" & x"782" => data <= x"a5"; when "11" & x"783" => data <= x"26"; when "11" & x"784" => data <= x"85"; when "11" & x"785" => data <= x"27"; when "11" & x"786" => data <= x"18"; when "11" & x"787" => data <= x"69"; when "11" & x"788" => data <= x"f9"; when "11" & x"789" => data <= x"85"; when "11" & x"78a" => data <= x"4b"; when "11" & x"78b" => data <= x"a9"; when "11" & x"78c" => data <= x"05"; when "11" & x"78d" => data <= x"85"; when "11" & x"78e" => data <= x"4c"; when "11" & x"78f" => data <= x"20"; when "11" & x"790" => data <= x"5f"; when "11" & x"791" => data <= x"9a"; when "11" & x"792" => data <= x"f0"; when "11" & x"793" => data <= x"ad"; when "11" & x"794" => data <= x"bd"; when "11" & x"795" => data <= x"f5"; when "11" & x"796" => data <= x"04"; when "11" & x"797" => data <= x"30"; when "11" & x"798" => data <= x"04"; when "11" & x"799" => data <= x"b0"; when "11" & x"79a" => data <= x"a6"; when "11" & x"79b" => data <= x"90"; when "11" & x"79c" => data <= x"b4"; when "11" & x"79d" => data <= x"90"; when "11" & x"79e" => data <= x"a2"; when "11" & x"79f" => data <= x"b0"; when "11" & x"7a0" => data <= x"b0"; when "11" & x"7a1" => data <= x"4c"; when "11" & x"7a2" => data <= x"96"; when "11" & x"7a3" => data <= x"8b"; when "11" & x"7a4" => data <= x"00"; when "11" & x"7a5" => data <= x"22"; when "11" & x"7a6" => data <= x"e3"; when "11" & x"7a7" => data <= x"20"; when "11" & x"7a8" => data <= x"76"; when "11" & x"7a9" => data <= x"61"; when "11" & x"7aa" => data <= x"72"; when "11" & x"7ab" => data <= x"69"; when "11" & x"7ac" => data <= x"61"; when "11" & x"7ad" => data <= x"62"; when "11" & x"7ae" => data <= x"6c"; when "11" & x"7af" => data <= x"65"; when "11" & x"7b0" => data <= x"00"; when "11" & x"7b1" => data <= x"23"; when "11" & x"7b2" => data <= x"54"; when "11" & x"7b3" => data <= x"6f"; when "11" & x"7b4" => data <= x"6f"; when "11" & x"7b5" => data <= x"20"; when "11" & x"7b6" => data <= x"6d"; when "11" & x"7b7" => data <= x"61"; when "11" & x"7b8" => data <= x"6e"; when "11" & x"7b9" => data <= x"79"; when "11" & x"7ba" => data <= x"20"; when "11" & x"7bb" => data <= x"e3"; when "11" & x"7bc" => data <= x"73"; when "11" & x"7bd" => data <= x"00"; when "11" & x"7be" => data <= x"24"; when "11" & x"7bf" => data <= x"4e"; when "11" & x"7c0" => data <= x"6f"; when "11" & x"7c1" => data <= x"20"; when "11" & x"7c2" => data <= x"b8"; when "11" & x"7c3" => data <= x"00"; when "11" & x"7c4" => data <= x"20"; when "11" & x"7c5" => data <= x"82"; when "11" & x"7c6" => data <= x"95"; when "11" & x"7c7" => data <= x"f0"; when "11" & x"7c8" => data <= x"db"; when "11" & x"7c9" => data <= x"b0"; when "11" & x"7ca" => data <= x"d9"; when "11" & x"7cb" => data <= x"20"; when "11" & x"7cc" => data <= x"94"; when "11" & x"7cd" => data <= x"bd"; when "11" & x"7ce" => data <= x"20"; when "11" & x"7cf" => data <= x"41"; when "11" & x"7d0" => data <= x"98"; when "11" & x"7d1" => data <= x"20"; when "11" & x"7d2" => data <= x"b1"; when "11" & x"7d3" => data <= x"b4"; when "11" & x"7d4" => data <= x"a4"; when "11" & x"7d5" => data <= x"26"; when "11" & x"7d6" => data <= x"c0"; when "11" & x"7d7" => data <= x"96"; when "11" & x"7d8" => data <= x"b0"; when "11" & x"7d9" => data <= x"d6"; when "11" & x"7da" => data <= x"a5"; when "11" & x"7db" => data <= x"37"; when "11" & x"7dc" => data <= x"99"; when "11" & x"7dd" => data <= x"00"; when "11" & x"7de" => data <= x"05"; when "11" & x"7df" => data <= x"a5"; when "11" & x"7e0" => data <= x"38"; when "11" & x"7e1" => data <= x"99"; when "11" & x"7e2" => data <= x"01"; when "11" & x"7e3" => data <= x"05"; when "11" & x"7e4" => data <= x"a5"; when "11" & x"7e5" => data <= x"39"; when "11" & x"7e6" => data <= x"99"; when "11" & x"7e7" => data <= x"02"; when "11" & x"7e8" => data <= x"05"; when "11" & x"7e9" => data <= x"aa"; when "11" & x"7ea" => data <= x"20"; when "11" & x"7eb" => data <= x"8c"; when "11" & x"7ec" => data <= x"8a"; when "11" & x"7ed" => data <= x"c9"; when "11" & x"7ee" => data <= x"b8"; when "11" & x"7ef" => data <= x"d0"; when "11" & x"7f0" => data <= x"cc"; when "11" & x"7f1" => data <= x"e0"; when "11" & x"7f2" => data <= x"05"; when "11" & x"7f3" => data <= x"f0"; when "11" & x"7f4" => data <= x"5a"; when "11" & x"7f5" => data <= x"20"; when "11" & x"7f6" => data <= x"dd"; when "11" & x"7f7" => data <= x"92"; when "11" & x"7f8" => data <= x"a4"; when "11" & x"7f9" => data <= x"26"; when "11" & x"7fa" => data <= x"a5"; when "11" & x"7fb" => data <= x"2a"; when "11" & x"7fc" => data <= x"99"; when "11" & x"7fd" => data <= x"08"; when "11" & x"7fe" => data <= x"05"; when "11" & x"7ff" => data <= x"a5"; when "11" & x"800" => data <= x"2b"; when "11" & x"801" => data <= x"99"; when "11" & x"802" => data <= x"09"; when "11" & x"803" => data <= x"05"; when "11" & x"804" => data <= x"a5"; when "11" & x"805" => data <= x"2c"; when "11" & x"806" => data <= x"99"; when "11" & x"807" => data <= x"0a"; when "11" & x"808" => data <= x"05"; when "11" & x"809" => data <= x"a5"; when "11" & x"80a" => data <= x"2d"; when "11" & x"80b" => data <= x"99"; when "11" & x"80c" => data <= x"0b"; when "11" & x"80d" => data <= x"05"; when "11" & x"80e" => data <= x"a9"; when "11" & x"80f" => data <= x"01"; when "11" & x"810" => data <= x"20"; when "11" & x"811" => data <= x"d8"; when "11" & x"812" => data <= x"ae"; when "11" & x"813" => data <= x"20"; when "11" & x"814" => data <= x"8c"; when "11" & x"815" => data <= x"8a"; when "11" & x"816" => data <= x"c9"; when "11" & x"817" => data <= x"88"; when "11" & x"818" => data <= x"d0"; when "11" & x"819" => data <= x"05"; when "11" & x"81a" => data <= x"20"; when "11" & x"81b" => data <= x"dd"; when "11" & x"81c" => data <= x"92"; when "11" & x"81d" => data <= x"a4"; when "11" & x"81e" => data <= x"1b"; when "11" & x"81f" => data <= x"84"; when "11" & x"820" => data <= x"0a"; when "11" & x"821" => data <= x"a4"; when "11" & x"822" => data <= x"26"; when "11" & x"823" => data <= x"a5"; when "11" & x"824" => data <= x"2a"; when "11" & x"825" => data <= x"99"; when "11" & x"826" => data <= x"03"; when "11" & x"827" => data <= x"05"; when "11" & x"828" => data <= x"a5"; when "11" & x"829" => data <= x"2b"; when "11" & x"82a" => data <= x"99"; when "11" & x"82b" => data <= x"04"; when "11" & x"82c" => data <= x"05"; when "11" & x"82d" => data <= x"a5"; when "11" & x"82e" => data <= x"2c"; when "11" & x"82f" => data <= x"99"; when "11" & x"830" => data <= x"05"; when "11" & x"831" => data <= x"05"; when "11" & x"832" => data <= x"a5"; when "11" & x"833" => data <= x"2d"; when "11" & x"834" => data <= x"99"; when "11" & x"835" => data <= x"06"; when "11" & x"836" => data <= x"05"; when "11" & x"837" => data <= x"20"; when "11" & x"838" => data <= x"80"; when "11" & x"839" => data <= x"98"; when "11" & x"83a" => data <= x"a4"; when "11" & x"83b" => data <= x"26"; when "11" & x"83c" => data <= x"a5"; when "11" & x"83d" => data <= x"0b"; when "11" & x"83e" => data <= x"99"; when "11" & x"83f" => data <= x"0d"; when "11" & x"840" => data <= x"05"; when "11" & x"841" => data <= x"a5"; when "11" & x"842" => data <= x"0c"; when "11" & x"843" => data <= x"99"; when "11" & x"844" => data <= x"0e"; when "11" & x"845" => data <= x"05"; when "11" & x"846" => data <= x"18"; when "11" & x"847" => data <= x"98"; when "11" & x"848" => data <= x"69"; when "11" & x"849" => data <= x"0f"; when "11" & x"84a" => data <= x"85"; when "11" & x"84b" => data <= x"26"; when "11" & x"84c" => data <= x"4c"; when "11" & x"84d" => data <= x"a3"; when "11" & x"84e" => data <= x"8b"; when "11" & x"84f" => data <= x"20"; when "11" & x"850" => data <= x"29"; when "11" & x"851" => data <= x"9b"; when "11" & x"852" => data <= x"20"; when "11" & x"853" => data <= x"fd"; when "11" & x"854" => data <= x"92"; when "11" & x"855" => data <= x"a5"; when "11" & x"856" => data <= x"26"; when "11" & x"857" => data <= x"18"; when "11" & x"858" => data <= x"69"; when "11" & x"859" => data <= x"08"; when "11" & x"85a" => data <= x"85"; when "11" & x"85b" => data <= x"4b"; when "11" & x"85c" => data <= x"a9"; when "11" & x"85d" => data <= x"05"; when "11" & x"85e" => data <= x"85"; when "11" & x"85f" => data <= x"4c"; when "11" & x"860" => data <= x"20"; when "11" & x"861" => data <= x"8d"; when "11" & x"862" => data <= x"a3"; when "11" & x"863" => data <= x"20"; when "11" & x"864" => data <= x"99"; when "11" & x"865" => data <= x"a6"; when "11" & x"866" => data <= x"20"; when "11" & x"867" => data <= x"8c"; when "11" & x"868" => data <= x"8a"; when "11" & x"869" => data <= x"c9"; when "11" & x"86a" => data <= x"88"; when "11" & x"86b" => data <= x"d0"; when "11" & x"86c" => data <= x"08"; when "11" & x"86d" => data <= x"20"; when "11" & x"86e" => data <= x"29"; when "11" & x"86f" => data <= x"9b"; when "11" & x"870" => data <= x"20"; when "11" & x"871" => data <= x"fd"; when "11" & x"872" => data <= x"92"; when "11" & x"873" => data <= x"a4"; when "11" & x"874" => data <= x"1b"; when "11" & x"875" => data <= x"84"; when "11" & x"876" => data <= x"0a"; when "11" & x"877" => data <= x"a5"; when "11" & x"878" => data <= x"26"; when "11" & x"879" => data <= x"18"; when "11" & x"87a" => data <= x"69"; when "11" & x"87b" => data <= x"03"; when "11" & x"87c" => data <= x"85"; when "11" & x"87d" => data <= x"4b"; when "11" & x"87e" => data <= x"a9"; when "11" & x"87f" => data <= x"05"; when "11" & x"880" => data <= x"85"; when "11" & x"881" => data <= x"4c"; when "11" & x"882" => data <= x"20"; when "11" & x"883" => data <= x"8d"; when "11" & x"884" => data <= x"a3"; when "11" & x"885" => data <= x"4c"; when "11" & x"886" => data <= x"37"; when "11" & x"887" => data <= x"b8"; when "11" & x"888" => data <= x"20"; when "11" & x"889" => data <= x"9a"; when "11" & x"88a" => data <= x"b9"; when "11" & x"88b" => data <= x"20"; when "11" & x"88c" => data <= x"57"; when "11" & x"88d" => data <= x"98"; when "11" & x"88e" => data <= x"a4"; when "11" & x"88f" => data <= x"25"; when "11" & x"890" => data <= x"c0"; when "11" & x"891" => data <= x"1a"; when "11" & x"892" => data <= x"b0"; when "11" & x"893" => data <= x"0e"; when "11" & x"894" => data <= x"a5"; when "11" & x"895" => data <= x"0b"; when "11" & x"896" => data <= x"99"; when "11" & x"897" => data <= x"cc"; when "11" & x"898" => data <= x"05"; when "11" & x"899" => data <= x"a5"; when "11" & x"89a" => data <= x"0c"; when "11" & x"89b" => data <= x"99"; when "11" & x"89c" => data <= x"e6"; when "11" & x"89d" => data <= x"05"; when "11" & x"89e" => data <= x"e6"; when "11" & x"89f" => data <= x"25"; when "11" & x"8a0" => data <= x"90"; when "11" & x"8a1" => data <= x"30"; when "11" & x"8a2" => data <= x"00"; when "11" & x"8a3" => data <= x"25"; when "11" & x"8a4" => data <= x"54"; when "11" & x"8a5" => data <= x"6f"; when "11" & x"8a6" => data <= x"6f"; when "11" & x"8a7" => data <= x"20"; when "11" & x"8a8" => data <= x"6d"; when "11" & x"8a9" => data <= x"61"; when "11" & x"8aa" => data <= x"6e"; when "11" & x"8ab" => data <= x"79"; when "11" & x"8ac" => data <= x"20"; when "11" & x"8ad" => data <= x"e4"; when "11" & x"8ae" => data <= x"73"; when "11" & x"8af" => data <= x"00"; when "11" & x"8b0" => data <= x"26"; when "11" & x"8b1" => data <= x"4e"; when "11" & x"8b2" => data <= x"6f"; when "11" & x"8b3" => data <= x"20"; when "11" & x"8b4" => data <= x"e4"; when "11" & x"8b5" => data <= x"00"; when "11" & x"8b6" => data <= x"20"; when "11" & x"8b7" => data <= x"57"; when "11" & x"8b8" => data <= x"98"; when "11" & x"8b9" => data <= x"a6"; when "11" & x"8ba" => data <= x"25"; when "11" & x"8bb" => data <= x"f0"; when "11" & x"8bc" => data <= x"f2"; when "11" & x"8bd" => data <= x"c6"; when "11" & x"8be" => data <= x"25"; when "11" & x"8bf" => data <= x"bc"; when "11" & x"8c0" => data <= x"cb"; when "11" & x"8c1" => data <= x"05"; when "11" & x"8c2" => data <= x"bd"; when "11" & x"8c3" => data <= x"e5"; when "11" & x"8c4" => data <= x"05"; when "11" & x"8c5" => data <= x"84"; when "11" & x"8c6" => data <= x"0b"; when "11" & x"8c7" => data <= x"85"; when "11" & x"8c8" => data <= x"0c"; when "11" & x"8c9" => data <= x"4c"; when "11" & x"8ca" => data <= x"9b"; when "11" & x"8cb" => data <= x"8b"; when "11" & x"8cc" => data <= x"20"; when "11" & x"8cd" => data <= x"9a"; when "11" & x"8ce" => data <= x"b9"; when "11" & x"8cf" => data <= x"20"; when "11" & x"8d0" => data <= x"57"; when "11" & x"8d1" => data <= x"98"; when "11" & x"8d2" => data <= x"a5"; when "11" & x"8d3" => data <= x"20"; when "11" & x"8d4" => data <= x"f0"; when "11" & x"8d5" => data <= x"03"; when "11" & x"8d6" => data <= x"20"; when "11" & x"8d7" => data <= x"05"; when "11" & x"8d8" => data <= x"99"; when "11" & x"8d9" => data <= x"a4"; when "11" & x"8da" => data <= x"3d"; when "11" & x"8db" => data <= x"a5"; when "11" & x"8dc" => data <= x"3e"; when "11" & x"8dd" => data <= x"84"; when "11" & x"8de" => data <= x"0b"; when "11" & x"8df" => data <= x"85"; when "11" & x"8e0" => data <= x"0c"; when "11" & x"8e1" => data <= x"4c"; when "11" & x"8e2" => data <= x"a3"; when "11" & x"8e3" => data <= x"8b"; when "11" & x"8e4" => data <= x"20"; when "11" & x"8e5" => data <= x"57"; when "11" & x"8e6" => data <= x"98"; when "11" & x"8e7" => data <= x"a9"; when "11" & x"8e8" => data <= x"33"; when "11" & x"8e9" => data <= x"85"; when "11" & x"8ea" => data <= x"16"; when "11" & x"8eb" => data <= x"a9"; when "11" & x"8ec" => data <= x"b4"; when "11" & x"8ed" => data <= x"85"; when "11" & x"8ee" => data <= x"17"; when "11" & x"8ef" => data <= x"4c"; when "11" & x"8f0" => data <= x"9b"; when "11" & x"8f1" => data <= x"8b"; when "11" & x"8f2" => data <= x"20"; when "11" & x"8f3" => data <= x"97"; when "11" & x"8f4" => data <= x"8a"; when "11" & x"8f5" => data <= x"c9"; when "11" & x"8f6" => data <= x"87"; when "11" & x"8f7" => data <= x"f0"; when "11" & x"8f8" => data <= x"eb"; when "11" & x"8f9" => data <= x"a4"; when "11" & x"8fa" => data <= x"0a"; when "11" & x"8fb" => data <= x"88"; when "11" & x"8fc" => data <= x"20"; when "11" & x"8fd" => data <= x"6d"; when "11" & x"8fe" => data <= x"98"; when "11" & x"8ff" => data <= x"a5"; when "11" & x"900" => data <= x"0b"; when "11" & x"901" => data <= x"85"; when "11" & x"902" => data <= x"16"; when "11" & x"903" => data <= x"a5"; when "11" & x"904" => data <= x"0c"; when "11" & x"905" => data <= x"85"; when "11" & x"906" => data <= x"17"; when "11" & x"907" => data <= x"4c"; when "11" & x"908" => data <= x"7d"; when "11" & x"909" => data <= x"8b"; when "11" & x"90a" => data <= x"00"; when "11" & x"90b" => data <= x"27"; when "11" & x"90c" => data <= x"ee"; when "11" & x"90d" => data <= x"20"; when "11" & x"90e" => data <= x"73"; when "11" & x"90f" => data <= x"79"; when "11" & x"910" => data <= x"6e"; when "11" & x"911" => data <= x"74"; when "11" & x"912" => data <= x"61"; when "11" & x"913" => data <= x"78"; when "11" & x"914" => data <= x"00"; when "11" & x"915" => data <= x"20"; when "11" & x"916" => data <= x"97"; when "11" & x"917" => data <= x"8a"; when "11" & x"918" => data <= x"c9"; when "11" & x"919" => data <= x"85"; when "11" & x"91a" => data <= x"f0"; when "11" & x"91b" => data <= x"d6"; when "11" & x"91c" => data <= x"c6"; when "11" & x"91d" => data <= x"0a"; when "11" & x"91e" => data <= x"20"; when "11" & x"91f" => data <= x"1d"; when "11" & x"920" => data <= x"9b"; when "11" & x"921" => data <= x"20"; when "11" & x"922" => data <= x"f0"; when "11" & x"923" => data <= x"92"; when "11" & x"924" => data <= x"a4"; when "11" & x"925" => data <= x"1b"; when "11" & x"926" => data <= x"c8"; when "11" & x"927" => data <= x"84"; when "11" & x"928" => data <= x"0a"; when "11" & x"929" => data <= x"e0"; when "11" & x"92a" => data <= x"e5"; when "11" & x"92b" => data <= x"f0"; when "11" & x"92c" => data <= x"04"; when "11" & x"92d" => data <= x"e0"; when "11" & x"92e" => data <= x"e4"; when "11" & x"92f" => data <= x"d0"; when "11" & x"930" => data <= x"d9"; when "11" & x"931" => data <= x"8a"; when "11" & x"932" => data <= x"48"; when "11" & x"933" => data <= x"a5"; when "11" & x"934" => data <= x"2b"; when "11" & x"935" => data <= x"05"; when "11" & x"936" => data <= x"2c"; when "11" & x"937" => data <= x"05"; when "11" & x"938" => data <= x"2d"; when "11" & x"939" => data <= x"d0"; when "11" & x"93a" => data <= x"42"; when "11" & x"93b" => data <= x"a6"; when "11" & x"93c" => data <= x"2a"; when "11" & x"93d" => data <= x"f0"; when "11" & x"93e" => data <= x"3e"; when "11" & x"93f" => data <= x"ca"; when "11" & x"940" => data <= x"f0"; when "11" & x"941" => data <= x"1a"; when "11" & x"942" => data <= x"a4"; when "11" & x"943" => data <= x"0a"; when "11" & x"944" => data <= x"b1"; when "11" & x"945" => data <= x"0b"; when "11" & x"946" => data <= x"c8"; when "11" & x"947" => data <= x"c9"; when "11" & x"948" => data <= x"0d"; when "11" & x"949" => data <= x"f0"; when "11" & x"94a" => data <= x"32"; when "11" & x"94b" => data <= x"c9"; when "11" & x"94c" => data <= x"3a"; when "11" & x"94d" => data <= x"f0"; when "11" & x"94e" => data <= x"2e"; when "11" & x"94f" => data <= x"c9"; when "11" & x"950" => data <= x"8b"; when "11" & x"951" => data <= x"f0"; when "11" & x"952" => data <= x"2a"; when "11" & x"953" => data <= x"c9"; when "11" & x"954" => data <= x"2c"; when "11" & x"955" => data <= x"d0"; when "11" & x"956" => data <= x"ed"; when "11" & x"957" => data <= x"ca"; when "11" & x"958" => data <= x"d0"; when "11" & x"959" => data <= x"ea"; when "11" & x"95a" => data <= x"84"; when "11" & x"95b" => data <= x"0a"; when "11" & x"95c" => data <= x"20"; when "11" & x"95d" => data <= x"9a"; when "11" & x"95e" => data <= x"b9"; when "11" & x"95f" => data <= x"68"; when "11" & x"960" => data <= x"c9"; when "11" & x"961" => data <= x"e4"; when "11" & x"962" => data <= x"f0"; when "11" & x"963" => data <= x"06"; when "11" & x"964" => data <= x"20"; when "11" & x"965" => data <= x"77"; when "11" & x"966" => data <= x"98"; when "11" & x"967" => data <= x"4c"; when "11" & x"968" => data <= x"d2"; when "11" & x"969" => data <= x"b8"; when "11" & x"96a" => data <= x"a4"; when "11" & x"96b" => data <= x"0a"; when "11" & x"96c" => data <= x"b1"; when "11" & x"96d" => data <= x"0b"; when "11" & x"96e" => data <= x"c8"; when "11" & x"96f" => data <= x"c9"; when "11" & x"970" => data <= x"0d"; when "11" & x"971" => data <= x"f0"; when "11" & x"972" => data <= x"04"; when "11" & x"973" => data <= x"c9"; when "11" & x"974" => data <= x"3a"; when "11" & x"975" => data <= x"d0"; when "11" & x"976" => data <= x"f5"; when "11" & x"977" => data <= x"88"; when "11" & x"978" => data <= x"84"; when "11" & x"979" => data <= x"0a"; when "11" & x"97a" => data <= x"4c"; when "11" & x"97b" => data <= x"8b"; when "11" & x"97c" => data <= x"b8"; when "11" & x"97d" => data <= x"a4"; when "11" & x"97e" => data <= x"0a"; when "11" & x"97f" => data <= x"68"; when "11" & x"980" => data <= x"b1"; when "11" & x"981" => data <= x"0b"; when "11" & x"982" => data <= x"c8"; when "11" & x"983" => data <= x"c9"; when "11" & x"984" => data <= x"8b"; when "11" & x"985" => data <= x"f0"; when "11" & x"986" => data <= x"0e"; when "11" & x"987" => data <= x"c9"; when "11" & x"988" => data <= x"0d"; when "11" & x"989" => data <= x"d0"; when "11" & x"98a" => data <= x"f5"; when "11" & x"98b" => data <= x"00"; when "11" & x"98c" => data <= x"28"; when "11" & x"98d" => data <= x"ee"; when "11" & x"98e" => data <= x"20"; when "11" & x"98f" => data <= x"72"; when "11" & x"990" => data <= x"61"; when "11" & x"991" => data <= x"6e"; when "11" & x"992" => data <= x"67"; when "11" & x"993" => data <= x"65"; when "11" & x"994" => data <= x"00"; when "11" & x"995" => data <= x"84"; when "11" & x"996" => data <= x"0a"; when "11" & x"997" => data <= x"4c"; when "11" & x"998" => data <= x"e3"; when "11" & x"999" => data <= x"98"; when "11" & x"99a" => data <= x"20"; when "11" & x"99b" => data <= x"df"; when "11" & x"99c" => data <= x"97"; when "11" & x"99d" => data <= x"b0"; when "11" & x"99e" => data <= x"10"; when "11" & x"99f" => data <= x"20"; when "11" & x"9a0" => data <= x"1d"; when "11" & x"9a1" => data <= x"9b"; when "11" & x"9a2" => data <= x"20"; when "11" & x"9a3" => data <= x"f0"; when "11" & x"9a4" => data <= x"92"; when "11" & x"9a5" => data <= x"a5"; when "11" & x"9a6" => data <= x"1b"; when "11" & x"9a7" => data <= x"85"; when "11" & x"9a8" => data <= x"0a"; when "11" & x"9a9" => data <= x"a5"; when "11" & x"9aa" => data <= x"2b"; when "11" & x"9ab" => data <= x"29"; when "11" & x"9ac" => data <= x"7f"; when "11" & x"9ad" => data <= x"85"; when "11" & x"9ae" => data <= x"2b"; when "11" & x"9af" => data <= x"20"; when "11" & x"9b0" => data <= x"70"; when "11" & x"9b1" => data <= x"99"; when "11" & x"9b2" => data <= x"b0"; when "11" & x"9b3" => data <= x"01"; when "11" & x"9b4" => data <= x"60"; when "11" & x"9b5" => data <= x"00"; when "11" & x"9b6" => data <= x"29"; when "11" & x"9b7" => data <= x"4e"; when "11" & x"9b8" => data <= x"6f"; when "11" & x"9b9" => data <= x"20"; when "11" & x"9ba" => data <= x"73"; when "11" & x"9bb" => data <= x"75"; when "11" & x"9bc" => data <= x"63"; when "11" & x"9bd" => data <= x"68"; when "11" & x"9be" => data <= x"20"; when "11" & x"9bf" => data <= x"6c"; when "11" & x"9c0" => data <= x"69"; when "11" & x"9c1" => data <= x"6e"; when "11" & x"9c2" => data <= x"65"; when "11" & x"9c3" => data <= x"00"; when "11" & x"9c4" => data <= x"4c"; when "11" & x"9c5" => data <= x"0e"; when "11" & x"9c6" => data <= x"8c"; when "11" & x"9c7" => data <= x"4c"; when "11" & x"9c8" => data <= x"2a"; when "11" & x"9c9" => data <= x"98"; when "11" & x"9ca" => data <= x"84"; when "11" & x"9cb" => data <= x"0a"; when "11" & x"9cc" => data <= x"4c"; when "11" & x"9cd" => data <= x"98"; when "11" & x"9ce" => data <= x"8b"; when "11" & x"9cf" => data <= x"c6"; when "11" & x"9d0" => data <= x"0a"; when "11" & x"9d1" => data <= x"20"; when "11" & x"9d2" => data <= x"a9"; when "11" & x"9d3" => data <= x"bf"; when "11" & x"9d4" => data <= x"a5"; when "11" & x"9d5" => data <= x"1b"; when "11" & x"9d6" => data <= x"85"; when "11" & x"9d7" => data <= x"0a"; when "11" & x"9d8" => data <= x"84"; when "11" & x"9d9" => data <= x"4d"; when "11" & x"9da" => data <= x"20"; when "11" & x"9db" => data <= x"97"; when "11" & x"9dc" => data <= x"8a"; when "11" & x"9dd" => data <= x"c9"; when "11" & x"9de" => data <= x"2c"; when "11" & x"9df" => data <= x"d0"; when "11" & x"9e0" => data <= x"e9"; when "11" & x"9e1" => data <= x"a5"; when "11" & x"9e2" => data <= x"4d"; when "11" & x"9e3" => data <= x"48"; when "11" & x"9e4" => data <= x"20"; when "11" & x"9e5" => data <= x"82"; when "11" & x"9e6" => data <= x"95"; when "11" & x"9e7" => data <= x"f0"; when "11" & x"9e8" => data <= x"de"; when "11" & x"9e9" => data <= x"a5"; when "11" & x"9ea" => data <= x"1b"; when "11" & x"9eb" => data <= x"85"; when "11" & x"9ec" => data <= x"0a"; when "11" & x"9ed" => data <= x"68"; when "11" & x"9ee" => data <= x"85"; when "11" & x"9ef" => data <= x"4d"; when "11" & x"9f0" => data <= x"08"; when "11" & x"9f1" => data <= x"20"; when "11" & x"9f2" => data <= x"94"; when "11" & x"9f3" => data <= x"bd"; when "11" & x"9f4" => data <= x"a4"; when "11" & x"9f5" => data <= x"4d"; when "11" & x"9f6" => data <= x"20"; when "11" & x"9f7" => data <= x"d7"; when "11" & x"9f8" => data <= x"ff"; when "11" & x"9f9" => data <= x"85"; when "11" & x"9fa" => data <= x"27"; when "11" & x"9fb" => data <= x"28"; when "11" & x"9fc" => data <= x"90"; when "11" & x"9fd" => data <= x"1b"; when "11" & x"9fe" => data <= x"a5"; when "11" & x"9ff" => data <= x"27"; when "11" & x"a00" => data <= x"d0"; when "11" & x"a01" => data <= x"c2"; when "11" & x"a02" => data <= x"20"; when "11" & x"a03" => data <= x"d7"; when "11" & x"a04" => data <= x"ff"; when "11" & x"a05" => data <= x"85"; when "11" & x"a06" => data <= x"36"; when "11" & x"a07" => data <= x"aa"; when "11" & x"a08" => data <= x"f0"; when "11" & x"a09" => data <= x"09"; when "11" & x"a0a" => data <= x"20"; when "11" & x"a0b" => data <= x"d7"; when "11" & x"a0c" => data <= x"ff"; when "11" & x"a0d" => data <= x"9d"; when "11" & x"a0e" => data <= x"ff"; when "11" & x"a0f" => data <= x"05"; when "11" & x"a10" => data <= x"ca"; when "11" & x"a11" => data <= x"d0"; when "11" & x"a12" => data <= x"f7"; when "11" & x"a13" => data <= x"20"; when "11" & x"a14" => data <= x"1e"; when "11" & x"a15" => data <= x"8c"; when "11" & x"a16" => data <= x"4c"; when "11" & x"a17" => data <= x"da"; when "11" & x"a18" => data <= x"b9"; when "11" & x"a19" => data <= x"a5"; when "11" & x"a1a" => data <= x"27"; when "11" & x"a1b" => data <= x"f0"; when "11" & x"a1c" => data <= x"a7"; when "11" & x"a1d" => data <= x"30"; when "11" & x"a1e" => data <= x"0c"; when "11" & x"a1f" => data <= x"a2"; when "11" & x"a20" => data <= x"03"; when "11" & x"a21" => data <= x"20"; when "11" & x"a22" => data <= x"d7"; when "11" & x"a23" => data <= x"ff"; when "11" & x"a24" => data <= x"95"; when "11" & x"a25" => data <= x"2a"; when "11" & x"a26" => data <= x"ca"; when "11" & x"a27" => data <= x"10"; when "11" & x"a28" => data <= x"f8"; when "11" & x"a29" => data <= x"30"; when "11" & x"a2a" => data <= x"0e"; when "11" & x"a2b" => data <= x"a2"; when "11" & x"a2c" => data <= x"04"; when "11" & x"a2d" => data <= x"20"; when "11" & x"a2e" => data <= x"d7"; when "11" & x"a2f" => data <= x"ff"; when "11" & x"a30" => data <= x"9d"; when "11" & x"a31" => data <= x"6c"; when "11" & x"a32" => data <= x"04"; when "11" & x"a33" => data <= x"ca"; when "11" & x"a34" => data <= x"10"; when "11" & x"a35" => data <= x"f7"; when "11" & x"a36" => data <= x"20"; when "11" & x"a37" => data <= x"b2"; when "11" & x"a38" => data <= x"a3"; when "11" & x"a39" => data <= x"20"; when "11" & x"a3a" => data <= x"b4"; when "11" & x"a3b" => data <= x"b4"; when "11" & x"a3c" => data <= x"4c"; when "11" & x"a3d" => data <= x"da"; when "11" & x"a3e" => data <= x"b9"; when "11" & x"a3f" => data <= x"68"; when "11" & x"a40" => data <= x"68"; when "11" & x"a41" => data <= x"4c"; when "11" & x"a42" => data <= x"98"; when "11" & x"a43" => data <= x"8b"; when "11" & x"a44" => data <= x"20"; when "11" & x"a45" => data <= x"97"; when "11" & x"a46" => data <= x"8a"; when "11" & x"a47" => data <= x"c9"; when "11" & x"a48" => data <= x"23"; when "11" & x"a49" => data <= x"f0"; when "11" & x"a4a" => data <= x"84"; when "11" & x"a4b" => data <= x"c9"; when "11" & x"a4c" => data <= x"86"; when "11" & x"a4d" => data <= x"f0"; when "11" & x"a4e" => data <= x"03"; when "11" & x"a4f" => data <= x"c6"; when "11" & x"a50" => data <= x"0a"; when "11" & x"a51" => data <= x"18"; when "11" & x"a52" => data <= x"66"; when "11" & x"a53" => data <= x"4d"; when "11" & x"a54" => data <= x"46"; when "11" & x"a55" => data <= x"4d"; when "11" & x"a56" => data <= x"a9"; when "11" & x"a57" => data <= x"ff"; when "11" & x"a58" => data <= x"85"; when "11" & x"a59" => data <= x"4e"; when "11" & x"a5a" => data <= x"20"; when "11" & x"a5b" => data <= x"8a"; when "11" & x"a5c" => data <= x"8e"; when "11" & x"a5d" => data <= x"b0"; when "11" & x"a5e" => data <= x"0a"; when "11" & x"a5f" => data <= x"20"; when "11" & x"a60" => data <= x"8a"; when "11" & x"a61" => data <= x"8e"; when "11" & x"a62" => data <= x"90"; when "11" & x"a63" => data <= x"fb"; when "11" & x"a64" => data <= x"a2"; when "11" & x"a65" => data <= x"ff"; when "11" & x"a66" => data <= x"86"; when "11" & x"a67" => data <= x"4e"; when "11" & x"a68" => data <= x"18"; when "11" & x"a69" => data <= x"08"; when "11" & x"a6a" => data <= x"06"; when "11" & x"a6b" => data <= x"4d"; when "11" & x"a6c" => data <= x"28"; when "11" & x"a6d" => data <= x"66"; when "11" & x"a6e" => data <= x"4d"; when "11" & x"a6f" => data <= x"c9"; when "11" & x"a70" => data <= x"2c"; when "11" & x"a71" => data <= x"f0"; when "11" & x"a72" => data <= x"e7"; when "11" & x"a73" => data <= x"c9"; when "11" & x"a74" => data <= x"3b"; when "11" & x"a75" => data <= x"f0"; when "11" & x"a76" => data <= x"e3"; when "11" & x"a77" => data <= x"c6"; when "11" & x"a78" => data <= x"0a"; when "11" & x"a79" => data <= x"a5"; when "11" & x"a7a" => data <= x"4d"; when "11" & x"a7b" => data <= x"48"; when "11" & x"a7c" => data <= x"a5"; when "11" & x"a7d" => data <= x"4e"; when "11" & x"a7e" => data <= x"48"; when "11" & x"a7f" => data <= x"20"; when "11" & x"a80" => data <= x"82"; when "11" & x"a81" => data <= x"95"; when "11" & x"a82" => data <= x"f0"; when "11" & x"a83" => data <= x"bb"; when "11" & x"a84" => data <= x"68"; when "11" & x"a85" => data <= x"85"; when "11" & x"a86" => data <= x"4e"; when "11" & x"a87" => data <= x"68"; when "11" & x"a88" => data <= x"85"; when "11" & x"a89" => data <= x"4d"; when "11" & x"a8a" => data <= x"a5"; when "11" & x"a8b" => data <= x"1b"; when "11" & x"a8c" => data <= x"85"; when "11" & x"a8d" => data <= x"0a"; when "11" & x"a8e" => data <= x"08"; when "11" & x"a8f" => data <= x"24"; when "11" & x"a90" => data <= x"4d"; when "11" & x"a91" => data <= x"70"; when "11" & x"a92" => data <= x"06"; when "11" & x"a93" => data <= x"a5"; when "11" & x"a94" => data <= x"4e"; when "11" & x"a95" => data <= x"c9"; when "11" & x"a96" => data <= x"ff"; when "11" & x"a97" => data <= x"d0"; when "11" & x"a98" => data <= x"17"; when "11" & x"a99" => data <= x"24"; when "11" & x"a9a" => data <= x"4d"; when "11" & x"a9b" => data <= x"10"; when "11" & x"a9c" => data <= x"05"; when "11" & x"a9d" => data <= x"a9"; when "11" & x"a9e" => data <= x"3f"; when "11" & x"a9f" => data <= x"20"; when "11" & x"aa0" => data <= x"58"; when "11" & x"aa1" => data <= x"b5"; when "11" & x"aa2" => data <= x"20"; when "11" & x"aa3" => data <= x"fc"; when "11" & x"aa4" => data <= x"bb"; when "11" & x"aa5" => data <= x"84"; when "11" & x"aa6" => data <= x"36"; when "11" & x"aa7" => data <= x"06"; when "11" & x"aa8" => data <= x"4d"; when "11" & x"aa9" => data <= x"18"; when "11" & x"aaa" => data <= x"66"; when "11" & x"aab" => data <= x"4d"; when "11" & x"aac" => data <= x"24"; when "11" & x"aad" => data <= x"4d"; when "11" & x"aae" => data <= x"70"; when "11" & x"aaf" => data <= x"1d"; when "11" & x"ab0" => data <= x"85"; when "11" & x"ab1" => data <= x"1b"; when "11" & x"ab2" => data <= x"a9"; when "11" & x"ab3" => data <= x"00"; when "11" & x"ab4" => data <= x"85"; when "11" & x"ab5" => data <= x"19"; when "11" & x"ab6" => data <= x"a9"; when "11" & x"ab7" => data <= x"06"; when "11" & x"ab8" => data <= x"85"; when "11" & x"ab9" => data <= x"1a"; when "11" & x"aba" => data <= x"20"; when "11" & x"abb" => data <= x"ad"; when "11" & x"abc" => data <= x"ad"; when "11" & x"abd" => data <= x"20"; when "11" & x"abe" => data <= x"8c"; when "11" & x"abf" => data <= x"8a"; when "11" & x"ac0" => data <= x"c9"; when "11" & x"ac1" => data <= x"2c"; when "11" & x"ac2" => data <= x"f0"; when "11" & x"ac3" => data <= x"06"; when "11" & x"ac4" => data <= x"c9"; when "11" & x"ac5" => data <= x"0d"; when "11" & x"ac6" => data <= x"d0"; when "11" & x"ac7" => data <= x"f5"; when "11" & x"ac8" => data <= x"a0"; when "11" & x"ac9" => data <= x"fe"; when "11" & x"aca" => data <= x"c8"; when "11" & x"acb" => data <= x"84"; when "11" & x"acc" => data <= x"4e"; when "11" & x"acd" => data <= x"28"; when "11" & x"ace" => data <= x"b0"; when "11" & x"acf" => data <= x"0c"; when "11" & x"ad0" => data <= x"20"; when "11" & x"ad1" => data <= x"94"; when "11" & x"ad2" => data <= x"bd"; when "11" & x"ad3" => data <= x"20"; when "11" & x"ad4" => data <= x"34"; when "11" & x"ad5" => data <= x"ac"; when "11" & x"ad6" => data <= x"20"; when "11" & x"ad7" => data <= x"b4"; when "11" & x"ad8" => data <= x"b4"; when "11" & x"ad9" => data <= x"4c"; when "11" & x"ada" => data <= x"5a"; when "11" & x"adb" => data <= x"ba"; when "11" & x"adc" => data <= x"a9"; when "11" & x"add" => data <= x"00"; when "11" & x"ade" => data <= x"85"; when "11" & x"adf" => data <= x"27"; when "11" & x"ae0" => data <= x"20"; when "11" & x"ae1" => data <= x"21"; when "11" & x"ae2" => data <= x"8c"; when "11" & x"ae3" => data <= x"4c"; when "11" & x"ae4" => data <= x"5a"; when "11" & x"ae5" => data <= x"ba"; when "11" & x"ae6" => data <= x"a0"; when "11" & x"ae7" => data <= x"00"; when "11" & x"ae8" => data <= x"84"; when "11" & x"ae9" => data <= x"3d"; when "11" & x"aea" => data <= x"a4"; when "11" & x"aeb" => data <= x"18"; when "11" & x"aec" => data <= x"84"; when "11" & x"aed" => data <= x"3e"; when "11" & x"aee" => data <= x"20"; when "11" & x"aef" => data <= x"97"; when "11" & x"af0" => data <= x"8a"; when "11" & x"af1" => data <= x"c6"; when "11" & x"af2" => data <= x"0a"; when "11" & x"af3" => data <= x"c9"; when "11" & x"af4" => data <= x"3a"; when "11" & x"af5" => data <= x"f0"; when "11" & x"af6" => data <= x"10"; when "11" & x"af7" => data <= x"c9"; when "11" & x"af8" => data <= x"0d"; when "11" & x"af9" => data <= x"f0"; when "11" & x"afa" => data <= x"0c"; when "11" & x"afb" => data <= x"c9"; when "11" & x"afc" => data <= x"8b"; when "11" & x"afd" => data <= x"f0"; when "11" & x"afe" => data <= x"08"; when "11" & x"aff" => data <= x"20"; when "11" & x"b00" => data <= x"9a"; when "11" & x"b01" => data <= x"b9"; when "11" & x"b02" => data <= x"a0"; when "11" & x"b03" => data <= x"01"; when "11" & x"b04" => data <= x"20"; when "11" & x"b05" => data <= x"55"; when "11" & x"b06" => data <= x"be"; when "11" & x"b07" => data <= x"20"; when "11" & x"b08" => data <= x"57"; when "11" & x"b09" => data <= x"98"; when "11" & x"b0a" => data <= x"a5"; when "11" & x"b0b" => data <= x"3d"; when "11" & x"b0c" => data <= x"85"; when "11" & x"b0d" => data <= x"1c"; when "11" & x"b0e" => data <= x"a5"; when "11" & x"b0f" => data <= x"3e"; when "11" & x"b10" => data <= x"85"; when "11" & x"b11" => data <= x"1d"; when "11" & x"b12" => data <= x"4c"; when "11" & x"b13" => data <= x"9b"; when "11" & x"b14" => data <= x"8b"; when "11" & x"b15" => data <= x"20"; when "11" & x"b16" => data <= x"97"; when "11" & x"b17" => data <= x"8a"; when "11" & x"b18" => data <= x"c9"; when "11" & x"b19" => data <= x"2c"; when "11" & x"b1a" => data <= x"f0"; when "11" & x"b1b" => data <= x"03"; when "11" & x"b1c" => data <= x"4c"; when "11" & x"b1d" => data <= x"96"; when "11" & x"b1e" => data <= x"8b"; when "11" & x"b1f" => data <= x"20"; when "11" & x"b20" => data <= x"82"; when "11" & x"b21" => data <= x"95"; when "11" & x"b22" => data <= x"f0"; when "11" & x"b23" => data <= x"f1"; when "11" & x"b24" => data <= x"b0"; when "11" & x"b25" => data <= x"0c"; when "11" & x"b26" => data <= x"20"; when "11" & x"b27" => data <= x"50"; when "11" & x"b28" => data <= x"bb"; when "11" & x"b29" => data <= x"20"; when "11" & x"b2a" => data <= x"94"; when "11" & x"b2b" => data <= x"bd"; when "11" & x"b2c" => data <= x"20"; when "11" & x"b2d" => data <= x"b1"; when "11" & x"b2e" => data <= x"b4"; when "11" & x"b2f" => data <= x"4c"; when "11" & x"b30" => data <= x"40"; when "11" & x"b31" => data <= x"bb"; when "11" & x"b32" => data <= x"20"; when "11" & x"b33" => data <= x"50"; when "11" & x"b34" => data <= x"bb"; when "11" & x"b35" => data <= x"20"; when "11" & x"b36" => data <= x"94"; when "11" & x"b37" => data <= x"bd"; when "11" & x"b38" => data <= x"20"; when "11" & x"b39" => data <= x"ad"; when "11" & x"b3a" => data <= x"ad"; when "11" & x"b3b" => data <= x"85"; when "11" & x"b3c" => data <= x"27"; when "11" & x"b3d" => data <= x"20"; when "11" & x"b3e" => data <= x"1e"; when "11" & x"b3f" => data <= x"8c"; when "11" & x"b40" => data <= x"18"; when "11" & x"b41" => data <= x"a5"; when "11" & x"b42" => data <= x"1b"; when "11" & x"b43" => data <= x"65"; when "11" & x"b44" => data <= x"19"; when "11" & x"b45" => data <= x"85"; when "11" & x"b46" => data <= x"1c"; when "11" & x"b47" => data <= x"a5"; when "11" & x"b48" => data <= x"1a"; when "11" & x"b49" => data <= x"69"; when "11" & x"b4a" => data <= x"00"; when "11" & x"b4b" => data <= x"85"; when "11" & x"b4c" => data <= x"1d"; when "11" & x"b4d" => data <= x"4c"; when "11" & x"b4e" => data <= x"15"; when "11" & x"b4f" => data <= x"bb"; when "11" & x"b50" => data <= x"a5"; when "11" & x"b51" => data <= x"1b"; when "11" & x"b52" => data <= x"85"; when "11" & x"b53" => data <= x"0a"; when "11" & x"b54" => data <= x"a5"; when "11" & x"b55" => data <= x"1c"; when "11" & x"b56" => data <= x"85"; when "11" & x"b57" => data <= x"19"; when "11" & x"b58" => data <= x"a5"; when "11" & x"b59" => data <= x"1d"; when "11" & x"b5a" => data <= x"85"; when "11" & x"b5b" => data <= x"1a"; when "11" & x"b5c" => data <= x"a0"; when "11" & x"b5d" => data <= x"00"; when "11" & x"b5e" => data <= x"84"; when "11" & x"b5f" => data <= x"1b"; when "11" & x"b60" => data <= x"20"; when "11" & x"b61" => data <= x"8c"; when "11" & x"b62" => data <= x"8a"; when "11" & x"b63" => data <= x"c9"; when "11" & x"b64" => data <= x"2c"; when "11" & x"b65" => data <= x"f0"; when "11" & x"b66" => data <= x"49"; when "11" & x"b67" => data <= x"c9"; when "11" & x"b68" => data <= x"dc"; when "11" & x"b69" => data <= x"f0"; when "11" & x"b6a" => data <= x"45"; when "11" & x"b6b" => data <= x"c9"; when "11" & x"b6c" => data <= x"0d"; when "11" & x"b6d" => data <= x"f0"; when "11" & x"b6e" => data <= x"0b"; when "11" & x"b6f" => data <= x"20"; when "11" & x"b70" => data <= x"8c"; when "11" & x"b71" => data <= x"8a"; when "11" & x"b72" => data <= x"c9"; when "11" & x"b73" => data <= x"2c"; when "11" & x"b74" => data <= x"f0"; when "11" & x"b75" => data <= x"3a"; when "11" & x"b76" => data <= x"c9"; when "11" & x"b77" => data <= x"0d"; when "11" & x"b78" => data <= x"d0"; when "11" & x"b79" => data <= x"f5"; when "11" & x"b7a" => data <= x"a4"; when "11" & x"b7b" => data <= x"1b"; when "11" & x"b7c" => data <= x"b1"; when "11" & x"b7d" => data <= x"19"; when "11" & x"b7e" => data <= x"30"; when "11" & x"b7f" => data <= x"1c"; when "11" & x"b80" => data <= x"c8"; when "11" & x"b81" => data <= x"c8"; when "11" & x"b82" => data <= x"b1"; when "11" & x"b83" => data <= x"19"; when "11" & x"b84" => data <= x"aa"; when "11" & x"b85" => data <= x"c8"; when "11" & x"b86" => data <= x"b1"; when "11" & x"b87" => data <= x"19"; when "11" & x"b88" => data <= x"c9"; when "11" & x"b89" => data <= x"20"; when "11" & x"b8a" => data <= x"f0"; when "11" & x"b8b" => data <= x"f9"; when "11" & x"b8c" => data <= x"c9"; when "11" & x"b8d" => data <= x"dc"; when "11" & x"b8e" => data <= x"f0"; when "11" & x"b8f" => data <= x"1d"; when "11" & x"b90" => data <= x"8a"; when "11" & x"b91" => data <= x"18"; when "11" & x"b92" => data <= x"65"; when "11" & x"b93" => data <= x"19"; when "11" & x"b94" => data <= x"85"; when "11" & x"b95" => data <= x"19"; when "11" & x"b96" => data <= x"90"; when "11" & x"b97" => data <= x"e2"; when "11" & x"b98" => data <= x"e6"; when "11" & x"b99" => data <= x"1a"; when "11" & x"b9a" => data <= x"b0"; when "11" & x"b9b" => data <= x"de"; when "11" & x"b9c" => data <= x"00"; when "11" & x"b9d" => data <= x"2a"; when "11" & x"b9e" => data <= x"4f"; when "11" & x"b9f" => data <= x"75"; when "11" & x"ba0" => data <= x"74"; when "11" & x"ba1" => data <= x"20"; when "11" & x"ba2" => data <= x"6f"; when "11" & x"ba3" => data <= x"66"; when "11" & x"ba4" => data <= x"20"; when "11" & x"ba5" => data <= x"dc"; when "11" & x"ba6" => data <= x"00"; when "11" & x"ba7" => data <= x"2b"; when "11" & x"ba8" => data <= x"4e"; when "11" & x"ba9" => data <= x"6f"; when "11" & x"baa" => data <= x"20"; when "11" & x"bab" => data <= x"f5"; when "11" & x"bac" => data <= x"00"; when "11" & x"bad" => data <= x"c8"; when "11" & x"bae" => data <= x"84"; when "11" & x"baf" => data <= x"1b"; when "11" & x"bb0" => data <= x"60"; when "11" & x"bb1" => data <= x"20"; when "11" & x"bb2" => data <= x"1d"; when "11" & x"bb3" => data <= x"9b"; when "11" & x"bb4" => data <= x"20"; when "11" & x"bb5" => data <= x"4c"; when "11" & x"bb6" => data <= x"98"; when "11" & x"bb7" => data <= x"20"; when "11" & x"bb8" => data <= x"ee"; when "11" & x"bb9" => data <= x"92"; when "11" & x"bba" => data <= x"a6"; when "11" & x"bbb" => data <= x"24"; when "11" & x"bbc" => data <= x"f0"; when "11" & x"bbd" => data <= x"e8"; when "11" & x"bbe" => data <= x"a5"; when "11" & x"bbf" => data <= x"2a"; when "11" & x"bc0" => data <= x"05"; when "11" & x"bc1" => data <= x"2b"; when "11" & x"bc2" => data <= x"05"; when "11" & x"bc3" => data <= x"2c"; when "11" & x"bc4" => data <= x"05"; when "11" & x"bc5" => data <= x"2d"; when "11" & x"bc6" => data <= x"f0"; when "11" & x"bc7" => data <= x"05"; when "11" & x"bc8" => data <= x"c6"; when "11" & x"bc9" => data <= x"24"; when "11" & x"bca" => data <= x"4c"; when "11" & x"bcb" => data <= x"9b"; when "11" & x"bcc" => data <= x"8b"; when "11" & x"bcd" => data <= x"bc"; when "11" & x"bce" => data <= x"a3"; when "11" & x"bcf" => data <= x"05"; when "11" & x"bd0" => data <= x"bd"; when "11" & x"bd1" => data <= x"b7"; when "11" & x"bd2" => data <= x"05"; when "11" & x"bd3" => data <= x"4c"; when "11" & x"bd4" => data <= x"dd"; when "11" & x"bd5" => data <= x"b8"; when "11" & x"bd6" => data <= x"00"; when "11" & x"bd7" => data <= x"2c"; when "11" & x"bd8" => data <= x"54"; when "11" & x"bd9" => data <= x"6f"; when "11" & x"bda" => data <= x"6f"; when "11" & x"bdb" => data <= x"20"; when "11" & x"bdc" => data <= x"6d"; when "11" & x"bdd" => data <= x"61"; when "11" & x"bde" => data <= x"6e"; when "11" & x"bdf" => data <= x"79"; when "11" & x"be0" => data <= x"20"; when "11" & x"be1" => data <= x"f5"; when "11" & x"be2" => data <= x"73"; when "11" & x"be3" => data <= x"00"; when "11" & x"be4" => data <= x"a6"; when "11" & x"be5" => data <= x"24"; when "11" & x"be6" => data <= x"e0"; when "11" & x"be7" => data <= x"14"; when "11" & x"be8" => data <= x"b0"; when "11" & x"be9" => data <= x"ec"; when "11" & x"bea" => data <= x"20"; when "11" & x"beb" => data <= x"6d"; when "11" & x"bec" => data <= x"98"; when "11" & x"bed" => data <= x"a5"; when "11" & x"bee" => data <= x"0b"; when "11" & x"bef" => data <= x"9d"; when "11" & x"bf0" => data <= x"a4"; when "11" & x"bf1" => data <= x"05"; when "11" & x"bf2" => data <= x"a5"; when "11" & x"bf3" => data <= x"0c"; when "11" & x"bf4" => data <= x"9d"; when "11" & x"bf5" => data <= x"b8"; when "11" & x"bf6" => data <= x"05"; when "11" & x"bf7" => data <= x"e6"; when "11" & x"bf8" => data <= x"24"; when "11" & x"bf9" => data <= x"4c"; when "11" & x"bfa" => data <= x"a3"; when "11" & x"bfb" => data <= x"8b"; when "11" & x"bfc" => data <= x"a0"; when "11" & x"bfd" => data <= x"00"; when "11" & x"bfe" => data <= x"a9"; when "11" & x"bff" => data <= x"06"; when "11" & x"c00" => data <= x"d0"; when "11" & x"c01" => data <= x"07"; when "11" & x"c02" => data <= x"20"; when "11" & x"c03" => data <= x"58"; when "11" & x"c04" => data <= x"b5"; when "11" & x"c05" => data <= x"a0"; when "11" & x"c06" => data <= x"00"; when "11" & x"c07" => data <= x"a9"; when "11" & x"c08" => data <= x"07"; when "11" & x"c09" => data <= x"84"; when "11" & x"c0a" => data <= x"37"; when "11" & x"c0b" => data <= x"85"; when "11" & x"c0c" => data <= x"38"; when "11" & x"c0d" => data <= x"a9"; when "11" & x"c0e" => data <= x"ee"; when "11" & x"c0f" => data <= x"85"; when "11" & x"c10" => data <= x"39"; when "11" & x"c11" => data <= x"a9"; when "11" & x"c12" => data <= x"20"; when "11" & x"c13" => data <= x"85"; when "11" & x"c14" => data <= x"3a"; when "11" & x"c15" => data <= x"a0"; when "11" & x"c16" => data <= x"ff"; when "11" & x"c17" => data <= x"84"; when "11" & x"c18" => data <= x"3b"; when "11" & x"c19" => data <= x"c8"; when "11" & x"c1a" => data <= x"a2"; when "11" & x"c1b" => data <= x"37"; when "11" & x"c1c" => data <= x"98"; when "11" & x"c1d" => data <= x"20"; when "11" & x"c1e" => data <= x"f1"; when "11" & x"c1f" => data <= x"ff"; when "11" & x"c20" => data <= x"90"; when "11" & x"c21" => data <= x"06"; when "11" & x"c22" => data <= x"4c"; when "11" & x"c23" => data <= x"38"; when "11" & x"c24" => data <= x"98"; when "11" & x"c25" => data <= x"20"; when "11" & x"c26" => data <= x"e7"; when "11" & x"c27" => data <= x"ff"; when "11" & x"c28" => data <= x"a9"; when "11" & x"c29" => data <= x"00"; when "11" & x"c2a" => data <= x"85"; when "11" & x"c2b" => data <= x"1e"; when "11" & x"c2c" => data <= x"60"; when "11" & x"c2d" => data <= x"20"; when "11" & x"c2e" => data <= x"70"; when "11" & x"c2f" => data <= x"99"; when "11" & x"c30" => data <= x"b0"; when "11" & x"c31" => data <= x"4e"; when "11" & x"c32" => data <= x"a5"; when "11" & x"c33" => data <= x"3d"; when "11" & x"c34" => data <= x"e9"; when "11" & x"c35" => data <= x"02"; when "11" & x"c36" => data <= x"85"; when "11" & x"c37" => data <= x"37"; when "11" & x"c38" => data <= x"85"; when "11" & x"c39" => data <= x"3d"; when "11" & x"c3a" => data <= x"85"; when "11" & x"c3b" => data <= x"12"; when "11" & x"c3c" => data <= x"a5"; when "11" & x"c3d" => data <= x"3e"; when "11" & x"c3e" => data <= x"e9"; when "11" & x"c3f" => data <= x"00"; when "11" & x"c40" => data <= x"85"; when "11" & x"c41" => data <= x"38"; when "11" & x"c42" => data <= x"85"; when "11" & x"c43" => data <= x"13"; when "11" & x"c44" => data <= x"85"; when "11" & x"c45" => data <= x"3e"; when "11" & x"c46" => data <= x"a0"; when "11" & x"c47" => data <= x"03"; when "11" & x"c48" => data <= x"b1"; when "11" & x"c49" => data <= x"37"; when "11" & x"c4a" => data <= x"18"; when "11" & x"c4b" => data <= x"65"; when "11" & x"c4c" => data <= x"37"; when "11" & x"c4d" => data <= x"85"; when "11" & x"c4e" => data <= x"37"; when "11" & x"c4f" => data <= x"90"; when "11" & x"c50" => data <= x"02"; when "11" & x"c51" => data <= x"e6"; when "11" & x"c52" => data <= x"38"; when "11" & x"c53" => data <= x"a0"; when "11" & x"c54" => data <= x"00"; when "11" & x"c55" => data <= x"b1"; when "11" & x"c56" => data <= x"37"; when "11" & x"c57" => data <= x"91"; when "11" & x"c58" => data <= x"12"; when "11" & x"c59" => data <= x"c9"; when "11" & x"c5a" => data <= x"0d"; when "11" & x"c5b" => data <= x"f0"; when "11" & x"c5c" => data <= x"09"; when "11" & x"c5d" => data <= x"c8"; when "11" & x"c5e" => data <= x"d0"; when "11" & x"c5f" => data <= x"f5"; when "11" & x"c60" => data <= x"e6"; when "11" & x"c61" => data <= x"38"; when "11" & x"c62" => data <= x"e6"; when "11" & x"c63" => data <= x"13"; when "11" & x"c64" => data <= x"d0"; when "11" & x"c65" => data <= x"ef"; when "11" & x"c66" => data <= x"c8"; when "11" & x"c67" => data <= x"d0"; when "11" & x"c68" => data <= x"04"; when "11" & x"c69" => data <= x"e6"; when "11" & x"c6a" => data <= x"38"; when "11" & x"c6b" => data <= x"e6"; when "11" & x"c6c" => data <= x"13"; when "11" & x"c6d" => data <= x"b1"; when "11" & x"c6e" => data <= x"37"; when "11" & x"c6f" => data <= x"91"; when "11" & x"c70" => data <= x"12"; when "11" & x"c71" => data <= x"30"; when "11" & x"c72" => data <= x"09"; when "11" & x"c73" => data <= x"20"; when "11" & x"c74" => data <= x"81"; when "11" & x"c75" => data <= x"bc"; when "11" & x"c76" => data <= x"20"; when "11" & x"c77" => data <= x"81"; when "11" & x"c78" => data <= x"bc"; when "11" & x"c79" => data <= x"4c"; when "11" & x"c7a" => data <= x"5d"; when "11" & x"c7b" => data <= x"bc"; when "11" & x"c7c" => data <= x"20"; when "11" & x"c7d" => data <= x"92"; when "11" & x"c7e" => data <= x"be"; when "11" & x"c7f" => data <= x"18"; when "11" & x"c80" => data <= x"60"; when "11" & x"c81" => data <= x"c8"; when "11" & x"c82" => data <= x"d0"; when "11" & x"c83" => data <= x"04"; when "11" & x"c84" => data <= x"e6"; when "11" & x"c85" => data <= x"13"; when "11" & x"c86" => data <= x"e6"; when "11" & x"c87" => data <= x"38"; when "11" & x"c88" => data <= x"b1"; when "11" & x"c89" => data <= x"37"; when "11" & x"c8a" => data <= x"91"; when "11" & x"c8b" => data <= x"12"; when "11" & x"c8c" => data <= x"60"; when "11" & x"c8d" => data <= x"84"; when "11" & x"c8e" => data <= x"3b"; when "11" & x"c8f" => data <= x"20"; when "11" & x"c90" => data <= x"2d"; when "11" & x"c91" => data <= x"bc"; when "11" & x"c92" => data <= x"a0"; when "11" & x"c93" => data <= x"07"; when "11" & x"c94" => data <= x"84"; when "11" & x"c95" => data <= x"3c"; when "11" & x"c96" => data <= x"a0"; when "11" & x"c97" => data <= x"00"; when "11" & x"c98" => data <= x"a9"; when "11" & x"c99" => data <= x"0d"; when "11" & x"c9a" => data <= x"d1"; when "11" & x"c9b" => data <= x"3b"; when "11" & x"c9c" => data <= x"f0"; when "11" & x"c9d" => data <= x"72"; when "11" & x"c9e" => data <= x"c8"; when "11" & x"c9f" => data <= x"d1"; when "11" & x"ca0" => data <= x"3b"; when "11" & x"ca1" => data <= x"d0"; when "11" & x"ca2" => data <= x"fb"; when "11" & x"ca3" => data <= x"c8"; when "11" & x"ca4" => data <= x"c8"; when "11" & x"ca5" => data <= x"c8"; when "11" & x"ca6" => data <= x"84"; when "11" & x"ca7" => data <= x"3f"; when "11" & x"ca8" => data <= x"e6"; when "11" & x"ca9" => data <= x"3f"; when "11" & x"caa" => data <= x"a5"; when "11" & x"cab" => data <= x"12"; when "11" & x"cac" => data <= x"85"; when "11" & x"cad" => data <= x"39"; when "11" & x"cae" => data <= x"a5"; when "11" & x"caf" => data <= x"13"; when "11" & x"cb0" => data <= x"85"; when "11" & x"cb1" => data <= x"3a"; when "11" & x"cb2" => data <= x"20"; when "11" & x"cb3" => data <= x"92"; when "11" & x"cb4" => data <= x"be"; when "11" & x"cb5" => data <= x"85"; when "11" & x"cb6" => data <= x"37"; when "11" & x"cb7" => data <= x"a5"; when "11" & x"cb8" => data <= x"13"; when "11" & x"cb9" => data <= x"85"; when "11" & x"cba" => data <= x"38"; when "11" & x"cbb" => data <= x"88"; when "11" & x"cbc" => data <= x"a5"; when "11" & x"cbd" => data <= x"06"; when "11" & x"cbe" => data <= x"c5"; when "11" & x"cbf" => data <= x"12"; when "11" & x"cc0" => data <= x"a5"; when "11" & x"cc1" => data <= x"07"; when "11" & x"cc2" => data <= x"e5"; when "11" & x"cc3" => data <= x"13"; when "11" & x"cc4" => data <= x"b0"; when "11" & x"cc5" => data <= x"10"; when "11" & x"cc6" => data <= x"20"; when "11" & x"cc7" => data <= x"6f"; when "11" & x"cc8" => data <= x"be"; when "11" & x"cc9" => data <= x"20"; when "11" & x"cca" => data <= x"20"; when "11" & x"ccb" => data <= x"bd"; when "11" & x"ccc" => data <= x"00"; when "11" & x"ccd" => data <= x"00"; when "11" & x"cce" => data <= x"86"; when "11" & x"ccf" => data <= x"20"; when "11" & x"cd0" => data <= x"73"; when "11" & x"cd1" => data <= x"70"; when "11" & x"cd2" => data <= x"61"; when "11" & x"cd3" => data <= x"63"; when "11" & x"cd4" => data <= x"65"; when "11" & x"cd5" => data <= x"00"; when "11" & x"cd6" => data <= x"b1"; when "11" & x"cd7" => data <= x"39"; when "11" & x"cd8" => data <= x"91"; when "11" & x"cd9" => data <= x"37"; when "11" & x"cda" => data <= x"98"; when "11" & x"cdb" => data <= x"d0"; when "11" & x"cdc" => data <= x"04"; when "11" & x"cdd" => data <= x"c6"; when "11" & x"cde" => data <= x"3a"; when "11" & x"cdf" => data <= x"c6"; when "11" & x"ce0" => data <= x"38"; when "11" & x"ce1" => data <= x"88"; when "11" & x"ce2" => data <= x"98"; when "11" & x"ce3" => data <= x"65"; when "11" & x"ce4" => data <= x"39"; when "11" & x"ce5" => data <= x"a6"; when "11" & x"ce6" => data <= x"3a"; when "11" & x"ce7" => data <= x"90"; when "11" & x"ce8" => data <= x"01"; when "11" & x"ce9" => data <= x"e8"; when "11" & x"cea" => data <= x"c5"; when "11" & x"ceb" => data <= x"3d"; when "11" & x"cec" => data <= x"8a"; when "11" & x"ced" => data <= x"e5"; when "11" & x"cee" => data <= x"3e"; when "11" & x"cef" => data <= x"b0"; when "11" & x"cf0" => data <= x"e5"; when "11" & x"cf1" => data <= x"38"; when "11" & x"cf2" => data <= x"a0"; when "11" & x"cf3" => data <= x"01"; when "11" & x"cf4" => data <= x"a5"; when "11" & x"cf5" => data <= x"2b"; when "11" & x"cf6" => data <= x"91"; when "11" & x"cf7" => data <= x"3d"; when "11" & x"cf8" => data <= x"c8"; when "11" & x"cf9" => data <= x"a5"; when "11" & x"cfa" => data <= x"2a"; when "11" & x"cfb" => data <= x"91"; when "11" & x"cfc" => data <= x"3d"; when "11" & x"cfd" => data <= x"c8"; when "11" & x"cfe" => data <= x"a5"; when "11" & x"cff" => data <= x"3f"; when "11" & x"d00" => data <= x"91"; when "11" & x"d01" => data <= x"3d"; when "11" & x"d02" => data <= x"20"; when "11" & x"d03" => data <= x"56"; when "11" & x"d04" => data <= x"be"; when "11" & x"d05" => data <= x"a0"; when "11" & x"d06" => data <= x"ff"; when "11" & x"d07" => data <= x"c8"; when "11" & x"d08" => data <= x"b1"; when "11" & x"d09" => data <= x"3b"; when "11" & x"d0a" => data <= x"91"; when "11" & x"d0b" => data <= x"3d"; when "11" & x"d0c" => data <= x"c9"; when "11" & x"d0d" => data <= x"0d"; when "11" & x"d0e" => data <= x"d0"; when "11" & x"d0f" => data <= x"f7"; when "11" & x"d10" => data <= x"60"; when "11" & x"d11" => data <= x"20"; when "11" & x"d12" => data <= x"57"; when "11" & x"d13" => data <= x"98"; when "11" & x"d14" => data <= x"20"; when "11" & x"d15" => data <= x"20"; when "11" & x"d16" => data <= x"bd"; when "11" & x"d17" => data <= x"a5"; when "11" & x"d18" => data <= x"18"; when "11" & x"d19" => data <= x"85"; when "11" & x"d1a" => data <= x"0c"; when "11" & x"d1b" => data <= x"86"; when "11" & x"d1c" => data <= x"0b"; when "11" & x"d1d" => data <= x"4c"; when "11" & x"d1e" => data <= x"0b"; when "11" & x"d1f" => data <= x"8b"; when "11" & x"d20" => data <= x"a5"; when "11" & x"d21" => data <= x"12"; when "11" & x"d22" => data <= x"85"; when "11" & x"d23" => data <= x"00"; when "11" & x"d24" => data <= x"85"; when "11" & x"d25" => data <= x"02"; when "11" & x"d26" => data <= x"a5"; when "11" & x"d27" => data <= x"13"; when "11" & x"d28" => data <= x"85"; when "11" & x"d29" => data <= x"01"; when "11" & x"d2a" => data <= x"85"; when "11" & x"d2b" => data <= x"03"; when "11" & x"d2c" => data <= x"20"; when "11" & x"d2d" => data <= x"3a"; when "11" & x"d2e" => data <= x"bd"; when "11" & x"d2f" => data <= x"a2"; when "11" & x"d30" => data <= x"80"; when "11" & x"d31" => data <= x"a9"; when "11" & x"d32" => data <= x"00"; when "11" & x"d33" => data <= x"9d"; when "11" & x"d34" => data <= x"7f"; when "11" & x"d35" => data <= x"04"; when "11" & x"d36" => data <= x"ca"; when "11" & x"d37" => data <= x"d0"; when "11" & x"d38" => data <= x"fa"; when "11" & x"d39" => data <= x"60"; when "11" & x"d3a" => data <= x"a5"; when "11" & x"d3b" => data <= x"18"; when "11" & x"d3c" => data <= x"85"; when "11" & x"d3d" => data <= x"1d"; when "11" & x"d3e" => data <= x"a5"; when "11" & x"d3f" => data <= x"06"; when "11" & x"d40" => data <= x"85"; when "11" & x"d41" => data <= x"04"; when "11" & x"d42" => data <= x"a5"; when "11" & x"d43" => data <= x"07"; when "11" & x"d44" => data <= x"85"; when "11" & x"d45" => data <= x"05"; when "11" & x"d46" => data <= x"a9"; when "11" & x"d47" => data <= x"00"; when "11" & x"d48" => data <= x"85"; when "11" & x"d49" => data <= x"24"; when "11" & x"d4a" => data <= x"85"; when "11" & x"d4b" => data <= x"26"; when "11" & x"d4c" => data <= x"85"; when "11" & x"d4d" => data <= x"25"; when "11" & x"d4e" => data <= x"85"; when "11" & x"d4f" => data <= x"1c"; when "11" & x"d50" => data <= x"60"; when "11" & x"d51" => data <= x"a5"; when "11" & x"d52" => data <= x"04"; when "11" & x"d53" => data <= x"38"; when "11" & x"d54" => data <= x"e9"; when "11" & x"d55" => data <= x"05"; when "11" & x"d56" => data <= x"20"; when "11" & x"d57" => data <= x"2e"; when "11" & x"d58" => data <= x"be"; when "11" & x"d59" => data <= x"a0"; when "11" & x"d5a" => data <= x"00"; when "11" & x"d5b" => data <= x"a5"; when "11" & x"d5c" => data <= x"30"; when "11" & x"d5d" => data <= x"91"; when "11" & x"d5e" => data <= x"04"; when "11" & x"d5f" => data <= x"c8"; when "11" & x"d60" => data <= x"a5"; when "11" & x"d61" => data <= x"2e"; when "11" & x"d62" => data <= x"29"; when "11" & x"d63" => data <= x"80"; when "11" & x"d64" => data <= x"85"; when "11" & x"d65" => data <= x"2e"; when "11" & x"d66" => data <= x"a5"; when "11" & x"d67" => data <= x"31"; when "11" & x"d68" => data <= x"29"; when "11" & x"d69" => data <= x"7f"; when "11" & x"d6a" => data <= x"05"; when "11" & x"d6b" => data <= x"2e"; when "11" & x"d6c" => data <= x"91"; when "11" & x"d6d" => data <= x"04"; when "11" & x"d6e" => data <= x"c8"; when "11" & x"d6f" => data <= x"a5"; when "11" & x"d70" => data <= x"32"; when "11" & x"d71" => data <= x"91"; when "11" & x"d72" => data <= x"04"; when "11" & x"d73" => data <= x"c8"; when "11" & x"d74" => data <= x"a5"; when "11" & x"d75" => data <= x"33"; when "11" & x"d76" => data <= x"91"; when "11" & x"d77" => data <= x"04"; when "11" & x"d78" => data <= x"c8"; when "11" & x"d79" => data <= x"a5"; when "11" & x"d7a" => data <= x"34"; when "11" & x"d7b" => data <= x"91"; when "11" & x"d7c" => data <= x"04"; when "11" & x"d7d" => data <= x"60"; when "11" & x"d7e" => data <= x"a5"; when "11" & x"d7f" => data <= x"04"; when "11" & x"d80" => data <= x"18"; when "11" & x"d81" => data <= x"85"; when "11" & x"d82" => data <= x"4b"; when "11" & x"d83" => data <= x"69"; when "11" & x"d84" => data <= x"05"; when "11" & x"d85" => data <= x"85"; when "11" & x"d86" => data <= x"04"; when "11" & x"d87" => data <= x"a5"; when "11" & x"d88" => data <= x"05"; when "11" & x"d89" => data <= x"85"; when "11" & x"d8a" => data <= x"4c"; when "11" & x"d8b" => data <= x"69"; when "11" & x"d8c" => data <= x"00"; when "11" & x"d8d" => data <= x"85"; when "11" & x"d8e" => data <= x"05"; when "11" & x"d8f" => data <= x"60"; when "11" & x"d90" => data <= x"f0"; when "11" & x"d91" => data <= x"20"; when "11" & x"d92" => data <= x"30"; when "11" & x"d93" => data <= x"bd"; when "11" & x"d94" => data <= x"a5"; when "11" & x"d95" => data <= x"04"; when "11" & x"d96" => data <= x"38"; when "11" & x"d97" => data <= x"e9"; when "11" & x"d98" => data <= x"04"; when "11" & x"d99" => data <= x"20"; when "11" & x"d9a" => data <= x"2e"; when "11" & x"d9b" => data <= x"be"; when "11" & x"d9c" => data <= x"a0"; when "11" & x"d9d" => data <= x"03"; when "11" & x"d9e" => data <= x"a5"; when "11" & x"d9f" => data <= x"2d"; when "11" & x"da0" => data <= x"91"; when "11" & x"da1" => data <= x"04"; when "11" & x"da2" => data <= x"88"; when "11" & x"da3" => data <= x"a5"; when "11" & x"da4" => data <= x"2c"; when "11" & x"da5" => data <= x"91"; when "11" & x"da6" => data <= x"04"; when "11" & x"da7" => data <= x"88"; when "11" & x"da8" => data <= x"a5"; when "11" & x"da9" => data <= x"2b"; when "11" & x"daa" => data <= x"91"; when "11" & x"dab" => data <= x"04"; when "11" & x"dac" => data <= x"88"; when "11" & x"dad" => data <= x"a5"; when "11" & x"dae" => data <= x"2a"; when "11" & x"daf" => data <= x"91"; when "11" & x"db0" => data <= x"04"; when "11" & x"db1" => data <= x"60"; when "11" & x"db2" => data <= x"18"; when "11" & x"db3" => data <= x"a5"; when "11" & x"db4" => data <= x"04"; when "11" & x"db5" => data <= x"e5"; when "11" & x"db6" => data <= x"36"; when "11" & x"db7" => data <= x"20"; when "11" & x"db8" => data <= x"2e"; when "11" & x"db9" => data <= x"be"; when "11" & x"dba" => data <= x"a4"; when "11" & x"dbb" => data <= x"36"; when "11" & x"dbc" => data <= x"f0"; when "11" & x"dbd" => data <= x"08"; when "11" & x"dbe" => data <= x"b9"; when "11" & x"dbf" => data <= x"ff"; when "11" & x"dc0" => data <= x"05"; when "11" & x"dc1" => data <= x"91"; when "11" & x"dc2" => data <= x"04"; when "11" & x"dc3" => data <= x"88"; when "11" & x"dc4" => data <= x"d0"; when "11" & x"dc5" => data <= x"f8"; when "11" & x"dc6" => data <= x"a5"; when "11" & x"dc7" => data <= x"36"; when "11" & x"dc8" => data <= x"91"; when "11" & x"dc9" => data <= x"04"; when "11" & x"dca" => data <= x"60"; when "11" & x"dcb" => data <= x"a0"; when "11" & x"dcc" => data <= x"00"; when "11" & x"dcd" => data <= x"b1"; when "11" & x"dce" => data <= x"04"; when "11" & x"dcf" => data <= x"85"; when "11" & x"dd0" => data <= x"36"; when "11" & x"dd1" => data <= x"f0"; when "11" & x"dd2" => data <= x"09"; when "11" & x"dd3" => data <= x"a8"; when "11" & x"dd4" => data <= x"b1"; when "11" & x"dd5" => data <= x"04"; when "11" & x"dd6" => data <= x"99"; when "11" & x"dd7" => data <= x"ff"; when "11" & x"dd8" => data <= x"05"; when "11" & x"dd9" => data <= x"88"; when "11" & x"dda" => data <= x"d0"; when "11" & x"ddb" => data <= x"f8"; when "11" & x"ddc" => data <= x"a0"; when "11" & x"ddd" => data <= x"00"; when "11" & x"dde" => data <= x"b1"; when "11" & x"ddf" => data <= x"04"; when "11" & x"de0" => data <= x"38"; when "11" & x"de1" => data <= x"65"; when "11" & x"de2" => data <= x"04"; when "11" & x"de3" => data <= x"85"; when "11" & x"de4" => data <= x"04"; when "11" & x"de5" => data <= x"90"; when "11" & x"de6" => data <= x"23"; when "11" & x"de7" => data <= x"e6"; when "11" & x"de8" => data <= x"05"; when "11" & x"de9" => data <= x"60"; when "11" & x"dea" => data <= x"a0"; when "11" & x"deb" => data <= x"03"; when "11" & x"dec" => data <= x"b1"; when "11" & x"ded" => data <= x"04"; when "11" & x"dee" => data <= x"85"; when "11" & x"def" => data <= x"2d"; when "11" & x"df0" => data <= x"88"; when "11" & x"df1" => data <= x"b1"; when "11" & x"df2" => data <= x"04"; when "11" & x"df3" => data <= x"85"; when "11" & x"df4" => data <= x"2c"; when "11" & x"df5" => data <= x"88"; when "11" & x"df6" => data <= x"b1"; when "11" & x"df7" => data <= x"04"; when "11" & x"df8" => data <= x"85"; when "11" & x"df9" => data <= x"2b"; when "11" & x"dfa" => data <= x"88"; when "11" & x"dfb" => data <= x"b1"; when "11" & x"dfc" => data <= x"04"; when "11" & x"dfd" => data <= x"85"; when "11" & x"dfe" => data <= x"2a"; when "11" & x"dff" => data <= x"18"; when "11" & x"e00" => data <= x"a5"; when "11" & x"e01" => data <= x"04"; when "11" & x"e02" => data <= x"69"; when "11" & x"e03" => data <= x"04"; when "11" & x"e04" => data <= x"85"; when "11" & x"e05" => data <= x"04"; when "11" & x"e06" => data <= x"90"; when "11" & x"e07" => data <= x"02"; when "11" & x"e08" => data <= x"e6"; when "11" & x"e09" => data <= x"05"; when "11" & x"e0a" => data <= x"60"; when "11" & x"e0b" => data <= x"a2"; when "11" & x"e0c" => data <= x"37"; when "11" & x"e0d" => data <= x"a0"; when "11" & x"e0e" => data <= x"03"; when "11" & x"e0f" => data <= x"b1"; when "11" & x"e10" => data <= x"04"; when "11" & x"e11" => data <= x"95"; when "11" & x"e12" => data <= x"03"; when "11" & x"e13" => data <= x"88"; when "11" & x"e14" => data <= x"b1"; when "11" & x"e15" => data <= x"04"; when "11" & x"e16" => data <= x"95"; when "11" & x"e17" => data <= x"02"; when "11" & x"e18" => data <= x"88"; when "11" & x"e19" => data <= x"b1"; when "11" & x"e1a" => data <= x"04"; when "11" & x"e1b" => data <= x"95"; when "11" & x"e1c" => data <= x"01"; when "11" & x"e1d" => data <= x"88"; when "11" & x"e1e" => data <= x"b1"; when "11" & x"e1f" => data <= x"04"; when "11" & x"e20" => data <= x"95"; when "11" & x"e21" => data <= x"00"; when "11" & x"e22" => data <= x"18"; when "11" & x"e23" => data <= x"a5"; when "11" & x"e24" => data <= x"04"; when "11" & x"e25" => data <= x"69"; when "11" & x"e26" => data <= x"04"; when "11" & x"e27" => data <= x"85"; when "11" & x"e28" => data <= x"04"; when "11" & x"e29" => data <= x"90"; when "11" & x"e2a" => data <= x"df"; when "11" & x"e2b" => data <= x"e6"; when "11" & x"e2c" => data <= x"05"; when "11" & x"e2d" => data <= x"60"; when "11" & x"e2e" => data <= x"85"; when "11" & x"e2f" => data <= x"04"; when "11" & x"e30" => data <= x"b0"; when "11" & x"e31" => data <= x"02"; when "11" & x"e32" => data <= x"c6"; when "11" & x"e33" => data <= x"05"; when "11" & x"e34" => data <= x"a4"; when "11" & x"e35" => data <= x"05"; when "11" & x"e36" => data <= x"c4"; when "11" & x"e37" => data <= x"03"; when "11" & x"e38" => data <= x"90"; when "11" & x"e39" => data <= x"07"; when "11" & x"e3a" => data <= x"d0"; when "11" & x"e3b" => data <= x"04"; when "11" & x"e3c" => data <= x"c5"; when "11" & x"e3d" => data <= x"02"; when "11" & x"e3e" => data <= x"90"; when "11" & x"e3f" => data <= x"01"; when "11" & x"e40" => data <= x"60"; when "11" & x"e41" => data <= x"4c"; when "11" & x"e42" => data <= x"b7"; when "11" & x"e43" => data <= x"8c"; when "11" & x"e44" => data <= x"a5"; when "11" & x"e45" => data <= x"2a"; when "11" & x"e46" => data <= x"95"; when "11" & x"e47" => data <= x"00"; when "11" & x"e48" => data <= x"a5"; when "11" & x"e49" => data <= x"2b"; when "11" & x"e4a" => data <= x"95"; when "11" & x"e4b" => data <= x"01"; when "11" & x"e4c" => data <= x"a5"; when "11" & x"e4d" => data <= x"2c"; when "11" & x"e4e" => data <= x"95"; when "11" & x"e4f" => data <= x"02"; when "11" & x"e50" => data <= x"a5"; when "11" & x"e51" => data <= x"2d"; when "11" & x"e52" => data <= x"95"; when "11" & x"e53" => data <= x"03"; when "11" & x"e54" => data <= x"60"; when "11" & x"e55" => data <= x"18"; when "11" & x"e56" => data <= x"98"; when "11" & x"e57" => data <= x"65"; when "11" & x"e58" => data <= x"3d"; when "11" & x"e59" => data <= x"85"; when "11" & x"e5a" => data <= x"3d"; when "11" & x"e5b" => data <= x"90"; when "11" & x"e5c" => data <= x"02"; when "11" & x"e5d" => data <= x"e6"; when "11" & x"e5e" => data <= x"3e"; when "11" & x"e5f" => data <= x"a0"; when "11" & x"e60" => data <= x"01"; when "11" & x"e61" => data <= x"60"; when "11" & x"e62" => data <= x"20"; when "11" & x"e63" => data <= x"dd"; when "11" & x"e64" => data <= x"be"; when "11" & x"e65" => data <= x"a8"; when "11" & x"e66" => data <= x"a9"; when "11" & x"e67" => data <= x"ff"; when "11" & x"e68" => data <= x"84"; when "11" & x"e69" => data <= x"3d"; when "11" & x"e6a" => data <= x"a2"; when "11" & x"e6b" => data <= x"37"; when "11" & x"e6c" => data <= x"20"; when "11" & x"e6d" => data <= x"dd"; when "11" & x"e6e" => data <= x"ff"; when "11" & x"e6f" => data <= x"a5"; when "11" & x"e70" => data <= x"18"; when "11" & x"e71" => data <= x"85"; when "11" & x"e72" => data <= x"13"; when "11" & x"e73" => data <= x"a0"; when "11" & x"e74" => data <= x"00"; when "11" & x"e75" => data <= x"84"; when "11" & x"e76" => data <= x"12"; when "11" & x"e77" => data <= x"c8"; when "11" & x"e78" => data <= x"88"; when "11" & x"e79" => data <= x"b1"; when "11" & x"e7a" => data <= x"12"; when "11" & x"e7b" => data <= x"c9"; when "11" & x"e7c" => data <= x"0d"; when "11" & x"e7d" => data <= x"d0"; when "11" & x"e7e" => data <= x"1f"; when "11" & x"e7f" => data <= x"c8"; when "11" & x"e80" => data <= x"b1"; when "11" & x"e81" => data <= x"12"; when "11" & x"e82" => data <= x"30"; when "11" & x"e83" => data <= x"0c"; when "11" & x"e84" => data <= x"a0"; when "11" & x"e85" => data <= x"03"; when "11" & x"e86" => data <= x"b1"; when "11" & x"e87" => data <= x"12"; when "11" & x"e88" => data <= x"f0"; when "11" & x"e89" => data <= x"14"; when "11" & x"e8a" => data <= x"18"; when "11" & x"e8b" => data <= x"20"; when "11" & x"e8c" => data <= x"93"; when "11" & x"e8d" => data <= x"be"; when "11" & x"e8e" => data <= x"d0"; when "11" & x"e8f" => data <= x"e8"; when "11" & x"e90" => data <= x"c8"; when "11" & x"e91" => data <= x"18"; when "11" & x"e92" => data <= x"98"; when "11" & x"e93" => data <= x"65"; when "11" & x"e94" => data <= x"12"; when "11" & x"e95" => data <= x"85"; when "11" & x"e96" => data <= x"12"; when "11" & x"e97" => data <= x"90"; when "11" & x"e98" => data <= x"02"; when "11" & x"e99" => data <= x"e6"; when "11" & x"e9a" => data <= x"13"; when "11" & x"e9b" => data <= x"a0"; when "11" & x"e9c" => data <= x"01"; when "11" & x"e9d" => data <= x"60"; when "11" & x"e9e" => data <= x"20"; when "11" & x"e9f" => data <= x"cf"; when "11" & x"ea0" => data <= x"bf"; when "11" & x"ea1" => data <= x"0d"; when "11" & x"ea2" => data <= x"42"; when "11" & x"ea3" => data <= x"61"; when "11" & x"ea4" => data <= x"64"; when "11" & x"ea5" => data <= x"20"; when "11" & x"ea6" => data <= x"70"; when "11" & x"ea7" => data <= x"72"; when "11" & x"ea8" => data <= x"6f"; when "11" & x"ea9" => data <= x"67"; when "11" & x"eaa" => data <= x"72"; when "11" & x"eab" => data <= x"61"; when "11" & x"eac" => data <= x"6d"; when "11" & x"ead" => data <= x"0d"; when "11" & x"eae" => data <= x"ea"; when "11" & x"eaf" => data <= x"4c"; when "11" & x"eb0" => data <= x"f6"; when "11" & x"eb1" => data <= x"8a"; when "11" & x"eb2" => data <= x"a9"; when "11" & x"eb3" => data <= x"00"; when "11" & x"eb4" => data <= x"85"; when "11" & x"eb5" => data <= x"37"; when "11" & x"eb6" => data <= x"a9"; when "11" & x"eb7" => data <= x"06"; when "11" & x"eb8" => data <= x"85"; when "11" & x"eb9" => data <= x"38"; when "11" & x"eba" => data <= x"a4"; when "11" & x"ebb" => data <= x"36"; when "11" & x"ebc" => data <= x"a9"; when "11" & x"ebd" => data <= x"0d"; when "11" & x"ebe" => data <= x"99"; when "11" & x"ebf" => data <= x"00"; when "11" & x"ec0" => data <= x"06"; when "11" & x"ec1" => data <= x"60"; when "11" & x"ec2" => data <= x"20"; when "11" & x"ec3" => data <= x"d2"; when "11" & x"ec4" => data <= x"be"; when "11" & x"ec5" => data <= x"a2"; when "11" & x"ec6" => data <= x"00"; when "11" & x"ec7" => data <= x"a0"; when "11" & x"ec8" => data <= x"06"; when "11" & x"ec9" => data <= x"20"; when "11" & x"eca" => data <= x"f7"; when "11" & x"ecb" => data <= x"ff"; when "11" & x"ecc" => data <= x"4c"; when "11" & x"ecd" => data <= x"9b"; when "11" & x"ece" => data <= x"8b"; when "11" & x"ecf" => data <= x"4c"; when "11" & x"ed0" => data <= x"0e"; when "11" & x"ed1" => data <= x"8c"; when "11" & x"ed2" => data <= x"20"; when "11" & x"ed3" => data <= x"1d"; when "11" & x"ed4" => data <= x"9b"; when "11" & x"ed5" => data <= x"d0"; when "11" & x"ed6" => data <= x"f8"; when "11" & x"ed7" => data <= x"20"; when "11" & x"ed8" => data <= x"b2"; when "11" & x"ed9" => data <= x"be"; when "11" & x"eda" => data <= x"4c"; when "11" & x"edb" => data <= x"4c"; when "11" & x"edc" => data <= x"98"; when "11" & x"edd" => data <= x"20"; when "11" & x"ede" => data <= x"d2"; when "11" & x"edf" => data <= x"be"; when "11" & x"ee0" => data <= x"88"; when "11" & x"ee1" => data <= x"84"; when "11" & x"ee2" => data <= x"39"; when "11" & x"ee3" => data <= x"a5"; when "11" & x"ee4" => data <= x"18"; when "11" & x"ee5" => data <= x"85"; when "11" & x"ee6" => data <= x"3a"; when "11" & x"ee7" => data <= x"a9"; when "11" & x"ee8" => data <= x"82"; when "11" & x"ee9" => data <= x"20"; when "11" & x"eea" => data <= x"f4"; when "11" & x"eeb" => data <= x"ff"; when "11" & x"eec" => data <= x"86"; when "11" & x"eed" => data <= x"3b"; when "11" & x"eee" => data <= x"84"; when "11" & x"eef" => data <= x"3c"; when "11" & x"ef0" => data <= x"a9"; when "11" & x"ef1" => data <= x"00"; when "11" & x"ef2" => data <= x"60"; when "11" & x"ef3" => data <= x"20"; when "11" & x"ef4" => data <= x"6f"; when "11" & x"ef5" => data <= x"be"; when "11" & x"ef6" => data <= x"a5"; when "11" & x"ef7" => data <= x"12"; when "11" & x"ef8" => data <= x"85"; when "11" & x"ef9" => data <= x"45"; when "11" & x"efa" => data <= x"a5"; when "11" & x"efb" => data <= x"13"; when "11" & x"efc" => data <= x"85"; when "11" & x"efd" => data <= x"46"; when "11" & x"efe" => data <= x"a9"; when "11" & x"eff" => data <= x"23"; when "11" & x"f00" => data <= x"85"; when "11" & x"f01" => data <= x"3d"; when "11" & x"f02" => data <= x"a9"; when "11" & x"f03" => data <= x"80"; when "11" & x"f04" => data <= x"85"; when "11" & x"f05" => data <= x"3e"; when "11" & x"f06" => data <= x"a5"; when "11" & x"f07" => data <= x"18"; when "11" & x"f08" => data <= x"85"; when "11" & x"f09" => data <= x"42"; when "11" & x"f0a" => data <= x"20"; when "11" & x"f0b" => data <= x"dd"; when "11" & x"f0c" => data <= x"be"; when "11" & x"f0d" => data <= x"86"; when "11" & x"f0e" => data <= x"3f"; when "11" & x"f0f" => data <= x"84"; when "11" & x"f10" => data <= x"40"; when "11" & x"f11" => data <= x"86"; when "11" & x"f12" => data <= x"43"; when "11" & x"f13" => data <= x"84"; when "11" & x"f14" => data <= x"44"; when "11" & x"f15" => data <= x"86"; when "11" & x"f16" => data <= x"47"; when "11" & x"f17" => data <= x"84"; when "11" & x"f18" => data <= x"48"; when "11" & x"f19" => data <= x"85"; when "11" & x"f1a" => data <= x"41"; when "11" & x"f1b" => data <= x"a8"; when "11" & x"f1c" => data <= x"a2"; when "11" & x"f1d" => data <= x"37"; when "11" & x"f1e" => data <= x"20"; when "11" & x"f1f" => data <= x"dd"; when "11" & x"f20" => data <= x"ff"; when "11" & x"f21" => data <= x"4c"; when "11" & x"f22" => data <= x"9b"; when "11" & x"f23" => data <= x"8b"; when "11" & x"f24" => data <= x"20"; when "11" & x"f25" => data <= x"62"; when "11" & x"f26" => data <= x"be"; when "11" & x"f27" => data <= x"4c"; when "11" & x"f28" => data <= x"f3"; when "11" & x"f29" => data <= x"8a"; when "11" & x"f2a" => data <= x"20"; when "11" & x"f2b" => data <= x"62"; when "11" & x"f2c" => data <= x"be"; when "11" & x"f2d" => data <= x"4c"; when "11" & x"f2e" => data <= x"14"; when "11" & x"f2f" => data <= x"bd"; when "11" & x"f30" => data <= x"20"; when "11" & x"f31" => data <= x"a9"; when "11" & x"f32" => data <= x"bf"; when "11" & x"f33" => data <= x"48"; when "11" & x"f34" => data <= x"20"; when "11" & x"f35" => data <= x"13"; when "11" & x"f36" => data <= x"98"; when "11" & x"f37" => data <= x"20"; when "11" & x"f38" => data <= x"ee"; when "11" & x"f39" => data <= x"92"; when "11" & x"f3a" => data <= x"68"; when "11" & x"f3b" => data <= x"a8"; when "11" & x"f3c" => data <= x"a2"; when "11" & x"f3d" => data <= x"2a"; when "11" & x"f3e" => data <= x"a9"; when "11" & x"f3f" => data <= x"01"; when "11" & x"f40" => data <= x"20"; when "11" & x"f41" => data <= x"da"; when "11" & x"f42" => data <= x"ff"; when "11" & x"f43" => data <= x"4c"; when "11" & x"f44" => data <= x"9b"; when "11" & x"f45" => data <= x"8b"; when "11" & x"f46" => data <= x"38"; when "11" & x"f47" => data <= x"a9"; when "11" & x"f48" => data <= x"00"; when "11" & x"f49" => data <= x"2a"; when "11" & x"f4a" => data <= x"2a"; when "11" & x"f4b" => data <= x"48"; when "11" & x"f4c" => data <= x"20"; when "11" & x"f4d" => data <= x"b5"; when "11" & x"f4e" => data <= x"bf"; when "11" & x"f4f" => data <= x"a2"; when "11" & x"f50" => data <= x"2a"; when "11" & x"f51" => data <= x"68"; when "11" & x"f52" => data <= x"20"; when "11" & x"f53" => data <= x"da"; when "11" & x"f54" => data <= x"ff"; when "11" & x"f55" => data <= x"a9"; when "11" & x"f56" => data <= x"40"; when "11" & x"f57" => data <= x"60"; when "11" & x"f58" => data <= x"20"; when "11" & x"f59" => data <= x"a9"; when "11" & x"f5a" => data <= x"bf"; when "11" & x"f5b" => data <= x"48"; when "11" & x"f5c" => data <= x"20"; when "11" & x"f5d" => data <= x"ae"; when "11" & x"f5e" => data <= x"8a"; when "11" & x"f5f" => data <= x"20"; when "11" & x"f60" => data <= x"49"; when "11" & x"f61" => data <= x"98"; when "11" & x"f62" => data <= x"20"; when "11" & x"f63" => data <= x"ee"; when "11" & x"f64" => data <= x"92"; when "11" & x"f65" => data <= x"68"; when "11" & x"f66" => data <= x"a8"; when "11" & x"f67" => data <= x"a5"; when "11" & x"f68" => data <= x"2a"; when "11" & x"f69" => data <= x"20"; when "11" & x"f6a" => data <= x"d4"; when "11" & x"f6b" => data <= x"ff"; when "11" & x"f6c" => data <= x"4c"; when "11" & x"f6d" => data <= x"9b"; when "11" & x"f6e" => data <= x"8b"; when "11" & x"f6f" => data <= x"20"; when "11" & x"f70" => data <= x"b5"; when "11" & x"f71" => data <= x"bf"; when "11" & x"f72" => data <= x"20"; when "11" & x"f73" => data <= x"d7"; when "11" & x"f74" => data <= x"ff"; when "11" & x"f75" => data <= x"4c"; when "11" & x"f76" => data <= x"d8"; when "11" & x"f77" => data <= x"ae"; when "11" & x"f78" => data <= x"a9"; when "11" & x"f79" => data <= x"40"; when "11" & x"f7a" => data <= x"d0"; when "11" & x"f7b" => data <= x"06"; when "11" & x"f7c" => data <= x"a9"; when "11" & x"f7d" => data <= x"80"; when "11" & x"f7e" => data <= x"d0"; when "11" & x"f7f" => data <= x"02"; when "11" & x"f80" => data <= x"a9"; when "11" & x"f81" => data <= x"c0"; when "11" & x"f82" => data <= x"48"; when "11" & x"f83" => data <= x"20"; when "11" & x"f84" => data <= x"ec"; when "11" & x"f85" => data <= x"ad"; when "11" & x"f86" => data <= x"d0"; when "11" & x"f87" => data <= x"0e"; when "11" & x"f88" => data <= x"20"; when "11" & x"f89" => data <= x"ba"; when "11" & x"f8a" => data <= x"be"; when "11" & x"f8b" => data <= x"a2"; when "11" & x"f8c" => data <= x"00"; when "11" & x"f8d" => data <= x"a0"; when "11" & x"f8e" => data <= x"06"; when "11" & x"f8f" => data <= x"68"; when "11" & x"f90" => data <= x"20"; when "11" & x"f91" => data <= x"ce"; when "11" & x"f92" => data <= x"ff"; when "11" & x"f93" => data <= x"4c"; when "11" & x"f94" => data <= x"d8"; when "11" & x"f95" => data <= x"ae"; when "11" & x"f96" => data <= x"4c"; when "11" & x"f97" => data <= x"0e"; when "11" & x"f98" => data <= x"8c"; when "11" & x"f99" => data <= x"20"; when "11" & x"f9a" => data <= x"a9"; when "11" & x"f9b" => data <= x"bf"; when "11" & x"f9c" => data <= x"20"; when "11" & x"f9d" => data <= x"52"; when "11" & x"f9e" => data <= x"98"; when "11" & x"f9f" => data <= x"a4"; when "11" & x"fa0" => data <= x"2a"; when "11" & x"fa1" => data <= x"a9"; when "11" & x"fa2" => data <= x"00"; when "11" & x"fa3" => data <= x"20"; when "11" & x"fa4" => data <= x"ce"; when "11" & x"fa5" => data <= x"ff"; when "11" & x"fa6" => data <= x"4c"; when "11" & x"fa7" => data <= x"9b"; when "11" & x"fa8" => data <= x"8b"; when "11" & x"fa9" => data <= x"a5"; when "11" & x"faa" => data <= x"0a"; when "11" & x"fab" => data <= x"85"; when "11" & x"fac" => data <= x"1b"; when "11" & x"fad" => data <= x"a5"; when "11" & x"fae" => data <= x"0b"; when "11" & x"faf" => data <= x"85"; when "11" & x"fb0" => data <= x"19"; when "11" & x"fb1" => data <= x"a5"; when "11" & x"fb2" => data <= x"0c"; when "11" & x"fb3" => data <= x"85"; when "11" & x"fb4" => data <= x"1a"; when "11" & x"fb5" => data <= x"20"; when "11" & x"fb6" => data <= x"8c"; when "11" & x"fb7" => data <= x"8a"; when "11" & x"fb8" => data <= x"c9"; when "11" & x"fb9" => data <= x"23"; when "11" & x"fba" => data <= x"d0"; when "11" & x"fbb" => data <= x"07"; when "11" & x"fbc" => data <= x"20"; when "11" & x"fbd" => data <= x"e3"; when "11" & x"fbe" => data <= x"92"; when "11" & x"fbf" => data <= x"a4"; when "11" & x"fc0" => data <= x"2a"; when "11" & x"fc1" => data <= x"98"; when "11" & x"fc2" => data <= x"60"; when "11" & x"fc3" => data <= x"00"; when "11" & x"fc4" => data <= x"2d"; when "11" & x"fc5" => data <= x"4d"; when "11" & x"fc6" => data <= x"69"; when "11" & x"fc7" => data <= x"73"; when "11" & x"fc8" => data <= x"73"; when "11" & x"fc9" => data <= x"69"; when "11" & x"fca" => data <= x"6e"; when "11" & x"fcb" => data <= x"67"; when "11" & x"fcc" => data <= x"20"; when "11" & x"fcd" => data <= x"23"; when "11" & x"fce" => data <= x"00"; when "11" & x"fcf" => data <= x"68"; when "11" & x"fd0" => data <= x"85"; when "11" & x"fd1" => data <= x"37"; when "11" & x"fd2" => data <= x"68"; when "11" & x"fd3" => data <= x"85"; when "11" & x"fd4" => data <= x"38"; when "11" & x"fd5" => data <= x"a0"; when "11" & x"fd6" => data <= x"00"; when "11" & x"fd7" => data <= x"f0"; when "11" & x"fd8" => data <= x"03"; when "11" & x"fd9" => data <= x"20"; when "11" & x"fda" => data <= x"e3"; when "11" & x"fdb" => data <= x"ff"; when "11" & x"fdc" => data <= x"20"; when "11" & x"fdd" => data <= x"4b"; when "11" & x"fde" => data <= x"89"; when "11" & x"fdf" => data <= x"10"; when "11" & x"fe0" => data <= x"f8"; when "11" & x"fe1" => data <= x"6c"; when "11" & x"fe2" => data <= x"37"; when "11" & x"fe3" => data <= x"00"; when "11" & x"fe4" => data <= x"20"; when "11" & x"fe5" => data <= x"57"; when "11" & x"fe6" => data <= x"98"; when "11" & x"fe7" => data <= x"20"; when "11" & x"fe8" => data <= x"25"; when "11" & x"fe9" => data <= x"bc"; when "11" & x"fea" => data <= x"a0"; when "11" & x"feb" => data <= x"01"; when "11" & x"fec" => data <= x"b1"; when "11" & x"fed" => data <= x"fd"; when "11" & x"fee" => data <= x"f0"; when "11" & x"fef" => data <= x"06"; when "11" & x"ff0" => data <= x"20"; when "11" & x"ff1" => data <= x"0e"; when "11" & x"ff2" => data <= x"b5"; when "11" & x"ff3" => data <= x"c8"; when "11" & x"ff4" => data <= x"d0"; when "11" & x"ff5" => data <= x"f6"; when "11" & x"ff6" => data <= x"4c"; when "11" & x"ff7" => data <= x"9b"; when "11" & x"ff8" => data <= x"8b"; when "11" & x"ff9" => data <= x"00"; when "11" & x"ffa" => data <= x"52"; when "11" & x"ffb" => data <= x"6f"; when "11" & x"ffc" => data <= x"67"; when "11" & x"ffd" => data <= x"65"; when "11" & x"ffe" => data <= x"72"; when "11" & x"fff" => data <= x"00"; when others => data <= (others => '0'); end case; end process; end RTL;
-- File: clock.vhd -- Generated by MyHDL 0.8.1 -- Date: Sat May 28 18:39:08 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_081.all; entity clock is port ( clk: in std_logic; reset: in std_logic; en: in std_logic; count_vec: in unsigned(23 downto 0); pm: inout std_logic; LED_vec: in unsigned(41 downto 0) ); end entity clock; architecture MyHDL of clock is type t_array_count is array(0 to 6-1) of unsigned(3 downto 0); signal count: t_array_count; type t_array_LED is array(0 to 6-1) of unsigned(6 downto 0); signal LED: t_array_LED; begin count(0) <= count_vec(4-1 downto 0); count(1) <= count_vec(8-1 downto 4); count(2) <= count_vec(12-1 downto 8); count(3) <= count_vec(16-1 downto 12); count(4) <= count_vec(20-1 downto 16); count(5) <= count_vec(24-1 downto 20); CLOCK_COUNTER: process (clk, reset) is begin if (reset = '1') then count(0) <= to_unsigned(0, 4); count(1) <= to_unsigned(0, 4); count(2) <= to_unsigned(0, 4); count(3) <= to_unsigned(0, 4); count(4) <= to_unsigned(0, 4); count(5) <= to_unsigned(0, 4); elsif rising_edge(clk) then if bool(en) then count(0) <= ((count(0) + 1) mod 10); count(1) <= ((count(1) + to_unsigned(count(0) = 9, 1)) mod 6); count(2) <= ((count(2) + ((count(0) = 9) and (count(1) = 5))) mod 10); count(3) <= ((count(3) + ((count(0) = 9) and (count(1) = 5) and (count(2) = 9))) mod 6); count(4) <= resize(unsigned((signed(resize(count(4), 5) + ((count(0) = 9) and (count(1) = 5) and (count(2) = 9) and (count(3) = 5))) mod (10 - signed(resize(7 * count(5), 9)))) + ((count(0) = 9) and (count(1) = 5) and (count(2) = 9) and (count(3) = 5) and (count(4) = 2) and bool(count(5)))), 4); end if; if bool(reset) then count(0) <= to_unsigned(0, 4); count(1) <= to_unsigned(0, 4); count(2) <= to_unsigned(0, 4); count(3) <= to_unsigned(0, 4); count(4) <= to_unsigned(0, 4); end if; end if; end process CLOCK_COUNTER; CLOCK_TFF: process (clk, reset) is begin if (reset = '1') then count(0) <= to_unsigned(0, 4); count(1) <= to_unsigned(0, 4); count(2) <= to_unsigned(0, 4); count(3) <= to_unsigned(0, 4); count(4) <= to_unsigned(0, 4); count(5) <= to_unsigned(0, 4); pm <= '0'; elsif rising_edge(clk) then if bool(en) then count(5) <= to_unsigned((count(5) xor (((count(0) = 9) and (count(1) = 5) and (count(2) = 9) and (count(3) = 5) and (count(4) = 9)) or ((count(0) = 9) and (count(1) = 5) and (count(2) = 9) and (count(3) = 5) and (count(4) = 2) and bool(count(5))))), 4); pm <= (pm xor stdl((count(0) = 9) and (count(1) = 5) and (count(2) = 9) and (count(3) = 5) and (count(4) = 1) and bool(count(5)))); end if; if bool(reset) then count(5) <= to_unsigned(0, 4); pm <= '0'; end if; end if; end process CLOCK_TFF; CLOCK_DECODER: process (count(0), count(1), count(2), count(3), count(4), count(5)) is begin for i in 0 to 6-1 loop LED(i) <= unsigned'(((bool(count(i)(0)) and (not bool(count(i)(1))) and (not bool(count(i)(2))) and (not bool(count(i)(3)))) or ((not bool(count(i)(0))) and (not bool(count(i)(1))) and bool(count(i)(2))) or (bool(count(i)(1)) and bool(count(i)(3)))) & ((bool(count(i)(0)) and (not bool(count(i)(1))) and bool(count(i)(2))) or ((not bool(count(i)(0))) and bool(count(i)(1)) and bool(count(i)(2))) or (bool(count(i)(1)) and bool(count(i)(3)))) & (((not bool(count(i)(0))) and bool(count(i)(1)) and (not bool(count(i)(2)))) or (bool(count(i)(2)) and bool(count(i)(3)))) & ((bool(count(i)(0)) and (not bool(count(i)(1))) and (not bool(count(i)(2))) and (not bool(count(i)(3)))) or (bool(count(i)(0)) and bool(count(i)(1)) and bool(count(i)(2))) or ((not bool(count(i)(0))) and (not bool(count(i)(1))) and bool(count(i)(2)))) & (bool(count(i)(0)) or ((not bool(count(i)(1))) and bool(count(i)(2)))) & ((bool(count(i)(0)) and (not bool(count(i)(2))) and (not bool(count(i)(3)))) or (bool(count(i)(0)) and bool(count(i)(1))) or (bool(count(i)(1)) and (not bool(count(i)(2))))) & ((bool(count(i)(0)) and bool(count(i)(1)) and bool(count(i)(2))) or ((not bool(count(i)(1))) and (not bool(count(i)(2))) and (not bool(count(i)(3)))))); end loop; if (not bool(count(5))) then LED(5) <= to_unsigned(127, 7); end if; end process CLOCK_DECODER; end architecture MyHDL;
--+-----------------------------------+-------------------------------------+-- --| ___ ___ | (c) 2013-2014 William R Sowerbutts |-- --| ___ ___ ___ ___( _ ) / _ \ | [email protected] |-- --| / __|/ _ \ / __|_ / _ \| | | | | |-- --| \__ \ (_) | (__ / / (_) | |_| | | A Z80 FPGA computer, just for fun |-- --| |___/\___/ \___/___\___/ \___/ | |-- --| | http://sowerbutts.com/ |-- --+-----------------------------------+-------------------------------------+-- --| A rudimentary SPI master peripheral |-- --+-------------------------------------------------------------------------+-- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity spi_master is port ( clk : in std_logic; reset : in std_logic; cpu_address : in std_logic_vector(2 downto 0); cpu_wait : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); enable : in std_logic; req_read : in std_logic; req_write : in std_logic; slave_cs : out std_logic; slave_clk : out std_logic; slave_mosi : out std_logic; slave_miso : in std_logic ); end spi_master; -- registers: -- base+0 -- chip select control; bit 0 is slave_cs -- base+1 -- status register; bit 0 indicates "transmitter busy" -- base+2 -- transmitter: write a byte here, starts SPI bus transaction -- base+3 -- receiver: last byte received (updated on each transation) -- base+4 -- clock divider: clk counts from 0 to whatever is in this register before proceeding -- -- Note that if an SPI transfer is underway already the CPU will be -- forced to wait until it completes before any register can be -- read or written. This is very convenient as it means you can -- just read or write bytes without checking the status register. architecture Behavioral of spi_master is -- start up in idle state signal slave_cs_register : std_logic := '1'; signal slave_clk_register : std_logic := '1'; --MODE3 -- signal slave_clk_register : std_logic := '0'; --MODE0 signal slave_mosi_register: std_logic := '0'; signal data_out_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB -- signal data_out_sr : std_logic_vector(7 downto 0) := x"55"; -- shifted left ie MSB <- LSB signal data_in_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal busy_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal clk_divide_target : unsigned(7 downto 0) := (others => '0'); -- signal clk_divide_target : unsigned(7 downto 0) := x"aa"; signal clk_divide_value : unsigned(7 downto 0) := (others => '0'); signal cpu_was_idle : std_logic := '1'; -- cpu visible registers signal chip_select_out : std_logic_vector(7 downto 0); signal status_data_out : std_logic_vector(7 downto 0); begin chip_select_out <= "0000000" & slave_cs_register; status_data_out <= "0000000" & busy_sr(7); cpu_wait <= busy_sr(7); with cpu_address select data_out <= chip_select_out when "000", status_data_out when "001", data_out_sr when "010", data_in_sr when "011", std_logic_vector(clk_divide_target) when "100", status_data_out when others; -- data_out <= data_out_sr; slave_cs <= slave_cs_register; slave_clk <= slave_clk_register; slave_mosi <= slave_mosi_register; spimaster_proc: process(clk) begin if rising_edge(clk) then if reset = '1' then slave_cs_register <= '1'; slave_clk_register <= '1'; --MODE3 -- slave_clk_register <= '0'; --MODE0 slave_mosi_register <= '0'; data_out_sr <= (others => '0'); -- data_out_sr <= x"aa"; data_in_sr <= (others => '0'); busy_sr <= (others => '0'); clk_divide_target <= (others => '0'); clk_divide_value <= (others => '0'); cpu_was_idle <= '1'; else -- divide down input clk to get 2 * spi clk clk_divide_value <= clk_divide_value + 1; if clk_divide_value = clk_divide_target then clk_divide_value <= to_unsigned(0, 8); end if; if busy_sr(7) = '1' then if clk_divide_value = clk_divide_target then -- we're in the midst of a transaction! whoo! if slave_clk_register = '1' then -- clk is high; next cycle will be falling edge of clk slave_clk_register <= '0'; slave_mosi_register <= data_out_sr(7); -- shift data out data_out_sr <= data_out_sr(6 downto 0) & '0'; else -- clk is low; next cycle will be rising edge of clk slave_clk_register <= '1'; -- shift busy busy_sr <= busy_sr(6 downto 0) & '0'; -- latch data in data_in_sr <= data_in_sr(6 downto 0) & slave_miso; end if; end if; end if; if enable = '1' and req_write = '1' then if busy_sr(7) = '0' and cpu_was_idle = '1' then cpu_was_idle <= '0'; case cpu_address is when "000" => slave_cs_register <= data_in(0); when "010" => -- only allow writes when transmitter is idle data_out_sr <= data_in; busy_sr <= (others => '1'); when "100" => clk_divide_target <= unsigned(data_in); when others => -- no change end case; else cpu_was_idle <= cpu_was_idle; end if; else cpu_was_idle <= '1'; end if; end if; end if; end process; end Behavioral;
--+-----------------------------------+-------------------------------------+-- --| ___ ___ | (c) 2013-2014 William R Sowerbutts |-- --| ___ ___ ___ ___( _ ) / _ \ | [email protected] |-- --| / __|/ _ \ / __|_ / _ \| | | | | |-- --| \__ \ (_) | (__ / / (_) | |_| | | A Z80 FPGA computer, just for fun |-- --| |___/\___/ \___/___\___/ \___/ | |-- --| | http://sowerbutts.com/ |-- --+-----------------------------------+-------------------------------------+-- --| A rudimentary SPI master peripheral |-- --+-------------------------------------------------------------------------+-- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity spi_master is port ( clk : in std_logic; reset : in std_logic; cpu_address : in std_logic_vector(2 downto 0); cpu_wait : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); enable : in std_logic; req_read : in std_logic; req_write : in std_logic; slave_cs : out std_logic; slave_clk : out std_logic; slave_mosi : out std_logic; slave_miso : in std_logic ); end spi_master; -- registers: -- base+0 -- chip select control; bit 0 is slave_cs -- base+1 -- status register; bit 0 indicates "transmitter busy" -- base+2 -- transmitter: write a byte here, starts SPI bus transaction -- base+3 -- receiver: last byte received (updated on each transation) -- base+4 -- clock divider: clk counts from 0 to whatever is in this register before proceeding -- -- Note that if an SPI transfer is underway already the CPU will be -- forced to wait until it completes before any register can be -- read or written. This is very convenient as it means you can -- just read or write bytes without checking the status register. architecture Behavioral of spi_master is -- start up in idle state signal slave_cs_register : std_logic := '1'; signal slave_clk_register : std_logic := '1'; --MODE3 -- signal slave_clk_register : std_logic := '0'; --MODE0 signal slave_mosi_register: std_logic := '0'; signal data_out_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB -- signal data_out_sr : std_logic_vector(7 downto 0) := x"55"; -- shifted left ie MSB <- LSB signal data_in_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal busy_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal clk_divide_target : unsigned(7 downto 0) := (others => '0'); -- signal clk_divide_target : unsigned(7 downto 0) := x"aa"; signal clk_divide_value : unsigned(7 downto 0) := (others => '0'); signal cpu_was_idle : std_logic := '1'; -- cpu visible registers signal chip_select_out : std_logic_vector(7 downto 0); signal status_data_out : std_logic_vector(7 downto 0); begin chip_select_out <= "0000000" & slave_cs_register; status_data_out <= "0000000" & busy_sr(7); cpu_wait <= busy_sr(7); with cpu_address select data_out <= chip_select_out when "000", status_data_out when "001", data_out_sr when "010", data_in_sr when "011", std_logic_vector(clk_divide_target) when "100", status_data_out when others; -- data_out <= data_out_sr; slave_cs <= slave_cs_register; slave_clk <= slave_clk_register; slave_mosi <= slave_mosi_register; spimaster_proc: process(clk) begin if rising_edge(clk) then if reset = '1' then slave_cs_register <= '1'; slave_clk_register <= '1'; --MODE3 -- slave_clk_register <= '0'; --MODE0 slave_mosi_register <= '0'; data_out_sr <= (others => '0'); -- data_out_sr <= x"aa"; data_in_sr <= (others => '0'); busy_sr <= (others => '0'); clk_divide_target <= (others => '0'); clk_divide_value <= (others => '0'); cpu_was_idle <= '1'; else -- divide down input clk to get 2 * spi clk clk_divide_value <= clk_divide_value + 1; if clk_divide_value = clk_divide_target then clk_divide_value <= to_unsigned(0, 8); end if; if busy_sr(7) = '1' then if clk_divide_value = clk_divide_target then -- we're in the midst of a transaction! whoo! if slave_clk_register = '1' then -- clk is high; next cycle will be falling edge of clk slave_clk_register <= '0'; slave_mosi_register <= data_out_sr(7); -- shift data out data_out_sr <= data_out_sr(6 downto 0) & '0'; else -- clk is low; next cycle will be rising edge of clk slave_clk_register <= '1'; -- shift busy busy_sr <= busy_sr(6 downto 0) & '0'; -- latch data in data_in_sr <= data_in_sr(6 downto 0) & slave_miso; end if; end if; end if; if enable = '1' and req_write = '1' then if busy_sr(7) = '0' and cpu_was_idle = '1' then cpu_was_idle <= '0'; case cpu_address is when "000" => slave_cs_register <= data_in(0); when "010" => -- only allow writes when transmitter is idle data_out_sr <= data_in; busy_sr <= (others => '1'); when "100" => clk_divide_target <= unsigned(data_in); when others => -- no change end case; else cpu_was_idle <= cpu_was_idle; end if; else cpu_was_idle <= '1'; end if; end if; end if; end process; end Behavioral;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_5; USE floating_point_v7_1_5.floating_point_v7_1_5; ENTITY convolve_kernel_ap_fadd_12_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fadd_12_no_dsp_32; ARCHITECTURE convolve_kernel_ap_fadd_12_no_dsp_32_arch OF convolve_kernel_ap_fadd_12_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fadd_12_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_5 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_5; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fadd_12_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_5,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fadd_12_no_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fadd_12_no_dsp_32,floating_point_v7_1_5,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fadd_12_no_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fadd_12_no_dsp_32,floating_point_v7_1_5,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HA" & "S_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=12,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESE" & "TN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNE" & "D=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_axis_result_tvalid: SIGNAL IS "XIL_INTERFACENAME M_AXIS_RESULT, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axis_b_tvalid: SIGNAL IS "XIL_INTERFACENAME S_AXIS_B, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axis_a_tvalid: SIGNAL IS "XIL_INTERFACENAME S_AXIS_A, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_PARAMETER OF aclken: SIGNAL IS "XIL_INTERFACENAME aclken_intf, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_PARAMETER OF aclk: SIGNAL IS "XIL_INTERFACENAME aclk_intf, ASSOCIATED_BUSIF S_AXIS_OPERATION:M_AXIS_RESULT:S_AXIS_C:S_AXIS_B:S_AXIS_A, ASSOCIATED_RESET aresetn, ASSOCIATED_CLKEN aclken, FREQ_HZ 10000000, PHASE 0.000"; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; BEGIN U0 : floating_point_v7_1_5 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 12, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fadd_12_no_dsp_32_arch;
ARCHITECTURE struct OF i2c_master IS -- Component Declaration COMPONENT i2ccore Generic ( DividerWidth_g : integer range 4 to 32); Port ( Reset_i : in STD_LOGIC; Clk_i : in STD_LOGIC; Data_i : in STD_LOGIC_VECTOR (7 downto 0); Data_o : out STD_LOGIC_VECTOR (7 downto 0); DoTransfer_i : in STD_LOGIC; ReadWrite_n_i : in STD_LOGIC; AckTx_i : in STD_LOGIC; AckRx_o : out STD_LOGIC; AckValid_o : out STD_LOGIC; Busy_o : out STD_LOGIC; ByteReady_o : out STD_LOGIC; BusErr_o : out STD_LOGIC; SDA_o : out STD_LOGIC; SDA_i : in STD_LOGIC; SCL_o : out STD_LOGIC; F100_400_n_i : in STD_LOGIC; Divider800_i : in std_logic_vector(DividerWidth_g-1 downto 0) ); END COMPONENT; COMPONENT i2ctransfercontroller Generic ( ReadCountWidth_g : INTEGER); Port ( Reset_i : in STD_LOGIC; Clk_i : in STD_LOGIC; ReadCount_i : in STD_LOGIC_VECTOR (ReadCountWidth_g-1 downto 0); StartProcess_i : in STD_LOGIC; ReceiveSend_n_i : in STD_LOGIC; Busy_o : out STD_LOGIC; FiFoReadNext_o : out STD_LOGIC; FiFoWrite_o : out STD_LOGIC; FiFoEmpty_i : in STD_LOGIC; FiFoFull_i : in STD_LOGIC; CoreDoTransfer_o : out STD_LOGIC; CoreReadWrite_n_o : out STD_LOGIC; CoreAckTx_o : out STD_LOGIC; CoreAckRx_i : in STD_LOGIC; CoreAckValid_i : in STD_LOGIC; CoreBusy_i : in STD_LOGIC; CoreByteReady_i : in STD_LOGIC; CoreBusErr_i : in STD_LOGIC; ErrAck_i : in STD_LOGIC; ErrBusColl_o : out STD_LOGIC; ErrFiFoFull_o : out STD_LOGIC; ErrGotNAck_o : out STD_LOGIC; ErrCoreBusy_o : out STD_LOGIC; ErrFiFoEmpty_o : out STD_LOGIC; ErrCoreStopped_o : out STD_LOGIC; ErrDevNotPresent_o : out STD_LOGIC; ErrReadCountZero_o : out STD_LOGIC); END COMPONENT; COMPONENT FIFOSyncTop Generic ( DataWidth : integer range 2 to 64 := 8; AdressWidth : integer range 2 to 10 := 4); Port ( Reset_n : in STD_LOGIC; Clk : in STD_LOGIC; DataA_i : in STD_LOGIC_VECTOR (DataWidth - 1 downto 0); WriteA_i : in STD_LOGIC; DataB_o : out STD_LOGIC_VECTOR (DataWidth - 1 downto 0); ReadNextB_i : in STD_LOGIC; FIFOFull_o : out STD_LOGIC; FIFOEmpty_o : out STD_LOGIC); END COMPONENT; -- Signal Declaration -- TransferController Signals SIGNAL TCFIFOWrite_s : STD_LOGIC; SIGNAL TCFIFOReadNext_s : STD_LOGIC; -- FIFO Signals SIGNAL FIFOReadNext_s : STD_LOGIC; SIGNAL FIFOWrite_s : STD_LOGIC; SIGNAL FIFOFull_s : STD_LOGIC; SIGNAL FIFOEmpty_s : STD_LOGIC; -- Signals between TransferController and Core SIGNAL CoreDoTransfer_s : STD_LOGIC; SIGNAL CoreReadWrite_n_s : STD_LOGIC; SIGNAL CoreAckTx_s : STD_LOGIC; SIGNAL CoreAckRx_s : STD_LOGIC; SIGNAL CoreAckValid_s : STD_LOGIC; SIGNAL CoreBusy_s : STD_LOGIC; SIGNAL CoreByteReady_s : STD_LOGIC; SIGNAL CoreBusErr_s : STD_LOGIC; -- Data Signals SIGNAL Data_s : std_logic_vector (7 downto 0); SIGNAL CoreData_o_s : std_logic_vector (7 downto 0); SIGNAL CoreData_i_s : std_logic_vector (7 downto 0); BEGIN -- Component Instantiation mycore : i2ccore GENERIC MAP ( DividerWidth_g => DividerWidth_g) PORT MAP ( Reset_i => Reset_i, Clk_i => Clk_i, Data_i => CoreData_i_s, Data_o => CoreData_o_s, DoTransfer_i => CoreDoTransfer_s, ReadWrite_n_i => CoreReadWrite_n_s, AckRx_o => CoreAckRx_s, AckValid_o => CoreAckValid_s, AckTx_i => CoreAckTx_s, Busy_o => CoreBusy_s, ByteReady_o => CoreByteReady_s, BusErr_o => CoreBusErr_s, SDA_o => SDA_o, SDA_i => SDA_i, SCL_o => SCL_o, Divider800_i => Divider800_i, F100_400_n_i => F100_400_n_i); mycontroller : i2ctransfercontroller GENERIC MAP ( ReadCountWidth_g => ReadCountWidth_g) PORT MAP ( Reset_i => Reset_i, Clk_i => Clk_i, ReadCount_i => ReadCount_i, StartProcess_i => StartProcess_i, ReceiveSend_n_i => ReceiveSend_n_i, Busy_o => Busy_o, FiFoReadNext_o => TCFIFOReadNext_s, FiFoWrite_o => TCFIFOWrite_s, FiFoEmpty_i => FIFOEmpty_s, FiFoFull_i => FIFOFull_s, CoreDoTransfer_o => CoreDoTransfer_s, CoreReadWrite_n_o => CoreReadWrite_n_s, CoreAckTx_o => CoreAckTx_s, CoreAckRx_i => CoreAckRx_s, CoreAckValid_i => CoreAckValid_s, CoreBusy_i => CoreBusy_s, CoreByteReady_i => CoreByteReady_s, CoreBusErr_i => CoreBusErr_s, ErrAck_i => ErrAck_i, ErrBusColl_o => ErrBusColl_o, ErrFiFoFull_o => ErrFiFoFull_o, ErrGotNAck_o => ErrGotNAck_o, ErrCoreBusy_o => ErrCoreBusy_o, ErrFiFoEmpty_o => ErrFiFoEmpty_o, ErrCoreStopped_o => ErrCoreStopped_o, ErrDevNotPresent_o => ErrDevNotPresent_o, ErrReadCountZero_o => ErrReadCountZero_o); myfifo : FIFOSyncTop GENERIC MAP( DataWidth => 8, AdressWidth => FIFOAddressWidth_g) PORT MAP ( Reset_n => "not"(Reset_i), -- calls "not"-function (A => not B would be a static assignment) Clk => Clk_i, DataA_i => Data_s, WriteA_i => FIFOWrite_s, DataB_o => CoreData_i_s, ReadNextB_i => FIFOReadNext_s, FIFOFull_o => FIFOFull_s, FIFOEmpty_o => FIFOEmpty_s); -- END OF COMPONENTS Data_s <= Data_i when FIFOWrite_i = '1' else CoreData_o_s; FIFOReadNext_s <= TCFIFOReadNext_s or FIFOReadNext_i; FIFOWrite_s <= TCFIFOWrite_s or FIFOWrite_i; Data_o <= CoreData_i_s; FIFOFull_o <= FIFOFull_s; FIFOEmpty_o <= FIFOEmpty_s; END;
-- ------------------------------------------------------------- -- -- Generated Configuration for vgca -- -- Generated -- by: wig -- on: Wed Aug 18 12:40:14 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../../bugver.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: vgca-struct-conf-c.vhd,v 1.2 2004/08/18 10:46:55 wig Exp $ -- $Date: 2004/08/18 10:46:55 $ -- $Log: vgca-struct-conf-c.vhd,v $ -- Revision 1.2 2004/08/18 10:46:55 wig -- reworked some testcases -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration vgca_struct_conf / vgca -- configuration vgca_struct_conf of vgca is for struct -- Generated Configuration for i_mic32_top : mic32_top use configuration work.mic32_top_empty_cfg; end for; for i_vgca_di : vgca_di use configuration work.vgca_di_empty_conf; end for; for i_vgca_dp : vgca_dp use configuration work.vgca_dp_empty_cfg; end for; for i_vgca_fe : vgca_fe use configuration work.vgca_fe_empty_conf; end for; for i_vgca_ga : vgca_ga use configuration work.vgca_ga_empty_conf; end for; for i_vgca_peri : vgca_peri use configuration work.vgca_peri_empty_conf; end for; for i_vgca_rc : vgca_rc use configuration work.vgca_rc_empty_conf; end for; end for; end vgca_struct_conf; -- -- End of Generated Configuration vgca_struct_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:03:44 11/22/2012 -- Design Name: -- Module Name: control - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_arith.all; use ieee.std_logic_signed.all; use work.tipos.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity control is port(instruction: in std_logic_vector(5 downto 0); estado: in ESTADOS; sig_estado: out ESTADOS; RegDst, RegWrite, MemWrite, MemRead, MemtoReg, PcWriteCond0, PcWriteCond1, PcWriteCond2, ALUOp0, ALUOp1, ALUOp2, ALUA, ALUB0, ALUB1, PcSrc0, PcSrc1, PcWrite:out std_logic); end control; architecture Behavioral of control is begin process(instruction, estado) begin if(estado = WB) then sig_estado <= F; end if; if(estado = F) then RegDst <= '0'; RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '1'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; ALUA <= '0'; ALUB1 <= '0'; ALUB0 <= '1'; PcSrc1 <= '0'; PcSrc0 <= '0'; sig_estado <= ID; elsif(estado = ID) then RegDst <= '0'; -- valor indeterminado, solo lee RegWrite <= '0'; -- lee de registro MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; ALUA <= '0'; ALUB1 <= '1'; ALUB0 <= '1'; PcSrc1 <= '0'; PcSrc0 <= '0'; sig_estado <= EX; else -- tipo R if instruction = "000000" then RegDst <= '1'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUOp2 <= '0'; ALUOp1 <= '1'; ALUOp0 <= '0'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; -- indeterminado PcSrc0 <= '0'; -- indeterminado if(estado = EX) then sig_estado <= WB; end if; if(estado=WB) then RegWrite <= '1'; else RegWrite <= '0'; end if; -- lw elsif instruction = "100011" then RegDst <= '0'; MemWrite <= '0'; MemRead <= '1'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; ALUA <= '1'; ALUB1 <= '1'; ALUB0 <= '0'; PcSrc1 <= '0'; -- indeterminado PcSrc0 <= '0'; -- indeterminado if (estado = EX) then sig_estado <= MEM; RegWrite <= '0'; MemtoReg <= '0'; elsif (estado = MEM) then sig_estado <= WB; RegWrite <= '0'; MemtoReg <= '0'; else MemtoReg <= '1'; RegWrite <= '1'; end if; -- sw elsif instruction = "101011" then RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; ALUA <= '1'; ALUB1 <= '1'; ALUB0 <= '0'; PcSrc1 <= '0'; -- indeterminado PcSrc0 <= '0'; -- indeterminado if (estado = EX) then sig_estado <= MEM; MemWrite <= '0'; elsif (estado = MEM) then sig_estado <= F; MemWrite <= '1'; else MemWrite <= '0'; end if; -- beq elsif instruction = "000100" then RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '1'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; -- bit de salto PcWrite <= '0'; -- indeterminado ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; PcSrc0 <= '1'; sig_estado <= F; -- bne elsif instruction = "000101" then RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '1'; PcWriteCond1 <= '0'; PCWriteCond0 <= '1'; -- bit de salto PcWrite <= '0'; -- indeterminado ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; PcSrc0 <= '1'; sig_estado <= F; -- bgt elsif instruction = "000110" then RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '1'; PcWriteCond1 <= '1'; PCWriteCond0 <= '0'; -- bit de salto PcWrite <= '0'; -- indeterminado ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; PcSrc0 <= '1'; sig_estado <= F; -- blt elsif instruction = "000111" then RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '1'; PcWriteCond1 <= '1'; PCWriteCond0 <= '1'; -- bit de salto PcWrite <= '0'; -- indeterminado ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; PcSrc0 <= '1'; sig_estado <= F; -- jump elsif instruction = "111111" then--inventado RegDst <= '0'; -- indeterminado RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; -- indeterminado PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; -- bit de salto PcWrite <= '1'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; ALUA <= '1'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '1'; PcSrc0 <= '1'; sig_estado <= F; -- addi, subi, andi, ori elsif (instruction="010000" or instruction="010001" or instruction="010010" or instruction="010011") then RegDst <= '0'; RegWrite <= '1'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUA <= '1'; ALUB1 <= '1'; ALUB0 <= '0'; PcSrc1 <= '0'; -- indeterminado PcSrc0 <= '0'; -- indeterminado if(estado=WB) then RegWrite <= '1'; else RegWrite <= '0'; end if; if instruction="010000" then -- addi ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; elsif instruction="010001" then --subi ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '1'; elsif instruction="010010" then --andi ALUOp2 <= '1'; ALUOp1 <= '0'; ALUOp0 <= '0'; else --ori ALUOp2 <= '1'; ALUOp1 <= '0'; ALUOp0 <= '1'; end if; if(estado = EX) then sig_estado <= WB; end if; else RegDst <= '0'; RegWrite <= '0'; MemWrite <= '0'; MemRead <= '0'; MemtoReg <= '0'; PcWriteCond2 <= '0'; PcWriteCond1 <= '0'; PCWriteCond0 <= '0'; PcWrite <= '0'; ALUOp2 <= '0'; ALUOp1 <= '0'; ALUOp0 <= '0'; ALUA <= '0'; ALUB1 <= '0'; ALUB0 <= '0'; PcSrc1 <= '0'; PcSrc0 <= '0'; sig_estado <= F; end if; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:18:48 06/05/2016 -- Design Name: -- Module Name: C:/Users/AlvaroMoreno/Desktop/proooc/sacagawea_copy/test_clock_counter_cntrl.vhd -- Project Name: Sacagawea -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Cntrl_cont -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY test_clock_counter_cntrl IS END test_clock_counter_cntrl; ARCHITECTURE behavior OF test_clock_counter_cntrl IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cntrl_cont PORT( clk : IN std_logic; reset : IN std_logic; sal_cont : OUT std_logic_vector(2 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal sal_cont : std_logic_vector(2 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cntrl_cont PORT MAP ( clk => clk, reset => reset, sal_cont => sal_cont ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; clk <= '0'; wait for 100 ns; clk <= '1'; wait for 100 ns; clk <= '0'; wait for 100 ns; clk <= '1'; wait for 100 ns; clk <= '0'; wait for 100 ns; clk <= '1'; wait for 100 ns; clk <= '0'; wait for 100 ns; clk <= '1'; wait for 100 ns; wait; end process; END;
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-02 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_02900_bad.vhd -- File Creation date : 2015-04-02 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description: Handbook example: Comments for entity ports: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_02900_bad is port ( i_Clock : in std_logic; i_Reset_n : in std_logic; i_D : in std_logic; o_Q : out std_logic ); end STD_02900_bad; --CODE architecture Behavioral of STD_02900_bad is signal Q : std_logic; -- D Flip-Flop output begin -- D FlipFlop process P_FlipFlop : process(i_Clock, i_Reset_n) begin if (i_Reset_n = '0') then Q <= '0'; elsif (rising_edge(i_Clock)) then Q <= i_D; end if; end process; o_Q <= Q; end Behavioral;
-------------------------------------------------------------------------------- -- Copyright (C) 2016 Josi Coder -- This program is free software: you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -- more details. -- -- You should have received a copy of the GNU General Public License along with -- this program. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Generates the universal counter´s internal pulse or gate signal by dividing -- the system clock. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library Common; use work.Globals.all; entity CounterClockDivider is generic ( -- The width of the internal clock divider. clock_divider_width: integer := 32; -- '0' for normal operation, '1' to ignore the real system clock -- frequency when dividing the clock frequency. clock_divider_test_mode: boolean := false ); port ( -- The system clock. clk: in std_logic; -- A value indicating the clock division mode. clk_division_mode: in std_logic_vector (3 downto 0); -- Gets active for one clock cycle every time the clock division value -- has been reached. divider_tick: out std_logic ); end entity; architecture stdarch of CounterClockDivider is constant clock_frequency: natural := 50 * 10**6; -- Clock divider. type reg_type is record division_counter: unsigned (clock_divider_width-1 downto 0); divider_tick: std_logic; end record; signal state, next_state: reg_type := ( division_counter => (others => '0'), divider_tick => '0' ); begin -------------------------------------------------------------------------------- -- State register. -------------------------------------------------------------------------------- state_register: process is begin wait until rising_edge(clk); state <= next_state; end process; -------------------------------------------------------------------------------- -- Next state logic. -------------------------------------------------------------------------------- next_state_logic: process(state, clk_division_mode) is variable clock_divider: unsigned (clock_divider_width-1 downto 0); begin -- Defaults. next_state <= state; next_state.divider_tick <= '0'; -- Select the clock division value. if (clock_divider_test_mode) then -- Special value for test purposes, don't divide by real system clock frequency. clock_divider := to_unsigned(10, clock_divider_width); else case clk_division_mode is -- Frequency measurement. when "0000" => -- 1 s gate signal clock_divider := to_unsigned(1 * clock_frequency, clock_divider_width); when "0001" => -- 0.1 s gate signal clock_divider := to_unsigned(10 * clock_frequency, clock_divider_width); when "0010" => -- 10 s gate signal clock_divider := to_unsigned(clock_frequency / 10, clock_divider_width); -- Period measurement. when "0100" => -- 10 MHz pulse signal clock_divider := to_unsigned(clock_frequency/10**7, clock_divider_width); when "0101" => -- 1 MHz pulse signal clock_divider := to_unsigned(clock_frequency/10**6, clock_divider_width); when "0110" => -- 100 kHz pulse signal clock_divider := to_unsigned(clock_frequency/10**5, clock_divider_width); when "0111" => -- 10 kHz pulse signal clock_divider := to_unsigned(clock_frequency/10**4, clock_divider_width); when others => clock_divider := to_unsigned(1 * clock_frequency, clock_divider_width); end case; end if; -- Check the clock division counter and, if it has reached the division value, raise -- the divider tick signal for one clock cycle. Ensure that the clock division counter -- is reset if it reaches or exceeds the division value (exceeding might happen after -- changing the division value). if (state.division_counter >= clock_divider-1) then next_state.division_counter <= (others => '0'); if (state.division_counter = clock_divider-1) then next_state.divider_tick <= '1'; end if; else next_state.division_counter <= state.division_counter + 1; end if; end process; -------------------------------------------------------------------------------- -- Output logic. -------------------------------------------------------------------------------- divider_tick <= state.divider_tick; end architecture;
entity FIFO is end entity FIFO; entity --Comment --Comment --Comment FIFO is end entity FIFO ;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use packagene01.all; entity topgeneric01 is port( clk0: in std_logic ; codop00: in std_logic_vector ( 3 downto 0 ); enable00: in std_logic ; en20: in std_logic ; PortA00: in std_logic_vector ( 7 downto 0 ); PortB00: in std_logic_vector ( 7 downto 0 ); outuc0: inout std_logic_vector ( 7 downto 0 ); sinFlag00: inout std_logic ; outFlag00: inout std_logic; osc_dis0: in std_logic; tmr_rst0: in std_logic; osc_out0: out std_logic; tmr_out0: out std_logic); attribute loc: string; attribute loc of PortA00: signal is "p125, p124, p123, p122, p121, p120, p117, p110"; attribute loc of PortB00: signal is "p116, p115, p114, p113, p112, p111, p105, p104"; attribute loc of codop00: signal is "p103, p102, p101, p100"; attribute loc of clk0: signal is "p98"; attribute loc of enable00: signal is "p79"; attribute loc of en20: signal is "p78"; attribute loc of osc_dis0: signal is "p77"; attribute loc of tmr_rst0: signal is "p76"; attribute loc of outuc0: signal is "p4, p5, p6, p7, p8, p9, p11, p12"; attribute loc of sinFlag00: signal is "p21"; attribute loc of outFlag00: signal is "p22"; attribute loc of osc_out0: signal is "p23"; attribute loc of tmr_out0: signal is "p24"; end; architecture topgeneric01 of topgeneric01 is signal soutua, sPortA00, sPortB00: std_logic_vector(7 downto 0); --signal scodop0x: std_logic_vector(3 downto 0); signal sclka: std_logic; begin --scodop0x <= codop00; sclka <= clk0; sPortA00 <= PortA00; sPortB00 <= PortB00; U01: uc01 port map(clkuc => sclka, inACuc => soutua, FlagInstuc => sinFlag00, outACuc => outuc0, FlagReadyuc => outFlag00); U02: osc03 port map(osc_dis => osc_dis0, tmr_rst => tmr_rst0, osc_out => osc_out0, tmr_out => tmr_out0); U03: generic0x port map( clka => sclka, codop0x => codop00, PortA0x => sPortA00, PortB0x => sPortB00, out0x => soutua, sinFlag0x => outFlag00, enable =>enable00, en2 => en20, outFlag0x => sinFlag00); end topgeneric01;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity AU is port( a_in : in unsigned(3 downto 0); b_in : in unsigned(3 downto 0); control_in : in unsigned(3 downto 0); control_out : out unsigned(3 downto 0); data_out : out unsigned(3 downto 0); comparison_out : out unsigned(3 downto 0) ); end entity AU; architecture Behavioural of AU is begin control_out <= control_in; process(a_in, b_in, control_in) is begin case (control_in(2 downto 0)) is when "000" => data_out <= a_in + b_in; comparison_out <= "0000"; when "001" => data_out <= a_in; comparison_out <= "0000"; when "110" => data_out <= (a_in + not b_in) + 1; if (a_in = b_in) then comparison_out <= "1111"; else comparison_out <= "0000"; end if; when "111" => data_out <= a_in - b_in; if (a_in < b_in) then comparison_out <= "1111"; else comparison_out <= "0000"; end if; when others => data_out <= "0000"; end case; end process; end architecture Behavioural;
-- libraries -------------------------------------------------------------------------------------------{{{ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.FGPU_definitions.all; ---------------------------------------------------------------------------------------------------------}}} entity lmem is --{{{ port ( clk : in std_logic; rqst, we : in std_logic; -- stage 0 alu_en : in std_logic_vector(CV_SIZE-1 downto 0); wrData : in SLV32_ARRAY(CV_SIZE-1 downto 0); rdData : out SLV32_ARRAY(CV_SIZE-1 downto 0) := (others=>(others=>'0')); -- stage 2 rdData_v : out std_logic := '0'; -- stage 2 rdData_rd_addr : out unsigned(REG_FILE_W-1 downto 0) := (others=>'0'); rdData_alu_en : out std_logic_vector(CV_SIZE-1 downto 0) := (others=>'0'); sp : in unsigned(LMEM_ADDR_W-N_WF_CU_W-PHASE_W-1 downto 0); rd_addr : in unsigned(REG_FILE_W-1 downto 0); nrst : in std_logic ); end lmem; --}}} architecture basic of lmem is type lmemory_type is array (0 to 2**LMEM_ADDR_W-1) of std_logic_vector(CV_SIZE*DATA_W-1 downto 0); signal lmemory : lmemory_type := (others=>(others=>'0')); signal lmemory_addr : unsigned(LMEM_ADDR_W-1 downto 0) := (others=>'0'); signal phase : unsigned(PHASE_W-1 downto 0) := (others=>'0'); signal rdData_n : SLV32_ARRAY(CV_SIZE-1 downto 0) := (others=>(others=>'0')); signal alu_en_vec : alu_en_vec_type(1 downto 0) := (others=>(others=>'0')); signal rd_addr_vec : reg_addr_array(1 downto 0) := (others=>(others=>'0')); signal rdData_v_p0 : std_logic := '0'; begin -- lmemory ----------------------------------------------------------------------------------------------{{{ lmemory_addr(LMEM_ADDR_W-1 downto LMEM_ADDR_W-PHASE_W) <= phase; lmemory_addr(LMEM_ADDR_W-PHASE_W-1 downto LMEM_ADDR_W-PHASE_W-N_WF_CU_W) <= rd_addr(WI_REG_ADDR_W+N_WF_CU_W-1 downto WI_REG_ADDR_W); lmemory_addr(LMEM_ADDR_W-N_WF_CU_W-PHASE_W-1 downto 0) <= sp; process(clk) begin if rising_edge(clk) then for i in 0 to CV_SIZE-1 loop rdData_n(i) <= lmemory(to_integer(lmemory_addr))((i+1)*DATA_W-1 downto i*DATA_W); -- @ 1 end loop; rdData <= rdData_n; -- @ 2 if we = '1' then for i in 0 to CV_SIZE-1 loop if alu_en(i) = '1' then lmemory(to_integer(lmemory_addr))((i+1)*DATA_W-1 downto i*DATA_W) <= wrData(i); end if; end loop; end if; end if; end process; ---------------------------------------------------------------------------------------------------------}}} -- control ----------------------------------------------------------------------------------------------{{{ rdData_alu_en <= alu_en_vec(0); rdData_rd_addr <= rd_addr_vec(0); process(clk) begin if rising_edge(clk) then alu_en_vec(alu_en_vec'high) <= alu_en; alu_en_vec(alu_en_vec'high-1 downto 0) <= alu_en_vec(alu_en_vec'high downto 1); rd_addr_vec(rd_addr_vec'high) <= rd_addr; rd_addr_vec(rd_addr_vec'high-1 downto 0) <= rd_addr_vec(rd_addr_vec'high downto 1); rdData_v <= rdData_v_p0; if nrst = '0' then phase <= (others=>'0'); rdData_v_p0 <= '0'; else if rqst = '1' then phase <= phase + 1; end if; if phase = (phase'reverse_range=>'0') then rdData_v_p0 <= '0'; end if; if rqst = '1' and we = '0' then if phase = (phase'reverse_range=>'0') then rdData_v_p0 <= '1'; end if; end if; end if; end if; end process; ---------------------------------------------------------------------------------------------------------}}} end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity SPI_Display is Port ( Hex_IN : in STD_LOGIC_VECTOR (15 downto 0); iCLK : in STD_LOGIC; MOSI : out STD_LOGIC; CSN : out STD_LOGIC; SCK : out STD_LOGIC); end SPI_Display; architecture Behavioral of SPI_Display is signal DIV : unsigned(15 DOWNTO 0) :=X"0000"; --Signals for StateMachine: type stateType is range 0 to 18; Signal Sel : integer range 0 to 11; Signal Q : std_logic; signal CS : stateType; signal X1,X2,X3,X4 : std_logic_vector (3 downto 0); signal extend : std_logic_vector (3 downto 0); signal clk_en : std_logic; signal HEX2_Data1 : std_logic_vector (7 downto 0); signal HEX2_Data2 : std_logic_vector (7 downto 0); signal HEX2_Data3 : std_logic_vector (7 downto 0); signal HEX2_Data4 : std_logic_vector (7 downto 0); signal LUT_Data : std_logic_vector (7 downto 0); signal sCSN : std_logic := '1'; signal sSCK : std_logic := '0'; BEGIN LUTConversion: Process(Hex_IN) begin X1 <= Hex_IN(15 downto 12); X2 <= Hex_IN(11 downto 8); X3 <= Hex_IN(7 downto 4); X4 <= Hex_IN(3 downto 0); extend <= "0000"; end process; Process(X1, X2, X3, X4) begin HEX2_Data1 <= extend & X1; HEX2_Data2 <= extend & X2; HEX2_Data3 <= extend & X3; HEX2_Data4 <= extend & X4; end process; LUTMux: process (Sel) begin if (Sel = 0) then LUT_Data <= X"76"; elsif (Sel = 1) then LUT_Data <= X"76"; elsif (Sel = 2) then LUT_Data <= X"76"; elsif (Sel = 3) then LUT_Data <= X"76"; elsif (Sel = 4) then LUT_Data <= X"79"; elsif (Sel = 5) then LUT_Data <= X"00"; elsif (Sel = 6) then LUT_Data <= X"7A"; elsif (Sel = 7) then LUT_Data <= X"FF"; elsif (Sel = 8) then LUT_Data <= HEX2_Data1; elsif (Sel = 9) then LUT_Data <= HEX2_Data2; elsif (Sel = 10) then LUT_Data <= HEX2_Data3; elsif (Sel = 11) then LUT_Data <= HEX2_Data4; end if; end process; StateMachine: --code pulled from Ring_Counter.vhd process(iCLK) begin if rising_edge(iCLK) then if DIV >= X"0018" then DIV <= X"0000"; clk_en <= '1'; else DIV <= DIV +1; clk_en <= '0'; end if; end if; end process; process (CS, iCLK, clk_en) begin if rising_edge(iCLK) and clk_en = '1' then case CS is when 0 => CS <= 1; sSCK <= '0'; sCSN <= '0'; Q <= LUT_Data(7); when 1 => CS <= 2; sSCK <= '1'; Q <= LUT_Data(7); when 2 => Q <= LUT_Data(6); CS <= 3; sSCK <= '0'; when 3 => Q <= LUT_Data(6); CS <= 4; sSCK <= '1'; when 4 => Q <= LUT_Data(5); CS <= 5; sSCK <= '0'; when 5 => Q <= LUT_Data(5); CS <= 6; sSCK <= '1'; when 6 => Q <= LUT_Data(4); CS <= 7; sSCK <= '0'; when 7 => Q <= LUT_Data(4); CS <= 8; sSCK <= '1'; when 8 => Q <= LUT_Data(3); CS <= 9; sSCK <= '0'; when 9 => Q <= LUT_Data(3); CS <= 10; sSCK <= '1'; when 10 => Q <= LUT_Data(2); CS <= 11; sSCK <= '0'; when 11 => Q <= LUT_Data(2); CS <= 12; sSCK <= '1'; when 12 => Q <= LUT_Data(1); CS <= 13; sSCK <= '0'; when 13 => Q <= LUT_Data(1); CS <= 14; sSCK <= '1'; when 14 => Q <= LUT_Data(0); CS <= 15; sSCK <= '0'; when 15 => Q <= LUT_Data(0); CS <= 16; sSCK <= '1'; when 16 => Q <= '0'; CS <= 17; sSCK <= '0'; when 17 => Q <= '0'; CS <= 18; sSCK <= '0'; sCSN <= '1'; if (Sel < 11) then Sel <= Sel+1; else Sel <= 8; end if; when 18 => Q <= '0'; CS <= 1; sSCK <= '0'; sCSN <= '0'; end case; end if; end process; MOSI <= Q; CSN <= sCSN; SCK <= sSCK; end Behavioral;
------------------------------------------------------------------------------- -- -- -- Simple Cordic -- -- Copyright (C) 1999 HT-LAB -- -- -- -- Contact/Feedback : http://www.ht-lab.com/feedback.htm -- -- Web: http://www.ht-lab.com -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- -- Cordic Top -- -- -- -- Simple SIN/COS Cordic example -- -- 32 bits fixed format Sign,2^0, 2^-1,2^-2 etc. -- -- angle input +/-0.5phi -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity SINUS_32b is port(clk : in std_logic; reset : in std_logic; -- Active low reset angle : in std_logic_vector(31 downto 0); -- input radian sin : out std_logic_vector(31 downto 0); -- THIS OUTPUT ¨PROVIDES THE SINUS RESULT cos : out std_logic_vector(31 downto 0); start : in std_logic; done : out std_logic); end SINUS_32b; architecture synthesis of SINUS_32b is constant xinit_c : std_logic_vector(31 downto 0):=X"26dd3b44"; constant yinit_c : std_logic_vector(31 downto 0):=X"00000000"; component addsub is port(abus : in std_logic_vector(31 downto 0); bbus : in std_logic_vector(31 downto 0); obus : out std_logic_vector(31 downto 0); as : in std_logic); --add=1, subtract=0 end component; component shiftn is port(ibus : in std_logic_vector(31 downto 0); obus : out std_logic_vector(31 downto 0); n : in std_logic_vector(4 downto 0)); --shift by n end component; component atan32 is --ARCTAN(x) lut port (ZA : in Std_Logic_Vector(4 downto 0); ZData : out Std_Logic_Vector(31 downto 0)); end component; component fsm is port(clk : in std_logic; reset : in std_logic; -- Active low reset start : in std_logic; cnt : in std_logic_vector(4 downto 0); init : out std_logic; load : out std_logic; done : out std_logic); end component; signal cnt_s : std_logic_vector(4 downto 0); -- bit counter, 2^5 signal newx_s : std_logic_vector(31 downto 0); signal newy_s : std_logic_vector(31 downto 0); signal newz_s : std_logic_vector(31 downto 0); signal xreg_s : std_logic_vector(31 downto 0); signal yreg_s : std_logic_vector(31 downto 0); signal zreg_s : std_logic_vector(31 downto 0); signal sxreg_s: std_logic_vector(31 downto 0); signal syreg_s: std_logic_vector(31 downto 0); signal atan_s : std_logic_vector(31 downto 0); -- arctan LUT signal init_s : std_logic; signal load_s : std_logic; signal as_s : std_logic; signal nas_s : std_logic; begin SHIFT1: shiftn port map (xreg_s,sxreg_s,cnt_s); SHIFT2: shiftn port map (yreg_s,syreg_s,cnt_s); nas_s <= not as_s; ADD1 : addsub port map (xreg_s,syreg_s,newx_s,as_s); -- xreg ADD2 : addsub port map (yreg_s,sxreg_s,newy_s,nas_s); -- yreg LUT : atan32 port map(cnt_s,atan_s); ADD3 : addsub port map (zreg_s,atan_s(31 downto 0),newz_s,as_s); -- zreg FSM1 : fsm port map (clk,reset,start,cnt_s,init_s,load_s,done); -- COS(X) Register process (clk,newx_s) begin if (rising_edge(clk)) then if init_s='1' then xreg_s(31 downto 0) <= xinit_c; -- fails in vh2sc xinit_c(31 downto 0); -- 0.607 elsif load_s='1' then xreg_s <= newx_s; end if; end if; end process; -- SIN(Y) Register process (clk,newy_s) begin if (rising_edge(clk)) then if init_s='1' then yreg_s <= yinit_c; -- 0.0000 fails in vh2sc yinit_c(31 downto 0) elsif load_s='1' then yreg_s <= newy_s; end if; end if; end process; -- Z Register process (clk,newz_s,angle) begin if (rising_edge(clk)) then if init_s='1' then zreg_s <= angle; -- x elsif load_s='1' then zreg_s <= newz_s; end if; end if; end process; as_s <= zreg_s(31); -- MSB=Sign bit process (clk,load_s,init_s) -- bit counter begin if (rising_edge(clk)) then if init_s='1' then cnt_s<=(others=> '0'); elsif (load_s='1') then cnt_s <= cnt_s + '1'; end if; end if; end process; sin <= yreg_s; cos <= xreg_s; end synthesis; ------------------------------------------------------------------------------- -- -- -- Simple Cordic -- -- Copyright (C) 1999 HT-LAB -- -- -- -- Contact/Feedback : http://www.ht-lab.com/feedback.htm -- -- Web: http://www.ht-lab.com -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- -- Adder/Subtracter -- -- no overflow. -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity addsub is port (abus : in std_logic_vector(31 downto 0); bbus : in std_logic_vector(31 downto 0); obus : out std_logic_vector(31 downto 0); as : in std_logic); --add=1, subtract=0 end addsub; architecture synthesis of addsub is begin process(as,abus,bbus) begin if as='1' then obus <= abus + bbus; else obus <= abus - bbus; end if; end process; end synthesis; ------------------------------------------------------------------------------- -- -- -- Simple Cordic -- -- Copyright (C) 1999 HT-LAB -- -- -- -- Contact/Feedback : http://www.ht-lab.com/feedback.htm -- -- Web: http://www.ht-lab.com -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity atan32 is port ( za : in std_logic_vector(4 downto 0); zdata : out std_logic_vector(31 downto 0)); end atan32; Architecture synthesis of atan32 Is Begin process(ZA) begin Case ZA is when "00000" => ZData <= X"3243f6a8"; when "00001" => ZData <= X"1dac6705"; when "00010" => ZData <= X"0fadbafc"; when "00011" => ZData <= X"07f56ea6"; when "00100" => ZData <= X"03feab76"; when "00101" => ZData <= X"01ffd55b"; when "00110" => ZData <= X"00fffaaa"; when "00111" => ZData <= X"007fff55"; when "01000" => ZData <= X"003fffea"; when "01001" => ZData <= X"001ffffd"; when "01010" => ZData <= X"000fffff"; when "01011" => ZData <= X"0007ffff"; when "01100" => ZData <= X"0003ffff"; when "01101" => ZData <= X"0001ffff"; when "01110" => ZData <= X"0000ffff"; when "01111" => ZData <= X"00007fff"; when "10000" => ZData <= X"00003fff"; when "10001" => ZData <= X"00001fff"; when "10010" => ZData <= X"00000fff"; when "10011" => ZData <= X"000007ff"; when "10100" => ZData <= X"000003ff"; when "10101" => ZData <= X"000001ff"; when "10110" => ZData <= X"000000ff"; when "10111" => ZData <= X"0000007f"; when "11000" => ZData <= X"0000003f"; when "11001" => ZData <= X"0000001f"; when "11010" => ZData <= X"0000000f"; when "11011" => ZData <= X"00000007"; when "11100" => ZData <= X"00000003"; when "11101" => ZData <= X"00000001"; when "11110" => ZData <= X"00000000"; when "11111" => ZData <= X"00000000"; When others => ZData <= "--------------------------------"; end case; end process; end synthesis; ------------------------------------------------------------------------------- -- -- -- Simple Cordic -- -- Copyright (C) 1999 HT-LAB -- -- -- -- Contact/Feedback : http://www.ht-lab.com/feedback.htm -- -- Web: http://www.ht-lab.com -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY fsm IS PORT( clk : IN std_logic; reset : IN std_logic; -- Active low reset start : IN std_logic; cnt : IN std_logic_vector (4 DOWNTO 0); init : OUT std_logic; load : OUT std_logic; done : OUT std_logic); END fsm ; architecture synthesis of fsm is type states is (s0,s1,s2,s3); signal state,nextstate : states; begin Process (clk,reset) -- Process to create current state variables begin if (reset='0') then -- Reset State state <= s0; elsif (rising_edge(clk)) then state <= nextstate; -- Set Current state end if; end process; process(state,start,cnt) begin case state is when s0 => -- Step 1 load regs if start='1' then nextstate <= s1; else nextstate <= s0; -- Wait for start signal end if; when s1 => -- latch result register if cnt="11111" then nextstate <= s2; -- done else nextstate <= s1; -- wait end if; when s2 => if start='0' then nextstate <= s0; else nextstate <= s2; -- Wait for start signal end if; when others => nextstate <= s0; end case; end process; process(state) begin case state is when s0 =>done <= '0'; init <= '1'; load <= '0'; when s1 =>done <= '0'; init <= '0'; load <= '1'; when s2 =>done <= '1'; init <= '0'; load <= '0'; when others => done <= '-'; init <= '-'; load <= '-'; end case; end process; end synthesis; ------------------------------------------------------------------------------- -- -- -- Simple Cordic -- -- Copyright (C) 1999 HT-LAB -- -- -- -- Contact/Feedback : http://www.ht-lab.com/feedback.htm -- -- Web: http://www.ht-lab.com -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- -- Shift Right preserving sign bit -- -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shiftn is port (ibus : in std_logic_vector(31 downto 0); obus : out std_logic_vector(31 downto 0); n : in std_logic_vector(4 downto 0)); --shift by n end shiftn; architecture synthesis of shiftn is begin process(n,ibus) begin case n is when "00000" => obus <= ibus(31)&ibus(30 downto 0); -- ibus when "00001" => obus <= ibus(31)&ibus(31)&ibus(30 downto 1); when "00010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 2); when "00011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 3); when "00100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 4); when "00101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 5); when "00110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 6); when "00111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(30 downto 7); when "01000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(30 downto 8); when "01001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 9); when "01010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 10); when "01011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 11); when "01100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 12); when "01101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 13); when "01110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 14); when "01111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 15); when "10000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(30 downto 16); when "10001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(30 downto 17); when "10010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(30 downto 18); when "10011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 19); when "10100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 20); when "10101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 21); when "10110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 22); when "10111" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 23); when "11000" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 24); when "11001" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 25); when "11010" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(30 downto 26); when "11011" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(30 downto 27); when "11100" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(30 downto 28); when "11101" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(30 downto 29); when "11110" => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(30); when others => obus <= ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31)& ibus(31)&ibus(31)&ibus(31)&ibus(31)&ibus(31); end case; end process; end synthesis;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity test is end entity test; architecture behaviour of test is type arecordtype is record valid : std_ulogic; write_data : std_ulogic_vector(63 downto 0); end record; signal a : arecordtype; subtype byte_index_t is unsigned(2 downto 0); type permutation_t is array(0 to 7) of byte_index_t; signal perm : permutation_t; signal data_permuted : std_ulogic_vector(63 downto 0); begin writeback_1: process(all) variable j : integer; begin for i in 0 to 7 loop j := to_integer(perm(i)) * 8; data_permuted(i * 8 + 7 downto i * 8) <= a.write_data(j + 7 downto j); end loop; end process; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OevQvFsolXiIelwFTsJirV6Gbn6iqq2k558/BxYyB28z6OkU85T9HqNSCCKfNwP4wWWVkgv4GHAx Jy0sN/6OCw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIYJawsr6cc7wucJUmqeKNx9RcAAdwvxb/0+8/MkD/yxO/GHE7ox5BMSIar4TGvN9RmtdLN0/Qlf UJ4U6fSCLNEE2L+cLdo0TK+3cATagUATZF49wcN2Gt+IMvECvEHpqOzhEN9Pe61AjMFoWK1DmGd1 ol2/dF/uiDIeGSY1QKw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Umz29ds1G/359pCCXvaJxi73V8DX/ioC3NcFoPC/SPcFGzWa7G8h2ThMxyPfXJAhQJjSebl9fGDz dIYTNUINO8B9xnoSwI5nSZq+WJthT/6f5Bcp4p1MqD244kjH/mVO5WRtoMSm+CUIRtojI0yFWB/T 6A6+4moYwJn2DzIGok9AVsQ3pmnqfjI3gpj4azqwY+WQDTbVZiIRPzYSqcJBqIxUb9DYJWdMkH64 rVMKUFKxLfIFvaoYZqtxG+NQBdYXIj20xO6xX2kuHcw3DvHYw9oMcwAQ2ylDpzXGS7g3gNmUR9UD xxN6o7fHwNKPcDsYQAokyFHG62z5tKXsUSadsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pnAee803TJmFvFZoLC4XIJASlUZRXo/S7hEz3wrtiERaDmVjbkRRPWuiGVmgskihOAumT4MUKGZg sM/hVBGHHnDHBONKQor7stSCullCDfm3Rbu8rmZhc7l+AUfnyJAESUh9xiox8ywwatYPnqwcGXPx j95FPrW7pCG8i0dLOII= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iXyE+SxITwpDwXoaBvO/onK49w+Tvz8LJvGpaCKtwDLw8dzSbW1q4wde8Rf8Jn5vAPHCPr4in186 YtVtwfzWJBMl8JW9zJmIP/dvNMQA9aIQBRwGuVpv/NzzwTzYQ6CsLiBj1WMe3MtgQ3vcnHi/L3R1 pNLJ6zAivALPSp+wqnPl7wJtSXIpBP/PKa1uFFmdMmRbViq17xygeziH7twe+s01beq4jP8obnyl zF8RNKkQKgaG5Bj/QVdUBisFAnzrclRkCOyGnoF0af34byGOHv7XdGoOccq+cceJWb8Yd/svve49 nJpwSP+CysAMBELoxw59Ks7S7Rdwhmp9Q05xCw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89248) `protect data_block y8o9mpKG3xq4+7rclTtlyUiUYQh74vpgG/qbMuWuwSqCczeroC10EnmkPDSzbqRRfKxB2tAuJogk cwIcrN8qx/rNTH/PYTUpf3sEKS6ytBr8v+qkvR16WylupvRaByswJPg1sczmvwwzCDXUaMpamiAu LyurB63C5jShtsRzBVYDHvgRRyd84lZXk7Mkt+c9Z+5LQLASEKFaPiSLroPHJP4eN19n92PCiq1H 0MB4o86VG9kdm29FeBECgjTqiwxnFlpyxN7jrSfeQ+hzKjdHHP+kvN8ESAmdN9v674jdVlbJl3c3 TFzGF6VGJEPoYef2y9g/K0xYUiZfDhQNuwuuEvCwLzHP1nl9LntdSaRXK7rBsAps8dODEA+3p4Aw qjtpsWi/ySls6L1ZblUFJCjlA7K+1PSdLQOtsV1od0evT5NB2V1dQkpMpcoCvdH6LpRDses52Fes E2lVQKUjUoE4S/2Mete/WOJHaadyicvmepXrJm+hJKm58VH5Qfej3DEryGoJB3w9+bByXQRX2V5h rcqZrQihw+qcd9vIcPRi1t2j1SLbWWFyOrui7EO7R4iFO5i7rzds5b2cnAKgW4EHm0z20VAn+xhe kN5D5pukE2flQgGTH+n6KhzjQzX42wlMQtgkvfyvf5lkAYs6jfTtkZqTX3Et/tzw1Jn1xvPf4Laj +K0EAJYc1M7zS3USwz6WIa4gLP6RChNDTBFptNrLinYb9WtHgVY1HbBsjbYIbz7HW6JOqmcPrq+0 l29zboIqW+LgsekKaeP9nHmQPRC0LBbsn6QE7lMSkIdFjIxq+uWeLhlT0KX2TBMYqRGU37jCZ7AF w7tkXEcEZ90rqUb6Eac45GV9t3SF8ZMrbB/rdAx+OduOQq8yrbjcsbXDN+XpeJVtCizq93BX2Bvg T5tSLLWvbTyJSzoTfXrGCJYDh/v9N/8bosVgj9nGirvlNTbcbn6CR+Fl97R/B3uQY/y+QP3bLhLZ g1cyb53aXlqkHn4GY8MjrR5N1FURuKdOyM33Jq8yw2zk05QIEJs2xlmuF14bXfNCrsSNvq27BCCh L25ZF8xeRjnrd/xKgVkzG0c+o87ipGXZsHrxmAkDdN1E29nAbnmZgB0zyIKaK5mn5D51sK0W+OJx tLD1Hpf8hwfI2USj5gr6s77FjkM+MbEGWQDbTianvoJp6z5/mhyn/kBluVsRfArTTNRAkR7fc6rL lT8XlY+XoK5z3/fR1du78/qYbbrv7KBYEsO8WDItNJuK1ov3lGwpfcRYwGG9k6OSQxm7hUdiEVTv NJ36H2ms0lJ92VYFXbYrv0KZ3Qy8Pwl1P3jQl9TC/ZnenwNmtT2wqngmsNKuZD2xfp6SMWKyGKKb 6LXuXv1qtpygykVfKcF8SJ+2oXuDRDxgKi/JB7ZACjvexS6v1NqKp/Gv99rsW/5x0D9ceYXCpifP GgVCCgxcea90Yuh3yXz/82G1koQGKn/3p219T/OnLBQkz8Wk5QJkw6RTbc5r+HQon9Anr9v4oBAT 5wvST/SvX+z11V7hjr5Vz5GWRX3NNwDrKSCnaEX+D3TI0zZnEOp3waXcTJfZwKlLPaDUietSZO5Z QhvpzKfAd1crZC0itoEo5k5pqrxddKj+xcJbWUDOmPNgFjup5qLVDeoyJti2ZyFaTnh6suvKXn3p PmFWcLxWkMSKRwuzGBElPSda03KQ1jfCImD/XnkbBcsro8AeHXIBWkASs/CG+QQGyF1/M8QkKX5/ tD3kk9LzWtNS/gGtNYFGCYSp/+Yl7CQJVAT1w5sM1ujtS9UPHf3Z2Sg8Y9JYUYg9CQ45RwpbkCwW gtk975dR331sCbLtDzqCtAwOEWhnWlaf8kPp+DPgFgRx+ZUq5B/nCuxxOYSumBaDpJ3w/6kpxh65 9RMtm26dd9mU33qy3AAi8SyaAPERGCOYpYv8+i3WMhN8c+KwHEedCQzoSYKy/R2qmGQZC5vJrklm Z3THy+cn+mSf3z9cRdcgkxECz2pLc2912CNBLxe9SvUNnfFJFWBGZWvJlVgs8Vri08/+BsuUpe3Z LG2TpOcZK9sGC+45rGKmVTEzGCXA6wp1LwgNyNTn35UlM3fU96DHjWPnAZ0ifQM/E/0UWkXa+YoP QBA7H5Yu63RfRmXtKHhu2yamVHGOg8NwBtXr10bHRUA+EPW4/1Hc65Oc8H2LdlK0uaJnS/BY1uQd 0qd0Xw0kn7HB/KHwb7K9hh59h/n0HjR2/Ize1ZWZfyufm7s2HxlOkuPb3s1tkoJRxv8zG1VghiSH yDQ+PcXut4GTw0gHRHNUsEL7PT45xgGBCCuI/M+DNoYaBycx5urT6n/MIREeoX/1iXWSKtSlzL60 PFQAllhETO1uRg9TtgfJjvOLulRAcCDHQ9oe8l5SgA3JqHwhH2i/Bruxf35UTj4kQh4VozvCkcLL EPW6g+SbcjEpRpG13xrqWpVDyRHHH+EywPW9vq2GhMfwp1PjNPhXwhye1Uqkab+9CELVyF+Ah/TL LritE5PrSLog1hQU7As8zpxTzLw/h2a0xoEo2kxP3deBiJuyQNLakxctDlUGqWFg8a7RV9fU6Z6u Yllbpnwy9PRPOo126ZdTIoXEjLJGh8eZbUd9AlEM7aF8Uf0whOibDphbqTFLki+XJo/KOajSD4zI i7teQDuOnw2uHmCNC7isnk/8IotunWPjw4ZxWUi2MyEpj3Jg5IsQZTrgDQVgLuD7jQ5DgctWw68G 4ZNN0oITEfw/5cZTnBhtNsk7H73ltBO1bG5GAWKUk8RCmLTyv1VHtftOWHTgWDOBZHRf72GsNEr/ AV3vGlgf1GZSeJH7HCyyexfeuz5lEOYwAlN0Y88IOCWaXLXQlyppZe9WlQq940/CBXsc45dJFKbw PT9pSixKjAZ2p1QrIATlPLdICAtCF1xiR++tLidziRXAQCDouNIloxREtSB03ZbLzCUdRu4l9FRX d+BPyy6mubXPnOCZ43WU4w9Qo34fZu0gW68rTssrFKToGIxT4s/YSCbLI8154ifPPFi7+/De3hj2 Gq2ZddSVZNbkA8sOnQ1qJSXP0r8ThHQvfYVSTNjje8n8PJyOxYqhtmUaJuraUgB8o7TbPVcCXIHe bX5W9ajCQPqJvXKPykQPYuuyfkIgvuPGdtXeRQY1/BYHkiXYtO7SeJFFzlLA1b9NoUTf5GXHXRYN cC7rFDheCyoJ9xLrXZ0Vapsoa/r9PDJbcioZ3say2g4da4pyj+XG9JAfAw11MZfp8dIdnDr0qlPy YkXZZrJGPOZVBEZF8r8tsaaMJ0FN8KJU8vtmpX/ajHVqbV2Ggk2E+qdbsm75L6xweuCtWHLe3h1R yir8uHHAuFszoCK/rGxRFXQrqEDgXOKwuU72q8opbgObxgWzD1JKptHDRYFtUZRScTAFW9aWhrmM YoIDMWReYTdVhw0V/q13kLsFEwLPd0X67CI7saT69cNcSfOkSGIBCU7/N6LTmcTYG1DMx1t0LQGu mRKZmOUU13y7aLo+Rw9Gyna35Y4+rf9NCv5Eu2YPTR67ZErBYj+BTmF/P5Azd4zUyRtm7PTPWVYq YCXpoo+Wjc425KTwGe65WZKSGSFepYyx1P3xB7yEWAqPziK9FBFGm/Q9fI6wIus4mwJ9bTt3Sti9 5Gx1cnV1VETOcjNfz8VLjVNOUBm6SVvJL4qEVobCkFZnMyx7vTKbrZJgD9ytYMe3OUPHBTzZ3x7F 5qLv0ZFxu0c/Ndv2E075/QOWPZ9fCaLiVJsvDyLq1dkiqVuXLTtilXJBhFi1PV2VVv2/GfwAFkjx qKWUblRkmpEgyxJQnTSqCwGXe2iqQ68WY4FgPbKxPOyVpFDMCEDqD+RPFYBVY/CyUlUAh+3RrkaQ EeuK3Nf+u378uLHMrIm435IToR+47iq1QQpvmCG32/I6bjFiHIDJPXpTAKktRo5hSjpd2FPYxdhs fk12J5E0pWQnnmSg5kbS6r+VlaM6S9vnQa8IOZp2lWTiE0FHPj67Bg9X6wg9HORHBZQXGjAd33wt LX+R9VlQxc075vlNxhwQLCkZtL15BOmm6vmZfF+Y2EuvKlWEV1rUjmjON8ZmHVzjXYqZi948LDo7 MQ+iEOyFmdyytsL5ARFer3/v+FaFVFz3+J5/9s120WJqv7uC45mIdq5oR+QUXfGpE6MJTdEzh6SX l2iGUhtoHKGshRyWkJDKgoZaT79cwy5D1ol0V1N69xyNRhCTbZyFhx4cxoRNJWhQ1foGouDre1tG 7GQ6gVghExCUY5zdAKKDMJ0VENewHWFQriPMtVKszo+/milZWF3EfytMsBAItqkemi2Cny/UAphw 0AUBbCEvl8Z7NjyrsqAuoDnxDGnlncap84yMWbLHAMXjO8GX8lndDcMUIkLWiE33Ypz0IpGNj1Aa pFQxoiMuUBOvomnYqsC8IxfoIAWutB2ucxvhEIR6Wc7WRRwrRSvq/+n1hU+UeR7qKR249PkeoyFA aCrIn0+XwLDjaFW64JSoBExfJlL/B9RCsTesKTQKtgYwgtU87zYWme+hjShDSjbeEKRQo8o+m3Qe Fx7XpPxE/oovPyV30aCN/COvK4bYVZaXJq1VIUKGSK0+cahZVSRRS3/eqmvSWcjYvzYXttWgGlxP Z5Ohpz0AumMA04PqorEOjo579f3X1w/Ar3jqfIYyC+5L2p+Pn3cEWFQpcNlfPrWNDpClIASD0w/K LsdjoZpB9IW/YF+kUZfHrq7eftCSSv4QzEBs5Xlr/Cztj/Td31HJSdeYZv3RX6h0TLdJgaYM88Ze 8Bdnetav9B8XnVhSUd4I9eiTe+e/R+ttwKRonFAp+xFQ9SQ9xLjX0SAejqeHRF64l60JAkIetKt8 Zp3OVNosHebJVehsiCZ5v9Vzecj+K1kdNkXWYZIa8gcwO/maD6eGrz230g7deC2DxO3iJcicS20R wwsX/uSIJYkb5PXIdW7sGkAdMl7n0j3WRjFPVSgDcBb64KfxumYmBj7VmJGAEdNmTAogE0oaZGYV GjaLbnSOfQSgPGfyAb7c451VYvyhPjTxZopcTyv/+34c6yY+R9rWKTPHS3EGxppeAZCHqXsTkcLR 3NPltW8dp0pyj71iRjRPYYcFRe1wkEcJ4AwqKY3pm5ITJMYi2aeaFBvVCyt8cX64kOqBo6k1Sqy0 +rDIeeErw1fEYTd7iL1I/xbgmSd4vai8U5BDDe0GwfeQqP5ZsanXrcP/C0qmfMZ+jncNFtGEllVL 7bvh4PsfftD2a3TweSdzOJYbAxT/NWIEw03mTc0WD156ldVFgvQmjWHHvtKW3QxF598q0+MWpv12 IPYDyphQMZwJksTcGvnF6AnvBJN1TJZA+5rzyFRYg9cyG078CeBf5tNrHabRRPbxE4vFipMxSbXF EBxoLOjN+hbjt+hpV4CgzkllS3+ygYI7srdOs7eD/HfvOtg3Jj2/IAA6JxZcajteWbhklTrXyExW TynIu0IDSaRI9/3MXM3OExNpdscEEtyuOp0MstcxP5/uo1E3onGPj436T45psNl9Emiq+hXyfUsj csoD/omdAEJVIg1lcI+IOSmn29KoxAeMhxlZBPjodayriUpi/AeNdIwWDl8XTRw0aT4oHeaENKEx mgwu2s1qij+yLAXVd+CIEdXmAmIgUQhCSNZypsSrmclu+HZG6zeWpUoig+24mO+b2LmiSWPpKKch /lRAvhcOqvpltP3VqjRLX+zsq67SjYigIYvJsl3PGEI3IXVsUU4TfL8LXmA0sUUlObesVVie2/Em pL8QfY+OETgI6ukMFvaCdO5Vne35+WrBGB70i2wVVNCNq1q5kXc5rUlOhlIthueNPfrj42X6Uwxz hAM8cC0RJY1uytuinBLnihJgHAJbv/+nyR05dRwwLDc1dNexTivn0hVg4InB1OuL+fE5kpFpqyHg t/ymc6GFA/CXhn1QXdXgsyZn9Zg3rBB+gCy08yKzRe/Z12Dbsmi7Vnp7QMW42kWSbZSJ228NFNpP 7M6JxGko5EZyvZ31Dh+UOSmMJn2TkkrHzvMNTQ0IkTZllGWMPvgV02YwAKFcO83Aibn06TScb4WB CjoQ0kK48srEVQkBHQt5VPmo3idOCD4CwqCSn2//LkEAktaKguFpAgVf1vcOaGgAStgwzdQB7b2q Oe9Qn0FOUIALdWzIxsmcCd2Fl6PuGwITT1vANJDhbl9TuoomP8vzmRtzfm2FVFjPjosoxYAHTcAM hg2tnkk7hF/MjILLVd/vhtGsmSoH8N6+K1lnvrUTsi8UO+X0TE2wFeTZIbsySJj6kSv5ffR9+dJf G+eNfbbYSs8CQCCnbx6dfX3m+BOGBFIFF4TGdS0OshMh5D8AYMpn6CYpd9xTE5FbzsfQtxn5uzUy tqoKFCx9/RVQgh496g4PjQokzP1EJaAbSj4wuTbqyCcRwPDBsl5ooDxzF+MnyFQpjcyt/WjRQzHD 3C8m+iO/4If0g7YFBvb2mUYblrLn052kQQcx7HRD04WHHKw/uV9NbUaG7K+2lA64Moz0Q1eHXXFG bM68/ylg0pyiUBvVRFHDliQSiW0vAF/89Z8j3CyJYIklWmGuW8NcgcIYEKk1mc9F6taH/aFYzfYe stPkS0ygLUkpX9zP9unlAaN+iRwssAI9ND5UbbtcPmWs5po+H0bu19XtNqd9E3RDzhNVvcs4DMb9 grZYU7FYk0jCXr/ZXkxnKCJv5+feAM3t+yTE2Gx09kgTfTKt1RUe7GhSMiFSPZ6LPkTs+mTy+jSz cW3qI4Rles59npqjhYVa7BgJ1eTYJgGbrccr+WPnZ3lYseQXHigWJTpt7HCChhu6tnRJJPSFss2w VbpbsT2bt3QSoEFMI4CuaAIL4rbHCxEsTU00VqYlWUY0AhknCrlt0wkRYCNExuVV02VZtxlpZsyB 2fV4TqV99GVtUUt8gHd2Y2kxTA44t7RArqToo96sXoADeqFsKZYNkISco+CI9nHQDhv/PI+E30Zp Mc9kKOorFwQ3uwFi85EI0gLm8zqJ47D3rXCoRWb410F0/mr2G4ZGKVzjs98lmOoeNYSf8sgYeoJl EqGupEnDTePbCrZ4dpR9jAWBo043jD4Yf68zMA2jcvNYhsXYnidU5FXL4jM2oN58UTpxe2jsZgKE BSdtKT7kfdLVv45moCEOW5hHuGhn5rlPduCRgj2Wt+EO/PGCI96GWaOcC9hhusrkl7AuXjov6UZP Zzp2AdjG3ZtMdwTQv/rV6ZK9Anu2k48m+UA7c/dPImsfw5f0QHTrX4RnJUu/3Veunw4nlKG7uF55 VJ+rRP0IAbmmuchy/CmuzVUJYV6mqURCNEXC+e39n47mS6X10X/VIRzHdQi59rTpShgLQWO+TZt7 xoSc/BaLo2PE41TviukAkz8StuWBb9EEkunlNlxU83IOpBtMhEzRENK0sbZ9sBC0Ns15mc2ulw2S vOOLAJ1X7leC9BEDw2p58NTqd8FKdgNblp4W1Zdt1RbphwuyT/8PWhb485vip2ZSdmG/xZt+a4ro Y+Ic9jcxseb2de4ylTzUc64m88DpZW7byhNS3Q/Eay5Q7EjWnZnBdLgGUT8odMQZ0fhE+YO5A3Df BMZQ7yvhrBerMHJD5UG2avVBBSmB7p71COY7QfUEUDWBaL4f0VMGtm3BgnjT/VxGGbdDJKHUcx+Z zOz6MaBKCpc1KE+RUKMzEKnWJWnUaXIg/9FdJJzdhTktA4akQ1QLgX9YP6kRcRZYeZzE1y4SaWkB pnFMzXeLepfAQiAUJcdFivh1bZB9rCOJ9T/tYY+ZfPVR2ABX5MXeEJfzynaQCKS2rEW5QgwwVIQq KKBpvclZDyogv9JIi20Y7DcqwvqNYV58UqQYOxMcaz38plADedpnpn3VBt2jrUw3K/SdKTVyRu0F g31xxWE/nYg2251gFm35udJIIz39MedV7WjLu9nzTK/NdJdRaP3KxTyHKFBqSCzpgbO6H8dhWUvb tQLKfVvZkM1jf55UpDdVVHQoseqpfx4YjfKeeVZtfaMXZUttZUKK62eO7J3LaDGskpWSufJnaM/+ U9izLRLtX9U6u8bSGyiW4tiYFta3WIAS2UR+xMCPlz7xWaHyrvdFtGE6+BAg3Rfc/okeYhgs8qm1 ni/sAoYmcNTq+CYiKkxAwwv2tRlO9hvOqSLBRXSVK6/mW924ewHp6Ce+IXdUFOHjgL1q8p/xi+sK soc/n+T8+gZtLzsRDBH0vBwWhLS1GyDG9E0SPtnzRT4ataJPW0rXsEnxibqacTaJvtGIfkfh12hm gE8+kW5ZWe8dtcMeLtLLOGtdrlv5RxmfeFpFWSXJkSk9q/e3pk/HP0sK93wYrr/GqnQLtmDyz5vy zkfxl4+Ggvhrc2hOwzgUzzdcxetSfPAlLiEUD4QzqmTovnb+LicFpMN+xW1gjzJg5JA3hBRN0FkL ZsPZQh0zKGZJcOzT/FJruebOEamolJqT/lugewY1dBFeJUDC9qhjblj/cjnhCsLJ2yVPRIcw9j11 yHn/p0wMmaT8/BJdZPzeH8EQE/IN05rOhofcayJ0tUndX7nyMGVGd3GNvSIsEKrjE7dqmheBWuSZ xn4Gg/LLoEBT7R5lTkZM/QSB7CtvkODMzWpxZ6hiKg9m32YXn4lZDVjbC7EAW8G8wIFU/tQEqkfF 5mlicIaZQnwcn5Q+ZCDvGPzT3a7qukBsfWfeaZGOEP3BArfOqsgGhhjn0kjDXWwvqM8t8wJG0UUB wzzJDBx9q+QOYcHLbNy6fpJ11NNoXND6Lo6B/fylLVKMzA1Yw1JScLnPpH0tviOsWpuUqr3xauxo jKHnU/c8rxaGuffiXQoeiS4hYGEic3WojR1aCaUjHlaVqoIEFs0i3E8Vd2m2fcl8ZBr1nydcJ7Ud np7ZS8ydgbbYDKK8SXumxBvj2A+SkOvnjLMttGh5fOEiecEe+po/3xjD0N1AP0Q9ttL5zjo00YAE LopCr8YlIHS6oqSQOIBro/KiLaZOSLr9A1vVUy70pMvwMWsIf1ekis3E5LwW0gmaq6UfLPvOkD+t KlTi4jVSnDVH5xOd/Un+bkFz+j2/0d2gVjnHQY08M7DzksmoP8zDPm/siHTCoPEiY0BS+6zkj0ta vbOfTkWlodAXQi0cZb0NIoCvi7UtyaXUO0ooeFjR+Hd/MVb+7/C0c0yZWuVCJPJiMLrazON0CLkL hkNU1S+JUd0dC7cr12pUAGkZS4Uyhk9G57G+26h6iUg9zg6eSUl4nv2Sai6RjKAUTiKpcdZkqqN+ tXHHBXyfQNlpHgu6M2a8AjuqeVWAEieCBDgahSFNm36uD1y8De62C+XV+k+6e8m/xg4FYNVpl2d4 NGr+Cbjy/RoH48ciOdlZ9FUUgJZMRns6MUxhwo3NKSthjEePvCfOnU2vp7ccZgfoNHTZPLW14ICV 5fDg0FLM1gNf6fePKLc1W1K9mUohH/AsXg3nRXV2r9THMyq/Il+ukmSrdjdHe8Z2uZQehnPp4q7m IJIDV61opkYJAd4v+YHogGJvlNxkTKF3x+NosdrlLAvU/ZjTr0TDF4sjEfFNSj2TLnantNjd4/TD UOzIT50/XKiklUHxzyYQW2DBpentGQJh0GYPpF6qZ1Uu6HhMKjVaOwbPmVtp/6lyd8AQFTn/tNB7 exIVQws/AZTsuUGKk6oyNfX/y8nJllEL2TRU/V6C8HQEoQFd2znHK/lSO3Resji4G5gDee4F18AZ 0jD66sFZVJeBpWlnjo4UN0/PqPoL3RsQOYPBHcDY7rQumztjbnkYjoXLkO+j4CNXjDDNE9wcJdZC r5K6JF6UwTIPS0ZN1ZjESkwJyctAnEpRNciM1ZahG9gT/GcFYgaZiTGdk+4gxRmLNYEm2m3rM94R asKIVg+WR1KfsYFyfIdT29zQ6kfo2D3RAiulB7ttN4FiCLbH9MZtUMyEHlJz5rrI5rNydy5SAYoC HiX7ZjcFJ0SS7CcH/nL2f+PWQvcVyxocQhyly3kWYmZFyTCXYDiFFNP52YJjPW9oQZdlPCBTtGlU B5yy8y1KhlnS5t9JvlKoFNaa6iYHZUq3TO2+ywtq5HZlwJ34LrfVov2iBIajo5jkyVB/2hHmXnQC u+jEzX8MpxLHBwkeI9J0xHb8OZUax6TUIawNiORAMMf43dkvnVBPm6dKeWOJnPFM/Gtv06+X6PY1 wuIN6mBkTVA9TZnjx0jQo6sI26B0DNlmYARqWzbStW/PrO6MsOnxRiwnDH/R4EthaGo4GnXySIv7 4qIrjpkHgsRexmxYoBZHu9lzxkjv4BVYmeksmT31NSmjSe3nSeeZpEmaYAkz21ITuOLObv/LkByk piOp0xhkAIsRWPfDfkSGiYZReWCUp0+6TIylv3JtnSeM7gUXyZTM7JB9/3O8ehhcOFgXETdduqMO RfKgPkeeV5q4FJiJnX4zBtCnfsBp5rcZoTQ/lquuMwQlDNVVxS06BNoZxqtvGqphJlAnYxEW5HWo Dg0LRs0LYKsFE7xZKEaGI1REN2Y2aDZkwOt/TKDAmV7PfGP1qS3RF5YhPGzGQoi/utZhXMVZ7F0+ M8nSy19NvIHCWuwzzcrSd38U0IxTJgrNyZVqN1bDAZ5EQxDOBFE1sd9CGpQE89kmDVFuKMhBus7B euQsSyNL/Tp6JEvRkeEoTLakyIMMKrGS8wRB5BhzujTX/0GXQhRQpTjLfNHeWsXHNPUEiZbp3KPY FPDWHqrAAZ7VHP3xZENRb0aS/EdbLcC8rM6vXQXRcccbpiRXdE74bSn+w3ZZW8ul9nb+3JMDbHfw IQON4oy0BtM+f8EO183w908KXdMDgzcChmGnrc4VIUPA8RrIn8+pe8MIXb3tzxSPOqKedA7ePbty YShBdPDQSyZZLxXXZcjncNq7hbzPfQizXyTKb5KdQGY7B73LQnG2kU78eXBvDsyyVgvjVFtRmCMW 8xevCv+ZDJZBNV9rRJaM3sLHyjpIIwZSIA8mVXjk5nF2SMwkfcui6tOd/6UrfSg7GcNtaj/WM5q2 5r4/UT5b+NYlitjEV/k2I1hQsBKtwrGP0TKiIp5FxRJgIGHoaJ7sX9hAfKmKdO3JfVNxc1tIlUS3 2Lh6B3GWoac9cVqYBBtVEKjCMOexr83p0DcmxF7nmw8haZTp605djku7UkuPgOP//efmMLecbsSU twXE0amfQfE7XYc+kACkjI8O+4K1kpNv36Ak9AjDYzhxjOR5HrnSYOxtvbQhDUpIWpuDjmKal+DJ R35ZRVDN52KtWha9iiDtDZd8YJo3ySxn/rBhTK9OKonG9G86S7es5brtISaeKrkqMoJhmtydTT64 v2j4Y4iuDqnV0H1dXo8Ag56r5hwUuhD/3EkNVmNRabHlWpCkjTsgalQ0tlKSmufxBLqNA7tATZpn mYhrnJ2UnMJF7c/9FNR+vYEv0EpfAoXU/1MAuAxHLTVASpREo24Ma/mf7w+AgJYQvLikKxktY3SL /nEW5rDCIKGi5TAk7EVnBnPsgtgIaJ7NncpIi4eWBJoUy3sTgOG3L8UMxszFqS6JzxcG/oQM74o2 ziLnEht6oqeRbn3R7gnXcu40Z2H0pWPFsVRPyJXs66zgDTQS9LDSA30+pRu8ktMIKUO13Dfuq2SE KNil3NiO17sdHndbz32ttmnKf2XitxVmUFIbSQ7RnvODMK719b0419TPYjxeS8WiBL/DQ9CwTv50 jhZMnQptkqYEZwg35AjJLzbE9ipqrkUh67/+nwhyo6LgCpXLbz1s3b//6sIjTWhQMq0oiNA/mvJn y2ocVmAc4ug/LcGRVr+2TPZQXEpGjYL4iZX//dzHimv0IH5mAEGTgYQ76Pb8oVbk23izFEJvvGoK 8ACM7tLZ9PpjyZZlBvOvWPWcCM8nmo7W2gsG74yOqqqbW4Dj0SnYRTNXPH8nTZ7hYsx7mZQRO6yk 32kcH3auou+NcFlhdlH8Ai1EuE3WsQ3lPel3fqpzHwYq5I/QT313w5ocQn8Ane8Bs+M3avoCYZG7 mHGFMbvriVxqKz2nXQYSHTK6zt9KlcIkOTBmzz+1MuMLXlG7coTro8HeSXDk/ePZFAVggVmta99i ily847NGoQ0ZDeBSP34rvhhvwYxUnCBBCag5MZ/4mwmuT2LeJdOlnWDwaHRZxZortEjBJFfKYhUs DyWAt6g8GuKaFs5UOnkJfrdSldf/+PLC0h8JPEA6WhslKtHnKBywGfoZ0VNWIUfAXhDigRLwLEdq m/cA3o7RzVFEag+EiEcxJLF4YCsdzUU4hHTZweUTz1BnN2ffYUj2JTCXCZ9fQqnZm578aLJ3tddu 8qvwB/rKwZc4rbZaUUKo7nbHtrGwAXeOR1gNJaMo0hn7CXeHkI6v3lqEQzJXVnhu96tr7go1E1cA Mp3Y/oub0jXY7NGddBTKqlANE2rSyV67BpxcQ+WhCOHsexrkfLz4qgSQ0PjvT3heGL1BYenhOzfK AcAwykf/EGaclBfItMY4aXcu+K65s/Vym799VG2cbU7Vk68BN6mH8wvYjvLDlW4RHylXBL3jBD/N KjlCCyE6ibg+iCISUKko+8/1URSamyulSArlxnmWdMEti6mnl+fXOIUU1htHA9rVppQoASjekbX8 DyYg8ysdOSfwBYpckXS3rw4Xuegp9MBTYGUpSsq32fRiGSyN0ij1WWMnCWoezsSxxjLNU2KgOGDo oUe5wwmgwrpZadCT4ZHa1WtrNIz5M9tvwY+GufV2on9f0UtGiL3j042mAMzBQQDSPps5upAJxdse CCrzG16/chM+m3pctYsxEKiIg3gGWQb9CFZU9pemP4wU52jgBTtRw6lVVnNdC3x/q0eQUzLGZFJ6 2VaMVdz8JcsnWT+qFstfcsFvGAHIxAws70T69jyCG4suGHaEykmDa5q8m1X+UUjOUG/nzfCpzK9n UEPgdrH4K9pUrZ5NSCn6tc5rYe2VN98HDA0zWaDVutau5Hvq3hD1xuiGFXguIKoyWqIRNLiYbYIz HalvNahBPt59+m5LaVGs5w4LgBXOg0FU3WyIUMcxH+nifo8h6oUAix8xhuY6ehSlJ48pygwp66k4 6nQWc/2V3iUl7kj9h5bF6RD4gT9PQR5aj4J4K2aR+mCd+ekK9Foa4SQ+5djV+2QHv/QxHqJpP1ul bu6ax050tFCJnzNEmSr8ca4Ztdcq2xajS8IfMjn8DmPU/7tMlPsL/SQOxhUYOk8wvZvnNnAqKvrU +q20q9NqeJ0tkNsCeYj6emTS9JRGj0Dh1Dvrri9aqWiHBvRPxWZ2hG3k2/j3I6KVa2wTc/bsBR48 QC8LS609tR23BZXhq/qgaVrfothQ/NRGS/xzjUi5ndV634ebqQzvBROJ1zN+3B3mg2D3Wfr5yj/O KLZWVECcDHs6w1DOF806sF69YOaA2b/YeawgA45i28h8/BVv3QDnBBBQFL6tC5JMtaXnnk7UYgvH QV1Baars5Xd0ScTQC5ZjK/7spYGBUmXUHKsSiimqCr9aQJX1RACQIWaoVS6G7wolzcdjbF/xBdPM nIeApD/Pmn9ws7JYzTfLYqX0TG5AUrFxQUVKlZdgmgUKVf6Tkj1RZ/G5in7ZH4iq6dohHpE59V8X Ab7mN+W9lToLdZqRG+WmhhWCbM6lBDQiq/AaZGv8NZZ/WkHBfSPZulssQbj3a/OH3nhHHmyryv3P WaAsZucwglWNaNM24rfmxQBLCMKjKXakMMY31is+J6vTs95Jgphot6TpNWTeSzRCoBaW7HYTwAMR uxd0OOBDdYbpvbje1PxUWmmt621FfUWSFJbLFSCZUax7wLMhn2XC8wStJL8y5ALjg27mpXj4rh91 H/2quMnlWI4xvQjMc2Y33BSqUkCSUhVWXen8ee5Nc3gMLxbYcbXpQC0YvSkOkKybLTXqEu4YAS7G 9TRCQHNEQDBl7HSpQBpPqrBbO0sl3SRgRuIm0d1Yo5Kn2gNEmKslJ0F1lJVn+6xpM2arByt+Etuc nlACcJvwiMxtKT4B4bCE4ZlD9SPxBfZvGs4jcrI0MktPZ+Pm19rQn2T3PRM+CHotMBJfmlyykH3T 8/CHE+49uMaMhDdLBrIxERnRK4gOr+Z1ZPuzz9ub2yv0wgiI7vU+Zr5FlAheUQb8xBALAuy+a87Y +6gQSuAyFUuELkM4k58bGMtPQgIH6KdD87YF49koXOrSu7rDM+5+/PraGCbiwONkkbGzoT1XR6nw 3LwI/bpKn1Vx2Y+rSsI4fFtBovPJnUaUf6jKGpa39Sa8lHc7e3TbN/hL4ENoyimvE6BaJGR4MN7p NRmNaCPWTufw3ISvViyAwhYKIBzrl8VWY8ljKGXUYY+ouV3e124yQjC1v19N/+mJ6ZxvoKlTGeVH TPA9yjlg0E9l9NVBIkhtCXAL9COmU2csjJ94pphI9ddg6PSLibO7b9ruda1n1XxBTVnzYNJIbDEd JDX1mrp0c5hCANbjtYcmsaTHu5l9WFAm5Djjaf+s9snfBDOr4t42yhj5dsHpcGdRfnp2IZquh374 7nDz/+x3Ekr2lZrBsIBrDrVglcowSPVpYZo/KqBuVIL5Dz48DF623ynRyyFviDTEequXxJW6QtLU 60iBQMmP8hWANJdBxLW41qnFKTmVPW49AaNpSkIg6eivMDiP1snw1zXaZ/Q8gRTx6YYpRzRSe5HU XmlgPTL9sNjzr0GDGG/dj4eL1Jvx50SFH7yezR4jPjHIN3oA65ZWgf7z34oQEoJQ13Bs006J1u+u CSxPzsatmflaw8zl3FWs0Rb4mjjtgR4r0VJi6Ga8JCpkfFJZOHkIROWh0dh5EgIjqZyl88AgY6vL XtaBmJ2iiqL0JxSKYcgT3IbfeplS7xF4AE2uqSwGARD2Y328b4KdQE6o3URcUomxJNovW/m5kWrE 2deEYsmN6s1F+APbS3s4dRpif0jSoP8GgHKIYaDqhVL7GeJ8j0A1u5QisfPvFudyhB1ArK87VhbV QPXWrtAuTAXYzaUrZfUNy3i4SbjE8ANGHCv4MW1fvtNKvla9v+z+XuhzubaZ2kPtigVYGEDX6hdP AB8kkHRo3LnlR+xCUy/KdrqKC5pJ48RasTOiTl3LSu4Wx4UhnuWQ0pa9iybwOALHUWvhEuppgqL6 2uUcIgni+UUDmD3wHSfP/j+AqnZHEUhZaLZgBMNRgs1zkpV7QSWetBNK/vIPzXmgvW18OhXRZaia 6Ihf3sOHFEE2tIiW5yumUkDQmT26YaFpAhsZiPQWHJLHq6GpHLdtQOI4bP0gwqKoxWpd2AsCMkJ4 sLeDUiWVoWpoVE+lwqodBzBWv6hrQz+kl/B2ho3LcE+NYvFDvEyDbGxmfJOCgVUQneJV1sAk53BE GEXKWem3yHiSAJx+2S+fuzrzGnHvRb6RoFcXCCK6BW3HqlZEyG2EEQlVVZeuxOfru/RkNcv16fju EMqZjg26grps8cWCzUcnUXWnr5lttK5WMYpd1+cgCH83mWx3eSqgfoN+9t988KEWjVhuWUWENjXZ Jr/G2sLVEhg34kkidVY9ELyA43YUtccrPyE2k7Ud5/aAjV421NcDi1yEByrAzNs6VAqMDg/UYZmy R4UXGISB/MqIO4wRfl8Yn5q+Z5GBQDFgQJOV/qRUxBMBCNWjrhRqtPWlIWX3CwRYN++siaD3n4Lo 90sShZK79H09wMYcGkK4zjQhffu/ztRbMp7T5KaYAPWp4Z85QaKQGvdPkB17i8N+PlRRDnU6wESs nZ5MA0C9Tu/vyQWJZohcyoWWe2NfHwyu1aFCE+9vgC4EkPkZXrYiEZCxjy+bylX6oCLQ2dL9KuRZ 2atEQLScPvk+T8QAVfcrk8UrUHflwTzhuR340GeGpM57G+HoV8Uvjo2v4BAcFO9e7UqrhsOp3YKT Fz5S6li+wAtGWi+hAaqCkYA9IuztN3G/XYhO6+03BPCNq4Vq/K1MxpnnTn3CDIa0FJPfyapQgmRa HO2DxfIZQsN3j+LaHe3HJEO1dvB1tNVznCKt52l2Vx04S8QpW+XAK9Xu+6fd/qVuPWIRVz7hmhsK 15S7wrakdsNoaaaTCPY08c0K22SjZ+sO7qNy4gDGMocBDaOiokY9+zHjR76pti0H4YIg4MUvgU3H Ghjk53yYLa55SlP19V8834TsHqpndCwaT3xzvsoGwDQ78QFQrewCYDRVkAjPH8LgFxnAQk6iR/2S b+KDmy5WUK0nCm8wHSROolJq2GE9ab+/G6G9QO29GythXdRPP6pcOvTFIfSAESHsagBWrpNNcIo8 6Cmtde5xNHXNn1n/S0UHdXviWmq8UjAZ/RgA+aE3lZJwqNYwr6h/J2W8m3ZlJr7CroeWHxveIuAk yP64t0wMAY/5TEuBjDs50lFBzzSk3T7ATk/XUkb7uCCRWFF2xp0JdiQVfm5hPrSDuL6OPV22KVEQ d6F2gNNIdmSVSyaGQjNzj831HzvtDnG8K5QU6P6OD95MFw+7HQmJk80BdWzYnMYm1JNxVKTq6qQ5 Wohre9YIRZNaEQAussIVOw2WxQ+08ypN9EgFKwcxC9iU487szj5o2s2fE2Jyr5PpdOTiP9miMxvD 0zsJF/7oS1AUFxHLQ5oAtxhLrrJhBf9BC+dCNP4TSGFjqP5h3o9UDDXlYXWqdgdjz+SDASH1Qr/5 VTEqptwbqoC1r5B3g/WcJL7vsl9nODj2gBjfcRPK91avT2EISkfBiTde0q5O7I4YAKoFB/lOgbIo GLJsF1ag8N6hmwJvk4bsGzDPDofsUq+v3tKAfMvH9QMoyplsL2hIrSO6wm8PbiBALXVDfI0m6zP2 Dn2RGcao7r0kyyqIFS4fT4lDJuy7+Dt3aR3wA00Q28dJ7C6TCOWs5fQe4cCXMDm1W1jmQ+tpzf7T dc/tyauWsew/5ZWrgF+ynRJDSGygHZbPoojAyjVgLLFYZheMFBPFkkhK2ONZKkMqi9aXKN9zg3oo 6eLfscjo9V5Qg7mBllvUYFuSC/6ZWrqGdVF5es/mis6wV2c6VgX0rS3dtqMr+59Ben2ZhuOabd9U 2PB4N5oFl44d05blPcUafhfrz5s6NhKdKX2j5SD9PlMDZsMxVGT8IDZleDq3HrSosp6XRowX0J9R 0FlxJUNmeASvAPWNg126tVk1sK7HnsWXr3C4+iduByta0jSxGnVSgh08q5f/VDqQH0EFwH2dZO/G /w6nRgitbIxf6G5SdXcuZGMAKV7OCmD1YjMicSnAEXme1ve7ITM1AjG/9d/N9R8ehp2zihxNPY+p uQsG2zrHgxh9Qxh5fNI/HJXDzT2fqHK2kwFGGs0LXyJf3avqzFpU6vs9E5AcN4HdgrlTxnjW39Cz UsB9APTZWTIF9g0OqnddxM9krt5zrk6NRv9b14HTjx6dJVmnygptJRnvzLUU/K76xqjQIPHdcZoC K1Q5DPcl2T+X8gFE6gcZOpjALCwzpPb7ScCbuoTlF5ycxcnG0J9xsEzuQFcCOsk2ZMKobGYJ24K5 aAHHG5LnnI8/g5G/4/Lt79Dej5Wd6yfC6WAmp68oTzNajg/ZYzYqtDfXWlwM9FaCD6EFQU6W3Ivx e7fwF0Q41xb0SNprhNkzybpvu4nOxSKhQ8qUqKSJRHI21hAAe7zHDEWfeHqT6zGUy5lS8Q6SSY/2 44g/nm9oxGP2hDil6I+ht18TPq/lPthmmGmJ0V4fW9dI0/7SO9qKM/WKpVHDU/T1OWje4rdbE87y Q82MX1YsMcu0DzPH9XjIv4iOtaZQRNeytSKk+lG/ipASVqJGi5DgTG8X48bZRiLmc+OZp7qoAoBD rfNmE6AsCjanmwUDolp6trb6C3aSUBlWR0e6zB6+J2ApIofBYGQtP/LzMuBAYKhGEzmtFdYDs7FJ vqPDMNqZbUk/rNZeSZ/bVbm4gA9NBqOXktHh1RIjJ8ybqpePQGO+7ohE99JnehBZj0/2TQ739cpG uV4rOVstOB8JlfmnAC1tnCDOgJuUoKdKdXj0NfUlRkomBs8c86YrDgrFjI2/NN2hF+kue60bKE7F zoBbrXr+f4hmrBv3I8OUQlbGEnmasiNcgdNQu4FWtS9ql+UOMDf5azknXl32Idm0HLynuv6JDz5o mLw63y8kLq4YXnl4G/5hc5j2eZPzgkV096uImsOSFOZ2TR+zLXi6il1cOihR/BwCGect3HrOSrqe QDpKnmFGHVhtOv4QDeHCStb3U+O4Z9kQKMK4c2u6Q4a9DUTEQLNfMJRG5U+68w+wECuwc3CAiISs 9hafI+JvdSTNepvqK/T54bKXmfw3GDRs9unpmGBrcM0oDFsRdqqFfwygeVgr/ShDls5/ZtwmJiUQ 6DHwrttApknbCRYm7LAcJTlN7gImneOFQAF+wSMK3yITxry31HbzehKSaFArc173w8ZOV2iZK7wo h71oSTIxJOeHgQ/PAlGj7K5RCWK5ecDKZ1NCXMi59+gXxxll7aQMoMkjyYBLz2GFP+mWpm9KdAmT NpnN65TqWy502HaF5bq/7umMWwiNNctj0mk8/CLcNGehb2Kd7OnfZJ4R58WG3FKkQv25hMA5wuXt 9hfa7YCcKZITOkrpvLLPKj3A0TGGObCMAmvt/v4bP9dSwptBoAPE0ExbMq8+JanbEVymU2bEy2QL Csin3f/WY3loKPxZA75erunW/FjAvKaE3KAk3DmCOPo0F75/FV0QOIKODSchLBa6TrAgWrbTVcYx ChoHZQb4EGVx9GUbtq8/Zn0yVoAnDZTc6b+h/ee3sH1fYLehA/hK0gLt7ESdYPKJIChOA7uYwyBl IGcUlv7rpqlQFFu0VS7rDOBPbK1KwOY8SpERS8wpajvj7vcSnWoRa6cHNbc7BT2eCyexWO4fo+pi QDcrYfPpoW7VKA0L8dia2DTGJh7aZAOELD5vNpbgPlhXHa3M+mWZgwU4o8vmOYiHY6vuL1JkiURA 232DJ5hMI164pdfsn/PKTv3S0bsqN/l3d9TddFC5BMxioTqeLuFNC8fToAl4YCbEe5VhDTYMiQG1 VWYBn9IyCHKaRdnnahOJxOClM7aMXGrSJ7lg/zMw0sh2ijb5Zb7+H+FGGDeEBXjJaMVRnxjnebF8 0MBHvAXPdPPh/ZgPzPyGXWnmUMDWo+B05RCQMJXBc03uhnEQSxeRIaosyjbBtgohqfmUk9Yt988A aiFw7H+CcmvlSt1m9d/gcRzo/k+jyDrsrv9ok0dtCKVa9SXcvlQWYl27TLQkOAcbVmVGM8NdKSNg m2IZzwoZJJZvQ16X859LFWyfMQDt3ESyqDyxwG+RN1ELXHOWhD+MU9YIObMZkXQTKme+qvuXpROZ G2MmmeO5z5VLRiNQOY8Ewu6atRALHEA7o1zaD/Mis6UF3gUauCzg2pMg85qAdmkIq2cduIP17297 b+X+WogZmL7oy7/R17GIEO75VplR9TRDeuUHewP8ReUsWMB2ud0Bh1nh8qAM1vtFPmNnHY5eo3bw n/KBYFFHF9bdOFlq0EGBtxu9LnVPb1QGDWGYPHFq23Sh8szvgqF4mS2Synu8hz2QNeDKgeV71Opf GOWGvfmvmELijAuhcgQT4GSjUeyDUMdDG60f/SUw3Mn0+AHBiIY45lJPeNdWpoGZGvcz6dWEoz0y T3sxb69f1kLoBh+BHh8EXdgmjq5NSSCfcMQX04dj3w44HjXEz/Xw/ATz149HPWIr02r/UkcHxmiE mLEdn+yKfwyUxJq2UtzPHPftBSCs6WkiVPEeLRP26H+Ss8hCUKx6bkgZYy59Vh2FhDc+9i9/b/Yx vyD7CZjPhE4HfzMnVJtYgxeNYXKpkAK6Ix7Pi0q8bRU9J54e9ootSkhWEZKxzY8XZYBz5vnGKVF/ mqTDEO1JKA4TdLRviKFkIL3dx4IwHmYaCcsGfzuoeCiJ9lZmt4qsJyO9uf9JpFo/PfE0AxYjvtpH uWyeM0+C3jlBtBZ7RtrWD2wzo1B3jaqUqglvU9GC8QZeuEGiV1Rpr9FJQ7sXEHORrPhYCH3JDBI1 6zTw1EQEaqfInxLr24oRbKtcX80UyYKYb0UJHtmUz23JpQvLyUbKGxilxxggdXjvvRVgPtNbExRm BxKAdT1fULphg1HyaEDlRxwLWMwV9z4d1tdLngoAR5WRUD1dQWnt8YyDStpXdMY++6jcv8swRcnN oxHaAraWVg/DVammalcFb5ftlTiJelvKVFsmJa7ZhVQM7agXmerld6RrmG3JJ3ATgw91fB99BhV/ Hz0+E20f2llCkmffcCYB1a1mtSb9h4I9S0CazsnUwmgGU25YukmN8fpTc+TwwxUNc0Njr931bBS9 +VXLiOsRT+zFLqWFbxPO7Bo87Q/JJGBdJTUJbDPjGDKubE93V7/PhUkR3xYuaMpzzxkgQCkAen1q 4BzZRR8PxkCuopx72Vd9I+oEDLrPqqT5pElXXB8Xu22jbyHRzf5SLXKrn06KUSrsXjgsNUnPZMG4 zTimJXYyX6zYed8KdCN5Qi30xKGVTqyEPv0XVq/qi/MluEcvyIilTPtJUAlHlpKN+bJxiN2WZj27 oiP3Uea6Cn5c/5Mn0RfAJ9YnirChdBf8QXiUtsex13ewF+jtqSi93PaDC+5IfwWMqJHx5HF2nvcu bAxR7RFNsADUcqMODxF6876HwDdKgoXA+/KwMYdFofvf0p1Wcmnh5kB0R/kKwGg4O2Qc8YRUJSgc JfZBNbUiEczjQe88pVpDXr2ILsBrI4sU5veBOYJzQ9/b5P2e4DEpiSRudboQxq1uvnSLT/hvoaRK Ew4BBLHEtMRJFX1QWIMeKyxZ+XyWAKXK/RR9TnfmYVR6hyE4fUcXN6jOQuPj2b6sb3vE2cCL58wa siKHDAgk/XBPwV+6T1GzptpCLEJQLenaBZBBedqqvF1MTdyyLu66nyJ453yqcF9TLklfrQolHNdu H6FCgFSP0dKH4mV/z4gk4ya59VDrBbZWrSjOIbjPbJGZeqH9gA59LvT1pOnAbc9XUaiZUJo06nu8 I8Nwuaa+GZGUpLjD4NyGVvn00q9b62FAH3tIgvPBsVdYl5KyFdcENxKtEiLHzGhnMcW4rWp7CtTY pHi8tCgwCvCoOgb1+rcAO4T2472g6tCaV/EQU0Zrp4tW6C4/qeXzUQT5jPRbCgnVKBVMtf+lw5WV 0wYHWEMX0PLCPXhpR7T5phuUgKoqHmCMcastxQVov9ZpMsMoXpY76vkbC/Ra/0Qr1ZLIVloEZhT5 366ERafOp8sZUylTvq54ZqOJjbb0VApNWdbq9yuh4vqa0AR4O/jHuyaR8bv2jaRy5eNnD2ndDREO pJSYZD8n3Wx8F0Twx3/2zcZRAvDyN7AUErVqnvm43G8QWDgwgocoDaBbm+2G2BkrP0FIascZP/eg 6NpaJd0tmYNMokpGnIVvrneCnm+CjyiyEbhOzuaHYhzkRIVBzrd/PRqOEi6SB8st0nd59MLZ3lEF idSaancqMJZxCHWKlbiSnvKSSc3ybVsyPRRc+zJq79IUPKA7O2jQYmj9xOcRbKhVl4KGbDCUa5Ki sFehQoPPiSACBUgfEJPAbw6B1C4K1UTIMb2jQDgDdU2/y5E33DvTlCBqugOUJSOfcbUs8bxgrZlc p8T96w/BSJZ+DjmHY4w9Pjc5jb7c2qGIxOhWNljWNdp8bE9ZVogO4TbNv9L0bTwmOfP/8p6AmO2t 6iNk63fS9hdG16xpWUc8ygFmYEQjSAtbx8UvrDOCgeJnceZ3dWmliZ1m61EXfW0ehmoW1oRI01qM dB+AHYLNFyeTivMWHlm1OC5Qoddj1Mvhduh9VACDLK/UpufX3U3Mkg+u8/frTEruG63zH/Z7TLKx ZOJWaQhnvYXb+yDJFNUWntdD9cN0m31dGK55toySFgabXdSiqDnbniQOU10/c3Al0mbfXnCnjSUI Q8ib+Q8ChRAuh7hQELhW+mhJYbSqBaZamnpZqUYRpQcQgqM1zVq3SqH3R+qe3UHxpLNLqNkoavCL A/SLdQ7lq3ZZxIIdkZgTV0uR16Al1rXkDgYLx19/j76HAheu5Z5aJuQyXvxkhb1QW4l3KnwNDUyH CW9hJSiPGoD7Am1ChNbZ2dP8KcxmE1O2J91TevWMhA5uvUJ/n2ANKSlO1EiOtw1TtjHcSJRWZpTq N8PDNnUUlcy3TE2blUx9oYp0CXANg9jTi9LgECKKQkakjua773WwulgqWsL4SFhb/jDg5kOSe7// /rll53TC+yXR3gs2nC0XQJ5WUI/jm/O6c7u31356hAkoiP/miFvzlfhusC9Z81sKeq8WBXv/J5Wq Dg+FrSXg6erOvFYES8T53fxmMj+fxDoVMWNn9TbFHZ4Ty1hVHX9RW0Z9+9f3NVnSRsoVb4VIyfdf i2u7T7OResr8eSVvMxu4OdfqSvT09evR92+GbtD4P+vwjYJb50KfCWByOxKFYtluV85oYs/SV1dY dRODzfBCg+TxR3EVPuG0MXIyeLOmLYXDS5+a1gqkOQYH97YvQ8HlTJDSGtm3jTYqsDNAo4iyIKLH KDOq6OOQ1UvtEQh7oEnzunVdwhbWS9TvXR9AnfnchJLSMuqaSDq1mm0vU1vzNPZwOA/e69tx3i9f 26GEN1hPVgy2kNw2LFrg4kGx2HLMMaWKf2zWfPgpz14hGvYVv0MMcdNcKrwTMtIaI3lG21JpbgUu wESaiDODcTym0LM73c2y5tyo0JWjPhDJEnUQyR5iIF8RM/y0/4mnzHQr1F+wuflsb5UMOHCxAB/7 iF/Rvz6zmY0vTKZjs7De3dJJQZaP75GAEe77S0C6JgBtiXygLDXBpPardrKIoAs9oOSRu/ewJRvc /cxB53btCC1vqWMgImJdUxf4+pmzGy4WTBRocdA6ZvUYLQE8cIyMF2hSgjMPDRhdXXxBDVuWhxa+ NVO1kzq6aMep9La8FtxMZyV/MhRkoNivBSDFjsS1E4RcXOHx50fBsjNvAvaCYhLx2nPZiRBjoZRQ XluwAqXCd1sZNuuSbSdgEQht2afrKccT157WZei/34Cx0xVb99UBJaBcjracAYIUWOdRd9eNoRh0 Y9SQ2fg8p5C7VdHCOpqvyZDGqUR/N7SlmEmesTLNJwjnGSipriEZ9jsykHnswW+7tU4lPj5qpjx4 1de5gQhjoLCAuYuh4Ltu38Us+C8KT2zW4nS/c1/Juaq9EqyMTdL2IHBFrjSQo0j8rjv4Q2BrflDv e0NetwmY3iVohgabx/orTUffGKeGX04ESBQCdrJo8dJvYKrnu67R+8h2Dp1DSYaf1XwLp4IxytUc ChzK7I6dhT98qGgNZXCbLCtD918SXpqLYGDOGAbCq9kV4J/1fuGgUqlaSida1a+vWhCGS+szRWXA aq3c0U3RhmiT9HRM/aQWxuCK5zkKgxrLDnpQLhVQpy7wnAsXqMTGGOH6u09+Ni4FFvFLPAsm7Lr5 Xe9ao8uMneKu96k/9Ms2SVmNrFXa1K7a7byDP8Nrao6fP5+euxySlrMXNBONAMjS/E4uyRTpw8Mh ZNR/qDYm7YkghT0W4Yfrk/3UKghfH39WDDOtH5cuUY1GDhCGcI2yRTghhfdLGA/cymk7nNzgMjix 1vNOl2GkvmSK2amQhHQej8iX9gJYHlptD4QTQe9RJjW+modiNn/70LSxFeoR+ye0zoh6pItmLQXR RgTFHUo8oi0wpRjEy/fZ2SxlnE9nuwgx782dvyn0XQimMevyY5a8Ky2wVHeWF9jCobUHMjWWPFUE Sn10aId2sfjkfq1JS3Mr0uLYYKQ7lBKwq7XTGxYfrFhE56Rwx7tD8ZweNKd6oviJXc0vgH5aQ0Tn oO/sVL4ptvDjkPxLUqLURmdMyFkwOQ2Gp96mfkgqFO6pMoNwM8gw2jzthsT5rG3CFwOAVYAV00n+ I73WqnP/NevUZ4Lyjo2GOdBXohUfTHzGs3vPZiWa8ATYfyEGJXLWy6rPsmxjYxeL/MwV/56Nysu7 Sz++rhkFbz825fSLR7PRA0yJ+n7hNQ2OUrSzCxu8uNnOttG1v7HD32df1nUpEnzQ9Tw8V/GgaI2E vqUw7cjJaIylxII3K85GZ6EnciUy3tsd6uy4QZEpJaRBWfo/vFz0cZPaHogDbAwCs4YHSSLke5Em N5VI+ZzsrCKfofsdnhnLf3tRjimOgiGog8yfC8Z3dRIze/yDSB5ZSVh+mizxQF2pn/LvuAT6Wq/v IuXciqdPYpv6xz2m4xKaY4uZ++15+hOzTEtrbM8kwEt7R9W4bA+84ptJ/CAOCJjVtGA0JVrWSyXS 4FwhfEgWcnPLmqWFLgREo1/ZnNBBk444oDOf7apH8kiUc5wcb9FS6OeJGusemgpbktzGQvyRU4x5 Z4VgmpKfX3Z4bcmm1+Ys1i4hJH18EhWqAigB+tphxNMZSK/NCC9MleSrktHLenOzbz4dELxvhMsp cp0NhIgf0o3GNGSzxtG/+5kzS9SXa2pscGrHIeq8pqB4baAUQb7doAg7KiXTtuT89jSVhYFKBl/a /PPm74boEEtxlQr+obBqAV3qihx2Tkz/i+pq4lT39OXOAx6fc2dAlfYbj8aY5Tzc17ulyz9IXOOw k5o+MYIinlLEeeU6xQ9nggu5957U8aPXaFalV3ApWxrLeUQFiOLh2sndGupFzfHsa9CMMny5P+XM DFz8ZGcKDinEHNmZkm3JG8+ZoWkNbiL99HDiqON9AF9LeofeaBASYHU9VhNrgK3vRzFfT2mJiEPs 51fdJ2sPWyLz24O0bEfUd5X4eS+xvX/fBbptDTyZQxTgdguxXaw0kXSQ+jtg7KZKaCj69UYl05KQ V1FAc5hYnUE4SLRsv6S2ZOBUJDS7Q7x+PCdz+kIiPIfgADfEIHHvP+eYARWW5iILhu92PFx6jTC3 Y8obs+Vpy0aZpHg4h9ZSiQg7pjnymrvkct6nv2MCSzdFolcWJThmhtiGoNtawg5qiMPjsavgA1jN TnLwHNdjm7itJX9zHEVUAxP7x9HfTQvBAhcplkENBIdsaGvlHK8AvTXZmnqwvAFOzuBpOlPcvS2l aYVIRK919ai/iid1emYyYf4TfV1nEIYQ4uNgHxZAqfTT5OBJSfBoR2HiwE0mrnlLrdbh/r6Pew4w tsufd8SfymZjvvSRQ50NGEXAo2gMYOoWdxJymo3nqE1bBHx7pZYXHm2WvCsW7hl8ozJ6lgUJiig4 v9uMBbcMGqkeAksZ5AW8L3F5VDax2nabIZ9g0F26DEG06moybZf26aBfi/p9XI+gaH1TP2Xnm1EH g8MOzXeS3Qu17CA/1cIUgUbDi5vLXhe6eDqusrOmuCo1wG+Z6MGRHXAqvDaWZ6l9LwlH4MsuEPiw ldUGoP2I0DPCcOHzWq51maXAH3obCsIFa5W8f1QsWzFgaCo2Fi6UoluPuAHIYt2xorObICUJq7Sc Fpo5zKLO+PyCKs8iFHOyuAQYMEiRkgb4mxhu2AmpIBLklN6U8l0xf+jC/F1XvFagRQ+MMuUk/0Vs 2xgtzStPLjSn3jQn2lkTnZ9tz1iZMDrMolToQtPgDh2E6sOfad544p8thtWMg9RNruI4Jv78qrbm 1oFm4uAm1zoIPpSRcsi/VViyIEYAuz47bImfU6QKg8Of3dOH5JdbWVF0QgB6MylC/mEMOtqpMThk tV/CHh3xWkxCq/62brB2FXMUYGrsVmWK3MSDo8qHAeOXYkkoUqAM+L4SLssPJ2tchM7Im8ChPC8w QvzQSm9FnqE9Y5qbKDpYpghgkY+3Tog46vG7wb9Idu1uD8OoD91/WkDWIuYFZpuoDdxggfFT77vc yF5m/U8Ko8y+LCO3OUWFapiZytt8AggvY1IXtT3CnKiXQsGXr9Z91qcly7eAD0XA+4LTO30yC55N BSAKhe5+lfd9F5e6njo8hOfoVMt/pk4reaWGz6gYenDhvDJGbW77r6ubryrBfs7yWs8Gt3AytRyD 6FgF2ba3518C1PZgv+wOzxAaxHKvX9R1ulZdD02ZC49t3ElIR48JVbor5FC+6jsxh/CyqC/zROp5 /Sk3dM/2PZcR6oFXdXV64GbRJWOvinrPU+BjCjMB93yXyywtyFMa6KZBjNbd5kUw6eO3n/fwr8HV nGE5bEah8rM0pLavBAbcVPLFEcj6S63nWkwqqk4QwnYsREmcNxwxPYogCbIfmUu9uAeycHB4dHzu 4eJ6lwhq7SHbBnN6ZIyZuRt2iMTWpObWr4284DItuxTUa3BnGeBL7vU1xGZIhlslHcyOZ0YZYOUo rS37+GaH2pUfJIB8WizQ2BSxxrKyoGKSHhZgwqi1thV5muviZu0GqOgnWGxF2FrqSriYOlBo+RT7 wsXgu72kOQasOLtIctOpukIFJtzjVyjx495NVxN228rQ7QKZIW/Nff5neZfw38JJfuY0EX0kTvLb 7QmP22LRySs+hvR9lxCWML2fJ5CQ3vq+Kqn96gPuuExCAHvzmEjPYboTB+bl8+u4yJ/UlZURU9WU D8iGjJkUuV1noVdMsMDOB8CBSyekCO5pVyUM6MSJTFXR4pEtnE+le+eZjzhCKFZcLe30XphJ0pcM QDN0mNVD178oYnVUgzIv6WF6IHXmYeYjMvAW4eejKpF2P/3o1+ECr+K9S536pvF8qtxzeorz7vTi 43AhN1FZoWluVh1FjjxNI2stjLwZYRMNM2TWH/S/0ul7wGQgQFV939PxCh4S6faHMAAaddjO+mr6 XK860i9f8Xrrlxp6dhmYKhAwgnCea0emHSpiPHuig4SuJIu25WHAPYinD5KDkZTx06mi/AWne3rg Tu6VSE/S5/NJAileQ73eQ3PYUkEREkONOswU45Sy7gdc+5UmwgEIei7EOyLG9VPUVvwq51dxlwNH ZRWV+EvBWlF+pHxjBevXtZmbuztxaDeSyz24aXcHmL1oXI3f0dT/QXOAlHlERReCjG92/0HpqLEC w9pPUozysmDKyOHXNwxs1v+VIWEsLHyW08UWODazE6slwBgatKmqdqXXtP7ug8LrWtFCKTgZq/nQ zHP/ChZdw4Eip0zOKmE9M7j4JeYJPU9oBB2+af7BdV0Pva6Xq2WNIKIBC43nvie4ymjBf8aoLcWJ q4dkm9iQjO4iRCCFkcjrYvU8kx7oeD0xSGRU4+6EjWAPC/8d5V/Bc8mXWynBuP7ZvZSUtUEdmmbd hWPBCesHeOwIXvuvr7Rqz/DLXlkcOKX3rzkiMcSSZVQrIVtd6iFBYFYW5dpNfb76/8JSeGiNJFbJ aedQh7ec9cMgZo32Kz5gY2g5XcVfm2nUjfunKvCDxWJirGEA8deQxrqjbZ2rZeK1bRRnBukG+I3D EA812faZdxSoNONS4epVjeMXeOw8kRAF3wTyFZ9i0FGKezKibyh7dwQZe6z/njO0wx3BLvACXMzF c48eg/RzWujgGt9sZhkKuh2oBWPX5uPfQhGJsg/Ynm/rKaWIP/hnwzRfi8GyTs8Yk7KBmd852xaQ 1EGBEyBOxEK3n4RNkLP7j3B/UbdmlcrPIeGY0wYS9XOC+hztnj7hAbt57t4WsRrddokDDtF01yKT 695ZmXEXM8zgN8mTjNoe/waIc54xABuL5NEGsd/RHfO+0Vczcft5l5SIFhtM+QWhFRX/Xaf2wHqb s2Yquk50NGnEzPzlSVngUwmQEx8/9DtYeYIAkGkebnvR8j3BYeaBvXKCmRXW/wT+4eNDHdhvZ/oi D1cHsjoDoL5E9ZQBrOd2SQMe2j63Corvs9OjvncvV6Wm151tWa5lpTueYmXucGzQ68bDw22elqUo JHWbRpbALkQo/gttVO7vQy84jrAv4UPJzofQlG7ZApenASnQL9XbkMGyWn31fAifE8vam08koak+ IAl5w/broMPLw+ZmKW0sN5RZj6ei0Ky8nMun76cBI8mxu8VD4pcwpJiPegi6V1WZqNh9gVjbMz+0 NhTxpT9a3IH4nWHIU2zAShbROxwdnRd2Je+g938GUn8+H4KHmAG/WOdV8ksFbuDLmNJYMF9OWiAd yVMTWeGD0r/ByBecvNKtZFMh5DwDDyOKOeGoMa3Yqqg1Pkzeh1Kfcj/D+ELinMraO6TDAT5rWjdz ynkn9gvnX5zfzCahvsrYB4XpXzM+Uu9kpBcIAXRHZBTF+wX+hd8izH/b9HbtsisEpfMZ0IPb6q1p zBv/TP+5ePP0+423BXAK0YZ8TVgKPHxpzLa2BMa5DQY0mnTo/WyAQdjDk+J53sE5sFrjL11Q/WNP PMNc1yvtPppsXLedLPq0su82VqOm0Q7oqO8UbvQk52D5wJXD05ap6Af//cNxsh4XvRjjsnusm9SH FG1k8pWSbw3GmvkfRR3S+naGk/vZkYlmWTjYlaKGXHEaAeWSErp+SIIyorTM8PCjUOpl+WVhHvLr 06cMcMAKbwIIddzks+HfpNlbrPMRJZpXZFt+ebR/OLKoLA6Ge7sm2qG5XCHKwKofBzClSlUr8d8P tq6S+NxQE7hybptJiUcQ7BrItC330gXQyQb5qbsLFjFOT/P41BontQnid4YRec48zyXaTW3QS3H6 4yoXjHSdLqwMwmnIJvTN6GnMn/YwacXwrcvXks6sN+KoLWGO9jOZpRvRt+SNF70CpQNhjdRFCUVu vPmMsKslr0Bdd+W1G+Y4a+j/LvXKgBNWbvfx9S1O/wowIfNV3DfKkrQU4hv4Z52cnhHXvsTGGYkT jg8ZELPs5RG1QhIYh1x02n4eXhPBRp0vgmW3ewDN9m+Ozr40Yzj6nDZI1OkBbVn8aEtzeLWixpDS nwIYj6VHN/D22/zq531Lk4JSAXW1CAF1dz/qS722TO9is2U3FMouxYnNrwyfZLQyZJMHKrg+d30k LX9E+tPIjtWG9O/IkPjy9rG0BM+CvWBh3yE25c/jmf4S56e7TtT2/9qRKylKsrXIr62FXdSlD+SZ /oAGVPuTfWou8jcP+KMYPIJuG0yQOz49r+Zg0rQ5ka49lDDL2Ipb7Ba0HiJKDTj7+rm1WZSNzYxs eZOwTCRIVuKruhTDUdmHuztuyCb0YSZulQUTpd4Fg4A/VbBwd7nqGdDaOD4pv3+cABZW59fBiyLN N3gXYi1W3t5Vt7OmmWhkgy30hc/E/CrI98JqYWJ9vXlFH4NioZUsPbYR3rZ4TIOfbNDvdYiyR/98 tvbSTQPkobdQn/K98pWOIn2vrGOLgF44lHAfSEWadaJ5yAZ6kpPgfM0R1/BjNX1X8BOd4zwTe558 aJxCFro5Rwoolv0MCHfnbpBxBqAbTNhoBLCJARFIP1d3K8nebvRbqqmXkQOtpvFeS/X4IhR8YYDv 3qndW9Aw1PUmvUMPmXDkr56YObL/2gltzd+bSaI8R+w+bsSzNLf+wkbSRqnyQhhc4U8ZgvMO0Rqe ZpwlMPZ5mN/0kr2gbOY0wEKDx8J0iHcY60lg9r02b83jJ4Ry01KFaLVoA71Oj61G48A9azFAxK49 lvfHjBPNLmVQ64R2hE8BRmOUZIa7krhvc6je0gt1Tg9yHmW8LoHYi136m8Br6zhMy3IDEMoq19S+ 21QFXx2DJp2dPVMxYcb74bQCA5aOAxX3WQGd5VQJVa5VRfGqQsba4m8oez6RPI8j4+DaQ5PGng/q /OjlSQj2rxQvwhodu9LxSC8QTeLNco4Pex1pTd46W7YPV/fZmqfMWAGl0kREBPRv5yNvkuvsjhM6 J22mV2QnvF6J1bQEOBEDaOSaLou56HwdWD55/mBHDWf9h+UOb2FyBRhUP9DClsFNl4JWpCGdEZDe M4/jnwWTGa6RvDF6JGFTPhgVFb+trDCL5rHAKPxqmi4DT7L9dX6T0YR2YzFFAPb2l4ihpRqC4LIF my7dBecO/BLoXqLHP2QTkwWbqmiwx3LzjtlikoJ8kHWXfFvP3RHhYvXxX2VlLOvMvC24mxU2WHRk jod6jfu6q1NOnUIkbaXTKUlWWPTO4leOft9ICOOU2jwVy3IR8SIm2uoMjaOZgfqLzuOUKB+r2E5P Xr0fQW6N4rn5d8nLOJlDHPM9wrZOp5pakETqHsaAJAg1xAQmJwUorzZFgW4aA2oqludzZUDUudGH p6BBqOntbf67JsbOXCX393xW8J+kTpO6Saixm9nfe13R71yHMz6+RGq0icDoIyrNsgd8K8apDZOg r19n/VwZj0APOjlFQJuOXLzfcs+kjfSrfv+ewZCNOjVDGZBCkKXxejwdMjMnGC42nUPNlm2rJ7Tz nIVOcIk9eRCgIItTGgQInWHpMhR6psC808rnoKBatFODmXtujWaDXTjvbqZ0bSGvvOv8RbkXjsdJ NmmMDWbPzU9enCWPHEVF+8WYoaeG02Ca+leduSY1ub1dtwkJbdw4xYxpLoMn0XZEjT+xapvQbgdH nx+ZtgmQKQzqO63xDvxm0u+RtVV4Ov/KTyU9nLvyHYc9F49lIxGuNUOcOwgTF4plsI05ZbwRUYBU evqHioU6nj9YHUa/QkCnh5/obe85ZWk5B/FtjRjz5cvfLxZM9PlwaR4JDhXTTIqtTWVso9S2QyKc m4KmzvFj3oP9EDpkXDC3ZtJM309zlEbltYp1JzqDPPvfK3iNbbA0HtaLD1dNGV8dmZzEU7OC75a/ APQ/KbTechpSZhR7PpKWS78FtHC/ITOn64NiUb+36cwKhxNvAqeTxzfJ3rI8stMwdBiqQKH0EvsW FBKOaRyJMeie8pOlBt0k2VnleKLzU2KDnt5Str6X/Tmj4JCqYggV5GbVfsROvQrp0SOjxlkZ37dQ Le+ely2674JDxFqykpuomLxdKBgVPgzTRmZicbcxhWFXjgRI4wUywFjp3ZRP3OKukjO7L/CoXIlt l/8irzf+mo0+97hYMVD78/tAvUlOGfLfGVgvha7Wir18DvfN5qyHst/excaiITip9eLwlrUASpjE raeAP76GI/Mx2GLjcSlbz4QliLcx1zYd+stPTTm4yPGT034y2BRAuMphk7FIVYP0wd+YG0L9fnW1 9m15ch+sypH/lGhgRaKfvSwnGPpdlUbn/cp+KnP35Z767UJT/gG+4fZc4+kjDS7TNYS3lpOmVmu3 8ta8r3eoScTCU1IN4Tr3IY6uT6Bo/71qTPGxeXIrXIPE7bqS6UFahn/NPS00RSzEgxwrm38IwMru GCz7xk5HjcTdr6MU/iOeqn31S6PXtqsKmVgGTiuuhHQFC4tbdoaH6dVihL4pGo809BIEER6ie9Vv sd89dhSVbVJVdHJpw3xr9osfuIcPEPqGhiMdqxmqlCx9X+82RJJAEvFpEcuVbF2+r0k8shdVCyPg Aw09dNH8EeEsOYzlQ6gwo/Arn82epO4NR1zbhqUfAsrH0HDbU3zF7F1d64HfjWwClY9/XDdVEvLP WKY6c22e1OWhdmCnXEJ9vDQqZgbRjSZdMaVJRNsEGGDx9/3wwiKIvBmem2Y3vetNgaWa1zeczmvr 47NPBJ219qV/apHjp55wrtU+IiHyFZLb15L9pMqSj8n7VnoAiCNpuWwO6L/pmFw4ZfomlXk5qkUG 9M0oj3Rt029uUJhkZiBnzDXzCZMFNFJuOmNeyjgzaaPcQFbAvfLnOO86Jd7Aem9/c7YIFLH3NEKK OUjNDKJDOtOvSalUijxKaQZjZaz08fz6YtvebNJ+9WUjMbG0ctYSYpB9Yv0lasHOj4cJ514u7Ml9 3eX+7ckn7i+2L3K0mhYTBfLRSqNWpglJ7l7XrEq+SYTcknjqvBrSXlMdHPeUQqG+4Q0oVX3Rvde6 haXN2eDYqA1eeJAbKzP4BdZXqlU0hXee7TJzdsXdrK4g6jVsMa0qw7rpSAoGGqRfwJIgaO1S7pjk wSbTZCrhaLutMLy0oIDRwiV49bRcnMDCYuaTBvSjZUxpN/1YLDJVrR5f5rcwINPUKJ+JFM35Bmm6 nhf7+2JXDzzH9raANyG1NzH4aQWE7a617T3NTsUtvHR8bUCH6ujOOZKzkaRcwgdgaHLFLSA/b9wn pA67vEuWT0Dfx9ygqRgalEjaMY8hHmd7kWurEzTQhl2/O65FbIV+ETnjrqb/CUS7PVT9sB0RdFOQ yJ1Hn3JmDTqrLAXJ7/f4/zMX0Ir12xkZun1nwExwZ7LzaKE+mH59iQN8X25SBm9sfQqMcCmpbCjg nhJXD7BxaxKlDCuhDJWjoaQCjStjEbmiNJLX6+2Q1hkYV8BlG3g/E27hPJRNVa5xCQRFG2rNxEBL +8oVnXXHsi7INVG8tJqkG60r6/jQcLZRAOiTFyEnmFkHJiF0GNHII8FVMUi/kS1/o0u7eBM/tuss 6p77zcWKZ9lfnTsE1NSKn6Rl1mrpK5bRuUvEpKSoC5e5l7zaEXSiLyE/D1nI/H+7Lir3PKTkwVan 3PVNhkLChQv9YBWQERvtFplq8f71yU4dnPmKvmLhStFtItz6cworRnTjNKBSsCr8fWIg2bbcelDq PgHscB+DJER7KqVgcJr+ncHIyRhJ4t8BlOWf5xtpaAjj3zzyXXDmqJ1U1Mb4RDaJ9x8HAIUebYfJ lxFJD/RYbhmdXApGP0KiEn+dN5+hPpmTAMy/hZTNTvPFhNqVCZWDnO2CKT833AH/h4HYh6QK0p4g QoNLjmDya6FiEfg4B1gOfw7a3HWOc/9fk57QD31VtLnLd+pSAYZDDcHGbe/fR7yTLrXs5xnCOqvm 54d0qV0RDeKKpSlLgP7idRPGx+fZYai+KPfDjNjj818vngNzkoGoaycutlrnLVfDL2sN8DOqsOUF anIEkAv8PSJLzVcdxcn2XpSZMDxvZdwWsJ0SEzV6EU9POUgZUaGZXHE+ByUIfKHk74vM/DAWi53G p+dY9QH/pqZxgcXcmofCv6my8N22pZT/meaVSL/HRO3btA7E5ltO6OZ9+PGbuUf71iGEZHUKSnEA uStDkey3lzHkKhRkJwJ8t47rufxugEc7s+wCF8kz6Wk8V3sPNTA+cVR8AHyDwCRItUDfbHKMYYVu ac14qeZHZLkd4nRFvO+Em3QST+2+yegKGj9H93f89ecS0eJvzyolK3fC0qzAlK0irCKALZJ9jP1H HzrDCYn4xSWhvLCdbHXzkC0TzO8oSSvHg0BBmHqHFBxNbh6Nk4DAQRXVU8cnOeiJMPhv387g42ZH 73iTlzOUJl66qmzJJuNyYqt/ubDiNOsFDpJtNNRl5QFbanyqqiUani21UPELtfq+sDoDY7g6bEAp tBOOts4Vblo885yOx0GbwNibhMp9KQPANtr3qKfNKyeKdjZOx+xqnWgtGrkMmqfPIvnllTm0oj7p +vBjPng0zjYgWrmZL6y9WOktf4v2eMTq3vCJ/J33xrbyjYc3OdeKLh3K+I7Gwl1I6X4T8WuHYTjU ULzye/rMnc/z5oOuR+YwEVAw8W24eTkcE/xYGhGFwMq0TSHuW+n4+NOhSCulHsdp0fsjul90X4Cu Kxd347bnGlgx7KqdYsd1oH1kZ5Oo5xdNfX5KxjfS8XuWVoqyPZTWJwKy9I76aMWpp+1NpxCcWR87 SgSgWRyFLf1IZx1OHJxxtl75BivAftBveK56SRkHaI3qsjVeNmw2bYTX61P4vO2Oh2tTy7nbOIJX TtFBo+U2EBSl2WTbTdhIafa2LxAkKr/G8rS0VgqiM2k+gqWLd7VcozKGDUtx/X2+88QKn4LoTFKQ G3tobdR3/htbahvzWKQYok8RKS7yVjslGiCJlOUL276HdskCQrDYl0IiuXpyhTQZTxVxqWqoWvBp 1coYD+LrQlbRFnBu0XDTDZiGtjldlnL1XhfiaN34KnDqeYfgQZFeRCOHPb90UONzHvGWuluWfvGS wfWFz4qWNM6lquL4RaDh9FxsAbOwUBqeNIoTS1AaPGzvpU9nA9+yReBGSJXRTAf7pIH0T5rkYPkU WI5+5s21MboQ55OyVoMMpx0pIJG1J6RUuS0IkeTWRZskbX1LUIZiorERIwEHW2lvZbXQp1nK5fB6 Z7haI/rejvwIf1sSWF11r8ibF2FWnFq1/XORp6IGaA3VUkG00TVn0TmBZtMSJdUnX4RgP4wemH96 5HwhcGBe93dVG+z9Sz6fn+UCeE5SMeLRMkkTpal5EjMN2MkRByrgCJNI3tiU1p9Lg2+EYEYQGkZX OTP2dz2UenQExHKRY9JCvRXmpDAWbQH+5e8bZ8Lxr6J8AvyLw5wONs89S8wSxGrIktYOFFwkaWtg N45JD72dOXWKcsnni5q6YF+h1bSYfgAVx1wOMlLgD1WDJ710kgL2aqHGB6iUkA54BEpnxMa5MPaj WrLdgniyx9mRB7xIjxE61Ekj53uAJrIKekZTTf2o187QQbm4CYt/fByTXaUPjlI66XrNxprnkeDy lNlW+bZIHZoC0I9jMxYPvNECB2TfpiJdgsI1ouMPCyuRIgtesb7kHtfXgNb+w/AoyzTWIb2VJMMs 01mpLUYfO6dAA9VeWQERwZTGA+OFFmcetRKY+BAFv4JORmKET1Da+rPOcXfKeiCzEyMqsRmLnDtY +TXf8jg9X655awXFjkIv55uaBsRQ84gEgZRF5kFbwjGaNomdD+MLUFPvjrRoEMMEGRZDTIExnicv xHNnM7rodwsA/Yd9jK+R/9qdblP5e3V0DgvI45nl8hsWOkFyAIc26oBHxF8x1DlZd89qV6+4lxT+ sR0sXejYItyKNnRops7tLl52Z3gO4KD0TyvyQehandZycVdlpZTIgASiLZvNNmC/8bgOPq7adJwM 1A+Hfwv0WFZBdzszr8tW5EI/FlLJ32gwwEplE+tY3sMpS68cHqrozQugh2r5ubx2u8fcp7KtgMsV aDq4Zuguz5XqyEipHJIP68tm42NtsicL80vDaYvvNvoR5symGxwwVGArxCXxDhiyjkF71IghuXuD RdZjGJVZ5W7OldXqYqCHuOIFqAQLC+gAZ3zN0y+mo0O9YGbXHpGxj7OKvv2sBNOCmcXgLQVu8ekK /6cIILwYZ4/LP1ijzQDyki9xYLbB9RSMrmASTBPLhaMOkonQy34IooxzBIpHGSi86oJbYnY+5/l1 rLNYsSKUWy2Evi2qLVMpkQs87Le+2acehB6L+mGkZraJxoZuizYGJFEVsWFIGXUoCiMZu2LN6XJX JTMGFGovEMfxYK9eHBRYMdD/gjeQ/lVXhS9rDRnZYvES6vy/l9QSuELlFJYHmhdYQr5LOP9MCAks gyNj+i0IP4zXbpTFOnZviygPf2KV6MZVK0t5P+23e0I77n9JxTq9RSF0i+NpL8YLEnKg+Hph6W6F x5Rw2a8IFayzl8gV50ds+OjExM0FYBNIh2Ye9EhINoWVGiSoH5flnTxNDbB2EWetB/uiWWcr6lrZ 4r1YBBfrEB/mbkSXUqTeO+76lEsCTusQ6ZWO4Lwmu29M/jy+cgoRxypzsR9XflYOL9MUP2vc1y/o AukvyINfUE5H1NSxN/8LVdvbyTqN9YHQ5tuklayq9HFpuMolFgGVWee/Pir0VvBz/1GyQEwLqyHj AQ6Z2OrCrwii3B+Vy+KLjgmJxJmIkFkJEonxHoEmxEJgQ9GHoXfVI1dUbPJ4sOVYUlJe6uhtzDZb vI/yoNvUZSlKw+gDfklmndCf4htLUc2hXV47vO3k+XwbA6TLXEmeNq6yVclyj70VDgZ6f0RuCl8N 4L0gHYTOuOP5o4DpO7SQZmaTD4OmmGcPrxUblovxIbYxJMQzho2pfGWdwPnIdNUFITigvd6VrKmm 6jzjGomkciGg0cZywajVW1Ib4q1GcmyKco0yD1BbaTH1aXj7GAxqMw7czrtIMk/eR73Y8Km4sqEw cnFWYcNYzgH2BNUGSNgMA3HrhQcBfeAiyh2KGzKoHGHaFzVy5L+nQL4BjBHLXVK+/HhHqAvYlVCC Z0In3RaE4KzvWsnx2xT7en5Lni1AmUgb4IxqMj8UCHnQPcVHL2Hug/kgeT42cHXC/WGRNLUR/W4+ qQXNy3qLPSL/OONW8AdOK4yAZppKghHCgWQH9N8KyUCySSz4ppqN3EsVJHg4lJoMtjTo1BafuwH3 G+33nX55CUTCudetTgzvmwUe8dj2eSiKX1ON9pSDYou+qm4CowtcrjwGU7nz39DGT7ZbFzo8MHNm kG+PPkAyfJRkHv0fR+gF0LIqZT7drSYMrmpXAmmuk/qUlgfU6IMdusOu3QEDI0te3JfKeJDSmH8s kOVgkbTnNq0eFyYMctirnmS6MCzOKhIRNr1KlS6rLMPc//BhG/YS5eNoStH0ZF1KP0/RjdYYznEW LHLgS66yqxzKdoChH10uigIXwsOhzU5YdTna8jUfzH4p1cvYfhQxtHqOFoCMbzeMh2tfvOxRukGp uFDRWSMuQYC+j9ZEXUsGHYdejwyE7ovm1ywKv3U3h8RrXmfAYgJxRRMeirC7OgLF3/4UjSY/ANgg FKvlMu3MT46V+CtLAktyT/+QcmD3/SN3GST/X2DDlRqMWUkvVM2m8VP4xioocp+8cBUaDuSNje3q zEDenLYH1o56UbUivZ2XjRCJFgHRWn+DirzKfbaXgu12eOQHHTAkB/FgMc1Hz8rLv3Q0e+zkb1oj O7ENlCQU0/6PM0SZ6ZS4vU8ms+LQrTuvtHwwRYBA8xxNs8KwIKPfCswMi/Rl8TsWB0kf3S1MAMCr n2qeY2tY3OCtxio7vwIw4eASNOA55Wdrydk+r2otR8NjPNJ82+IbbnCnEqV46O7cX2kFMqwQu0LV dQGARF3gz9mXjCfesD/I+VRAF+K36vgHQdT7ScF4wPjjfEHDpbZ3XiN1lBN0N3DJWcVJ4eZbRck2 tY5FUqhoPVlWFgDGxphAV1zMNpc5iHkdzs4m/cqlUsoJ1tD5jRDrhDw0yUWDrNqfjeEgvfC/r7qw neNT/zv13O2OFjypNmULf4Zx6fzqJy/Bc4XxeUNWv5j/bhbw/npI8Z6kDla/yqq+TTzIe3do3Dzd oW0ZqjhruqozhKt8ZpaXyPbYmF+pFXgtu20fjABg+NnJbcf+rOihyRcqSUaVAFSuGMT/zuvA2J2S OlTskPe+jINlgdwmeilH91QBzC/GQZuVrjYLfdLGAJDNJIPhvAHQNPP+yU8AoOfilpKHjrWj3/S6 fiffZQ4WD3hFuZ6Ybl7zfw4bVKcqJexhblDJAB9D0gEvn7iOBIZd9hTf3BjEQGgmoDYBquJUC8Tz T6sjpHhvX9V4MXCNeQjXFl/66gCI8JIh6LyF8OnnMbhKsBDK/ULALLAXOlvorwf/BsdJYat4lrA+ Kryglm9rKEPz3YwP4yqckP/AlnEw5lxgAjuts/PxuU5FEK+cb8qh8Bc/HkJ9NB674NixByndkc67 YoXGjj33dKPs8pLT4oR1fMznSR3ETJkCD7WcWhW2GRlz3QDF/+tu+sUxq6IhamkPdfgw/w3bd8nc NB0CeQUQBE+wuLvQtU8Zcp9vlXpTFijLOpGWY0bTHH2vDqR88/iBHJ/jFWOeqGcd0Muk/5d5tNrb 4uAe0pSKaLU46gJl1xaDYZUUYAS967BmPeY86czqCDuGTV+rYHZegfhpitaJk+23Uo4mG+AqTIiI 0PEsAgxyB3KYxhh331O7VWED8nBEh9W/ta7iqTOrI5yABfX7/vm/hm7V5+qbJ4J8Yk22CmmLGSKB TeWi1tBeEUySbXJdrllqDrYTKhz5K3pRI9hfU3HPITwPly7jNlqPmraqlmsJ11j21TCB1cyfqJij WE3Bi/tMye3KkkYE+pqYZJzSqHxsKR3W61uBDtAdle/ajqryvXh2YMRgJWb6rLJFkNbOB78rKkVe il2S4u+3FsodqhTgZvu5fY1/bdegw+e7jPgPsdilATcwnTKsAuoVi8hdFmsIj94FEmGTsCXG95dN gjFYuA+qFVKpc0hixO0y0JMp6BYZqofnJsu6jF1vNglR/avv4q+03DaYrn/LXvvlmj3ublfpigaL ONjb0om1ujh1FrRcWdwe2Y9FojkkqvgL+yxZXH7K2IPkt29q22xEOW90RRW1CcdScCzK6mZ9ccGu Z4H0wbGH/762iYWb1iaIv5DOH/4Kpi8+T0xnBsGSeDxGi21jh/+z/FLGwgr8hxJdVjaprPbcFuYL TUnqyV9xmATemrk/PstYptCO79cPs6oDPhcz/n0t/+gWou3SXVx5TeViBALHoPhd8pBCa+p6d7BK tsyDX3FcGrhvtZdqddDglfOKoOnKSCH6asOUv9roYM08JMtvrt1FOKQkZr6eX06h10uQD73I7eP+ LfWo9zN6i21pdBD4YTqtPvdqbc5QAb7bRqYR9Y9jAyNxJkcJitziaiueQD6MMrxlofbfs9zmPnzY IjnhCn2lnO6s9DR4Aym5U6wN/wJxXIFVbYTaEVhXXIbTBANMpK1wEIig7weWrcLEN0OV6M8FT5pC q9lMhPcKvMmWfBbrCLx1HDVxER7JSKNqbLgpZV3z1MsLh3t/oPEH9UoQfYac5xCXRkC5PEbEqt4a mw27VXWjMvGDs9I3UrIX/zM823t+jN7z0c7uvsAGF4ztAnse/BFHL8tzg0WuY/aP8Fc+Wm7eX05R TuwXhlmZ0ChDxF2J7+PiEPDFRHI6tsgRXF7LF/nkV663nwXF6r8vZpf2d9QyY0oHKiZ2s80PlDqt qyjaMc0HnQs4LwCaxTzJHTEV0ExTyOng3O7vyW8k1maoYc8tIanempNd88dJK6veh4wDHoxJgMlB Yt2LVXa3g2mxzArUIiy3SExbKb/ULe6815egTvaJL5cHPal1fYv5i8RLWtQDecbPqFnQ3bXv8snt CAoqwM6WmjHVsJsu+ru50+JNkb1IJHcBJtqa+h7Xq3E30H2ryT+LlxKzWsnOj095LMrQMaVvafdO KoTl53ZXTs+h7YosT5wqmvRPYqioZ1g/AHqXKEqXWPjFZrsBp7gZcR2/BkvJDZMJphzVkZGot2G1 02cTMBY1Ttb51/c/leWtfYrjZE8dnbhWWmE4LggKJJVlzOEh3RKAUWUC2HXMBb0ecKH2mtTEx71e 98wVUPpJbVdncz+ziiJN2C+yU8v9ADm9ldW/EyJt51GwgSvxw6COBSzBu179JRH7Kz5+HOOpCV01 fpCvgkSfH/iPTAiT8D+RnK1QgcA+Ozomn+z1QZo41qPleEzjQAm85MKF7ZDMUUo5Hkiqncr3THIT 1RucMf+mEC1Ok1XE/Wf4V0U8uMRIM7OZRNYofUtn4W36dEtbm7CoIUFfbDsF9EE86M7HgZiKWcbR 3XKK9YwP5q8Y6d+hwYQg8LKt5Y6s7KUOZdaVGBuewYY+v+5jHRhCH1NYeUu/9xWW9zt6ADpscDGc YRyQA6HrciExPIZ2IA04q7olScJ7JWq1U0jgVFAFTEYQ0dx3eItKIiQkv879H2IsWnXcWimEawKo gMjFNLeR/HLlgiqPy9OTIE8MyX4pRzKuGrnQ2fddRQ4mZKhh5dGuJ+ElVzFn49avcrNQjKAIyvwM Ugrivu1KmtXh2citpMaS36gu5jZCH0p8+JMmyQF5RAsDtFKQgjXb+B17lZL/U+dgyGPxXswf0wLD Nvc+lJitfJs5o7PvtV5bVkPEThWkFcx5PwaGZqBpl7WH+e6uiSaTSg8pyA9NVWG2nEswUBd6OBIh 3bBN4K+QVNMLPAxt33D/ymGR7+gRtbmW63YWZgYXM/GcKlmcxGhnYx+qZO5ps+AalTIQtmihkswm ROezwwCeo1KJJExaa+gCAiNRx8cg64TUmLlvktlpeqOoliJslLRI0NXWlk6N+KTSgOAXmUj/4S6g wL18S28+fCRIlFaqfI4muHVxI9eewGjlXsd/zF/ZDD1ZFPmjq7QPUT1BYcUvnrxrvNUA4A77UYti bbXmVwyJiIOYM1unKRfSMfoQ1PkTaW+g0Wk6rwCuLF+fRiiHlC4thI9a2bKh2zmQTkPRoXTxlqL2 ht5uJvGlGdC53MoplF7Zpma8pcHSoxom7t0uQehxeGyuwCN/bSSZxRoKCZtWFQYh/jcqqUR397y/ mDJE4oyxQYp4guz09AnC/0JfCgrG093h1xPUm4AzE2kyxxAZfRI67nkSV/tfqu6TZNYXCOL/Yze5 pUj74+zLB0s56JzIxPjH+LaEG/T1WvKeNWc8I06sa1i/S4Gnx23Va3e2EPt8ByGos2kiRGpKF6C8 5umOP3UzBExQxfbIhKxqCoYLYDaVqUe8TIWrmsi27zQ2AqD37O+tuQjr9KqkJqst/5486hGNG4dn zL7Y1AY+qQFUx1qjDT+NzVev2jvG/qgH3M02HBHSGaKJNQbiSc3FV/7i/r1RYoj1ZzzY8qy3bob0 pJqX1sLm/w4vMDiQxIMcZokYtj20Vuu9P+cadnXFx3+B7KIEwTBYktQm5tOD0LTreYq5QnmXIXcu 7MPnKEcpoZrAnaU3TReDqVkBAB2LTjSWesp/HqNk+RKZevzSrDgPc6q2vDwwO3b9I+2LexPMGbvl QLoK2yK42w61vKAoR1sGwN9jWWWXDusq3p6D0ofPglZMHvvxfVspN7V9w74s3nn7RrGyUuGN1VfF dU0ojZBrvtPkCA7hDAMMZIOecP/IsSmVQVL1oz//qglZWmVB7eIWMSWbZDxmgBkU6DgFuxNomSm2 xMubm1miqSxqlCNWRN4LD7qbw6hKzEbM2r+oDR+7ceibU+3wrGSxSomgJpbOURQeIsD//iRwGDFq fyiMAYF2sQsC9buzM0P0Da3LLGepf7+jT2dfag+FCqCZPLqUlFKkbIup4js8ILMbQFhakvWkiHZf PwXWfzpoIR9NPBeGSkhJCOLNiLB83vLjgpuxTdGUOTLzGprt9MDiutLKHU1PykwPcUj6JpuZvG9N 79mIeshOSpBGMMU1F+I8R57kZTTtPi70pL382PWXo4poHujqGfyMaf0w9r9F4sNb5cfwZwEra80e drlppIwOEjrK7MM3N7xfk8fxGCQEpjR8ITBdDAg7El6QAEWJiVUt3IvaQHudVdirI/S0JSz7/j4j ulHmnAH+RdtRl7P6sEWZqsxdpY2IUiuwYg/XoHzGXqPsM7LHPlyULO5uc2kelx0Svo4B7qPdgvXQ kvdffWf/HuWjLJPy2MzidVUSaAvl/zJmIZtM0vSai4xGhR9wnhS7nYceKRUgJG8i+xODZTxx2JEq WapvBD6UgyIqrw4sTjHusR3FOlUbv/SEqzEAoEG7heL2WQliqnAAKeYu5dGPoBsLcsiYWcEnIqal Pi/gDd1wUDb9UIRvF55ZlkxYCjJhK9+JeUEf8S7xF71ynNn9NXSbYLuDVLlPbTsNY+YCVDMkUO/E 3OAifiyIN/+X1VU0nAKO5y0Qsd1E+6eQSlOAp8EdVQUu6suapb3Enq1Q6eCPnb4nmKffaLQsOdzC 5+C6BQBsW3U3USDzeOC19r2Q+R3/9gDfRNXEU1KwpXTn2RFnayQUaT76HGhlQW2ikhrC+tP9K43t wcQ0fIc4vaEFSFkNexGEUfIpNFef7/X+qibdS7gG4aiT5bBRVf+kBQQlT8hcDyPXJ3QxU1SUmCQX DSjr1Z/nMYBROHjVXAtrcE23bDjH2aWnyTYCjrsolJ8T13aQDNhapOeTRLtkZnCXoYtSPaCgUPon XaFgDTJAGg0zP3Oxp/f5O3w/+BkJVb8Vcn0X68OXWnioQZS9c4SIdgQ5Bn/ad7S5IJMGmRWZivcS GYyYOyJ0OSi39ORtxoGfH0LKm2UEXsNAxKeKyieH9U9NDVmWRZQ0uIWB4FBdDM/tHC/UrlsVnva8 p9af2vpelyvLr4hwZnXXznMy4BNK9fJxxMshe+emD2OTbOdwIgm+iLbAQwTgHIafBdg/3wKqQiJp MnNE6lJ3sqkVzoga6H0qT1R+urbKVRKRBI4NErf8drwW7gcckygV40bQ0eS4r7bbSmZoyccksBn5 8CGyAApl4t7u5HrdN92h+UrtZtfvYWGoA46Vlo3lI2AOlQDkV2CIMizQHNb83cQBss2YnyFcwy/J IkECdDpZ19/GCeujmZqgHNArpuseRfZAXF8z1W1CqplOBRIaz8NeoLkpfArL+0K4jx13wMY8kHos UmQBaexnSIP/JvgBOeqMJaI8A9kY2QY+Q6dAuC9IEjV73b8zlR05QAYWi9BygFTHUxx8adM1mNQ9 MJs0hRkqqUlyWpMdhkzdyOG0ta+eJbbGb00Iz9yfCat8nq88mfyQYT/bsyBFFkI1BfefQ9GKnZ76 4ImqJY+EX7FD6IkT8Og+LyJFP0T5XMJAnYgxZso8psQCrKXzksEZ/WWd+kFNjxmrOKGmQIV5Xoww L8v6aF0WkvPTm4YTy7JSYXf92kWx3SBojz2R5ulvk8CQjDI9CO66yysBm9gXncoSkwpyu9IQsiII tmqYxWPMfXTryeoYCyA2VAPmVcV+712ifcGLXJASh0Wx2XOU5ahZqKlPXx7ejmmh+72CclP+4qPt OXt58shdIh2hFZcoysoZEDSeeP8fQDruEZTBTN8BqP2Y4hhi6Aq4vQl58KidDXXrn/fbDlTXJdI9 /APstevzFqeRQTcmF+BU6hPS19NlgCCYbQgVa2/xr4R6b3O2RUNUhOcB5cXLLH6Wv52lbpn5CKcK ncqbBbHVePRq+ATMZytJ8dpoIFSYR9h/Djhx5MLHprsj+AztwsANDHPmEARNTEQtxQH9Km6w+Js8 VcIIKxCjAz5QL4LaWEez3rE8EJb1F1TmlI6ECSK83fZzKEuO8x5qCcNxHnJoLhxdF2mZa6eyvFJB mRm29SVJhZoFywx9hEOaVydlMFIX/RDD2pO3qAvaicPT6ERqUBRaErmx+AFxsqj5SXubf1/5ZluR hglEcYgmq9pg23zHpX/i9h8q8tOKYdRCjXR75O/GalN7PuwodCoLZK1zx8J4rv36HIKB5ul+y7oF 1s9zYTqIO/8mUHALp1V3U2un1JV2YFG2Gyh9/RV3kjeR3oFsKegoeH7YOe5+cpy4IHJfWrl5/RK8 ZtZSp1eP8wQvHUIe8HY2Dg5i0oYJyb9Mol2kGt6cjDpVcknHqnhHr4YaDG+h790GaU/wb2TvCSs8 QSFMYALuzgVj0Woqs5qbDkBOy5P7V4zDnnWzIK/jrzeHNDsAdYA6GQj7pt+cQjrpbRBrUtuqujpf DhQ7Q3rkGzGHfitK8gcLyQHpUP1RCZMGJA+QFEm9BVyB5Gx7D6wpkJMcjaefHw1uneq4rR0dL8aW QbDPbheIHzRrXjKLs58wmNsfulrVTFdQidm7zYI+kdZwuTdjDH0A2st5BVoSInL+27VNT8DXtUqe Y4ZokDe42U+M0s6g34Pp4DMYFUqoI8DVU5JETXBguIeJ2DpZxb4Rwr/N8hx2Jxhvua02721Kd0WA lO2icG85IYwta74v5zLZyAHY1VqJMETDgVcMpfpvSipDCGQZXcRPUn+LGOqDtt1Cz3im+Pmce2RK Tro8J6XEuKMb7uXAPjW5pidWKiJSyeOQBwIRQux8KuaSA68ABEBFym8lS/XLrKD7KZTrCTm5Asj5 16aU9nTWOjNolk8J+yKom+ki/lbm+F6NvYuyeWY1M38o7FU6tQTTFl2NXpt4Ej3ogxXDZKGaxyqd WGVi4vW8DNkZv4xMPjoUgUGWe4af8nTx0w8pJCGTcHAFGxmy1PDH1jpIzJrMMUQeB7tKXVBrQo9Y jQ/0nrrRiZO2foMS9PG31nMe3XZeZrFZXFwHO11Z2Cp3df2HrYDTy0g7uSWqPwtipoubBWAcoUln woAHLTLiYYunofCU0ytzI2OPxZUly/fUA4VE+DSPnQieH2tpNPC5xjQYZ8lztf3XXsVNczxW4aNI IHWWDoKIcSKQPCC4cPoUwMwp2piQ4nhjenMc3M2G9gTzPyfvXAJ2giA9kBBnejYF8HvNVb/ynLpN YKQfaZHAbXlp4G81LL2rNmoPIGZ0JShQFIxuWvm4Y5+h9addcCzTGTDgaCX0jgTFh5PZmhAV3+yZ V7Z2v7wXcbvnQZv08V3CYOkiFCzxrOXUHV6Z3+cuV4CkO/pX5n/ufkzPq8zwvswn2fz1Yy75773G 3ap+//y593g9PjWZSrR/rhqp7J2I8tGNtW8/72SFMZYfehvqJH/YJ0tDF8mB6mMfKQKkjPFYUTE0 dL9Oldqb3cvQAJQQIKinC8qCBKcZHUC9BcEyV57ORTbZOgAaQh+EfECNKc7T23vt1cNAbZp0Rb+N S4JNGtnUJpyajR8zgEQU8wftxtyi7Nf/IkPPA+MAOlrG0JCpexHQY+LmcvcJXJaEUGI19+WtqLDB 2wgb6LGeoGL1FtMrctvUcJun329GIc7OfySqXhMOu+F3q1S94rmIxdLZaLoW1a5pBt3Fcbjq03wS QLj/1yc/1QXpRRw5oTXCykx/abgreaN63W+eZeGmUYmr62rPzgPzUhQ424CHtOux+cc85gNSsNWE M3y7aEIbBEPumWDYiOSYamis5fyBt9t9YeHLlVhcI64g4k2MF+sTouU1XQhpAy1KWb4uZa62NoDZ TgG1CJZkuFhD+xgZZAv0TVnV+1aFO+gziCK946t+Lk0+I9/fwAbTio/i+idUaGK8/fHa2td4E/19 daQ3RhrqDdMLnDHcKTFyCUdhpLJVqKOpmKzUo5j0+RdGqOdPll+kKxZLC/aT4tV8oM0L9zR8ELXE WGHFMjcs6HFmBV/B5u7DKSn32o7LiWgmjwZK0a4OO1LwYZjFg1eGM13dXVCK0A8nNQSpqdxF3G6d K9QLbmnvSir3vaYuHopx9uwTgAKlaNpZhzgEYDOrBpF42jP41uuD5Eg6F39ipaCtE/fYsCJmZ3h3 2FC4v3YRL7q5IZPu9iVpqr1YrLwBl4CJjEWkOt94R5Ne2ndWbxM85R3jvf3rA7BUAM7fuUL0qXbX 8Npq9KjG8VCTcciOxDYX/nD8xR0W6mleIWAqkLAPH+BjgMyoUG3b9McNhlAQo/iu1ELRR8G6tARh OVYeCGVxF8nhcImvhU5jwWLnszOipU3pyaHd6EVFMTKPS6q1eh0YrSIPg1jFTs4dkCsmQcxu4l/d gOEcrpqCM5d8AlnKjdhS9wUpX3b07a4n1KDLTInO3BKyY6XVFIQKD34TAmjCq0wyT66rs8AAoNpM rSLAs0o7S5JxJH9Q1G5NnvKfJ4b7ymSl5kW1oJP7qsCGwKnYlHSN2EpTBXhWqjm/lC2ZIoI+NzCb 75O9PJuYLRTX9MvbW7nDAHYM04CaO84YE90IUQkXfGp+YEYA+9g/t379MZc29115VBPTKS5GYRY2 C78MvlfCEoLbGb1F30PKoaWS9vYu5G5CiH/f+Jyrqk+mqjGipxPRgHYgzMhDfK5iikmtrTntbwqx XOdI8QsfHjU0LEKPRhIBv5xsqMyzg4IrjHQ+vjcvhDDgpUOAq4TO6wfhcN+PRhrGRSh1tufg+wGj QYWvDMtekgDTskZulCh0d3tOrIH8w+JWI6hXj6epMavkYfHNR8Agx9vCvr4i1yUOw45CN6mQdB1b wouvbIM60fk3STd9j2tuivlVs83j8SYwe+yW914WZkpcx0fgdwICL5dZ8U8aLW3h8P+yAqAaCMmr Kes6ljYgK6pCqYRvHvMVCIbjPXWSu8lw1iaqHGJ2vsWGhe2F+4w0ZtFHUF9XhMrj/LRVuKhB8e+p ktZhrbdGDUWEDTqUP9VMQrp/hjBQKLAQK6VJ6k2i0dh72hoJfZhRkxmzXnqSS1A91+M5/vUyNSvo 7vkhM1+1+fQjU8oKdEowST2makSGbIOHA9cteePGMr40CfEfF6QDzFtCU6VMt2QpQdLqHLRu+OaR r6e6jcDgruzRPRN+rr8eunZmYsJfT5eWPt2sfLvFLGL2dBekmDbjGcGql7TcyPH0EoHmSL89JjG+ vjK1fTjw9bFLs17NBo8fgbKqaIcTKfTcLZ5T0kBRKqomRphrI7hD/0JL1bDIpY93ZkiEt32yRaqy 1MBV8GOdAPdpy1JMMb5nphMbG8AqK+nTTdoHO2L7MmDj6ZW0guFwgKpHMbL+Gi5nfzkWh33/4a/0 s5kkFpaoL1i5+I71EC6SAy7YDnmkbf1Pr0zOYsKRawg1XXoFy+mGcvZCL+Dn3gs6lKmAHWQ2geqZ 0ofp7tqomxy4O/xJ05QAURZGQWvQP6IhNgaxOqtEA+TQXNBjCDNefkVONYVT5GDC2qsfJF5Xtf9P 8LQb0hktmYloaX4WHDXMa37WEGwylTm6vKZqGZJ0zuqIhpseykJS7p/uTvtE3wnLCNTap7tmp/Y2 rS1jXJOHs7MeUpBOIoT1yN1tgomQkNnP9rgrSVWNnqH3mXzy0EXrCv3z4NVJy2MFTEL4bmc9Xxce lb8xZBWpLvrZfQd4HUabJw0ZPUhjixZbK6NNzTesoiviPf9+/U+wH7K0/giof++Nup+pogmDcEF+ dxQfJvfutjJOBiebyIskP+1RAcF5cyc4R6/AJpFUJdkwG3B7o8rL/2giWp4n+KdfSK0ge/6eRkh6 x7pkCX2A+zIs3A183BPkF1afhK/+naNaLNhxY30+OwbiA6v5TMg9n6psVW68KEjsxDvmw67WjXvV oZf2yM9lZzbjfI0V78gyFRtzM6Z1/GPkNbdpTxhkU9JVatiMrSTCPoR+63XW3wljCZJivGw9KqYr 5MQr+6uSpxA354odX7bUhID/rGeOiWgye4r1AhVxT0TyXYDaEmBVtlumhdKuvjFESjG+X26nrNS+ mNp+G63EQWzT4ALkHlnN3AtJqXaHXQ72vdr4PrFiscoQBivqe4N5kgUJzQxmaA/udaGr5EkMgLi1 0xus1u24x695N5rSsJmmQ9X2YHGXG0DaXsRWYSJoV1S817fLTDmgVY6GYRZFKxeq2hHyz30fg9w0 5+bbwlIYnlIkf85pcXz+yJAWtC1zFLNN1lSmmKli/dXBSqo/kWDlPZSNnF+XIxlOSvlID5wbfmmT wqIoF1fFN+DZPsvt0Uj577/n7O5VqT5TEt5BrxpYrT377Q4pD/lIfv+7EJyjEwgVkW0ozlmXMqyn ibZaStNTPs7k1ypJlcXvXJFInAK0IZwlTHxQh67QdrzIp1QWUKFlYPAeOMRWedNHHGKk1KW+4Llu YRdKumgx+k3D767kLkBXjMt0zxB/GSXMTSm84/bC76HzdkGmt+cn6eh7SZ5l2Tad0FCh4apjgb7u 6wNEj2gPHKq3buWr5VfrBJF84JMKcw1rdlWUOGSCeqR7nJCx6NP/BjYYPjTa9a0fWFVQ2SOq0nJC I54GzIAs0asIll9Ow06CWww2MtrXU5bKdGxjTNGQXCwPjUYRavCsgGTO7Esty8GzmTh5Uwo1LBNu PGfD1jhfKUtXytYfCtdpJz5EirynFwSaj55jzb3NUFT+k7/ggn2eNK04ZA/b4FE37MBvsKaUlKRE m/Ikpx4OnCxVBWmzINj5eaHHS+RB0YOIKq37bYfSyB6pQSJkAqNXEa/5um+MaKmKRVl2EQYbPCRY fF8qcLKDmonHX2pKoz7cyLgMBLRXRNrwYDOttFkhCK0nCwYipqVzqpUKm5S28QVoSu0zqjXtBPmO cVx0U4U/J6jry0n77ZS+BbSeJnVH1Kqnpm+patBoc3nKvXkU4kI7EaRZo2wPyS8bRZtIRAdmZpfD XJC5l7MLtXjJsGEfrLg99Vinr+/sSFSg4398BNT55rZbjfzcShwNYnVngWrxaU5+Ghm88QrMMDlw 15+DoohgUQF6kg064lpqXeHD2jJcnMVykT+dGJUA3rFc37UBA1nkVh1yWR0dIlOYV0HIwwh3R6iK BP1/2LraSEMTv25FD1gT+tl6uG2hzZqvbGKfkvaf8G64WYhumD7Bv27NBJJW4/ed6NguiUYhBAVo 4MBtv1WV/WoaC/g+G9HzGLRt7+5IUERVtAEyjfTO5HV1lW6WPAUkbgI19oLsUbz1SGHiY37ILbid lmYbuvixrg5rCZFF78CPnRGE9C5TSrq3ai7u9PyEOrFZ9pcMldUZmx4mfIRmDCiqyHXNTSUpRtxa QFcE9/EHe/Z8mGFzV5bJm6jZGK0tW3dRKSfKY94v7S9V1qDrushQ4F9SUQhHMxXLMsFjIojyZA5J 4SsrAHAn8eWG4mNK5n295Rd4z4nz5rNqG07JfcIdz7rNXh931UaNCDs30z3cyoEKR7rJAg6kJ0xi 6dTAVcoLn5EXgs6QmwAlbdq31YYWuRbz8GIevwtJl9DvxpIYeaW4e3rkG9zdwjS3YPYT/oWygc89 Hui7u3Q4EXEKSjqdP37dlXleslzfXiYNQ+AX8R+s7X1fN4igH8WF4Kz1GV6Rm/w1RZZsSZ8Gw1M7 FuzGNANb6KQhMTdnRCdfBvAJAy3GvdNGKm1z2qANvy6Bv5sLELz3c5itJqzhbhGw7wbECKldY7Xa Vvtcjuqciw2SkwI1cQ6HW1mtAGDgae8ZTNJv3p/NmGm4LY2kiGBafph81wJjLyL62/rOq2nQuPkj fbG3yCtuKfGfQ+u3iCHWfUZWq1wLmznia6rrNJACGwadIS90MNFkj8vWP/vn6oDbWLaegkrH7SZ0 ciCWfpWCPqDwUnvGM7zO1ostmC3/MBQIvqF3MAKMPTtH2MjoMgUtZ/Hvc6BjOvIx+RtC4Y4Gmbly 8zAe1OW83uPumLVXVQ5AOBaimRyClwrunEVfcPWWS3ApygxYc2CLGNq7fL6FYBrhWsR5x4dr/vlV B/FSBIWlrgssy5DyZNTAcPV4SRLIITgcu3/32PENIerXfd268xAYitRIDCpQiF4kVtl1kWSY9CbQ HTH4NLgUfsSkHWkH2PDEp/1z+j64iVA9ynLLeP4Cipendnw6wb0uafXDqXTwb3L/Cuine2bZ6lKi iekT1zVAVqHIfuzq01XgIdVP0aZYjIkK92xBVGUiMcFY9NpequNyQ3rjcTmWfXNxNjHZ93zrdMUJ sJUnN/FUKAMLx6W+Z9rAFv6bbdq6k5HC79b2cmQEPzDvvrtu08bKgyhxpmp6NK3t6RW5I9CfmBws /xXtMWfJ1RBETMkiIhGxB0rZWHEJdFz9GJtEXWoM3hvnJ+lAYDNai6Zbt5FVQHQ+dX2shlijgt7y EfiNw5aK4x2K2g7JkrbitnQ/ftpByOPvDFORb+Y87IP6HDtzB0eQ6fEe6BTM58She+QD8ebIQk+8 tMP4EcjvvgCCUqVOCEL6M9c9G1GIF+/eeyBZ+XMyZwUEdn4ggZ1aY2MZWAr/qa/LMxYjH8vit3Iz jFk9Me1LIv9LWXd1J0lihNUL2qAPagr5F+mvhId2KZs5bJrSo3FYwnUa3fxmN6IPiR/RAxZV8z0Y c3rtQgIIH467qd0pd7gUwUA9+yJilQDbny0FQAip1QLU4kMHXrSpM8m9r9AjRJ6iV/GggPaeWPpi 8MEgogzyhznPmua0KikvNWjWwujZ1iBjQKCmjt4ADdfTYhAWKse4oKl9U1JiHSmpcGom5i0AbWCw qx0Dyi5bIsAFAxIr6LiclipxFiL7Gm4BJ5luzAU7/ioBzwGTDzPEacpQAbFQCM0bsi9owyChD2bU Kk4+tCCcWSHM7FoeLOrj52G7Uf0Ft8owD04euda8EIEGLyTkuOcZFkF1DHbXFE00HUeYbb/2RLRV lAtiuS0OTiY8GuSQ3FOQMuWbKMPMCdQs2IeNiqPxf0WQEI2vHSmS96Qniu4w+jGmVLUGHZjQIYPu fIvXg07RyudENVwuM6anuye/kvCTyjhJhkgReWJYc6ksmtRgx3toOCLiPVlEBjQRR1USQqSflbIt Q6NghVrbk32fq8WBkRQsSv+MEzrYtxlPuejOS2a4RxinBBBrEZwKK+C0vNC3lrTWh7QzDuaWejE0 v0r2MXk56rId/Wd580CIYclnFupAKnXHk692/dGWAcp1TzuehQM+hyRTsvxUv3z+Bgz/RZs7KBFU LvRKFxRJ+x4KyFwKT8W11BgiG9tu/HmzOYQcy6Y28vG//iBk7vkI5OyQNx2f24H2RJ48q08EzTci DbChatVoExVvx6mz0LG97FL4pdYjI5lpk2D3pt684/OV2BhQnhh9HwUZ3eOhFEHjfLtbAHWSetyu u/qFA2ug8tx3Ks9Y3M8yDY/mfNmCHss9uo7uLqsH389oPyPNUuzM+GaI33PBnAGfAJSmLMF45eXL qfPMUT1lPdTf4+i4qyOKAaQ6/oNRFnQ558alQQ2bUFyKFxS6FY0awmVJ0O1ih/dvANXTRDoabrcU ddu7raHa88boYN3gi+fw0jlSID00gYGz4wwaq6vA3F+61ptIaVNDeXRc7Kt6SEGepCQ0sH9+PNy6 qveT8rbJYN1LHUtPDCRiqfmY14VC3udqB5inXfILdYJ4RkckfgX5EB8Cgy8FLMLCb/XsVDT8tYA7 TW5VAkVmR2gHo+r45+LqC1dsYD8Dp8/COURp4mHd0z7DFYOvzTCWYX6RBpzLkT2Tlu5AGz7WmjRw pneYBCeUWglNV2sC6QpwCBZ4sMPPtt/uRAlld7ArgmEHkl/VMS4RbW3zdmy5qmwEFQnLMJBeiosb KjKJY4tTtQgZA6EAzGoJEu/7wujPTk0joNRWQAt8aqVZ8ZaQuTIeu39B6ZAUJwKyDJFKQVaxZoQU RsHxUVpyysT2czeQE8ABjgx0Drb65xYV4Uo87wJ++B2utDyrnDfrzU6iZDnzolxCmO5fZU8dNmh4 6FtU37150sfkFkAfhc6nPYT7z7Hnn9ZN0Ot3fZRT341sj3yIj1Z7X/+Y1s0ASVmotXkaOgrvKKzi 9T6Pj/j56RaUYYib12j5t8+D3HYEh7P0681pipukcEdLS39MiAoQJ7waAGy8s5e+kfvdM1218xAA jNS0YwQAJCBVeOtGcyvO8L1mzGtMDoyvrbdhUhpm1NuoqJ1PbQuz0tnH8gZRDnkJwYfb9LO/Aw9z xUjoWgsbZKN/Vr0fTAPX+VCU2DUZsVH2N006XjshqXpR2bP8c2C3ABHxFvEUNtasA2k5/lPlI9Or kIz7cNzg6NsohfdWRpJoX6gBjhm1NjOFdWrf5IjjEJDcxWBmF5AAM8tibIgYij3Q6KS04lbHXk4h Uk3xJm701shFwSJkFdvLS0fJ7MGAAfUku94z06kROU6AcUBMx/xVM7QfcwuoycwWLN2S4X/44vdI 4t9/ojHDoRlasO7lkc8oQ/B2Yhvq3z0RBb7nvfUrBOgzisUFSS50v3JL/LcCvYKoMZV48te6zZ7G oBoyjzxfehZkwvEdqRG614sjz5RziKESv/BzjwQJkJ/abA9U68lqtNCqgadp6P72VQXhafqF7/0M /T4BEnZyglv6SL9DiBhmOVdT9Im3g4TXYOAAPWwu4GHveI3gSC1nGssiOoeSvW6hvrWFiybJ/9pS jKLqqbwh6ixolKkmvbrNzYCmrriT7d6SDU3jSVxCPkgYpfsimgQ0ay0WB54cmB2iN7LcNZx6RnwG /thNguQsvJxyJ++c2LsbVQxSKxjBQzUmQ1K13YfG9f+yA9xXY4rUCNJ8k4zHLLYrRcb84irItVcc lV2yR/zdD8Fp8IAHWwvJ8LAyWosIQ3CnFaUEObfsGTNCKA6l3rw0LH2LSpOgv4WjCQSmGGg9PaUK LTAELRQ1XVXrb5auREoMwrWHDWLUMUyOiuuQ3CY6ZQluv4FM/1izHj38Qxl8hPS/fqbbYY2kY+Vy phbe1NndQrpjHa0WkFZULkI0Pnqxy1YXNr4vBPn/d326yJBTJKANJzpNOhgNz6KcuydmGJgsocIh xKlbkoUHBVDpdmxtyuzOpBjExBnsZZOOL72I6iUq/I6lMBNlXe82UR3HsVvIEx355IYlEaEgOSWa vZkkik2+1WwDr5pORD1LS6AKQSdX+gT0AGsu4i5eBUuKzyaauOizY8rwJBLnpcmbaeMxLKcRvAyE qV6tak1PKhZ9zapa4hREPZ/iEuxxk3z9JmZ41bAqPbAKERGpFYdS7nZc0eG0pHXJbcHjstvegF1P BvyEo34X7cLLV51I4bzNlpxJEnpgu2K8IpG+HGfrgOW6AvBCuZKm1MK3Vs4WRD2JFbvYKEv8vg9F pG1RwT8BgPhI4V9ZV1rCWxsXYunoW7ULsNE3jXTmHQlNLIV8g9U42O1etnusE201wfzUPW0QHyvs o0E6C57dHxSwTsTTn0lTlcUWf60N36Gl9YSq5N5S11Efya4Cy7LH19cuRMwysW3gwTK0Nw8rKHib IUL6wvRyiccmAe6O1D6GF2B6KSWWX9YgEtfpHup7H0eU8zaW9b2b6jeGoN+EPvvJQxpCeXbA+EgG 2wsv18gAXKZZ8KpijWUj4C1kI1cahbTvteVOGjzoCGBjLYolwpkLTVGGneXRp0KWakn3WODzUoN1 KfP1zsQnr+x5mnJZyX/BQ9cpq1pjaD3siayBq0zHBNKgXVfi7Osd9hcqbenM5t2FXM8EyZHA/GHP Zp5nuAiVlNwat4wenqzi1imI5YtrwFEJdB5ei6Uv99DSgbfs/IFX/FsZWqbpp1djXVO9ueDCo6yN VvmVgijF4LNAtJbrkraUYcTc5GjhHwI0MyXB9L3YIgXRBYVqbqZxEnrTTSibFrjHJQBjrBgFEkbZ ut/ktdlZCuu3X6a9BIUyXDvceRctOzYTbgzZ+q6cu6tqjby/Ku1jB8bfJWx7E31fZGMrOwb3vlG8 y+7/OBxeEpEcUnZNNn4STMIsgUNNEKN3exXwszYKZtOGp0aJJr6r3LYPZNzdzSk+oJQxS25U7RuY jsotRQZKMdWAkJsW6jGDe+fNxTcetolm1xRWdzG7nwMOdM4m5L5MEXi+Tm5PMhSRJmnvrBIhGLTt AM4rwVqCo6ibkzbWWtV8KJ8bWCP1T4dcucYebXSHRoC9pXjnD4BHty0djDyHTqeLy4HpMmKrjzJq +hfS3l1aGWpkz9Bf3bGVWGtBYVjo8fjwUoLycjzR7jcfZmw6K+uZkpt7ko1PjTCux1LJ2bMbI6U6 5vrsL9Mejd2wze5KvCx+HeOPjxTDzc3PsbGFL4CvarKLqXKeKDZ00Pn1sTICWxR3GoYPdkVHnf2T WHU9YcPxTPw4luznvgmcPhGCt+d+0SoGdoUjOkUXLHSuJuAbqbX9VuHk+gKQsEOKWDNyRHPYGc5j MkgQAkSDLT6eYWObzAi//8fDLnLgLj0sm7r+mshQCNuMGAH2jVRdIs7G9Lz+e7xfWH0UWRN/Wyh4 4TIzfYtvGKbqU1+DFg0JK1pEPbUo97SqpnKuUt9hF6jzSxZ0NbteWfql9p/7S3l/+QNAidfxPhsY qF47saGeNLmMBu9Kc1O515a3mqnPRAzeTRJ13AKRP/b300kBrkrCPOpnGpR7cU5RbNj6uu2hVhWv YE3orzg2pSD7ZB0n7K2cJROkKkppZN1ndN3GTsvjBaPvADdgG+C6Dh8+dn4I3ix0hDPIVXDJ2eR0 Si/3pxFXkaE3O4EOZ34m4hrT91LJthv544syE1Utk96GXBy/ipawXCrUB2pu9vDRdhIEjlYgFhZe fI8U6IcOjp0Uv/Gw4iN+eiqCfxTEoVOkjuSxAyOhYFn4mOzEfp2nqrdqr5PNZdbT/RFEQ0KWsael 7ZQrLBBrQvPtj5VXfJ3Kbj1xrPmymEr02mOYwdWkfW/01k8/ovIizqH1XtT/sBN1iUNizBvNo+jd QvsIoqSpMSejQV2bDO7QKYvTKApFM4T0hultcBhJ/euOOaweOGxpndYCMfn3K27sz5/bOSplVape NmeO0xGLs/AahuarkWiGFHCIZqXlDGTSroijbUaG3gs5JJfPcgQkQKZXnOt31364UUzHyVJKaEUk pcpGEUBTwq7dw1NkuW5MMgN//uEf6BkbVT2lZ1A4X7QHLZC+YjEPKnla+ObM5yd5Z/TVuDrfC+Ie KPnp9XOEnA+9awu0cceOIOe9CgQzCxEI4EWkojIWmOViB8ieijV/3x7WqQb3f9GYasKtMH6QMh9t rOkFezYfO3DgKd+WWiOUphW7RcLKB0MNnLqbU0DYwqCPpl7dgC44BmuTPn4aLhn4uGUAOF+vFc3g 6zUnvC4/Up8GEjtHXnhv3ZmOzD41dAKmUK6S22S8K7et2IcOrd1jygjrrgHDZWpYFoty5LawTBVT NryjWYC0+Zc1DnxTZycZ1U9RCTc2XjTztFB5/LKx/9HLMNrlM8at5CcaYCLrzdXp9F4+/S6f0bwS uX/EY1PNWn7VEWHZ2Vi7//yN58ado1VYkWGXYLO6pOi2HeDkBmUs7CoMbCeWlKIbrWCc7xePUM4U ewyyZIggMYnIRCm6o1IiNBMWFnjlr7HkLV2i3GFsUDPKGze9ctuF9NYeAOf7Wb3Y3LFJEfiDw+dY DuN3n9lct3L+pXnrsfdixrxst2LYmw+C/MzDQN42JPdxijxeH68XJn19gyiMmtOblTQFXPFnXWp8 owlk1DZaRjDDVpxV/n4pDGBD9ugJ+FSQLJ9MLvWkznKmejO7r+LnREvHHTn3QCcwof2yrOydSAvd 4ypjPoKBsGV7GeeDReUQtrVFSY20RPKiLpeS3znNfTxPJmOpO4MAnYcsCUsOVdmExDUUKyH0H4eZ fBJwlK4koMCZ3pwW5nuI+6StnE3HBHe3UxnanuQAKKaN12rqDF6RHedu0LTntAmgElytnvGqmjNQ QzZ3XOpCMFzxzEEhUP82gUUvjdaze/E82RMBbipl2X/g3A3hHe9MhFe/9/IRVFUUv8Y+w+LsJyQd sVCgu6oSTtmp9aB86cfpysjdl3RY9QgNPkUuVCPxGZ6Jhm2ZlOqWcLzRg5CP82EqKVaRfxxCr/yu XkcILjTIv6secE/emPYukM4vVkJW21bkbk6eyxmUycRUpzPGJEcDW8ahaPknxGwTYMcw7l5eqwV2 Xus86gFXVDnVJr0ELfY3BwB5Tq5XXPxx69u4E5Sj3Aodc/nIuTihpxi8EkYw7+PKSuZ1UoQh7Q2O VqKOAUi6u9uLe3sGOC4sGUE/4TjerGRtTy5JsHR3NoEPsERLHlSrWaR+NR7YwkczMNdvD1n6+p4f sjE7njwKFGF/mBsBOrHFxJAigQl/VnOOMfwDitCUjc3FiBZJJV34ceOkO0dYxtY4B0x2z/1/NFgn TPfGtMJr9/xWb8Yvf21pes99TyLA/fAg7A5z7oT6RRx0n1an/UkrnMMBYHpUutDWGuoD/GbxwvFP AZbbpIltaCnlp1gfcHhfE0/2KOUESJgex4oG/4lDtmFUR72vymSaR+ibZXwooPMqRyPRtaBUGXCQ dSOa2eySkYDJFS5+usQA0KW19pr0FKgtaBUHpkwRBKZn00P8U3pu18SKUpbYBvHXm3FQWvq7/LND daH6V24g10kyCnBdXSGCgq0rMGhqVMo09E/0+Q632IUeLxl+uRi5GYi2RSRKxb60Erq9UUJD2/KT Rf1fQkVSqHJZ/uc/8rKElnKuaFDqhKx6Zli1hrOaIDjtFvznruH7Zd4xSSAdU2Dkik5ClZ3DH/UM SeR6q6+NHY8NB2Da1k5bOgh/YP0DA2klp6dK/tSURNX9342gQQfmnynYC9+1CaLIC61k2u/PAhpf lS1NaG8+NgLOLuNtQnXkaJ3y8oQc+5i0sukHNbcE6/glDZ3nlEbCMzdJSIQj3pP2+DdmKzxOqnNm TAD7xwuhzfJr/C82CB/c20GVp/C7z39BMhRcMme/ed9xZYGPOzBEp6PpeW5LylgPTogUNyy75UDl Xg1yyFSrq3rhsSU46xlWlqWcFryUW6MeP989NU89jaez2gBjh8jRoUVMl24X4QzbRpfTTug4DOeX 6DpEMxmqFCxiYP2m7XtXy2w6/p9ta+Ncndcb+lBNZjMB7JdCzcBlbBnJ6Hlh+t9/rib9Clt3FEWn tz3wH1AC0AvjXLzUHfTT0/3fuklk4LBLuRcgOePbvrMFDteSUaEpqeyX9pgjCxTIlqUQXerfR+2S 9nJVg5jaKMhVUolMSKVjw1c4lqMIFRt97+lIOFM1YJXVTCJn8UMCLNj4GHgqP+PoJ6aJRylbFJAZ rsr9xEjhgUFnUbctZQEsP2YiQVGAnL5H/dU1K7DoNg107iQs9I74AWckfwR9OyhpmRpEBvwpDuKx PSwOH5a8xttbtR4dZkEgLbVsEs749zjQG1UPldVAjku6H4HYH+GMc5UeDl/vGvdZTdgFnUMEQOig XMx/N1m/3J3LBE4R+1s1qC4Turl9Gz+pSPPGdtKCvTC8t6wBbnUKvGEbPpgH0HrTLBaXzQ2Zj8lL J+9ToFMnkaoSV4K23xVTC0/LbY1U4gyVFpDUnEsWmUILZT1Lzf408sJwoHJYI70MIUA9Mst1TGY7 v43JUWCuxsE4n1LeUBGqOpiRQcYnoLREdQE1Vcoqn3OrS5L42Zj/1jaLvmaKahaYUz/Hlx0h1ORd meaqmo0VH4n32qnW5jSLGwtG1/u1dowulDScO1jD/d1QDCYD678hMtaH+rexxpTy2lOC0J0F1gYC K65zae5lDfnr5DDhSQzxMOTCKjI251HC6UYw5Jw6Q6dXvn7THYZkGyRK6fMGpmi5I4BHVQg+KVH/ 60BOylEGzh8+Ee6FnK3iKL2saoQbSwrSDCKdlZk8840IJDq9zUvt27OAhOMpvMQeOX1lgmA2C3IT M4zzLsML9envuVkH7w8swAf/bn1OoV2aNBv5XENU1VaWf608LJ/b4hcsaH1LV7EyDnCvKjD4fJtr TVFeLU+gRA2edBm60o3mTSR/gTusH9jxQ0QbYe7uH0hds4JXoRCL3IgpW3WqxnvR3lWTYgUdAFqP TNCG9N7cdX/k6wD9zQ2vEiNhGA2Ter9y5WdV4qcISoWTBbROiJjy462tjG1+nB9JIpFxJaqSqwtg CpcqCh5QsWnBkNIObGcLCWbjfJHHCvdi9U68FUsGXN28MZvcs3FNq77eLgR2pSBaECYpMt2hj6MV pHcPGRxdrJ4ZU1IO5AKdL5oXDoYHqZmUbiuXQuCFUtpPap11/JFB/0CSnIJJQQ8QxK/UzQ53qVXC m91gbwoTlJg2SZIpyh7QvCYk7myXn5hsNXFgygUGJ86vVQO8tu8Z3go1RFgcXjaVKOooXU1UqNeZ +PEKQSje0yu36W1VZzDdR/DtjdL3OtoM/0v4VpRvsKocSwnUZePOdCkI2ymP0HUy+eGZJZl5G7EP 7Pa4YZbUQc2NO4blr7SExndtw2jh6Y3NMpVadHbEmDPlllEuOHq7i65XMRI9U9T5SdUpCx3euN1u WJXJerTIh38BpECODgQJxMGFyeqSC0XxX445zlyL5asUirfUpHBzcguVTV3wH+eFJ1ALM0jfbLAh kCGw6WETSTk563+ep1vL0+EUAHmNFFvRIRhsaYiR2xr3idhf8GqjcxkIM9/f4zTMgJzw2cysm4Z3 08lMeTOr7CcqrwlQsonKFb2N/UFA32a1pbX6cT2r4YnlQ+e3nLohwMZa27ouX1/pHCB+0neol56k wVVFWutdaM+xPiGI7dIyrWZgZcYrQcvdsfeNT2pSuqaUWJdZq5OwDluE5WKO3jrgHTjVYa86hh6m NEexzleeVK1wkyrunquFPQ8SX2qy6+64CMyJ/GteHxJsm9fHBHlCaDj+16Gqc3HEi2uIzYI/dRwU VxtbD6+tQoxrpZg/ax8ZGJUAIhUmfDfeCcl1beyShdG5lBLWjcxy+kBd5tCqKfU1P3aHqso+UFgD A5Jsw7CcPAMRDaPKnTybfItGVAxgSbVOxR0CmxyUukbI+fht2ZT5IrtSb01cRnDb6shln6vuomuR N6SS3UXBu1kf9tDsS7Xl13rb5aTY0NOIIIL1YJpjWYnCsLz88BhJP6GkhOLX5xtw+ojoLAtwSS32 VHweCKFheisTYEBIxX0XNsOxqCkTh2Ot0ISPZZ7GFa34YS6MJQQEJ67gsWuTy0B5tTE4QkSibPAE rk2b0NZNjFcBFgSYqnwBBMS2eKriRIYQwg0WiJs2OrU6dNeCGkjU7OfDSx8SZOl/720+B4SbTygO DqCqom77vG+T4fiB1Zh0txCM1hVH+bwy8lDlbht/aaLztDfzePAB09iE4jBlRsdBOQnGd3CyWvfR RAWADJPtGiqX9yFw1Vp294AFYfj/yeIzAfDCMsGkSXOlr1z4EkSPKMSqOrlJGVw8wgbTF9FXZB2B TY8PBiBabWAWPfwJB11WM8gjTsBuSqagjyMIc4wo8zFJVljBL5kyTYbSV2du3zt0ep4ZypUrrFo7 0duxwcYVe8Ov4WhkoiedQ4veH0ZfSuSXY33tFAdc51UirsN9f4r8esYg7kutZSgjdNdXxOHDPfSr gHaoiOT5VrxSPJ2lWSPJ1o5EMpO+6xPJAREdTqRJV9WdCDjOL8Ilny8BWGarmXZnexlCpc+ZyG0h 14fVtTpKe7zi0XJmPTwjYB36sTBZPcIYrA5ymmsKvAKKwAl1bGB4+69UMVHqAKHECo5OzIBqPp/0 CLeOC5CK5kPi3KvEqat/pRO0bKgGkNmEFV0USREagPAHcCRWKn+XpB7tn8MAC4GQ3FxHtw2+6JOm iPo96+9wO9kp0JhlHEGyVVO+2l0988L+b/re+jIQ6oFxNhB1KY2geOyz/Rbf7H4eo9S41ypsaBXQ 1xOS8HhTopjApHQIL+nQ3pJJEqj+eH2M4DcoGnAgi1Xc+2caJphPB/Tu+coSo/bLa031C71a3XQs /YKDkb53JJBTzyiQUoeinOGjKNqSi+b7JiK4h68ncGsfCf6y/ax1SH9iC397+1NqBWaX2x9lNAWX m9X0iWXvCGJJrfJtE0aRddRIkX30UuTYW70y9ul55Q/6/NeQHiGlHWztvv8zvLQbteMPQgQ/yfAh 8kVZF3B4zti+DM7+wnNMoGeMfqXHxltQ7x4hdjcmdFKsJ/2dBU01PQGI8nacBzeRdZYMnrTf96Nz h81eUjAPb8qHVYjObzeEqRJXmM+2nG0JjtdcdgrhrejSbiCTRhCri9x3Yb8O6SOPEJDjIVdvnZ5U k3kTjOtPQCUPoVT//sbNayGNSnjktjz0fseQHE0LEMPGj/HfC13ejiX70lu3bsS/JCQF+feo2t5W vjNvDA6Q9a6X1iUi268GKYLckZ7zBHClZ8uAeWQq/MkPideFed3r1RnpGw8dMDA9SBBxNA2hnIn3 +JOc+nrajDdqLVh6PdBAvaM7KgmCDngNsoA9a0pdV1Ligs7DvzaBJo2HuHPJNcBA1JML5xnRL2sZ qCNtCipMsKCkNkUVAlrZxmIS+oY07jdcsoco7PeMcSven99mfWYHr7B0XxkWjoREkzIncTSftQlG Wz2YlKEQ5r+laCjFgvFKGZ1+v6024xWVz65uYM0TaXxr0BsUnh6MHkGA91gbrmsQTitItOiV23sy xjaz04ipi6OD1keOol5CjDDZ82LJV6XjVQvIMSLM2s4NDXyXJnL0PmOtSr7vmTlqJiFllLAlO9K5 T/0daQ9lJOxxthJpEGxuTHaONatahRDXjH1o+vOOwStgXplBbdvP4bxiZG384c9JlXx0q+qa0882 IEpXy+2dREuk9VLfCcHj0H4mi/i0vFWysAxbBxxwGeXjt++NQuE8Xb8SAJDdOdtzvw40bqK6lu6t RgJfC1Ot63IP6LQKwOmenMooxf5+ICCa93pDRdHiW9AmE55rD8Uz09HSOm5I1F6OEQLz3+WGCvZp lIyr+7iHoOqET6lYy19gC8jdlg2G+u3McnkornvE53lJlwm5Rd94waMB/zbBlQiJsQFaz6f7LkDK 2qsI6uQWnEWVWzbX3YQ+z2xMV4hXBnEXACm8NWMShobsYPEuEfxHKI4BS8Z9/a0DhBlAQTbC2ES7 uDjIh71Rha99OFkjuvSI15FGcSqJuqQ8/yWnykxq+GWXNikezdOhhdyOwqqUJ/h71GnsYpF0lUHE xmMLNSddySrgdeuoJ1WmqVoMSQkZmKp8Gbu3tGGv/ygSsJAm/0pBVUgDUDquCNQ9gtXF80S9Aux5 QMyNlTn14rrWTeHBGp5rDqg2LL7ETGq9ndTLu3I8lrCQcjex66DGICGpclCZCFVX7CPYgr39/sTw VAo9iCTopno4Odtve6etuxAfNZrgQqy9V5VSRHlpXNluxLWHf7Xe3fi6pjp0jhil+26jjum7tCdF iTcDL/2CFxdQ9awPOEgZ5Ji/kXSbWMTz1GRjglVFuWPlL3h6+dEi2d3rJoOZb42byXDWSidoNoXG Ee42GYpeVxHFU1sKD0LwbUNxGiEocHpj+mSS65yH5mKBxX8F9a06wVh8xOj6LKADvs04t1EQtxNN tUCR4fDxK9dbEbQhMZqBLXwUBdmUg5K+PRHsp50gLQqA34Yc2QC1sswvy4ao4eFsA6ft8H1cdwgB a7LhvssJxlop+7+/tYbbllPPu3HdYEC9mijWnXisKZQHj50+9QjyBnm+kuaVbQra2ITqDOJfvbps LODhZHW82clcCRNSf4Dd3vKXnrQjPgl+WiRt34LCZmPt6A8qIpaZ8KCd8xZarv6kz8ZUkOKBam6v k+98BggOJVFg2epL8gO3nlnfHz7v+XUlncQxOc17vKgI3ltbWOLd1Our/SQZuZN0kFzSfmtAZCbp orz0uK3XiHUhs4vJsPm75cIG1K/Hn5mkSQzcH++yQeNsYEh5t/1f8eahHZKIL+5FNoGDpZZanmf5 aP8qpA/eGEIJE7Zx12s2eAgG/b0++YdfH85yAoCmdwK2AOSSfJjiM9nxSXAkgA9qLGEQlQiGSwOc sMfbNgTi9z4mE4poahbGhrHaxxpsh40c43RqrmhBj8ksPZM/ZQHWq4B+fzMv0l/Ki0Okcf9NlIAG M4RZ+HPF8yj+F67PsyFpW4eznjI4MAVAjOxy/rb5CWrxxm4eTvQRwQ59MpQJITLEX35ofwVDtYjx qkfEdRoPwvD8jmG0/kUEJtT+4WTzgEVbbB3D74KZBk6awdT/BMfLss4BSvNl8SypTHYREHI6tgST QKC3CCxbi+IxhvsTnNhfmCNPzQk066fQz0LSQyALTtipRTbDKRBz0jrFt3cc3FKYtHCFU33yFmpa M62aux2vKBiBoeF9HpHTlG+tiFdiPRWB3LuFecCLXHxUEdVonAsD/x4juEexGVZ90ErRy5/zxZer EYc6v91IsOAfcL2xeesQZp1ullmALAlDHErtTKOG1r4uzSw/0GuWgMhXnIfIomiUEQvyPCgSCCaI nn2pdcV3T4EPbHU4MwY6r/5i5xhBAdbsvVqtuTIshcOn9iQ4sMgzhd7vw4n8as0YEenu/vvbPFw+ OCghkal8bGZZgnBTisX51ZFPfUIZw7qzno1TAoLyWYW0mFjZL3h8bk2SPQZ6q1nupfOlNPLqNNYF SYN/evaJ3QzDfqwLR2+pBAR9pcybbm/yuyvKf+IQcdMrhwRCefwRNrUr+uSHP4zxNFHntKyG+R7U 1g1OAmuNbQWNDL7eNx1Z18lgbcdIUX5lffCnEddUUf5cF66lpcI1Z/a86+gt9ZzZeDC3V3qJ1Btu rSI/uUwz4ZPl3fXKXYAMCKxlGHVmQI5dl3BWuVC+/+wwf5yORCM1HUKSmdg7eoJveKpk7xS6iA0o xsqo3jK02OOqfGhwvddSO6ISlC/sOutQ+ByUtSqYw8+ULh4VgeVsPLQRj3ciHXaKO0xcZVRuSPzd 5BJbBuFR29QhIr9fS5/B6K+A5abSlDngR+IGlxymYJoH9UR1e0lcxDhfsYLbFMGL1ABJp+G3i+LA KoqHQPGBkbrI2Ii078e0Q/7qajrRlMaK96ZcqnJlpSsNou47CWRK4cJZelGcndF2aho21rmsmQWO Kkx9nrA4/K0W9gKbRFSjGkET7g/apLz/REsoexy05bOwT1hAe1hHX0Am/b8qoYV0wl4JQ8cwyTkC KuNCcIyJTyDrG5whcwjxy1ylF2MOj7spCvm/p+/5tioTjnk/+b6eBPdooF1ErXeWDk6vtjPdusKA 5fbZyVZBihXEwNzrUcAq0hS98OnULRTaMRyD2xpRICamx9uYN1/b+pQ3qPXXIKtOXobbrWqTA59K UJdjGwdQ8HzaMf1to57btpHVwH6gRbcqc61QA5hVW36LeEtydeN0ZFTGKbLPUNyNrZD0Hdvwnl8W 6BIueTjwNNkv5PVcIMuJUDFcROW10055Gt/Q1a29CQgpbaVIwlhDjcYYB5zg22St/h9iqBlQwJ0b iP8hXWbJp3LuCG4BcIOXdboWPVKmOUFEEl2D5TjjhLTPO1xGBSVNzpXYhxEsQJigOsZw+IFHm1Hp 84MT1cPoOwXa0z/uf3rQWGTTb7xxhWfQ14Vz+sFkX5wP6Cc3CB7rVkLbOTd2KhRxq9Dj3Ql0VbcT npoQPpHBd7x94F08XraXrTQz5rBthbcHyrPNGvU/RLJs6SX+mAp3zI51cvmmyDah9POf0aZ+2CYl sstdiGXPwora+wNhfPfwnJHlZvMO5CcrvDKziCq3Sy1hFyTZKzTSLLs+dqwpw2p1BFuJ5fNRWx7H LboKNsx4wGX7iNZPVMlzgZtqh/QoZTgmf6gD4HRGTgrtNjSPVSPy0xoFq5HxyAisxt+yaJxuRmw3 yuJ1Z2We2/cjwdIwReitDkEjotQfSRQaHObaUzSCEhslbZaMLibpSSLcmwMrfk9ZtUBXGhpUx86e B+HYLZGs8fdSJ3WWkvufKmSDfEBXB+KmJhRYfN3FX+pX/s2KIsURn1wDqge/X87rCEY1l++59khX q6ATpTUKcgWb5U85KA66fK5p0nHkeIU2oGV27Mxd7MGqgEDJpMb8rFzn3ENIh2yvj3pcKmQmdR+C JHp5rGyDf22zb7SzLwQplUD+nXx4BqzicAPMmj/xmoN1NRmo6Iwqg6dOyXt9kOyXyLHJESkmpRU9 1IRHuvWTx5CeiF8PcAK1cIanginwLfRVyotT7UbYVEcS9+/LjoYId6klKIIINRN/g+IKhC+pBTgB RwLGZTiqB5k4OIbVpiJ2Bvzq17yUYqGHxQca7ufWuMCG6UY0BXmXwpsphFzdqF77cxpgmksqTruB /Sa3OPakpn89i3HUGGp93A4PhcllXdYvOr9DgV13P6lWPsoEtfQ+xna3u0+FKyipmlBskp/FV9Rl yzpjMxMs9nhi3Nq9cK3agR4AUGitHGKWAPxm0tUN+2Yz6BqRJy2zmc9igPbM1y7YoPJRKpPUUvPp ZUz52meO1kwLujF8o6SOfkRsjQ1VhhOX+d1/+PveJQ8m3aDBBtN1RufsR+2RM0aH5U48P+7ZaM81 sd1TnIIWAhCllmYVFzlNvZVYxnn8jb1cIYcTb6Lzo/1n2t73xmIHIzCktwqPg/JePu0vIjXj4oQ0 wOxvJATTuCdJxayPbnQbslK72/Pcpma5Np8ZpAkWNJAtkm96NrHxMA4vOsEAk2N5+QWLJPG8QfZj t7xNhdLBbo+pUOSf1XMjyre6A6B6vbt2FheWJmUE5ZPOu+PxU2l8qDru+/+1Qfwo/jKWQd7974ZA 8qiQAPwfRiUAQuHnZamdkF0ZF+IwvgYheLmNnYNBphF2dkXwSQnNQyaCwLEZW4B3RFAtZdZMudtO 6sEXNNRBrtXOSc3fjC+Y8sYkg/pEdxlZOf/JaBS27ASZwrXTCfWQr8ayT8Tp01gkl6VlLuuRf3Uj FeTp5pIleTeyfXwDx5V/eNPNa5FjIWSK0QYXO+2mohIE3TAhNh3HA9EcbY7kENwvGnPzkoS8O+D8 iQ6/LfzRo1l7L9PVIViO9ITIB9GXAgtAZmvJiLMosv7uYprqpyDCm3coqxyUvccW7B9HD67eD3Mx 8c4dIsPncJVIvmdpCiHfSH+N3MEbaw77bZJoYDO88O2pMMHvLSXDePiSsoyVsRL8etBBbzvW8VEx xQKsrjDVbkx1bVXQ/QjE7fZrV8n3C6WsC59WbqQBwHNFisZHMUtXv6GnXoeKMJgyOr7gVrzJx0ek vo0JypvKz3g4kB9oLbVut27+8rKLy+PHMkvMgbZVfZMuo1FIxkMdQo14Du3TcHvDZPqZH1NUKIpA curabw30cPgODUq41ktSKwZm8LTzyF8WzBtL6uy7AYWn1CxR+LY8C+GIxW5jBVtDYyi1jGp3cgpQ 8W1FDkENWgoQRiZqzBWu1rBZ78wou7BgeyNrMZ9paC44hYrKeFkjxf7DxXt4PpKQQR3CP5VNm6Sy 4lhP8CY7T6GLRENfarGv13gyTph6RCKCwIh1UkN5Do/aEf+g2nHFA61ALfYOXUIvOGnLeBancpZl djHll9TZyOv5qN5pjCIFcEC1Gdl2273T2csueo2KdP0PNkl/OvpYQ77XR0tEhB3lODQefLpv30I6 CsXhiVdtfrB2xHD/jEBN01hKSliaWSTozagE+p6Lu9DZPLPV8idA4RLUNV0x2O2uIk/7oXk8FvSz d6tn0INbqWlz9VToTB/cFJJFcMydzrjCFgyuVEWo41XUTtJAp6K3an48cG2gS3z39LamkG6Mix7m Z4JG6TKJaSNyPgBMOpQ5MARmYIqkNNiUTWfW0GPT6JqCsr18RkHkUtCpmD/ows3seabwbSdfsa08 EcCZf70uAih9BroVfvMdp5AUTYir3iF/DErgvcUt/EQQSMuNQXO7/0ss5he2yvBq2bed8PgwzuYm 0R0x2Fq8Jj0A0rr0AxTndyvkw28UwF0fws1lUDbhPydPmhemqXegMnOpW8dRQxZpA78vf2HoCG9q XS8eoCg4LMfKMv1jblNQZ8fzNgVX7vgKYEot/s4LxtfhBoTlL7savfwZh4cATvJC4DUtkrsico8o VnAtRSrgqRv86AiA+GdwjpJ8IHWB4jDE+i8wvEllSVEwJtGtJy5OSUmpjO4oeTUReT15NZlPv23k nWy8VE7u2K1J/SI2mpAUG2LZ3jEoOMeZRNjKr689WEc5S676ZUsVqDE7Rjxlle6KuQRDLqas9it5 0TekjVpu0D9OnLAzZo+KPJRCgaMmUNIQkc9HQU5Efxk5ytYoMht+C3grLrYvsEygB3CPaZF1xMsg p8qjm4eBqwI/V/sbBLCpzbyujmTmtOXMbM0YOz6Xi+pDdkiiJc3u6bIh7+1b1+xbrsyoZUgxmY1V rP1d170dvCYBhqaLF+W5z3rFcGCeKRWhdfBTOCdb7Z1G6FzZ6yh3ZIDtaRj/cGXQpgCTUmwzrDtF 8fxxOrFVY5QVE/W9jSlG9TlCjhJgifAWzIZptG/POCiuMFJKKGP2PRS5QtliQUS3oVXTFLBAt98z xeC7TvUVyy0fshq3XsFcfy6un+hfHmyYIXBQAjdJFGAM1yuphu3aFstB2mtHxTjzhHuZycjGRokD kwn0f9o44ZEJvNResMV+/ASrPoZnDY/toHvWPRxGFYYHzSe8QCyCauq7lHUMuyl1BRAwFCsCkznK GLMphDzD1rBb+k2gC/75632ve+cCbKlC0kx0bm6KB067O6qnNqxp7aH1Ql4OXSWuLpIDWyYB4x3L NEdT7+PyJLaRPunMr9rww1sV4AixEQsjM3psfr1t4dIzOordT887UuxPWKXKjRJOdjY2WzsFl7FC eZskqc+2upCD2vcgzVgD/UHa0Id4Vjalp+ucMe1Fej4lOsou1q0e+e2ulZEEt7b5lDayqdVvpiPY YMOJsx3V9meRT5cSSm0IyuK5APwRMnLwp9Gl1Bxz7B3dG6Zzn52grIl1wsVDKMKeW7Lvh4S/TIN9 4T2kr1Z9apoc/qa9nIzBTPvOS3Ap3bccub/zgt8QaNIWFqBDRgmnxpjFJZzP8wkxmwM4Ix221MPW WKG/LZgbM4JcOD3iJ8P9bwo+MAgteyYrJuWpkKKIZCK9X+MYq6LjlxMTS23sFD2mmAdROCOryz5S fCPQtZdLb9CLh1ANpFzJXNWVQ15bGQAubjZxGtIHUY/Qo5FWbUgF0oNbIYZrJp94BWD6qH4dk0SO t8CtSmY2E0tUdHXCIbpfbMO9Foxv1K5/2eJfulCFv2aulC0BHxAymKU/4+qLgv2mGDPKztM29L5e nC8KAvOdM8ee9FxdoTYh1qzX2Tp4J7r2Kgv1qlCn4ZpLI4XiMV1kZ1RzvolmuUX2gsAlmCl8tx6F NDuryVMbaAgazig1FfeVS2qJyedfuymnlU8anZLk6obX54u8TIyJ0nQnPicNHvUkswjo4We7+4E8 7E64rehzW41GEfWMoKB8CGPWzgpQ1fPOt3btGmgReW5LzhdjkGbmS9TwHZPNUMf5Q+ify/L0BvPY 9FrZJFY2Ehh9hAMI3A2h6JinVBfOJEcf+Fw/1veRalhWklv/O3uAdMhUQ3E0vRekvn9czv7mnn9W JdtcEkTIkJv8faXv0mvuAnuqFtBSHdhTjgxxLTTz22E20V5sAw/+BHWwuCvArPdLUHxFOKwPIToO /YuhUhpvOiZydtJ4qhoqJ+PVpOtV85vQQz58QnW0NDh3RA8T2rClumXj12RW5uQN0rEarMPfGyLb E3oIzIN/l0aAWyd2vFgCgEc3A7mNHV1/5Fb0aYqCJ3GsD8F3hyflC76pQRKy9O5s31lqewoS1Xc7 a2HR54ZDhj1LW8niSGNnJ7o0iuLhk9Wt7XyVB1xJlhcAQ0wBS5XgEmzx73e0gu1iNUDcPo8Rczqu NhTe3xMnk8lBmSkPCgy3t5q+4QLraFw+Dxp4oRztViDT+4CtGpc6IVXXMA/8T6yLXzUu9KCuOMYD Tt2Fv9EdJ5TAZS/8/9YPaVTEHnpNxUbSvHffgZpPPSaht4YLRA0WOLvS24Mc72wS1TaoOG+bklyQ QCAARBOKZLoe7tS8HARj+rA0ir5AENOdfLzUGA/NjYN2fQ20k6eIaBJaFx45/PcPfAzkedExjP2P ZfBpb1zaAyH3b+HYDXkc9l6rlVh5ngq8eQ7/3H1VoH2UfHRE+4QaAxzBsExVe/jlmNQz3QRlYyb4 V8VSuxP7QDS29TU7nl7YS9ujwT8VaBSn5Voqz6HhzfIaiiW36XpC9lfRqe9+iNHLYpGB6sh1GQ8M 2UaFvRwkUc+rqzJRMXRu8h4dkY1b7Ww6I8ZiDTG8WZKTh0kZVIQ71hKikDU/QgJv/la12KnhMw+1 H0M9Z1lyNmYq/1+/JDqDOFwfehCJTDKIOlczbAg8MoLYMau0H63L9p5qFoNkha+Eo3MousyCOaIl udtyVDW8Yhr0C3dLdqUtm2PRv6FjEiJdBuMwsp2tPLY3mTwnqvJCH4v5ys/1Mzygab0fwA0FygFs WBJ3hBM2GV5G/ITk+UTXG5MZrVG30uXzHLt8JN7dmE2POKFrVkW8ts0o8ZbxLnnC3r/GoajUxgfP 6Lq0C8VDDf+fHGlV3ZBL0NXWr3tBQtZikWxk7cStEAmaJs1JqYX1DJEugkcdjGPpLd2sgZxkpCmA hdl1NMyahmhe5RRYdKkmjoBcAUsdCIxzgmIANYdR4LwqYjqTOxWBcuLNzt2HMjxY5LPR762YRoJD MA54IcZ8UhpTTqPdClUJ8Aa4MOKOjGE2R82pZ8qhtJC0kOPSKZJBI+LFaT7gtPXqUWmL1FmJnYou jEctl4T8AVPRXmIUj3vr/6UBxSlXxZoTs+ksTEIy1cX5SaIco+C97oJEpMYQWoJJ64mfmCLE5oTV lH1ev0D4gHT/LjnfqjrCSd7+6TGdCUFdUf4VCUk7avprqPYFDNQofY2YMWS6uG+YnFy2uPcP+rRW cUwXWg63vhc0YiN5583G4aW3iaDlMQ5UujiWgpSOveMhRNPZA/m836IeQefMB8ZIf9I6kpZUCr36 oeXVEpcqUSuq+n7y6ocvsy//O/le2n9vbdnVQHhKEiZH6X/NgjEApx3ZQVBBnUHa+E2muWBgL/Jw DstAqo30XtjzcVhP+LGJ8Y2Q0CZcID2/AZy/1i1NggElV+7KhUP0xyHk7ZhaepRmD+UgO5bwd9ed gwoxvNSh3mF7n2OWeC+vLSW5S4/xPtN9R/vbUdpN3G2VCaJVPolfsmoFBg535khxAybQ39O/+dEZ Iy4l7Wyk+oDopGHsMCJhwsZUm6f9ZNQM49WHA8EecS427vCWtCFLn0rvjMLOB/VnxiEgUt/Poz3A gK10YR0ZYsFd0cPhzHlXkQJh3YdIFpe/wkSBy9MnnSCDRPwHbWDvZnZfhVNySgU4OvvX/PP0ig6o mep/b3g+9bqjkWxXp2ezl2S1k4eq+yXB4Mv07lqzzTGJh1c2vYdReKGVB78RwYrfO04fm3egxrFE xEWKMidn5jHknqxiuUeVQAxfS1CDqIhJFvYt9mqiPcArbKLeWlWcitxG/mD1tO6wSYnC0s/psvOj LHm9DSirI9lpqZcf1+meWCvwlRZAinBllHwb2UrTgh+TWkj8I9O5dw/OOub+Z8sjzMfkBAmYbyMJ pF6bl+yucUNCvAiMTRhs4VH7syK/lu+uEoL/SR1JNELyu2TopcvVIbFNAozA1K+LB5s0N8UnbAAg +XxCoB+ie6yBj3swxSSeVhCICp4Kd2CC582OBcrbVq/Yav5oFL4il4MAUnwgvGN/MdADTLvhO5n4 sivWm1spHuS4peX7c7KirZ6/bjjzWHMeRz2j7JV9PljS1PXDhwpxw5ZzX6oVL6G30XdLMcnBmx0+ /sMMHXMN0gPHIeDRjdnA9BNxGTrTht8LXbIrcuSiK0Dkpf5q3PZuDYf0bEn1GhsTzE00c1WRcePJ CsTbraJ1Y9530xkSWdqeHLlMnax/VHpswChN/aLvjuZ+87dwTPOy0yVh9qClQP7qwm5YwOl1XMOW xCOdKIyf4JKVsEEAqrr/4qwoMV4mZ4AEK/w16StBDWnk4E9kbvvRzX5JfDud7ifOisVPIfffVtqx d0vIUcuEP/KI4B8WnBXFp8UWHAR9ktdmM3Q76uGZCwDpqWBgKxFvU8Dxq5fwdqATwzNiicAEz2x4 Hzj/GhBR5qtF/jLyzgSEXee2z5F0xF5SmGKEkoBu6H37Wa1mRA1s/eyYnIXRbPFnQCdWjy59LN20 ZUozinzK6EzHyTgeQeogLUzzGEarOezmHKPVlyiNOjdQCfmXkhCO1XYTe/xiQ7x6s02IVjQwv/cl fytVYvv8hdSCRxaBug4mSW13tzUL0S8t/z3QDHPcqLkuEGjjtfuYo0MuWPLo8htl/AZFYjtmmVpL W9syvINx1nwVvK1qYK+zyTEINw2LYKL/VAXQyM0MEEdDoYmp7OghPkPXvtaOPIcxIgy2kf++1aeM oQOv30/TMpR3UbF7CdyKPUcJRaZkhyVwYiHESHsNN4aCGfrrsmrrfPMWGrXFAzU0ReK6lG5/bDlS iyXpD7Adlq7T3dzUzp7TULqCKaSxaXpnGF16dcfRXWuQcEHDujPkN/Iod8vMBr/x0VSVGpGFHhD2 cgpV6xR3zc3I7c4HIjmeF5STmxN/LrD5yr1EpSCb1LFQyKKwmUUYI1njLxOCuZ2fZ2ruBdmLJxkR 4GYJ0ZtlqVgu0gGKvywqvR4kqxMjhPpisBRGPeioXSd8OHjpmGD/9VhJ9+e96VA4Z5Ml6Vl24CXT Fu30lw+znJN/24j2+UqYPaRHNzx9Dk6w5yZ0NYtfSpxpUSlH3aADUFlKXeXjH0JnWzdowU0zjXoF DGSObrB7Gijyce3oCqPRFqmgViwOhENhBMr0WsLiZ77R4GfoDCkdAU9vWV1sfMnuY5xMFw0Ft7u0 lSDSQz3zIEe2hYhABRexp5v+48eZE5fglstt0ojZ8tcZ9z5EBqMfvp34MNaGSEEwPdsbAaMmOnl7 24o/8wzUSJ8u5h4QFAUS+kZxyNQI7vYY66k3e26Hda3lufQn9yqYoptbzP+sdACGVzRtBMq1V7jB sWlFr2mgxXwOFJd84wKa9gvDvgHJzMvWbqU61hvYQBU1vq96iYWJzKW7KPylfV4794PT1rvaEG4I cc9YO0mWiqhpeKrZ+nww6YOWBySrCGJjvGa0F1PGWHmnx2hSkPSXgRtS0ZIwpqiNzthLixPvQBRD v+gennATBUriNLGff2tdMS59gHE3J7xqsxCz8bt3l236rV2pCCcAgAt0tn5n/y4+f1y6pPIU87co OM/Cyqulp9XpByLOXYU7jjE8+P0ar2Bkom8ju+Vx7Enp/Ug1EXSi5DHr5HxCGrQme+ctTTH7GcBc 6tQ8RIpa4yrP19RipEp6b0aW0UGOoMJnMaiOVReS11FZjxSXafdk/pbmP4M8IH2HHPK/AdAQjmwv qpE/tH7AMrkOHgtmlks5y9X6mTfOKB4Vshb5a2ezIVOrz+AREoRpJgMP7gQminWDePxj/xvTXyv4 fqdfpqagYsRXSh2rYyPhCfdVTiqGcQ83HDJQNhSyr5+D6pMpS7hxP2sJyqjBS4qRYI/B0LGEXgE6 WOTCS7QOagRNwyCgDCztiW4GfjSMoI1l1ooiqq7dQFCC3P09eHcwEkeBc0bKeCBaU7KRExzeqYMz fJYmH0pvorESHyi0h1bSJa87VKq+yZba/Ju7fv02eQNHV7PPbjvoHo1jqlscbBWRY0IYQ8YoHKYh vPCOxWyRniCVOcoSCN9Md6TXD2UOV79KLBTmhvxWqEm6kZ16ha3iL4ASLg2JfQxryOW8dJ8W913v OGZTX1Lyur9+1jQi2dHboM6qVr316e/EWwkXv37UTVrwhaq1WrvVTXAaL3s0NqIFhjfrYwgLFXGp O9mVXbv6XSy550LsNzha3l37Drb9CVdxy5/aC4THtKkhTCDi0aNQ/DNy/E9EkDBEMgOqbW1WupwQ 611FhGSqPQwOLMI5WvDS9CrXEK0Kw15h08Vxg6foCkki4lXGxwG0O4XTARBT9Y1/gWOlVswr0per aqOlBbiOMXsqLaUirnw8iNtBtMyEY/okrQWA8MxkX+tyAK/76rUeaj4c7R6n1TS1PycH0yv9JefB WTBgYho7tz+kGHJ985BweJlqg0cOpeKbfWrNhSmGJnypInsbxAIn+/1x2dyQwg/zpNB/AjdejxA4 Nnkoq7V4AneNKCwMuBb9xlTr/f6jJExZTkDZ2grPdteMjIVCW5RthAbVEbUPlqT/5JdPs9QDVlFg 78gEQzkfIV0TZ3VHkufpccbzkGbLt8quu+B6auTZV5mqtOHoPKgK1MnMQ4cOc+YfCIAFOdOShi2Z t+vsSMTPqt7GW9wm+2ZTUTudhTedxogVUopjNUyag3Owx1+s1Pd4LIDwY5JX3v5Uak62UfVklYB/ 7KMIoMOziLF1Lfcpl2nIZsoSGdURGH2RYEwQjFkT+EHqWjyEkjZaZHSqjdBZiQ4dcrMwMQMkiefM KeXJX/sV4yjbGLxOz+M1mlsHM17JlOo0PEJjt6YiZjmy/jVjIrQUeDwdGZrZLiDbOmguxtGOiBPt UyKTfk8r5n9TZPtYMgYIITg5PbKzA1ug/ySBvoiUedWoIa+zGlYSMsJ2dX74F7hTcNx78R3sd3aF 0u/DBhel6VxJkq0y/kb7v4ANndngOy3hXVMYvQbZDoPjuGLUeYzwfdXWeakzcy1LDmal6whCzi6c yKimVQZEO4m2aigv60dTr7RH9LKvSlL+qDUIbyQ3xBQTQP2PxGJv8HfKepS6Tvo2ZCn1mu/BcNYS 7cLt5OlgDlIplrASVcoVs+Rj2aqPI1vioAiifqih2s1emc4ulWT99z+NPK5jssJyDNJwVQnMjFIC YgTXJYymo/WD+HHTPLkPd4KJRujgc0Db3xxej0OA9Qf6Zk3k+f/gJBT4T2Lo3mJ8xEdErPC1neiL K+JQmVMqmflyGeD/CA4dmTSYUtiGCQZxae195zrori0gAi1Bm3eIPF4JMK5jL15BJbnzpsBE47h9 97DOj79ZdhLfAnQPkJ/gKSg9vbBLcO1N0PdUHMcZtC1fXC0mUr8WMuOexPzr8Rtvq27p74c9CIF+ F7H9brTPwLtt05OZRhD1Y+q9Iyn8dj3Kb5I+R/ZAPDNj2pA8TXrli7kpojPDbr07ydz/2fPOoS7D MV4IjJPKgGGFXynOKs7G0I6ozPTlHDiQzgmYyOFkuGRw7xKYLLvg9AIw84/j+iO3n4laSWM8z85b 67NpU8Nl0WqpIYgF+HeJ8uXDV76Wmuy2NL1OeQa8Lk/mUCTw7SFAhUNw1Tx7WNu9gJMB38iuCUEe DIgpwP/+FTGuQOn9jdzyVFwOwwec5vRlqWAn0wc7uoT/VbPOanDHSmzp4JBaAsRSe2uI4HC7PDH1 h3ElZjyhLsgajzxYcTQa9xX7lac7y6a38+4GBdOA64xAAgML7IIXTzKIBfOas/noPPjJtqUkWBCu r84cAaWOlHR7rWHbNVcG9Vz2UW2cUooj/r3VrW9tFc41ferI104P5ZT+mN1W8QEhtASN8qe5YwED RNXN2n6/cyqIeJruaxxYLVs10sGu4UKuZ95hY24r2u/DNaYyHDizKBt86j5RmsiyMLNDsk8jOSzu 1ecvwco6mmmkBvaPH3LW/HiaEYUiVofNoqd3DLsQujnYucJgQufIJVJvD72D08DOj5sn0bXjprHB ySJtlUeuWSLVLCR4ebW+ckgyJ/7UYanuzjyG35twT0Hj4yacxc+phkK5f2skfppd0iPW6gX6iBgw YD6qMTVi9qmdRxypPL9T0hXsefPUkm7Rco5ifEstLqzIbxUEZfcEgQLYMG3+ni16X6e/f5enA1cp qGO5mQ42ortCX7aIlZDsqxxjeXXh8/bcbFaIzTEyAH34pZ9/DBGZlncQnxyG/Ov/dbSrN+Y6i7yH hNWkHav5/eoFkcJeh5uzeSnhvqQwNsHIkwwHQFzSwJ1DTDWFpT1hvN3YhRz+4OG2hQVi3TarK8XL uOHvxGOwjNLYReRbKAIHPFIdm/PEcKGsQSVfo5SxMEdeizM7sr+H3Icd5DtyTYwzv78vvGJ67j9H zoTi6jN5JSPUj3VETfw9OA0xIor1dpWPIFnNrGcEqyndfcBef+S5oqS5hzeoiLlzoD6p6HfC5ANc oyRXG0DP3jv8Y6SAx+XXjPPnICcrQXfbQNP8Ti8WM1Aj1lJuZACbYVmHPpCjpTcoYKhlYrNGgp+c pBpd2VKPv3DGFRU4V8oYBikgG+Tt5rhOpK5nQ3lWYjGUg//GFGo548TxZ3lf3wFmpBRe9AX2a0/T eeTECEG6FFFflad5OPM+suMRyQwWymOIZes6xbJgP/Pk9bYdpoOcXT7zqR6TmFBQebC8hsWaMOXY wIElbskGBAL4JVKqvIofcbRpcb6MFeMpj+whRHduE6IZshiOKUSs4zUL3nz5mP/I52JwBkJFNr/S HYvO2vHiJQ4XspMxeFI+RldyvR32GcvdcTYUSM6SDgA1ELzgYtZ3UZ9y7BynU0svde+y2Gtj5pqR m2IxNYbWbQEHce54EMlzYuRNrj4KVGTChK64JFehaGQzNbiK0GLLtIwW6dNUXN50rJG6gr+Lo2eq gIeJlFUK8Zd03uHL3/KnZSgcMK0+Y5VP48dCK07142IHeOR2D3GpdoDO4ekCAjn1U9zTPASPgjAz DWuSUlOfOOxhFR5BX6lgITp5Fu0KqLfDm0/Guk6MPJ3sGDnvF2PL16bXFVHFiZe0LKJ03XwPfzsi YL/asr6nt5XONDt57r00qZRWjY+DL1hGN/HDCSGRA+v0/Blr9BDdgU4WoLnLkNWm1Pb59DCgQQAw jWaxQLAnwCzrer8jEpjhZQv3t18SIgO/88Dj+0WvkeUUojys9/kh/ugVByAqE4ekTRc0qxM4n/XR srBtJig48cD//YMrGipeeo2sQaAnisZ7rJ4ZJ1lZZCbromkp2QnIwtt0xyC/OKDYBhKq+pTgX7Mm NnnncSKSFgQyfvotCmQcUKPVIrxtbTnLx4afa9eZGf08qQCafGx7MPy10buZCa5TrX0sa7ir8SEX KvGp/vxjR6eQ642cGgJuBKUMJCltLln9hFz/1pgGf3d8wI52GFwu98ehEosa/LFP0Gr/D7vI3LZe 2LPDLPFpCPJPIt84+J5e2iwJm1mUMWRTC+TT28TeNEE0cx1OlQq0L/Tm5gqyycv9KeYleRsDeEY8 cWQHmErdcWrU9qoHVvlYQT8UdJrdksXw9CwA2CPF1n2eT4tPcHYiJUxDrva+UXR0BQwpL8EBflL1 ty608b2ByJ/YEpq4HowEzVhv5fApH4gKeM8dTanGaFKS6bzZXme1xanb2sn0mRyxhW1YXIv4cRcK 2CIRCTGl2Grr7LG3NyGj7nrsgTDyRgvZxlicu39D4Ju9+fBPx4l2WJo+6NRfWqneg5hYyiWpEwde gHTQscqFJHVzOjfG0Gz6wZOacd8YoC1Uk8edYrou7YBe0lTXgAZ64VZixFLRILCt6y8lI2MjJRld iQZJ8mAxCaWm69ccl+JxgHEk9fsZbFeIFixidrQXV+oatq5HohWL/g3GKYoYelTYI4pdYcT6m98q UmgCnb8VBSb8uZgwwTBGMOJNpO3avukA2XspjEDPiPGFJsceZlLN7cs5RtLzaGKooYfAyjEDq1wi PNcQY7MMh1SWvLlYNhY+FmSxnMrlS2F5DmvWECqnw5LLXigDjiBO2pyASFPqTRSF9MKjzdeu8Cy5 daIDToDp4S+cxOmJGLiebwXdnQNEIb2iQhKo+qI/3wZpIj83yj677CHC6YBGn8bvUTD8ZByhK/L4 7wCJTzaHfGQ1B6Icq+6R6GG+//Op9uf3lydXPCU8sYmGJd+De6I5OzepqHmn8W0SRg9VIjAo6Kor 6CLrMIeymNth1u6jgbIuDCLNerrFnGLrEl4VDGhOQ6Vg8hzCZxHJhwM2MK7iKDCTqJonvDLTa7+m tBKCZA16rdVAVNibOyFPriRiCnFiEUd0B2l4wpL7MqMByZ/8n7sIXtbbf3DxS4jADLWebsjQPX7O N7Ik0XyTEqwCJYJetYuFE7npdI6Atds570fsKM0WBuymIzik7KqvNbETJ+X6Q3xjPN/jmw7+xb7i A9bEIYZttpEMdgfLRTT2U+VQosir7fONngKTZ0/2KN8b3pcHNHO2LN1nYSXKmMDH7a9ZA/jnsBB1 LQ8AXsy2tdGOtC9GiXq+L3Ia5akXesFYEK9+6kjMrImcmPrd/MX3jPpU84mRThIl1Gixtgv3NA+Y lqFcF1OtBNKul9DGiOsB1vrzkbj3ZBLQvTN4s5150o5WRZ+r+vg0tLdElXK0RM/X7MecJ9C29wUZ Qp4DFwxKKwxJA9+iqd1OFCNwKR7Q0DQOR89a0M5+2mL13GZGbYJ3XQpK5iiVTIm+4reY98Wj2gUX XLWkraW0teF8LgGikp3u25S7/hZH3uJxaf0MyiyINl7cnJMPoSp7ySWNMvUKPfvVZlK2jg7bzBmq uKLcw35MOQasEp/tiyieGt4QQmhXT53v1E0yZV7wYuHJDYsnHMC/fIl5Ob7OkH+jejJnBR9i8iRB 1Jy5zh6rJtwHqiAVmxzX69AqccS+IsMKYC97dRnGekgL3E6k7J4B+2ug+vhfH4MqSNJ/GnmexOGw Zu0Vyiek+zNsHSSM8CPslA2ElesMHyhJCNvtg90MTG8ZJ3VfAjrojf4uVSQKUCG/W28a0MA89YaV ErqfAOJcVApyehIheBVXtHxYVEAlxffP2nT9dcB2UdZa2bUFX+u8W8dxDtt17C4mrXDeUPJbAw+2 DXhVhuzuG1O2kWMNHLLXepLV4bmJgvCW0cfA7pcp1DD3BwtZnPLx0/CJlb81qkeCm6/G+zo3P5Bu ifPpOtWygahIqrHrAUg/GKfv+ava4vorpedx42LabMdh3prkzLhWuSdbpfxHlHFv8ElczXSCNRYF lHGgEvXIY1+yZMzXNc2TeHfqE8AWNLPuXt1gnMUyEm/h0yi1Eo5JYVReVim98C4lFYyowWrtLZ7B bDXJGN4KO65EAb4sXt37p7JieTEobfMcOZoFS00oNycrYTxKMrujImrQwTrD072SGu/PAwajHhlL HsymzW5TNRDvuJfVSHZn2Xd9WlI96l/DSJPZeiPL1VzQLwDIKywkGleSj9jdI/dZQ62Llqs20rdH Q2OJulmVHCKNfNnuj6nv8FLYmFXP/caVqtiOwfc7KmJEhKiqGUL6Se8NOWm9sSbETvKZh0THNrci sPUUBA5BHqzIBbrF8MlFdjifopR73QKUa9u/SZadvjmj31QVzd2qbVaPd7OEsDPDfBaoYiRFI6YV 24yymUdp/Dx/k8o7ZeIcrjlz0ZqAWOqTPl4nIRdPwGeDOGfATlthL4x6BnLrSd1UQ6rXZyQeqc9M CKuNWotnGXA5sCLgGkg9tVLSB6Nk5iZ0RKfhDUaTRxJrKSd0ucRzcsN8OLd+yoe7ZH633/jtRMe3 8Ll9+yUILC0x8dnkVk0cyJ3rtrP6LiyYDpWS7c2q8ei4Kozkv8HOKmUXOi2TYC5b0i5Chh79t5Dw JI5oeiDr63C9rE+sy+2vahvYovTZ5gqxgOfZ/EZDplXKuI8VnwUEaMjGst3cD/LKMnaQrbHI4jYA fudp3bwY1s0q+rA4/jVBBvcDdq8OEwfRN22Q4kKNoMDkeIwuTjK18203OmQraavE8ohKyT3OH6dI wHRg+3t6BZXJyGJcmPzplro7348LWnrQ88WFJay2CXxGl8diZHrHqnFNlTbOt+MK+YAJ8yH3mz3o wm5eIdoPY8wdYJhKEdS/3s8u2lhF4qYbuC+QtE4ait7wZBX9gO1IDAC4YXiK5Lv+X4O792Uhhw2Z OoRCGm6D7GU5sy/k6ienqzFyjf6MzX59a/emBxZ4iMoZ/Y6QIoawD01KxDd/OCF/Q7J7AXHloLGJ nSTJLEd4RfpEUeAw6dtHlduxkk3Ivq5QP2gexxDSCGf2k6nYJ5DqvAjvloQBuwZiER/mbQ7c8PSa hWePVltJs2RmLrBXm/a4aPxeoz/1E3NGp0KxfFqSEqoxR0lzoKyKnTpWa8hutfCsIn9Bnv/bIZnY kh2voyOgTWWgUGSHMwgWwP7CnwHKwBmrLskj2qgS1StZCeTDuvifej/eV2H/br9SBlhIpOWRgphs hL8pDQIbVXQfIjQY4pCG4wSFYyu9cPLWnKMWmOoFPCYrh+pK7+avSlDl7fyhYfvthcb6Dh31vQT4 blpTmsDRDL4sMQlPyFnsSJlYNWmfrCJC2Xwr1cZDBXLmyEXGBZfKe9H8FNSfsR0TsuapuWzOMSOV +ARZBdEiqcL9FTly8rVCgfxooPtHytXsazfjxLQZ1dfKddk5vGNyVlxsxCQIabF7VtJDZqsYkqOd vvlsnKpMwiiXQp63IkuL/VF+B0KRPVH0czoxtRtBCww+q3ML6jbM4VouFUMw2GQxFS/D1SYaXEjy E/I3lZtzKx5QZgcpniXTlYedSLM5AkKjKpPsBsDDVrpj8lysCakcOApZcFnX9noAL5A1tMnhIfUc f3tSUL168B+ySPHe65kX3AilnBShZFCTjEe5xpea+AoN/U6y5h1MlUzOM3PoSjgR1BBVko1XCKph sxRsKSaCdN63VnP1hhRrTlQe+YhjmkAp1f7YAP80DcBuGo15yWX5k5cPFzI94UV4QVHAKqlg6jqR uJUIV3dfgJJX1K+zU9WlIXWVAbMBwz1ZqgVjImUAi4Wa0VQqV53poTLIanA0/vJXsjhAltPj/5Yj u1Ynx7AKtQuv07xBO65+FwEHmBCWLx21NN2JfW6Uf7ereoJXc0YD++IVQjDNfF47EYkjdVf+tn+w 6Ns0shMf/v5r92rHnJZHOys63oCvnjTOzdXjlZevl9HccfylFGtQeim7oC1JrEySwE17CrJgjI1C lOwlDrgLJQTUeOSPbABDl51ZgZ/BWbvxkiU9DVGW5HhfxGkvggDkZzo9MAwlqgOyOalOIPk71Nrb EqoDbGYKFFAgjIq/dqWsu4VPvNSgInHvCNX8UWnttyBdbAA92h6FRP6tPNDP7moxCG59CF5He+yp KW3hif9F+/OgNswK7BoxVlKtqxPTDzkvycU1Z3zumowTThf4ULAKgdV4hb4el1ksdL5Z/6byAGZe TnjutOyUr0FUfiqrZpyWCfnmx/veBX9EZyYErLWtnRPwzuBi8iXhA+O62SCWTV7jcfXaaEF3RgEA 8JZ9imcJ5ZlYEhcWShZ5HCTW1Vi9N2zy82YF+m+tYIbth6t9WYMgcwp6AdQzvv4IuUXbGulFA/w3 TNeiNauE/7+uax5XXOHGgb7O0vYZ1spTFE3Ocp7LgDuV2bXdNHlQ1QTPjZQAZlCvPtQy+6Bx5Va+ qkqUliV/bwb+cxQ3lr111s2CRFvKUAg4MZm4skFs6OAKjDbgqxzCSq8Iy1cJJTArVKUyGaE9AvDJ B8QNtHxMgtzJz3Zf2A2omKV9ny57Nmd3/y/KpAUVpGuQNZBNHTE4XvfnGNWvdeamKD/piWy5n4YJ NTgViOiDLEGyrhhnBI/Jwu89pRWVU8usDoip0HcVBhHrhbm6z6lEqK/HBAQycJQR0Wlb4tDhd3Ct iHNzJ0UR2r436bNgMuE7l/ph2JA3V7TkK9CbpQCQ2qUZIVwOQ2GdpvcHpa8fW04/joRHBh8CZ3NA pNIxEaGlrhRVB/I4SPQ9r1UlsNKk2ffbP0KEiOCiFCChgu+allJnCbG7HUqfcDRFG9D2EMmwDWAY I4eCsRrbE5M7JeHZcj5MIBeQK2v2yi0jZVo/3t+E6Hxbo51SFKyuMrT7gagsoOvsG51l74m4XthA /shMY53Z6tc9wPxqZAby4dbLyNwm78l/RCXFDxGGjtlYRBBx656xRWutfrAZ6TBDAW/1U/DBa46H u7fPD9oXAPJ4zeZNvk1uP4yK+7ExkkUmT2pQxGgyBMQZsayFz0h27Uie6sF76b2OOwoX3SUxvmMD iPPRGKDg/JKhtYQFoWFM5Ud7KZ7l7FKyHAPbh3Z7zhS7zr4P0KN/4GWJ9hJZbkVVch/vhZvkPXHq 1zq8/Dg8N1agCq03lO1WTuiOcDfMubhNlyb30gsZy8YQyQMUKyy1NOepAGleMBYTlR0amEHKT7pt mNf2srZzEgbYtCPg2LmeJFM+Y/cMLSavN0iE7qSs8H31SF6XM+tMjc74pvy0L8ZIyYGYqMZR0lRs GyjTbH+gZAzJKXxhfjQTg+1pOTUL9cqF6j8fRG0cGiXUL5MwuzVYqEwf6FnZoJQmyalkbcuZTMSM D8gkJOSskV9cnmKVoKJcpqWpOLOWNruZz2+RZsQi+gg9Yo+xNEesppm9DvRfuh97G44Bc18kUvhk ye9FHOFhPGkDt0HHgsgAudOJzjp7albn9Jwufw5jRof4siOS5lbbJHtHDuYb0aGkx1DZFEbvTydL DtZTbLC6RKR+L6AAMvkA7nDUahciJu5JE+V3R3TBOJaI5Zf1uh+TaV7MMQ21e6n57R4Txj3XT7FG N2G1ghh5kfhfW7/ZA4LoMqQ0+ZtgdD+hMZw5ENj4wiDu5t9jXakktlvPfyU3zC6F7Ec6pfJJsYON E/QL317qxUlDLvxKZhM1dio6X1Db47lN7rND3w6jvGfr7R6oZKPKsymXrcGtHIyhkWBf0qLmAHnU 8mrcsiAg8i4cNCHO2e2nIKNyCuY4EPFnG6ehH7Xfp+yNrhWctRhLrfVm/tAlf+RANjxwkaufuTFX fmOH/NsiCQhS8r/nrsIfnSyeaPTs9+UbvqkqS1VV2tIGerK7pa6ziEsG6IZ0mQlXAvx0VGsk+948 abAIDaLOezv6zkWnw53vjesfEMKoNWzWY+NVbcuLDMmSXG9x4ny5MvJLvi5ePkXr2pznNy9cZyTX Zm7QNGJsVfOhlfegqkVFk6hdwbvLmE9Go6JTYGb4fXZ7Ac6YDu02v/KHvzNRKmDaEwsMh2ULfdOO ZYYR4XAD5GQMZZSgcEq0Lqxka0DvaJJ+fZA27FixOT4lsLByaIWa6uSLHjR3SljgCXjWJ1hUNfnC O1+NvJ/1ItoLSZHGBgElOZQ5oWmic9a5Om1SMP1BFJATLE99ISeRnB23PD93AE6ELkwBfgdIRAzv rKz2eGvY/KQM+LUclQT6WTV/VQdedapcW2S9bxnyoBdfkQAMPNSiXWr4DFiiU1eLLcBDvBY3N4X/ iW4DYGDuxtz4GnxVAy+Z1ut3XUEk0wAFyZpPIcGZxIMQnb9F2TEI+0zAuM/4nLLiy6G+GxnMAFpA i97UF+zSZKEuhW2R7x5Thnld3DBUCaf37BMqjDA6RmnksnHcUiKFdcHux5662AGmoslzvwNo37E9 NYzcL4weTn+JIKINGRKT1a4hDwNiAnc4Tb9JSksFOXpi64Oqu5QZb6xnQlZXHGl+04GuVasHkpis zhxddbhw+gHNy+IlauOSbemc6R0R7ldqv91s3jH4O58up7+ZVrKx357mqXMUzTvZA4Jgu8cafCYG 8U2XoehZX5vAyc+YVdyZ2YU5aNbumFidXSHRpUIl8k+ofhjotDO6tpSZepuArgcnndAgHhPzEoV1 IFFT0TOVdX6OnZvJlJKBKEKew0vJGG6mGwZtmce4w99oFsSXg9ZIpw04I/eYl1FxwxGHzsd8Xpk/ EWLFrEk9RY/vKWo41IxVcH2MugD0qnSPATlUkR5IVXazd8u0GaQ7n9qaiLIxovP8hHEKGNEg+2PH MocDOjxZ2u9v7DUUE4PdYWUVSY/TWKplkcF9BT9j83VUz1TO6z5t41c6IEOW2Ac5krH8ect/Eok+ vpB2c3OlOdyJTBBwgFw+svz/l8f7r50Xx14CfKhZIjELCif7BRrlFwwr/Y9IxHwpbg4MPZJW/QGo bNVSH+g3GawV57fXqBZV0Sp4tNrKEnUnvif4S5AJzM4qpK8QdirKnzS1raTvx0N0vfGRAQ64bIaS hL0nQ7lo7unW7gSOlPIn8c+67yxgGvz8W6YiPURUvlNW4jsfDwTQRiQzd/eoiOSnSVwfi2KbyGef CXBNQkBg6Jft6WZGVMmft3bhyJdrybDGsyhq4d2pO091PXJDibQrlA+pjywKBMuQPBNj7jNwdSBT Bzn8lWNo3GVnX67Sk5omdqcYGjKpe8af6j5V5+HaCkkBRY9dU4BaaE6SjOm5bX50z9bk+fy14vD2 DGGHHDHMj8kUZmh+7LJUfKdAHnvJdd9zEsIvBEM1xhVUR6mAdI4/JAUUA7ruTQyjMDgbWU1eIqNA qJQRNM6KKsLvo9qVuaD/hD/x327PAeFiecLLaot9Jb8XWZ+BDj6f11M+U9qfA8Ayv3mXQBpKhNgS qETazLlLE/+wiu7y3tb641PKGGhQWnfEfoC+mOqNfmYy+3cA5UuCpmUut1yruRMYZihpRCAODP0O 6OpU5tSM11a6Si835skciyR4RdLzRSvU/mIdIpxoPf1FtlDqMFxyShtOUisbDqRjZ3wLnKju6yXo GN4c8oayW97DbDeMgg4oPPAnl0e8pi8I5ZauEBrJcQBHD7Cr1EF1fMg2YqC/bP1CTOsH2G8n2FOz VePCvUARzPkQZ0KKg+HojWiOeiE8pee6+HZor0yvgMh3EPFv68a4GKVkoOo9F1Vjv/mNDNZLD5Af ZYdQltgEmsSafWrhMKABZFPRP50GJ3Ww9Lc5/jaP3Uzfa8wV06cakfFOOtLzQvBwHUvNC9icnZbx VotG1xTHIWFSlE6oWcXtIzzYcJasKhUhSP1CT6x2k7b3pbJvXI0RWzpPiTbTk5QK7CMLUd/AfmsX LTTbWuW40pJkJukwyXRfzr7IVvyXJHDT36pZgU0LyIyduCuNpjDheU14ZGaiCSBquaT0rqqrCyOS OHjBIVcy5DJR+m+WIQzrkAO5i+fbVePq35mFWkKYv8Zcg/PgXY1qdSeT4e/+XA4VdefSDq+A3aag XbAYR2PG1ZMuXaBx1dgLkLdKCj3VAU5XMzA6XxrztuNZ7V523ZCkVFW40/RA20aLKdSJTv4Z94zO 1sR14Mtijc9cPqljEHpLLUmlGasmL0l/4nOJGYhoSEL1uVvprj1ddnhUucOjQjz1LXEZgRWJjNcI 6mpS/15PsyEpWdrU2vmfM9TMbSk0Jdq978kLuqjj6ITenE/PqdgvF4YKeCVmuyMpOvJOgsSFztPm BAfl0UYiqYNrBb2TS8XHIcCyBXMcv0RaM2K7QWeaiiLv+IuJMVee9RSJbgOr4OR2sx7cqCKaNA2j g1VL78fiWftPtjW91z2lYFj+k66UIZR75HXrFgRbucHfCspTta63aLC6wf2FZzcLvjWyq/6q1Ixq mKfjLACRHDfINNitJhn4/LV0tHlGThtI1kajnOEfM4izIXPs+2ZWuH+zbHfzvSfwzuSkuWTP/RP8 HJBKgT5XVY1ihVIz7Rbh8vbbsBaKgkp8iZYLB+8HwSfuS5b36hTLM4XgHXytsvOerYAA7rMa/seH 5qYVuqCFCRhgmzihxl/R3bTXcoQH+lagm4g35Udycv2aqZpvGXN0L7PbWaAa13w+SdkMEszi97pE j4gnzg2bBi9jQnbQb36Ntaf/c3I3vq5oYcC0hsJtPrmf4kqbaIQmYV99Q1c2/KQPqNl/XgrJISCo qXm56dQGz+YRj6BP8GHKjfQgixp0CWDa4YC6PwvybDMN6LLM0hzfaIV2pb5Yj3H0vODrfQTIn6k/ BT1r7hWVsgk5B3ZMDuJssDcIUk6M36GEFA30VefrN9MoxoMG93e/tI1+Pw5TbYwuCf7X8HQzYygB cCXfxM+ChcP86Ei8IASWpqRCMuHGxso2w5Xfwa7Ut14uMH54yHZvPEFESad8gGGG0oVk4wODQ5Ci tOHfhpHozA7KN2j04OLewy4QSfg/+W3NmEtxw+elNyjtHeiZXV2NIsCB3zvuztzesOJcpWkbAGQr wYVzphTQmERV8s8eTemI1OZa0I7zeWTiWkY7b3g4pdKkRTYUNtEJK3hud1paFwPcLA99hYT+C4Lf 9YZG189vXr/eNJ/oOpQDXhKxVF9rk7kNLuCMJNQiIjlN2XC72lclQLSSYjrAkCmPgJzOVe/QJAaN tQ+PBlFNSVRYY/COF7E025PMv4MAD3KPi2BKoB8S3fh54+CvnbOhl+mRNfwAOChr4dvO+jjM3FXc RHZPmXTsfJQvHsCqwqculvvD64LL1ZZMrxCa69TeYcPuwzFxsrVQ0Hcc2Qnv+Ak9V+lFBZVnmxfo jHytiqzZ/1BPt3XIWSjJpropd4yrbDlGaIcmmFzaSrSoZHLXS8Ct2rxqUAQMx9Ia5u09Fq4coSF9 IJZkltRcKVnA1lw8SJhZGAtJCrcATvc0YzaKKiunuBJb9z55QBolwRZDdLu+KVyj3vZfu830cByo hhdVLk6DhxoucYI6T9e5nK0Lu7rMfB9vaWN3xdsKWcYJ4FVbqImpbQ1fZlHrtJa28aUaIsWlQrug 0Jgvk9y6G8h8/KiejFGa81B3QkOyzVR26Mc45znTCZQXBJjLcYznPtBcGtxGSsIpI7iR33Azr9Et fQkX1PmlaRRc+YY78rAZ0zXIxiKIpeggH1uWMDi6mmgLZ5GUxjgvKuBn+VcmAWix40wEJzROi6qs Pkoxj/OPTqBSVBs4oCLWWLD7X5aZmgA/naJ5uF5J1Y6wUbuoed9AWoEoAWmnBA3h3AW0ZT8zF78T IJmcX7cHmiTOOq/99ZcpKWsVSB8RmG6gNNkYPpqFvhUMmS2wvH5lDTEE2rvBA8X3m6wxVicEFSx7 Mhudo6JQOP5Jyv3EckQgnBBX1q1Z7wsdBk2Z8O0BBL+eLiWqY5IijEQmB2elcW2VysS8e0/g3KeX WzHByFjFgRxZ60QkkjjvZGirF94b7MCf5ciXvO8zCkIyfbdkE6ztTey7ZZ5hu3ujoM1JBdlrnPG5 pvOGYkvT3FZtOJTL6Yo9GUBwSaD+gj8QLLLlK8lr8KMfpM8dihE1Afbr3Aso24KvFOLSwTJ2fnjZ exjHRcpVCFBEsO5frinulfCnFplbfj2atF/iQQjjd+TmRzh7tiux1cD+y2I1hGUduwd5HSux3b2X A0iApW32QjBJct8vA89P+l4npsIZPI9y0syLgL8gcd+NVrLxXAvcZtc2GutaOGtASaZFY7Vez8qG EVrccvhy5T1EQW7NcmRAN/Wuliaf65lGffg7p/CMzrGnc4J1UO31vKvFDGmPiQTtljcMt+wO9MOo bKC1/Lgezn6h4uP8PKlLtXF6nhb12kS1dn5CACrJwbn5Om+dbwO8W0awasvaep9u1MFiQ7WEp76A eaqgJnThnq2ViF/OgEtWl8/3cAYDkaMxE6PzfzLF+IoLN1JDhC2JJQMUROHqI3RTZR9rweciJAVq erA7rM8tnjo4ETq8CfVdRTTE2HViXS2HRCZ4QLf2iEbViLCPaAejESvOOGQfBz0bTEyJInvyqmzL QI76NrDnjLln9vqQ/3LyAtI34LfnvGq7beuuNiVHQ9xPN1N102GmlaOqd0OqSI7UYYp5UBj+wFGp bX1pIoeR8lJzO8c9YMwYLNBHAmZZqVCDn0gy30ff6sfkKDPH0COW7Bhv8BfdhAm0AnG63i7iB0+8 4PzOEMkApEQrKiqx3q0ypIi7d0rgUQ0Wl80DFxbhEbTCZuaVcpfjWZ5/j2oAYBLBaYgZg1s+zxGW oS7h5gk7oxwY6piS2gpaOtY7n30iA5DOMBn7j3oNv/us2yom8nmCAncKKFOH6BC6FPHFUpbJ2N/T dsT62CLXmvBbOE1IIHE4hNZZL+VCFqLtkQgAyaMZ/rgyO+bTVWr6ZOC7PcOCWshGjAxduUTsOTCz ozURvHfe/54vXiLzVob3NMDWtEnLwVvGJU736cZmPuOYvLMyLoxu17nypD2lRfQeUk02MDS2e3J+ 8U5P8b4StDjhXViLpPjROwGpjSnX8DtOhl78uG7NyawejbEl0xU2R/qHmX9TGZJEwMC7IcuBIkmN VJjQBFEWGJXW6wSDynmvDH4GZVB4wrkrJs3sONWquDuC2Ty0ZtxmsPfVBvBnFbpAaT2dJ8N83HeJ Yu6OWfgxWpbpTPmri5nuXV8m0iGNrCbmJWGQjnKw2zWBV+YmdaFCDDBpNsKvwo2zQuW51K14QKS/ VUBr4rUH8welQ1ysJkjQMbmX25IMxTLwvmLYhOufcQBPIywQKpIseCbR9YUVC+kT1gKFouy4oWkz 0JFCqmkm9/cO/8D5pGiEngda92nGM/sVm94GI1t+DFNaxq0vS/3hT0Ntd7yKd8VpLGhhoX08DZvO MF2B8U3WhlCtXr4kBIYSreT/btxFFZEs0f68Bf4bKJj1orsTZ7LNdI009YzJrKL51oU9eWSwyuJG nFgcZLes9Nl2V6+p7vHIbmV4vxuuWiOld4m7RUo1200b++X2U0ZOI0QXK8mCmFiSffAIWGnRuDHU 9fVW2uJoSP4jmoWNdCZ+LK127JXQWoK+IICPaZ8vi5XupX7/PSTvaxl5Dw/mx/dIWPkMnM38Cqsu CC0jOiSawEdCdlmCOdiK7a2xVtsbFp4uNu63qgC+o7NAQ5/KUF0DIKtTuCJJYDk2i/X3LcofgM8Z OhK7F+E/F7mdSMHcM03e8DHOj8JuJQFq+ykWM7GmR1iGUxX/DayIyPi7TeTOc2JyFxZUhaQLwkzP iZ0TkgghM7H/DA49lZ/4x1aMWNetzaUMQ3X6exap5W9HX+nqgL7O1OSGIKiDxZ18KnF8EOZCgjob CxjCKCd4O26Z+cIxoERyjzjMzC6C3WGm7di5AhyYcQUsoZwDG2wuCYOFm5/CNqprcpGV5RWPa5t/ RHOLkJJhmqpG6Uue2AQsxN9/gJD79FQmkYXWmGzr8nagb5z8K/7534BzjK+IngCqb1TH67y/WiF8 gnCoY2KuRAZuSrWoLxRGW6aMrsi3Eash+1+9WC94pUUJxACL+LAW+8gb2ik1IK07+DZTCYbXByXt veAz96w2l5nAkJeo16GxOY8Fuxrm1xWmam/UJXGq5oS7VJWvmqn03vM3aU+n2TSucdgepNIYBbfb F7mV61QoVcEK11wLVrWawpdRuo4hF2MGxVtEkVHJ4andZM15qmn//ymGeJg7ZzgsZDHUGEA8xHeM W9qV1ZSz8zgSyQ9sIRnADKer84rhDz3bNJ9t2oQd2q7U9RZ0haPUTL5OKpHYD/bWR3wnar1WPaeF Ro5PPfevTv6zXG9/3JKSVYW7dIBOVoZOT6xTjBoDmOlR6BjYqpADfPGcT3mD8UbyIhV8WOjctcBw mFwRDqQpGCq287B1/CkRZm+9FST+Ua3hC6z7etHdOPKx257RHfKGZYCkCisaZfSZFFA1i5UbHiuR sVnvoKJFNGLU+1jPzTeZHoPYseEsvRiQi6EuJMGHgWwlM95IH2yjAMlqooG8HFKluIUHgZdwt7Zd Av+Az67la4HpU/D1NQZuDY9TfIMmD7L8xJXPbIjCAxmSjayre/2qU+q0EkB0uvBFPpt93b6fR4Ne 8cAlP/N5RU3JvX6VvWS66AD/kD51DkCskNxxiJ8xktIRbgrAtr5OazZz8mJN3dleBoDyNjizE+9b QDt3BA9oDOLKp/2Mmn+pzhmLWfPDGuk3mBzm5kUanHlQwyYKLoQe2bBJAx46FF4gdmX9gRzwW6Yt aH8+mDUhIv7DoohghzH4UsboHtnLD0XP/eOShxMVddZrfcplyKCBo07wj9oo8aeUVbKR96jNCh7W 4daHEgSgXO1n459IU28fPYCcVenEsEd5kd69gxD0k4L/fR5e6k38Zk1oPrrRl5pXop/NgPhaFTXQ P7xpiS2G1ZEfxBYROgSLn5lH3DPapMkyQB+WpWwwzaItSNgPLVi35UhEkMzz59jR4GguNfTvSRr/ LZL2ikHRxhZ4n2Z+op8NZLmMd37+zuc7DNy0D7sc14EdOfFofWu574KXLbbkGGNVHWFFJrvcM+dS e0D7zwSh44Es8cQPdwge+W/Qt4qnLs2Foox9j4AGMS0br03LWpNrE/eXjVjDnXmYHh4AR+okBanr /YFflGLP9mBs5NKFdUmtYDsnzGSLMauZivKUw92408hf1PIoReRtgSOLgoAaaPBI3kZICyUMQNt6 fMNnoMUTmrrOMVibgWlbWyJFf3m2g7U07gkRO5ta7R7mKvWcDfMzQ04M9kvnNmWt647ZOeIvMoMD AYs3VQjnALCb98Um5mPB/c5kIWDVifmfkQ3OAtgPgSINz8XtVjtN//N/u+iX0PNwmeHel+ialNUD yybp6BuqwTYTWa8ba5JMeI76YhTSaFa0TypWRNI2aqhrrH5r5Pq6jR/2yYzXm17J6KIA+tRotnvX TpcMBPMwmrUQHeQ5bUOOTVGdkotQG9Le/snBwgPMqk05fTjVQ5ITLBLAZhgEq9zZekQlw7kVIg1M 8IcZdt0kHet+hu3VCvQ2bBLw5ysLxAZxRRwM3U84GAarm9qkwwaBWGDGnrJujNejR01Ir5DMY+lF vp8fqEYL9SzLaXYXWquzAU7vMk/CUd78Ls9UNV5fNgH2oMoEaNXiptUTqbafYEzpjAzuE8JsuT+r vvm23akWwE8ZR8uTFxwaoycPfDpQXMrFDbSb/oRp1kSWNTEZVWy77+GhQNbBaToFEoDwapyD/es3 b18MurqhNUxbfdGaJX4iZ+r3pCGTDmu9aAfdOLDpXG0+h5xyDwL8sOP94q509dI5HMj+c65v6S1H 8ylwyTWcjyEwFMrlaY9ReR1MBm0CuByFNJ/2kXULNqXo2gWJTD5dZxQyVxqoK9u8v3NmxWgTlkwX oWs3u98FJG6589Bny28kGNbxBOWXCry/PHHcbVZH/AGfr2XozyxwfGHmSA8jTOSRsUe4uctZEZo8 gINOnC5eHi92WJKVU+RAaG5QE3SY15Eufd/oWhTk+5bUwUcAViTeVwCpAInP5+VXk7Y0rYV6SAnA UTT/1ntmMZ0k8CwG26Fra69c/BXk3zi+HCQcFYtqTBdOjfPoINkgOnQqskmPlMBX3apBeDYjoWKQ zMwhUqSVgofBjSSjdDgkG1YDSOeMwL393Rhdmf2FURlMJME0YSU2DxURo2hy2T+InUqtG9qhhv1C a0HP/4W5+qijudcEHBL+VrSIrE1BzYmNfnv0BY9Idcl5tldmY4JINq/yUpaOXAXHAwfddDHL7aX0 xjP07TmH2qlIV5n9HK2t1LW7bnbr1uWzxkK/B74Pv1irLZabqw+kLlukLSDt0dqmd9If/wI/2OZF otVGF3FKHsjF3gf4ho/sm4qQtWymEq9f9ZhmTDTaJh6drsDBCyl+JFOgcbcI/CYxsknVFVfBB4EF NfJTXFleRHmnniLQeuUJdcnFdKL57ZMs3G/VUiUUB/r8hPlomdAnM2j6+5FJuJkWn+GU8nnyWdc/ XeVBOBwa8Ep+87isCf0UbHEBWd72wd61tFBbrC9W0mEFgNpp7oarup1We9fqHDdlpuF5ypGRhP4v X9mzKBsQ6fyIDc+BRpDNCAktFQfQmQWUeSGjsuAaEZpQqZaiUnUnBXpbdrweKlHGsLi8l5SwlGQ+ /mZyjMAXPUBvcgp6dqgJUrMPn3781QEWYZYUusdq6P7KTi3yc0OhY9m785yemV8QCQk8Er4uulQF PXGS9O3aLurnLw0O8YUKEfSmL/bOwPLMgg2JEhLafhLrcV2B5lLQ9gOe6zqDRBhcKzJYGJMplhTw Sr64C0/Wvg8UTQUbh9mxykPjlLidzp05Wtpa9CLqA4oG+p0P/5IrClsr4hkl77+3HpSu6SC6/wIo EtBCJU7a73hE+eO/lfmW94DpYR+6YDtk92h3AEqzpKB2bXs6SPeDAmWCzLYaKUM4AYwB23a1QlwA XaX1CLHqEeak6i7DxxSscvMNudm1fqLMHuN1QrSHHdTMtpMtEHP28ZNZQZXyZGMUvUiKLr4Cw6cf 9zZPoTxA4gCIBLVHhG2jxEntaD19iEXg785+9oNGo/ksJbRGdLuruha0MfZ5pUESaTJckrkuxmwS trU4IuPyl25PqJK1PiIlcwEkSEPYhytVuqfPsBh6oGS/PveWB/uB90Bcd+wupqn18rmKMLWTQZlN PYKsqqLphbq/i8vDXLtMzlALSZ762jCaMcYlRpUKPTgJtjlU3RABJr6FkueK7M0cKl2GpRjQ0caU xqOdPKxjWc4htoKzRp7bzA3XJiPI9JVkqbyoIy4PYBoUvOsg3QwXu0VHG0sU8jDEL7y9WC3OdooZ Yj7/EoVZbPG4nZotaioisiXtu1ZeHFabFxied72yc0Q/fZX6ZXPgrCQy6hovsWbFkKpSZGiQ6UeH fJJ7rGH/Spejop6yfzfcv1iyVOBNvc8rlc00Se0nBHkwughW6F0GEdKkRKVstqK8mfXYzvjOwE/e AUi7tZAKt2/Pk0gmVPsCx6HtpnWFEH6o6muQK5ny3nvfCv/SNfr3/Fh1XazD9ddRaplPP7WbmgAP U2w+3UcLtsiSAqt2Tj5qP+DTPVyl6pmouiaZiw8+KVJmoCAX88bvfFg2wAiWq4c3mg1lHLXyLPq5 01hHRy7VEkzKahlYIoEjJBlx4G9FO1ZOcC364d3wwhBBQKrai3azH9wPYHzzKNYq8SwIRlkQvFki vNdeskdulUYutWKPtkJGeaRBSxgg/NqxiPud3AjQ3jbFbbcvjIfN1AOY9RMW3lEPiZXRYvtHrtji Oq8x1e+2zqVYEXEWqE3gFsQCiMqyEwcP0/FkXeHwSE0D5UDM4YtbdFEsqQVJyqIlC5SO3uNV/yWy QY1EWmeahmoiZmScC2KmtYzizHb/TA3OC+QR9pKVwAL6XO1UxTCtVe5sI+WDYYsNuZwwaUgzOoVR HYivpKMr6mG+KKk78miin4TqlURofOVOgO/7kFw56DZNamUHYMzPbKTcR6mqqn/ZxA9HQICRmhC0 BgjYGKRlNqWRk7fEPxLFSc3Yg/zFN1xFQNHsqom+ffziWdrcJAQlH4nbDsCQ3+DIYy18UJwoKnaa fUOQhWZc+aa77qLE1qGyT2HeV7KCKc7HJ6PgvfbU+Zy7wKsJHCCSyS208v5F+RLdHVfxjYbyZF+X y5OKFjx3Pr5PP1MgAmqleFO6wwmAEgMKUPsE9+2qzMxzQj4m3rPQGCmvjwt/xHkaJAP1fk5gOQ54 MrhKDLErPRcP1LjpSiC/x45ezvyVRDG31ldts7LK03ue6RtF2TT+mIgCLCIhN8i1MMNSAcfEdnkt gxymxLNS6fvJkyslOACdbbo0ficlYhEYIzRSkE/K0U+5TlzX5xFNEwtjmvcu0Mri8i95nnEsvr8c D8mTwNru78Rxe7xpPBVAcKQtPKCqNQBJamIsDHlTc3GBYNLGiIqPOq9d54ZdChJLEY4yQU32z1ou C+l7kU/rsgQFg0z5ArCwFPlKjcGCcrLjjGqQOTcnyZsKnNIrw6HAwjuOwCgzCtjb72A3t5Zhsctf oHXUOfygAHs4+GzJSn41ZyebNeWjo4QpnNhygX3o/KpGfV++R/ViZW3dTlU5I8/N6nGUtfoLJTxD 6/z82J1qIxmWP7+ExRC6NIeK4fxt9vEBxUmWp06hxcv6f7hB7/FsyoA/y/k6pPLdpmU7GMikW1A6 cTrs0/tf49IIokIM2GlNHWVFgp15dj6aV2R1IK41rSG942wJY6N1Pbgj9dsNzdtasXLIoq4W/LJC sJgyUjlPwvjHUIxKQgZo/ubDA/Vu70vkphvxkb05spyYmns3UmcnbslfdH+9kWMwQD8jgKRJ65o7 YzWg1/6UPHzUxeqNOCg+w9FRp4LBM+krp+ni0H06/cBFP/PcC51UF1CKj28qiIfQw/c1oOb3Rlk0 HoobCmlXUjqXlCJ6aGrOj6Pb7pCL7bYnSv893RQ93SH7V+mQclJAkNfikzQ0uY2SsnI65vD9KMKT yqqqcu2Gtn4BvbZiHMq+jITEKuGoWfKj+ZWNvOKoL6Xu3yvQF69bELzNG1Ze3SU5VyXabaC0/gXS HzyTIZ0gshhVhvho2xzBBEpU6WdTQFrWbxEMVUNDs1oYMk3aBHUEv+gpi/ExEV3uBiabl8y0rAQA TphG7OIw1cbdFe6Dcbl8OBU7mvH0oIO6zevseg7rkb8lH8kF+gIVOW1VzSTybXPZ3pQq7sjca0ZL DaXFJaJ5KvGSTPML7GddW76GAustVU5VWtLGMQhOdbQ+9qEEUdynCsFcAW/bEr+tvSD7K2IAZUn2 cahKM23/zxANFaLvh361v2iUAfLODrX7kUH+O5z/ePyUv0VBZFdKqYuzK9x+aV8EgbsrWEJsyJvL PoL74UGiXsJB0SoQzfxlG+NwO7jJ52EH/EqB7YtP/gVMcfl7+EcoMjm1ZCPFxuDNDu0XZ2OrFFBs rMDaNgqrFpkWNacSlXN4weQh4+VtlyujR/onAtHbBiYvw0nI4Dxb14jEWKPqKLMmbsmI0LxNBXQq PN74FoCD/fpc6Qb+hWKQzgA6ieYyIhExWR7T8A8lJEw2BlLPR08KaeXuYx5AdQ97CbdPE3lFgGDa Ax4zG090TOtw4Sjq3/8Ji5fRMWxwM2hCQfQwzcHBVTcbqm76VehUvQZfBCuC4vINZjK+kSoae1kp N5XOgsX6eE/ZmqAKPr4BbdBtO18kInVNglHPXQxE6HinZYjeWL/ZrIJSE3JW4asqUzOfMChEJn2d z31EwdMzLig5LrYk/F1CIdXNDnXw6POpplZ8kroW5RREd3iKskKO7ixkOJc1CnAUHVkFr1Uhgltr jznOZ3DMy/yk/v6Ueet5JKomLT8JoiKWqd6mWlHOQO40d1DogVlrOqPDASEATNx9oG7I4TAZR3yI 70FZwRAaJAk8x94bbQfS8IYOBs7hZYLW14QgQm0J/qi7lGdAF4PHUixmDYygNbGlyERNywASPRk8 fRzISFuXiJ2HfaLsd6omK0XfTP+9ARyi4L2o1UGsU/QltndW3rK/n8Hlo8JYKLgmIsbCqsR6cz/z K11YSAzmieVb5q8ZtEv2jAAoIuNEesMnOxLeDqtG60TNGyoePTN17HzZKhpjeKCq9jNOFr30gELC 4eIibQ+PbklDKtRWwTtYwWgLx2dSuPmtAOYRsdwTva8mwRCtpDW/Y+zhkS9fNxhzmg6QpUZfUlzl GTmy0xy6YrQ1Rzd4QnQnYuIRolCNGN/PytoYizpyJx4quO+MOTLdn3ubcW6taNoFZp64rXIGkY6S mDAcK1ckAEbWfR1TXRcw72m9XiKRIPxhpqcqXCyg2UmEfX6nebTaD0TM3A+OcNh6akvVPwavvggy 6xFjdnBU6GOjyWY6T2YQnnt8KRQcu9YozhVvbzEZGY+7b2DYz56OIUxAnVXJ43ZOsV/d19+fzctc NuShvvzSW02uK0i9m3d4lUy+Oe5zf/54Qsfe6WAOvKA/jHGF26AIf7t8y2lpAJ5r600zyPCNbHhm wjJKVfK2D24witxt5VXJZ7CGBTGXLoVbvEcp6KI6/zeUDEtDXca4jouu4ZyELHCWrmJUziWzcD60 NE9Oj3DbSPKBEaGUBlFdSeHXdanE3BHZABk0R0MeDWHaQf2DNGRzsDZW5qD1zymPICLaTlurW69R +FevGLaZfCMhc2DFJ0lJIPegZ7Qj1WKl8k1hVjy9YZLL16O0uZ7B08JuJ0HYbPKAC1iC98Eo2Ign j8EIhBDTbxvmPPI380hwqzYox2KGUQ5dFUXGVUQ+f+RkYmyBbspZc93ttvOz1WE8UGhyCH0mdMuy vqEQltNiyf9U52utD+qICOnQ5FyY2fQT6+oeAIG7QHfDUpChPmJS7dCMbd3jt2qssx1SEw4nnEKa 1xmTxWPmJ4ws7xJ5lU9bFKmY9egD2ah13kQtGNBIxOOXCFnaqUJPEzVA3EtphekVO5euDHOxf1LB SsWAm1gqdC6yUEjg9/QQyx8jgd+krfZUhpZ6g/HJG0JxQO6RGf58n7nKsUM5HVJg7W8bk1K702Z2 yUYbyjANqHPovEormnSs5OE0sMgd27/Lzhfl821TkGycBstxWLr8CyBzF6fWwyiic9+wMwNWl/Gc U6aKaVZlYV711hzl0QGcG91DINR4jPC9LTBACTPX1zjUN1eGUjK/YX2AQpqOHyFXyXDRKCy2fqO4 3eLfI9SJ6FfRJ3X16PChroW14LvibNbz3jdsEUjjGfRR+xxit/lELSKMjtIcZt28cHF+dIo4YSFY YpsP+FxDj22qsLXXDkpFChtkUYPNWxJJFCUF7SC6PrDLjGmadY99casjvATDwaaYpcZcCuWS/xgk ZFdsL31eZbw/JqcDXxxv7pyRF1pht85sUN6nHZC8kJznl1TnbYD1zuKDJU59ONzugLMPDvAYmf+Q ADJh747NNI6wto5Y9IoaFgrggL/tOCi9Q/C4dMAUdUvSUdBi3BbHkuevwCpBAqCurAErEyxVcNqV i7QriOovGobr+XIKyLWbh4pvAUby6p3M09CySTPRL741JubajROgytQdhn4yvux13g2T1n2rHcp2 vHkqMr94LMVsYtuZHztldBcZjSZdV8UZoulA5ZqoSrNp/Zeo9B32Ge6DFMuSkkX3ELCmv255ip4l pkJUhRk29UyOuvwCZYjR00cJQYJFj/MTRchyIAtuYCy01Zhho5EGVWDYYZHJmp6M1KXGVGIJEuLf /FUgnGjMHHYS6ySgF3YLXBzLSIaK8DP5HeZqXvunSnPHDaa4SIuGC6RfvgVldEAXyjfXmgs05WeS rkkmu2BS7BHQR6xlqrJHWgrOzUhNpNwjt9tjlZObLR/88F2YU7qtOMS116A8zLEkZ1hcSjM2+H9S tyvfp+2aYFquw6lr5ig4gVIvg5ivU5vtbhp6xr8jtRf0wi28ERcEbN4aJlx72JfKeCi2wlqw3Az0 0kdDgM/GuS8Ih1nKt/FrQQO2kncttULzJG//b7zbFuB5abpXiC4Ll+1I4qjFFieoVO4vcMw53AdI f8EZSlIijaIrEI1reQOTPPgtkhBAgQOtrLPD1ftRFTW3zKL7taJOVTNikrcjHY7DpBiPpvC6GQl+ UnRU+eRCzJYF4sR5rR/M4bXH+9BkoeL0PCUuIfOa/cfb8DLH6qddEnyw7Yd0feZOsQltQcfkkOsS klfP3R39MHJIvXZmdYdmp15gti6DQfcUeGtDl/m86/kFIwnDWmqdJFjSSTQ28xCFAQM2YCyoA5Qj 8jjz+sSaESPH8ok94Q0a128G5017AAH9+XDzRHJpNC2yeda7pGnV22uPE5ELNXs8GR79pQSG2DJZ RKtFavQU6BJkyfB+g3Cwv0di1yoVrdnB9ywjp7kkizw+LxGUzrXitmvqxmfB5JaWiQnK6pTQNiTa kgnnUjIz5NkVCykPlGrHTZCfL10fWbH+rZAPzk6qsKK4ttF7cCmhhM9N9+rVNKGBfUP1YoLRmCSx O/rvZvD+doJNbufeebe1IDmj3VsJ660othwvcONisbyGDkPW7gHYB1+B4hk3glz/0T77Eygev+U2 e39oa1FsQwL/jmfKZPWK+HvgEVi+L7bn90fY+HCy7q4CtE9VN6FsZv8ufrSXtd2dkPqj1gvoab9C b4RdpOJpvETa+HZY3g8+i0XjfGaCfKn1beFyHSPlU7RFqre8ul19HMu/tCuxekJlDlcF0emj/HGr O/yvpvEpq1nBLgO1sxLMs/rzkhj5eArwSpIlr0I1h4Tpu7xP+oAoI9IQPu4ZUPEYCE+iSDaIX+VQ RsQlkRtcacQ27he/cG7Dog7A8hlyI9t2vKuzn5/0Mq+Y1nboEVDt5XOp7fjLHa/JlCiYF2/fbQCR m8vGcskVl/EJIS6rrl12yyYU9rs0VjHHMdBaZ0ioAmsOKnGvs/fyVYQLSCgIgVR5Np8utGTQ719R WYc2S5BKwcx8eUc9zXgHye7+8kDPNpCy0kb2ltZd1D9eXSo5H/BBGYHG41T4/1zFVTH88D+ANkUi aSvKcox9pir6QPEeXIV4YtgMKuT0K7l+ckNUWGszp/uPl68tHD+bsgsb1fGz3eYNaoy22OOM0QfJ uvk/t+wsaIUV3xLWwDyZIl4dl7E3oxYwWi57p/jhwh3v8jQriYF1IOCn2HrPIV7wg3YkpXCqMKfj TyHqne15km71wxRdwYjFoQg2XDHfjSfCoLmgyPxiD2Jmb/OTDpxnQL6pUgONx83y74rpdPZ+COpv tsX/IVZWzcaK8lv3v+KIteTjPsvN5fTtEaXK6OcgmLpLM5MqChJzpwrKj+2K5M676dsSIxb0kJeQ t3Vjk8dEVXOq4DvJcHSDsX8xW2/tkErCk5uYM/q7tnoXGWme9a1lRPc1aJr6maSn1FCIlzUwb5Ss TOLGQ/MUFzVC+W2lHPfa59r7pgg1tbzt1Nj1/KeIT2c322bqvYqKoEUafI9VrFvP7u1Z1TMshrfI VldUqUj72OUV3XIjoM4wGuIQuFylyWZtqbqG4LVVnWbvf4LZm8l22djK8LlXZLJktsU04c24x+/P EEsIHEXYy4DltAJRjlknWSh1Cbtm/SS7vHD/yj+nNC9vKog1jvLC6IxfvY1tnY32stVisHQClspa 0VgkT6SKXJ552kTz9bbi1fWCR4RdM/LgztqdAh3O7vapJDVXeFRXlpHE5Fj2PZxNRUQzNqVGlN/U r7kIfksO/CAkVD5pwGNlLflHWbcqvrlpOiwSMtGrTiiAgf9bqHCVo24usBU0dyDvkz4XlsE4i0tq AxPRlEnLQTBA7f4P6tDn0IhNFNivhTuYnWrTcocUqgVypp9OAG6mXqV/YksQp+Gl/3SPbctffVop ozyymZlLhAyr+aIqVILW7rLnSqDpRnNQ3KiaJ45TtXr9qCxs/anSf1StttWTj2PxzUPbjQEq7Ooe 7SLWATnBLZtZKFILe7PdHTwNgev9dIEOnbFe+UUjI8TABo1B0xxXIpixGrdVnfZY37LQyCsUMPbI fNYVHt69LXWErRPoR8qgcKBo3R7mAj7v1h+clTVd/qJQjXhM60k9Y7YegpJdIdd5k+2RxDu9+KMd 5aXpqWGE4N1IepUGVM6/ioDA0OHDpBAdQ9iSvh6Q7vZpO9DkOOiQDz6a0/rP8HaTYxz3YcilSjjY pAx4QUsiuHRUi0KogLZOTeOP+gNh7fQA10Jdb7VvJ6Amx8CQltJQOb4CYzYJkWAt8qfaplhhjID1 SzxeD2AX8bt6Av0su9vr2h7qzBnDKmRTvYqp5eWkbaQD31hCjDotLR7KvFEuRdW51sEJ0FfpxTpj yqaapc5TdazzAxXIg0zXI77J3ieX01S82SwxWkQKFsDdXk6ORNAzTE1AIrA13XHa9Yow9V3zsyLM IRQKd62lNmYXtCFC81CNjxpSpeFcBGV3pZgSON3aZTairMk3W6FFrN96q2D2Rl6cZJfsDR5E+rua K+V0BiClEPj9xRo3WYC/8NApK92I1HmUdzrQXQpndtj+ng2A+w0dvhFNyWBMBXsM6FUMZZIlpy8g EBiVxnaRF7s9zeVV0pnOgMNxdKRG09Fa2OdnZRn51vymoDfPtiZOG+JnaEunlfQn2HfWs8GIWy3l 9gpDRS+OLLAB+T+bmQ8W64EuaGyV4+dS+i/Jz9yS3TVJUGLOxoXOKc3zdpQe4ZyvLfuAjE7pKpdo AMNVsbtcB8kJvMuueGyANfPXjMn7lYFx4w/g3icpYZUWu+Y95NIeiWuaIDJAxRvQdeqYQ6h0Y84n 9y472OFg7MVP3X5CfKc0rpW59Anu/swefkPBD93oeEXTKda5iT2uPYR9hCf7V2X2tH7VS6fYigZg N7SS/vY80VS1QoLTLSYkqRKr/Ro+vxcsqPNY90807Fp5pGo/gyzKi2ukwMiwDaoU4+hs37U0wBT3 2qEOXJOVjRDqFTCvJhTI/TR619y+bcIX+Ujyd5smMY6H0y4pJ9cKcE1IIQonuuF18LpzEBsKoxh0 3R6s8P1wN7wl8tZ3Sm+5lXeITfXvMn+1ypn+oyomoItLhoe0yrYashX7mHhqaTkfMUL7irh1jbXH vwAO+8EGYaLrfHxzSNrAsZ9SLaiG6CsVf0HNJmrJRiz1UVGG5S3JSaAZfVXlzMmKbJfcPGD+VoUx eGNDEKeIrp/jW8PQscsOH7lyiOvPtGxeLrSh5CsZZ5YVjVJTx9WZUDBgW42rFU6d9rdUHeVjd7T1 rJHKBZBSohU0PiIBIndVNCkiehWvBjCLvnpD8h4NtbwIeH55fqN6OS2AuRp8/0v5vMIJ5N8x1fhR 8OmjycuDRRD4d4UAgrSxbXUyKUIO16ZUwkcWTln/fUEXT9w2Yx16X4RjOtANAV6kAW9hZ76SFVOd eMdn1VWLalsD67c8ZKfI4EiOXXuATWjEKRko4yZTV3bEk1E3AR3VcOi0WVMpCyNmnsueE1zoBOES cZEFzy8+Ms7ai8DJ6xZkuEdqdkYbV9vyVigMJR7EOx1fdeAXIiOn6fB9mwO0tzjlf85a6nmrgBUm ZenISy9965JCh21VZzPWg1t2Bq3VDvf+JlRo/HNzsWXYE7HzCzaHjKBX3HSZl634KbhUep2JFhO7 loSYQ3yR4QYtkk5MDTvYfDocvEBaII13UqHXwOc52YMqp4LVEkk1/tmkHV6Ma1sDstBSv5qCJUsq a7+d9zfEy/6OP1apYMpgZQBkXCIgH90Byl64ug3YFRmovFg02V5BR1k+uwsD74wneH/TEVWYu+h1 T9CXZ0inLVWca7fwecZFUAI5U+nS1QfxA+lFUWB1zqRTIgMJ9i2bOvD2xwBjHUOL2G58UEITPftR 2/IibYv1xxQa+ulM9UBDon1sMoP8QFgmd7MuSCcieDWC1TuaNqSKApB+K8NeUhD7CVl8haldnI49 f/tb9hbrCWG8tvTd7P/jN5p1YR2SnadRvLm2lVmlM9G+lqCC3ryPfYWlMLOWGWvPGwk61BaXKoSv JykS8IuU2bkDKY2G0C2L0iPMz9hUjiYub6tsGcfCFprDewrgIY43MmfUPGS9payuXQI8A8ToNz/g AlpLubdNZ5zBxsB4itp5biM195DgU/6XPY6tuwv8GBZ1FruUpeMNn6gHRqb+B2893qXWB544OmFz uZLwkIc5E+lYR5vJYVpmD26oB5lpJr7NrUKubBQweMZztlFHtnjXqVd19sexwGOplFRUW51F0MrL ffsT1km8OXPpGHkOCcUOU5TU/8+myqNKsNxNMBYXiEnQXvHnBXB1a/h0afL2FB4018MggmS/zs0Q M9eLF/msmmCvdpS3BvIvjeRU7Rna4RL2O3mHyII5HHfKs+IoBO4JHQ305m7iiMSJbr3e6khCWMak pAcvv35TbjKpb7YO31AQP/xk8xgXwGib6KisNdTgQ/FmHypgQsaTlb4WZb+nB3J3NnN//iApnS3i mcegl+xycoEdvr6HYLASDiGaBxH/a0UzZ2Kgvdk4vGIqPyIDWpGCWEpAWZe8CXZEDYzzgsxemnFE GVr9DRx1Kbzuyd7M5Oa23X6KyRq79Px21hHPinSzjzwfnmmkvTuHQnewXpT9/3h1AErNCuGgrDBd PpWwgCBSCQZqgkmeY2Pp/CdEkoGgMTYp2lVSYL9AyZaXQdkYHcHqX+U8jTtBYs0Y6hX63mlSrxlW JIi99QkRgXKX53q1zstaP3cdxAI/v08qgCXMIpiYaR8C7B85zdYL4UsdhW+0P5aASYFobO/VG/6d FgrEsG3tYgHLKeOf+3i4baakVnKEmeIIToH01rMA4Cn1E+dH4ZOSx4Qyb2ONSevXaVs2ckIHcGPs +xfUkmakGB+jNi6rF6TZp2iOvA6FQJe1Bwk4zX5dmWvRTkmgGRuHFa7hLwTRDpmUsHQB7fRr3Ha2 tzseLALcsEyf3ovQKSb8ZNeb+byosvuMZm9cxUpMDOijlnIrYWn2dM56N+gnGu27ykWHalAwBj5S UDQX6KeSHr2sJmL/RBGof1RYJurHjCIpPv9TwZdTQiJuBAuGCHPRMs59x3OvZ2DYb8x2SK9hvGKn ny8W4CF0TLyoeUCJd5M6yjeAQ3oHv7XnuxEyUluGTI3lHUcYxENU2XZLgfZVpNhmFOXUqyT+9v6B M6L4WUPMQZxwLPh/+zWC5+Ut+UxwKYedZXhyTY9LA+B2wUwDPLF0Z09MLzPHwrY4eqneitYn06A1 TWN9uFIVmksYcCIaW/W4E/tUAt1ZsQLJytZ0seLwTZ1P5vY0Dd6OM+Cxlx5fdwDososrWFHQw+EJ 8m8+nyNuvizUI1AiPkRO6/nkxL/qHccOJn3VuYyisc8P2oZFBXVFC+rkmtOB5npjbM6w69BRd5PR GfxcWpSYVimpBsC5etgoc+B1zf48P7+WeeQJu4UHp5MWv1mQF6zBBSqYUgr8dNeiTVi1xZpa3uuA NrJQffPQ6AnTCpx5a1SpxXMVNwZa3fUwSx9IAPgLRZ3rnyyH2qVFvvPcvol0JzHn7dNVsuB6QsUu SOVZgfxqvFVajuGfm+4NzvpUu9QHcEXLgw8W+3EF8j21SKJyOqhj6oq7hhbORLokSY0EuAutdm1J pLOcuww6uzWsYyf6wghkX4vHgmKmtApGq54hc1DZSPZXpIfqsw9YM4j3IUVvhcE5inor6OyZ+iFl LBKpJek5M6tHEBhP7P4y28RMr7YG9A8olGoOeTZZAAwjQeM61VrCxCDnjHaboWGx52PQHrS5DwTJ ueGbGQLpnfR2DGQUVdH/ixllwv8eVzEowDFNNvG7zEWx4dC2mB/3KIRtuL908YPCuhQ8CpIBGfVh R3hx9h5qKr/RLCH99rmFyoS/HX+tnX/6sPY2bQhNyE+GWrExCTuegYhdH7sI3Syji6RBg5fRyU+j GxqZCi3QglMtp6jwGXCbAUouhhT8rh0oujlH04UtJuGvlL7Px5DJzj2JInz1qnz6Mx2DFzRq8qAP qoTbZcfwvd66qfqE094StrRZPekmwUVkkS+1PJmWeZJTLCcBP40EOG7PYxJPF5Qehov3qCgIlj47 8U9GTq+A05+MwUpKTxA68dlEC9rCy+4qYuuc/B9lw+9FSCzzNIEFvbNBBCg/W3mvy84I40l07TT8 lq/LFSo5/qiQTwitbRsO9Y4bfZhcRm8CjU+gUoQJ2n+epjjNNOnUSciHqwRWzI05iVv0L+cf+0/U MddgIanq6ov6qO1jg4OMumzD5/131eW7NYRRJhgFMufmUozjFWB+Fxv81CuTN24KFS+swe4FbUxi Bn5PNgJmQKL4fdsxzswEOLL/rQJCDjjT1jERLL+VrHiDxyXS8NJ109Hz8o4J+/XGSDVlehrPUEer JSWjhg49QPLeSmfrCxgvPDBQmZpzi5Lr6XlRDLjHUMLg3TzXL409T9YbDIZFhT2aynXFtuxGPtgj f3+66YXJJ4/AFwgJaU2qF4KuHQBMjxfS513HzzkRmLTuP4RaijE6yx5qdBkn7ZpzZq/eCermee2H AxD6Q3txA8/rmaVgAgqVnIUdDAyUgsRdHqW20Qj2ChvnwbAzz62FrCweeeUwsKqvM92RwLa9/phl sS5kM/OYsslIohkkUUnJ6J11vmzM1WD+sq1VqkkUUhGv9E+4RtNxxFWcnoIQhNOQI0AZj9gfdE8f 3YEcCB1zfAEcN+9/hslsuA0cp2zhjf7cUHcxkVxL2Q/uTorP6XJrBrU8KdUwCh817JxT9ySSHLtT CAADj2rZHmuuZYas7pghHX/iJhNo8GDfAEtb6EP+RwLD1jpxyXuaM7OJQ5/c1XrLQpJW0lEe2ofU 05TQEBnJTGTzIisCRE7WQNB5ysa66kT+QziuRuNJsV9kznfqbn0BOAUaxRjPIjoDPaI5lXd9rEcd 6DzbZvC+f2K3wDpmnxhPMofMTpdQf4kcPiWW9fhQy9Y4e7po4GV7/NKZKjSVNxQmhuqXBsd/X9C+ +zTuwazizDB0A4lPEM/VO5xo6il+2Y4DUxHEEUmLFex2Kn227pfN9bXovPe/jCnJ+OQT4Vrny3WX tJTTKFHAT7kPiYrebCDiXp9e4gNu9hhFoeZ4hlqPmEAed+vw9t77D+jwAg0N2RTvONHmYVjsuwaV ad4fSkns5PSZpPDLu7yB2UF+DJC7h5KYo+Adhw/bLNcaS8qlHfilvOfH6ZNsII+SzhvxGpGsxSPW 0n6xAJpHTrMyBsucpOvqTKGap5p6uYoXM0oH3g7u9oPwCBK6OSLtT1G+cDOqyf7wAiGcbsF8jdcj pn4VUu0lvdce1O0OVuBij0tPbdQwb3fxxZ8SaOgcHr+HefyJskaJPw5Dof7wQwt/123MtSX2l0U8 1McLC29gkYhPgfG0NyhCzMoZZhG0Csrztfqj33Thm+M7Tf434FzJkODicABCALPyxcm5eE8TH2Vr vO3TFgUNgQEO52JWWqkiXO9gUidbC6D3d7a+n9H/vaF/39p41Jup0H8l4qYs9iTcwjI602uZmxQQ zrf0QefirX6COmVQbO3dcz6lc1E+RVF9CPCUdLw+gnqEJHbLIU0y1/g1w+5cBRXvlfsj4nybSOqg erEeihdOE7Gj2jsVHhHZDmRDzALFxWKOkJAbJaBgDcm5Ik79A2S1ihHCvFgcsVCq1ZBsNECla0BZ jq5FOGksSniEv+LxZsiwqHbwjiPaz/scAh7xxfoCY0kvD84DnyEuAD6j/lJCcGuDnFJ09KVBFvfh kHIhf0kxbhu9wF3pVT7iGmIc278mAZ3zvPMo2bBGyXEDV+JMUxtG0kWmIyK1KcN4vpii4jJ4qDVZ YjfT3eMqPp7lzhczxmYr9KFpYHcj8k5FxSUvs80gAGZKFNzxvQfs0yhcD2mJa2iyRyVO3y0NTGdL YhKxmmw/Pkvz7WZZVPUJd/uisSRU6G36AlyXy8YCxVxCgb/7tyc+F5kBKN77LkS3GgMpQ2LVwIaS LWVNkKa1lBGpmlIV6omEB39uSufIbhYruUL+L3DJClcKcmb0M4xGS/Rh//JPHee2jx5RI3gDVECt Xg5tI0nnDM8Cjm7nsGny7ntAuPhzbTVwuCytgvxwZtJvm4SNnwfevAw6y27uAOaFCVevVGd/aVTO xz1XhzafB5QJRZ3nJdXlZR0NqwPKZRNgpscs4rLNrtEcTOMJjxdAEriJTI6v8jdn1pOc5uCfGwAQ lZI2e2OZuLwwq1qMtBrTvzYNyotP0WDpTjDUp3nEp96ZiS0s2aeG8O5HSCH1TUoivb/kKMrsA+4+ ErADqiRkKoMFspNOgYu7f2GlB67pdkARL2k21fSohe8OLTCSl44DJ3rP6VWYsuhwEXTr412LQG2X KFL9GA6JbdUsXp6CmAHCvDLYjUStFX5ouz0zZqcgiY+2K+34JDiAKJiPCC38c5kv4RGWqX0/Q2p1 ELL74DnX9sDDhBaW1aL10P7vUsZbc6jkwsLwklU+G45/VfmU5itR5F4Fy4e7KRvAml7RwaFzdubI wXFgS6If9RNkOP0MqygHW2Phn4mv6SUFgVEcA3yAmooqwi4MnonN5Z/k1fQKo+OcsdQwEzu+Z1Eb jqMuLFPiq9PcyTZLThqecq5SGH1b+3U3JpB+Pb8EXIUlfXMv1HV7BUX5BdcBisohR0lqFrty70+T qN02RjA9BIljNaw9NHfu2apZNqLm0BpHTyct3bSjp5PJqA6AdCup9RkdO5qRNuhwyg2dBVceDI+P xH2JF2kT+TSepz2MhZG4llwmtCKS8NOPx45oQZsOsepM26hMXX/DLpDNaK2ShOXPLZE8dHg36jc4 xgb7yEPuUyLdU3KmhUegwZK2Ety9IR40tHWvuWWA1X0qJIc4CDGl5qLxyMK2YIyHWU0O9viCct0d LLTdLbIZRwc92vwkA6cLuJ5VqQSdoq4JbzRdZvZMDDQDmEC8txUB59yHwuGS3z3fUYBrW3jSYoEG 9aJ9gQbRZqwrPFnCwiw5QgNDY7EoNBnqNgjyVLxOtf8A9PujgzwIu1ozb6grjwT/CePXwQlq72GW 7ZUGX3bPQPwyRC5soRH6t+F7F1pqO0ljlOn2u3FcxCy7cGlFTwAjgkzxFBgYoI79DPbTklkC2iZC a7lLZVEg8hoWYGxV9wqbOQj0Vu/sltMrzIkxRh9wt/8ps6wG54WGyvCU7k0/u0T40uklGNIsg2LL 1Iw0I/EZh7MvGp1dMSNoIYJZ16C0TbfJOPjCaCnYEqMXMxr4Twj3q3xZVa8a4TLvBIadTWnYCcvS Z1y97exEq/+gUhMFhBrAAWskrMp5OQ7/S11JXGaX37Y6kxKFjlBBFszc5SX/upnh2kr5tjq7QcP7 q13FkqwqvcuXHup4ObMkU8UxXgAslNse7648A70xa63t0IhyBhsSrAfmcp/tsFF5t8rPS1Cb36cN kx1KInDi+8x59q3FHDiFCKXS5k9OtoHo+6BXwxqzYjC/gRMEZRv5gJ9xvEvu3POkCWuG1dWJaqYx tjVoV38HJliycigCTbzNJzcwmmpfliaxHLMjfIEm+5IZdIASE2ANsA/bkxIYmyRd6pjNUkeYYJRD v1dmudDgJjTcZTFRTUWedZpKspIG4/8Y2jSPp29+N/JNDpXDTkrh+IogZ/qSZI6iGmP7KZ2DaGZZ /LrG1zAAwUyjtdZr30LiWu76bI0jEOClW9JV3CfONjI4dEx3Lc1FVzTi5S/zpgqQxH8r+kfzZZrY 9kxG4qrMXBzZuXqZW0i4xSiBEABtM/3pQEkBa6zP0Fs5yOtbfsISQD3TC3pu/rEXTlIbdJ2AtWUy KKxLTTjSZUCKZLWLclEkcUP3Zil5AqwpRS1AdCNFJVhzn7i6M3kJLLHI6jrNfUKrL1cAl1GNg4H7 MFQkSCGACTS3jQvNjfVi0GNhhEv48BIVxDLfl+Wus26Jld2/ekv2y9R0eFPBEuzirkPROLKYOwU0 ons0KUURGPYLmOEqPpFfzpDLpfvIMyF5FBcIB3XuyPFiVaytpIXI06YZna93ozNf8APhIhIvZBS6 ingd7OpwpgyyVwTU/G/lIM3V3F5iib5xc6KbqOqIkfS85FaItExc2oLmMd2i6XvUekbsJAMcyZYa wXZfIFdpQyQcqB8O+2UCssq4DACW+5xRpWZzLBUN5UkHgbOvP35SgetZiekg30IjY0GeENVAtURI 9vPuLGMmXr2eJhcau4hp/lpyO0uQk/yeLkRb+drlID0lfoTjY7nMnd/LheW09ctjhH3EzaA8k5sh ClXpUzE6vjXHuF1PkZrpm7zrPu1ZtWXzkMDqTXbA7Hlp5gtTEIWtJJLI6RT+Q0UoKkLPOdTQgLgk eIZ6/0Tti4iwHd3g4/GNKUIj7Gsv7pgwm6uL5oISurdvdHzYu3lf6vqEoy1wsu6xRgRnL2MRR8Au pcUkjYygtn260H3t5C7HfPEnRSttWM6+05DSvq0sFPO8Tm1oFlv5F2MotE5r6mKraNP+1QF8clMj 98Xo0zM5PzqBRp6KKPjJrQ+T5EWtq5Z93hh1I3qupSqhoPL50hftd5jF83aCCGCTvDFeK6bjDVBX nUyiUHRurD0o90NzbU4YZXz12fwG6gjrn9Z83eG0acmMTtB1gVudnbn5H4wPHNm5M2957sbmYItm CfY1NvedP/6Y3x7w7xoFf427sljn9uWvycHugn9EumeVIjdaNzvynAVZTO1wiRS8AHnRyWVV5Ek2 B4xnf3M4u7FAB4EJdLOcbBjMXqZnE1GbWO6fcS4sQLzIKhFU3Z70cf/zC3vhMcZNtLchgVgDv8fr 8nVTkvbRu6XShyF0Z7YfnlNrJou4499vPI1cvEESW23lEqmE8xBraRn886S1ydt4pAb5Y8xzxC6E 8N3VFHTtEF76aU5Hn4OjWE6fHFbdxzZCDyKcwAQYZ19Tp9veYdgWqTk+OlXp/PgXTbVH0lF8XljI d/PFHdqbplHncub84NxBew+i91YaAH1qkIaNJHlndVfBD+AeTRcCj6AcAssh9GIrpjg+5SY+3Gzx 6mJmI3U2VuwpRbf0T3okQBNL8+g+brro1Grv+nWA/YHVzwuRuFqfzO3DDPcbwKlH6LD28JrTMZ1o rHsxF2YUdFpegCGTRRz1O1F4hCx50pxorP9tBfoGNI/6XfWv69e1eH+z/kLFaTlqmpAbKVzb/iVg AYGFxQCoNuaMcubdBriNLD2fa1mzle6nj8JWvbY+AnQzGPFpvMevpuCrebnqmHqns+jztsCfpl28 pwKjvc2S+/PTdJjUxq2RNE1Wag/mavXu8aIeLYyn4gXpZTFscEXUvSEI07h+6IYph+d1ZIrWnJwL dnAo2ipkieYo3MCoTWD4V77wEXwKe68eavYIVbdAEj8S9V2AorwfPdjQph56NvbaMeCA1DSNQtmZ dTSb/OH5QDsAnwUD/eeVKwbfIzMkYFGsGZZiH75ra4bnqnOUAj3OwB35n6ciBcbRcsYHi/02Zn5c iTqu0JmMBHQagzGIF9tDQiqAc2nujIiBYZ/M/u6dRjvbfPRlQuL2g0XSQW3l6/I2eyxYq/zvTg5d MPrE4ev/RzDFBFRwLKuEBc9L2FkPKmp1OfbH11/CWNGl1uGWpdeKnS6IEJvGVb0VpvqxHoguXKEu AoWe0gNjeZIfvkLqE3lXXGdKwgsjvHbu4uQZtdGhs7V7dcJr50gxz5KPUL+UIPGUcZK8pvN+t496 aB1XFUqAGNi31btQITPdHv2YRC67WzjHHDtD7POJxIpZjGI+NI18PODwXHoBZ0a8uzTFLZsQDaF3 EnQ4+2Sa/ye1FTE3X8Oe3588hWi1ywkpL8wbeLNlXgViRxJO6/NbhjkEvpYIIqilo5efKOyOt+cn Rum40K1iXultJ0yqioM7Ew7ZLORtWTSCanV05gxxI/4nwwJMEVjMwK6nWcScuMxlBMzB7kDlOq+h 4wphu3/AxGV4GBSYrlITu/GrHL7/+0VSW/gppnxGCBlLYYq1YssuHEDiDdWq66holh5A1LT5Mbsg LZJjrokqXdb3mDMp5ZTsr/dffs0lV+00OcL7Ib1gEvaIibJ+/zzeNM53NeOzvmRB/olZqk9fV4iv bZ19naBjn3rwlM28vJZ1M7uPHIYOBjVpa/8GNjS7tYkmdpDlpHnsNvsQraZtVVmqmgRSqIz/+2Gp Df9fcJi7khuznCbOpx6x07vwvQ80ditwG4I3VBTUsLXbmwNniHEN7Ql+/xmTQXzyjKfm/VfkYQfA yoft7OetSqkFLwJaIKl0dGSG1Opxkyd74fMNI+rWdezFaPrq6WQVh0LDI5Mubq85+TEM6s+oqXUR 8qlQSRKOMtK66lSv+u04ZH1MCcbEPLJ8ktIvWk5LIwETEP0ZiU7uTvkOgIq8N+sUBx4Zi1HN1Kds aNLG0UnVfopwt3Nyfw0Z9v2QYwmQu9m9P+NB6Zso8NO+PurzPbpeMwFAnhmJtpEC0iGq8CvMhaMZ H7PM8ceBwgpddj/35R4zVxh4ImOqBHvPPtw+HmZFQ2vZYolQGwSbOk1cgm3h3TvMBeMq31gKSw8x e9F9osc5GZkcmm4AkM/S2e6W9KBCuYPas2EeZD3VxBXagMAjyybANjZeC3WnpizWoiP8vWhWFzA/ VCnCpmX6VKrTuWERw0/2JaeUJ2+S6opEYoby/7DqxlmtSo7DwBGfboSxGtX/7t6P1eTBQkjnm5eT qxd8jYu+sl+Fei9WXSuhqUG5NUQ+bJPDm1SoNhrWJddJjAXZ1yw4PE+6ITUX/BDsdRHYBid+PHS6 9gowhX911cRmvhO8aOXLEO475by0eyrFDL8DDkW8i6CcGepfBfoj69s6q5fkiayDj+9wCZR/VbWj 3HkVtRSX/+gi1Gg0qA3lltcghIaPuYlaz5/WzCRhVCul4QJlv8yx9dj4OrrrLWr1JCsgTzakcoL8 PBzLHbPoIWK833mqKXqrLuscsJOAlpIHoYXHvQODx3/0f59m6s3mLiOoy05HGNbvqYgrSQeEblIY CmMDkvKkHCku63pQQNHKMvIle9uIqc8H2Zh6HseTYGqY6vqCPChU0ijNbk+LppyzKkDMzeP1h3e2 OWIav8Y+udLN4N5g1jRHQjKqCNJZX3//jtcdzDQYNNFrgzHP9/wBDrkifZv0DkNBJ/TCNHvqKCaH Pi6zSjPLoRUrMyMqpHoOKyfvqxi6I2VgfnN8dLkLNj4gWxoiA4YEd921sfrDAOYSI9iuWpIqHM4d ivKDckUCOyljL9Ae5gtfBGL8Lfbffa3MgJMp2NGtIxorjr82iOjRigvHrRq+l+3qVNYoZXfNssgB dAiJNKNTYyt1Y4dfiXF0kQ6+MItJ7jKZTQ9xEXDLHu6dkCyj35LiQRje4/ZEYRTemOSYIIrQnANd AZuE5svbExg8l5yV5i/V6kcqVA1+s2xyzErkXSYA+QRwoWcNo/uop7DTIlRPnHUAZh4KlNpMxTLc /zQgwW0cQBAcT3ysi6W/91WtGOixNahm6IPbsAjOvE99L7HCHuWDzoDxVp+dl4XRfNO5MC0C1XKI cOh3CDlpmvc5s+9CERlT/K+2q5PpvQUH9Mxljd0CTyKfmzq8dk0Z44SrwjH7CKpQuHCKw7nJp3HU 4RcNHy3OttaFooky9nyWyP00i5UlB25LtTxmU++g/GGJk2xaxCNBi7uH6iuJOBweSkD/7BFbptbo r30LkVNnfkqUwewgrSqBZj/witrHlQDHTJHhHO9zuFPG3SB9xEAYjc0YJZTQcLpgrLqHG1x50sga ePZFOy1Qq8EdtN2AzsymQ3MRAk9U1yqrBBvfuv9BAn1Q62l+t5GT+XTwZy9ufbzKnwjQhBIsWiIQ tZAVQE3z3PQZzvqlM0irCymyy4K2qZ4z/I+HGg0dbXQ9wX6i5ek9+LBzqIHxr2c9YGerUemybu4w j8P+0ejLBclemP2I9rxbjzCUg1eVr9TTVCQNsvbjl0clBmx8j8F98oiygIAj/KX0ZLHEA/EnkBiA IEU3vHTqRNMPjxwfH/XEZ1p+G/LT5OMVi6pli1Jc8/2SwHgrgP5aV7mnZz49YTAXfkKk6keGj4Bq U9XY0agGjSVyARQpk3fLAXGU1m67oj9DcDc4NJ6QdBCFSlPXBNJrWGC+QnGQBeqSZchMlFXfBX2Q f+8IkJR4fZthlophul23IDCt6WdjiXqIEoCqiT91N1Zl6/Hbx/n9qxBU2C7+K3KJ3amcltrvfQtI YMJILP5SAQORfOvCJAbuDqDQ9rduqxCQvGnUVj6p9S0FAAtlnsOHDodhFm6h+VgM+Jc32S9fbdCE t0Y/lb1w7OYkYeHN+o2CIwDpdYamz86uxHNqBSHpyL2mUsrrdWq5KmxhyfdxB5cXBUOubZcq+HK0 bVSuYwqnE5omaSajleLQv1iDKi+rdsItfCPmJbJMoQLO7PYJQf650AY9PXWbOcinPXjx8FdDx5Eq otRMAN20bYTaebAJ35f9roYwKeY0Wefk4Rr210D8dlE5fasXyh92lRHYUjPpeMv7+jAqp09S0snE fCZMRh0DSh4wDO68YnKwMQCTSVDiPWjbK9v6f+vz035nLGpzsJbdidmpicAOwaU9vCZi6qkxq1nR Zn11sS34OHYjVuEY/osh/N3FR6cf+H+UGb+5J+T86aKrF+0ZmiJTXdNEldQR17O1RuLvs/U58iqd KsD9v7gYBHJFM0WtD1/C8iF0sqiSu846VlVAbaWdGjvGdo3FCYdxfm55jU8nVfuyeEsOjgBEC1wi UJGhAddGjoH7v0cBWegfMRLrJ+irgQ5ht2sOEcoNa7VXJutQdMsPMpyivNXWjGmAdg+OtmNHjagb h2dpk7rQ8RCpdocSrWqxjHB6xT8dr5xrcUmi07hIToANMxU6B6ePGXXCuGFNyUR+9Ff5u5emQy1k v1r6rJHJ2UNn40w+wKFfz5pFie+Wyg6kaCNNYDfx+EyArnuKkBqc6c20ODC1fnoZtxj7k5W6aAFe hDfcgKWyU2W25J7rRP+4uJCE0wyYsKb0sNBohQLrGiHBCS258K9+RbtR2WQdiE2eUp8eXfM8M+tS jj45JpJ5ihR7h5VJYOAjGCOOyuXsFNbol59KT9CF+zwseRNbkK0pq8opVjjSSn34hn3+byYOXlCu fApZrpJZ5XI5KeGOdWa2ZORzDCvS+pTE3i6ywPJ3aidLxJKqWIN6BN5ONvoylttFZvWsZuGiZQVz UW4ygdDaJSuAz5rRd0FcRz21vSDBAem4gBW6h1vA1t4dRJSXbb7dPTV5W0rfnUqDuFa1V1VUpSDc yMjHSSttEXIBg0BnvTUaemBJiLVUJh/jfy94ZQJNbed8c1uVkUC8yeapL4pdM2EGEzYsMmpp9p3Q EuyWUBo5M3p6FDqP1gB3XIhc+MVj64udnuBuAYQu6h3zDSCLsAcWv4R7oVi/YCr38Y08HscgdLLG AtrYHT0GIknQuB7B3OY4YFcH21f7KdSazIA1ngkIfSng+wuAsZeX+vbKv3OqNqbVWgH+vGj88ZDq 2PKQ+ZFGdG9SqCE5xKKbOu8bG7dyEFun+LWuWGRc5LsnhWB5GFoQer314pzULlCOTZF+WqJaS2GN Vysj64cPsrkSWvYfFCO1/hX9sqM9C3YzWq/tFPO0XpxzQxSJqUJOWmvPsxN7M5bUsBdS2OzSivmE oLJNmdIBGt+J3At/rc/30FtSnLAkBzzvBlUQ+C2/882DNxRgbxgb4VNPFdQ6cZO6xtRAaHhzXLo9 DJqFQLOOxf8/tAU8Uzn06+E1kL9pXMbGVyf50WsM/RJEcXlQhsdIqhpPdD9usg2zp7IMNpbcv6Rx 2DKS9boIWdlRfn/WPsojKmIFCUYIYjfWXI9yyWGqXC+/sy42321gvExHufAT+l6MmWFUfevW5Zs2 Z2ku/0XklX4Vxxi7esiSHJRetbhDR3tTT/H1cuKqehjO8qP0Wwl5aC6YPboKyvFF+Zelmtrm+n8a UvGbI6qeoNOwcjjsgtZSfwM1OdrurjFNnzIHlNPopqoWxw5TNQ6o7PAFCvdHp3pPd+Zku3ulKanU Cf7V1DvXXPBFRf0ajc0BrHpoSLoLEYy3jDS7ZqwJjazNyLfSHK+QksLydtY4P1SviPNxTbijt0on kUm9rUe6oYPda1C9/DzqjgKXswKscDLwNDrpUN/DADOD8aS4jUeWyiUZyuB1ujqeYEm1HOOO0TVo 6/6JEjc6KrBiRvEFsvptbk5PN8cmj+siELzBILRTwP7faExjDspWFq7KOrF8zzhHY6yW1sa5P9EI 3Wkj1HDcGGDvy2hWj6HvAcyKHfMPG3n9OIz3YGEAf1fLIWb1/9tMYKZWnZXh6/gmGbJKJWemM7JY +YE3tyLKl+Yx8GhcS2CwHjtXxw+wjVSLi1n0RY03zdAHSBRqG3zZB1DYX7H2nt6mn6oJaug84Wck NGzUyZPN/rwb6a/28Mh06Up0Xc+BYGh4t4t6I/nTA2FZkKskG+gCRHYkPA0pHiA5HFo7VTmVOwRQ AshUHSXOgCNWI9z0i+qF6vi6PlaQiPSSHCJWshjplDe+FCxc4tsJt06ML7Jkz4CgrcC4+WEnF3w0 QVbmXFpn6Y6TvZ6oaE+eDslucvrJxg1fWsexBEnXYKAu+w5YFSWjzAr3EIlzAfOqNiiaQXzPKOah J//sDUHsJYlkIQmzzbbSNWQCZacLPrb2XcZE2zLBxQPvhliny4EDQbtWqsf95tQRftiRqM5eNjLj XLX3jRKve3zqTABprNwsi+uo6mS9Zo7uqoq9Rfd4oWLSqGqShaCz+jd1BhllKKBKTe4QWWGg2HF2 pU4GT2Go1ncwbL5KwEkgxZCDJ3BvYoE0Xgpvtqo9Rc0mC7A7La3STcxA+1KXRqwEqYbDGdI1Dd3I dK+Xs2nOrcX1CtrHipFUzDmPany5/Ywn3V4hfIuCRCGme0b0UQ3DhUJ6LaEJuiRZ4PvWYCPvhqbp AEt+HfIqMlzeix50hN/CopDoEDGKIiR7Z1jkDQuhTlHXl75PiKWqm+3P2p1s4ZVijdMtu5FlP+zc TVkdlUAAIhjs/CU3iEUfGeyqw6BNCOvSrabl3SuDDnJ2YYf1JeJrXzU1TmctA7NW9bBAF5IkgZMO H0Pux+Ry76iJbnzKAKmwiuTHfTpyGfGwX4zTdxuWUh2d3B8LufAxH2t0iyN9u/hLOTjC1ybW3hgH hLa7mUDZiuoZGEnuWJdfgbpZCeFKdwUE7edj+r7t6qDZRjZxKvx4uxYov8qvv3d2MB2sEhH4Sa28 4RelVGWimzo/5H4Q1wHM1+91GF1IuTlrdigXhfqB19bRMYrUnUBMRGwM/Z+K34FB1wMgfitd7taV kGRsBQziUQexqTDoLimnAMgxffnocVyG5TBy0vb/vVkg/nSkYqUmZeUOviZ5OuBkSgPX/w7hdhyK BgoEAvsD2bQW4uKBEWQ/IMave7H+t4TccEET/JulnAeYn3GQgo/M9f8ErTZOLdzcqokjDmufbcFz 3o83ovkE8E0GYGQmIfVNaLM60RFN0y6US5dNhCBVUvagZIrxU/sRruiDJrlzUGcEjHKoSk1RKDe4 lLHD9RCWqPlft8ouCeR+E8VUJl/kZHlU2rWyau1WsVPd5SjZg/xVjoBfyw1tN2cScmgqebiqwOUv Tkj1/C3u8+eFfzb95ajozVQP231adEJ/q492ht3sTk2jW8aFvN34UvkY2ZWW6qZ2UB1BJ+tociY7 gYpIYKHhI8R448nDccAeHydKe9uJDtTQIGRb8cjY+eA3I0xn+FhBl5I9d7OGDNYIi60pIArluHlu fviGjEtT5OTXzWMgZSp8zAQlSyQmyloKOivEr7fHSgMmQu8YFG0J/4l69JeQc7gqZ0Qjlcw5GE/w KJ/rJaB83fPN5LC0wPSmDH02GuiKwr/xwxgTkQLpvSo2BnqKf4G8HWDu4JmxUevpzrf43S4UQ+ja 7nG5fDcPeVbZXc8rxjFfAa+0hflXkBwxsUZExO3TWGPHH0n3zJl0JOsocOUpA2t5uJ1atACOmKKY dXrcjtsg1JzztuBcloTa1rLrLCX2GSSLtUcA9FafiLsE7KFOPc25AuIBqdXPFfajF1rrKGIUIA0r 03z6QKHXCb8mN9tiCx3+4okFHajwTphAlSAvaCFKcWGrgS+a0yCOUvoYv+MVR0Cx1v6xiKEe8nq/ Ph6aU1/K7p+THhz2of+N7ur7iir4G0SslRjEPmTLp+vXeU76ZfKUtT9GGNUOVohWhVHhhBnMk+ki cx0kBy61B3KX+L3/DHLdDl4i9LQKMXXsAdnWJnAc9X44ZdqZoLpMarI8bwFgpq+JpCJBIkPNWRZI K7UJHgfJ8nGSbN7sl+QK24bJ8sd6O6VjlyhWKjTidbIHvUQBTiD4JJCqXomRCwANTLll7hVaMgZJ USFvOHMhC6WL4QSJfznhUAh/yTq1BvdURTelDwti+q9YHIoqS0uCszBVsqT3Lsl41rWd6C6Kw7ck Zhf3pkevJ7G0qjkJ2W7TUPuXVSc3yQxuxCbNMYR9WYx1c0aRUUvdBGCzvq8Slag7lc8ufeBTepkV J0ClXOG/j4a2zy+5t3BsSlp8t197Pnv1W0cZZr4aZ6BI6QSDRooFeQTUT79Ou2yGS4k5yqfXBryc jNT4luWkmO7xZBldQB1BlEGfwVUVXT5Aeb85jYCvHzYmy2iJ7O6trJ8RYVzZQevJhXaFPacGrbpo 30ybVhs+2GmlJPoMKjiqaTAqjSXcRZQyiMhzzyIZs58rgUTIEZABVUMddtx7fVct8WOgpXieAf6i THdwA/BsLtokyEY3Q5Un6t2ju7PSOvMhop4+cLfcdUtL6mya6DjsPx6V+6fsv9BSgNhnZGU1i1Nx qIRbgAHPkn2n5zfnXoAlN1fo7herxlrviKut6Y8dPB+3TxxJvPANZhCY520/bwD2mm1D5UIb7Kbm xE9Nmy1F+Xj1ybV/5k1mhgigBukNQMAe2J/HHSPgd1qbcdt7o27+HgKbDjbR0UjcoIzv7pPoIg1e 6ZgYsq3+hRM1DZKGVeYyPmCR/ooCnQbKYDcySj9FDrkt6mFHGJwehqQHGGMN73dbCjyGBZEIEDzk rMfddEXx/UAvwVHpd4TT+ggstV/WyZYf/dbi9J0YugzaqsvXrsz63cBra+3DLA5JXGBmoSEoe7cv ZX+FLusxkGE1LljIA3O7qf6/T1UdwaBeg49joGerbpQYwPGHYD/ax4fRlzJBTcAjQdRBvxIB5g8E NnxWhSF6S/0Z+2kDP87gNHwOpO50rRqG8RsaGm0+RvlkkLMFZCwUMl1Cs0Tb2IZLxiAiMXd8LCDJ /tOg8Y6l1uFmA9k2+9YiCa14P7XntD/iEgvbBfGOSm5eaXxHgzuoEIPPB+/pTLPxeHa/7hosXedH mW/SThCuWHi+uQGpz7NNcolKvxaSY5WuhQSJemXa3yhycIfEqEeQTVgumsOGM9rWADdyFfz+D4Lk 5umKS+9lkj7valhp9CTkzfzmfw8c0oiSv4zupAXfUUln6SXh2SOcYBfFlSZemv+t03H0PbzDN1+/ hPvUEpOXCz/PGIQAkHmIEAXu7qB8Z4tW5DjusOa0b+4ByNbProu4lAPW1KlOstrFTj70sYNopkJh skkI5kgYTzUNz2Laa003ytYzHxTfo+JBe0AcRNhtkbhOW5sHIuX/1uWfi0SruHtZfgxO084CLGb9 IGBRAD/YHkX4dRRL2KBj7NjHoVhgDEY5rtrvXu6M2ZSlZiB1kQP6G78IviMKp7WlQZN8AtHsE9hF VHA3/UgYXE1Tt0pi0vSBdFYOkAvR3kOLFNrRNehOKUkhXChVljhqnSzTC1ylgUZSlh1WnRAyGat0 Byml6nPbB8DiWT1A1I7ON8miMkgSfC+O2jx1oLj2LcLAB+k0gi0bXX6Xvy+wdsAxN9wO/mmHkKyp kVpdUdpaKkKXueVvwL3SsS64v8I1xWfnz+rF0Xhiy6iVl7i2b89++6crL5siBzknsomAtiTpSRNg p3e7pzWQJwrwI0cLSPqKQrpVAKzBRI5eVTbuW6KjJQ23yNBJhH6hlGbihDv2+KFD8du8SUiK7wTU FUH38BtGxkatsO75P5YnBAnPjT1Qg0Wdqe2HYeb1TzJjdq6MM70fkv7Uon02R121Zy+TAZWZhUNs cE1GK8BOf6P6OG9/+bxWPXSpMuZWDKJatLhhyIY68Rbv9iZMyCUz3g+AaGXpLb6c8f1oz0SEuW+o eFSJxdXZiGk6R4nfaOQTYEZWTkSecVwaRSUl7QgffBuXwmpSNl6eRr+RsTc8/UPm9d3U1v4sNOx+ NC6tBC7j5iNEW/Bvd+OCcarlH0zJTQiMIZHcDlXr6mZXf4qqxUOlpQ3q0BWO6nzQjNz03tg+OGgN o1ad6C9qx2pIndpmaTXUPlBwrfSLULg0T/cGqh4wko9l3uGs/0I65vr/hXlEBTRqqCIpBqrtmlyt zHe5d5QjyPfD0J1XAb8CJE/LlKx+PeYLGoaGCYPF8TrdNbHfedeQMXQvTrvo++piR/57pbzeKy6L osCX7iOGmVoKA9fMvMLSOox8VK3RweaXcS8l2pBohHqWXWzwJzQKCWD51EP8qlI9pSOsBQie33tY ZxplxA+5o+L64oFNzWlfmquRdNG4ye3T5C1WaD3LYgAIZm1zd3HyVr67nfHu9gYFMOXL0ItRtDH5 6z0KCmPz2Im9wi3F7c6wai1p8rRUDBWY1QKNcoqQ74R9pGG4rx0sXgR6uGsz4bSnCkQRqgpY4jOQ 0Crs/CVRp60tAI4+EYrxGWbBw+3VzmEatnlBUnZ7El6fSFuTlYOV5SdRplq/SdBs3PFi2AlZprS5 5zk/bH2T6a1fKLn/BAT6UEYVAI4400FItVfrdaevFOAansLxQmymt20+r2jiI51KNKBbO0Bw6JY7 lwPWMt7WOOI5+txPsH+rZevsMq7y9kXkl/YreyAF9jDSNZfVMPe97WGAgARjnd0h1Y/V0d+ZFipd VK80uB9D4gqJuorQzFyHZo/hv6MNuOIvK7Eh2zms4DGxLGxq9md/G72lGckO4VNSHam/XQyWxISf xzlRDEMzl0jf826L3qdAAe6BeVXSKAByf3KOq2u7D2nwddm8cekB1AOcr6QTfg1d2FQuXDWy7Nzx uu0cLaSgxACEm3gXD08tncQScFsoqWg/A6c4hFSLufGs/8poJEIzl0FLKFPIUqm9t9HBze5rjneb kd2qN8ue6aOrwK36nZLBOmOTIJJJ5ZruVwNlXSMtyVexFV6GEZYJf0Keua4kVAWcCcVS2JA8Y1ON BU0bFr3au/8+aHFXj1pyf5EOsDWeYwbGANz2qLj8urygIz00AGOtrEhNIIrUCkih4UrukVGqxeOE I+sNW+VWdvzV63njm3Z/8XuxVQIiZD8GOPfF3XzLduojGTBj2FGs+CTsrzZAeq02KnTz4TaNfMVs MsRjD0Tpe21mM/ljmWHhi6PkdX8UVnXA3sqjwhFIfUO1K7yqKnmFIWgmC6AKmsITAZVZ1IxCSiVN 02wx56o/GS1o6KJExHTDT5JZ503uxBoeEkxDsI01sUE5UulylnDfo+v1CIpcn2iuh35v5PaMLAgM hlhCN0wvZjY4Ts4nY9a70zb8oOesFrdDEsOQ0fvUxlWJqIM13dl02n/bLL559zvvBlyC1uOUmQC6 rn5IAnX89ryvYvlKzQ2KNGQEG9pe+vDvRIED1XFW+byJvebclMcGVYToNyxQ26meknCIop/xxEZu OdICB5KMkWpCnmh35eiWp/TZdcVGyxo3vhV4yTIVr6UONS8Jz8fOl5Q3IlB+llCnVNCdApVXmW7R v6Bavi7orOjPbim1UONCpZY4UN7mN6M6oPvAep4uEgLp5FP0XrVyFqZT0SddB9nEIlT+Ef1NaDbl 8Z7V1HkdpohLemmOsn0P66Y/fAI75fwz4Sm4+yZOEooMcwrVIXcMlc2MPdhON1zmAirWb7acuPlT CPLA2AGGzAVPEw52ILefz9A6Xl043HjDmfsWICRRTm44Gds6K2AF+4zyFbfcjCGIjHxGxMvfbDLq c99NyVF26Gj1a1MnisAxd3ZRju+qP0Uhl/8WwDSXQeMsvRbVcYe8ltNklSRf3zzpkpU70l1+ixjI 2QU3sAHPKfmO3nEmr3pYQFn8Di/jQ1OB7j8VfcprzJDL6v8SAsNbaKJKsh4XUr8I+MKi8S37Lc2+ 8tB6Xl+lptVMftOuGGE/L2vcpbyzEjpEjjuX8wMgqKmJwP5HBI5/9ytEafMFFyaIMXgCDWS7CIqb SHjdp5lNYFjJmqR/Qd/NS/XR2grAYMAU0O5Dev4tKH9iQDXzl7/MCnBDOu0k2OJrGbf6hS7GXCMj X3sVpihWZ5mvGwot3xcS/Wq54xpc9eSHwqSpS1EF8qaPtX0J6UQvy63GFPcEaI3aRtUuED3lDJ7/ qhFTLE7AW0P9A9dZHnfCbzmTbdGAp4mDgCvZ6i2jucKTB4fXiVDmAn9SfC/n3VrxLeju/T49e+Yy zquO+2okv3LeAHm0FwNXTC5/r2AdUY6Nu4OH7W7J8S660X6/EbzsNO48OYR9pUnbvdl9JeBChPec ukf04dNKHwoSwvg9vDxh/ITG30Mle3hQpexaZoZgSvlHzlk0iKrFZn5iuPUI99ey/4s0RrNR0Eh6 eOBlmLraQc1a3P9qq9shyKH8e6je22b32911g5T962CW8Zgu9Mf9LXQ1VoKkUfzCYwwfturBf3sJ upcrbDPQOtv7KvbOWuWJTZcr1k70NV4tJrkGiPtRAJv8g371x4zvwZEjNGHuB4sXAH4GaqLZyfXE SC5JbVZQT3xnoqElPPaZzt/0bUoPvSajyXFhT6yFRLPc7YwszetT3R2l+2cEane6wIdZYOFNZBXA bf1LobBJa/v40Ju+czPBgy0vs6YT2jKWMQawcGilCpEQ7kGvpoEUJdP2Vd7kchbBQJ/p4ZREV7/P RFTHmpK9ETVni4YL81M7cUEOsG9G7LODv6YyTWCVZfYMfZuBlq7adaOPB1nkAxutx/L5b3zXnTaF w3L9awF4z+hd6BlDLKyoGqwVQ8X6C0F1LXkfqMLFSqoWJOOcohqbVRUOk1PSb/ocSMmHh5YNZTay FqEjpewziHMGcQSmI/ti5ub9JUXix8WyGZv6NxlPCkvKTEQbBr1yG8AJjO0TXEHl0IayXR/sSkc/ LFL6/dQ+eDDJ4IV03Hwr/76blDHJ7A/WkdNmA4uKkO8RQ5x6Ya8PwETiQulbZSfniIN+a9ty+Kvm M76kVJzfpvizR09v0ajgMedRahB2Bm2tkov9tvmxAVR9MPjJYaZ7lLT4NXs3EmkI4oQKeGLKZ8Y0 3vIxSjMXGlfBXL+9Njpph1lIB1Tnv2MyCei4zGnYi0Yxde0kmKWkaM4BJxdywEeCNw+VbChfVsiG FbeE3gS+J84teBkEhlF435LFE9SG0h8zeQ3juYIAyZqfrTf+3XhgtX2WoUv1h92ydlHXo+9TPojm 1KOwso0pLZ/O7rUZVey9wt8Of8ZzjyS3Mrs+OYKSB5xRR642R71xn3OygCRCN3uxbgmuy1s90aFv 78Gmx3okBCuRLebT985WU9vCwsnp4Gf2APriY0kPZ1gaqE8XpgL0a6/gM8c1aNmJQDtP7EMiE8jr XheRedsORByxia3HVNbb+ml7jacbMQkbxfugS60FRTN3xMOEhX7/fPZmAqn1PLe5qfyep9I8DflY TEoksbUX5WKBqsif6fRyeUOIN8kIQaRKLImGcJcHhRBpCShUVG7VgCN323/g7SzUxHIzztcYXXO8 /Ukhi757FTC9N6nSOVa5iaKhl1fjM6TJhWkJtmhhSbeyu4BQ25Wa9+QhUgkJCLOzjoPPet5XVWLB vJv1TOeXyt+9Et+p9/g2Zn43+6n1xri9W7FNGuBJ0VFE6bqrVGr7V4mmtAQhPpnt6UkeSkjLvEiK meREdY+IKfOkOnOATTBWIYCArihhuYUfa8DsSRjQYytjdACIWHoqIdtACGU97ob4+wFC6fRlvSHl WEPMGC7pQS472sq0nzZZ2nJjTFRQDNyqofUqJFouq9roQ9MhNuqXjWXqBjyeedSXpJvAVn87Uh6G MpAz/A6PSR+L9RTN70lcLUYWnkyaWf/PhBxHYJYwKLfarypV8jLDAZ3O5TsuuRf89MKtSLXSdyJX qKrb/pdCZXSdr9laOfbHU1f0uErh3+b961Rr00JEfItI9idP125JOSlB9Z1u2mDtNvBWmz85TR6n 8p1xKsqjFdLMlpWwBfhJp3WYgoY++b1CDPDtkfvmycUiXek0eJAh6LGuPxVWM++8SUUN2ewQgIOV 2Bqr+YHb/RUKQtgxzIIOKNx3A5efbuRu6x7SZd0tmBvZI2j1OcOkDS0gx/deLZanio5PVNqyqsZ8 RreaxqhrUyU2EQ4tVze8o1TvsyKSLz27tlP38C92F3y8x3EkNmsyTO8EjNNYY2MSQXdP209+h8oc muDSK9n//IlHe44zE34aws+7iVkFWWTYQw7sIA3JaiZ60o73y5E2EaD/88JsjbKaorCQsg/ibV3S oKKKkOHrbNcSpd2fRJGBi2I+5t3ghLBOvJX/YRPQ6Fl6kb9fkBVwLVA7oWfMLz0aT4nhZDW5bGPT yB0vmnd4HLfDBlah1Yo3NbCcM2o+ELl3pCYXGCe073ufSimzg+4aR9ltuB+qgMY6yW0S42otka8K aua3LAJjvhOsn3kNoVGHd7cMVaWtm08IMlFJH9olLBNI4pkMhsahYyO8yEKxa8ToRuC1zqy0muzR 4GmBKaSSp93d35x3cF0dJJ/Csnp7EYeeu7Nvc6TUfQ1nd57aMBR6uROwuMi6Ou0pKpkgXL0L1Lve 65/EfFF3r94dofZEOxcFtOEwX+epQFDxpxze6Nb7PauRicl7zk+HyKIRnBmLeNab4HdO18D28MkC /1qmD3P95X03ft3kB7fQa8Eelb3Y2u7OgSAXwf+FAhZdwJd4wT/aXqUl1CurdAzW8/KN2CKLnVt6 Pb0nWmvxAfteKZVKzQYlWuX/X8GrduUuy7KJM8pVstXmTqizYCtEBD6dAXuM/1f0oGc2ifEEOiL+ EK9QxE6wFcXg1pCfWC0xhOKOAxyJ9/mgQHQjVTC3HYRWZI1BmRIPllsB01aIXy0LeQycx2KVxMXT 7x7KmJrOhw+CXU30nYFBOFr6lLLbXwfg5q4iaUzSp0b3ty0iZH6snFew2AdYjZ6wWV7Z8htEKk78 gWuwH4QWqdv65AXZbXCdFMEqZmtrdVkaFDAz8Po44tIwOzqHC1NTiRPXt39ZPGnISyA3Oxg0lWoo 4quY/5QfghLhjantHGf4hYKxNzlyU+PTJpyOfjI/N1FbBMPC+aoDITA85zDkgx66VrpecO2WCPZJ AGTlwicWWR1ikEtkwoyK/4rBSfmeblOFMop+CefiZSPWJEIMG/S7oNfvXocNK8gZwtwfmlAGeG/8 SdewCAEEY7EJxWthD3JUvUsYdlT5nVnvvzacgFqiE/wU8uZ7zRsl0p5xjQ== `protect end_protected