content
stringlengths
1
1.04M
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015 -- Date : Sat Mar 19 19:16:24 2016 -- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/SKL/Desktop/ECE532/repo/decoder_ip_prj/decoder_ip_prj.srcs/sources_1/ip/dcfifo_32in_32out_8kb_cnt/dcfifo_32in_32out_8kb_cnt_funcsim.vhdl -- Design : dcfifo_32in_32out_8kb_cnt -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\ : STD_LOGIC; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 36, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 36 ) port map ( ADDRARDADDR(13) => '0', ADDRARDADDR(12 downto 5) => \gc0.count_d1_reg[7]\(7 downto 0), ADDRARDADDR(4) => '0', ADDRARDADDR(3) => '0', ADDRARDADDR(2) => '0', ADDRARDADDR(1) => '0', ADDRARDADDR(0) => '0', ADDRBWRADDR(13) => '0', ADDRBWRADDR(12 downto 5) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), ADDRBWRADDR(4) => '0', ADDRBWRADDR(3) => '0', ADDRBWRADDR(2) => '0', ADDRBWRADDR(1) => '0', ADDRBWRADDR(0) => '0', CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DIADI(15 downto 0) => din(15 downto 0), DIBDI(15 downto 0) => din(31 downto 16), DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => dout(15 downto 0), DOBDO(15 downto 0) => dout(31 downto 16), DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\, DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\, ENARDEN => tmp_ram_rd_en, ENBWREN => WEBWE(0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => Q(0), RSTRAMB => Q(0), RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '0', WEA(0) => '0', WEBWE(3) => WEBWE(0), WEBWE(2) => WEBWE(0), WEBWE(1) => WEBWE(0), WEBWE(0) => WEBWE(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_bin_cntr is port ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_empty_i_reg : out STD_LOGIC; WR_PNTR_RD : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_en : in STD_LOGIC; p_18_out : in STD_LOGIC; \wr_pntr_bin_reg[6]\ : in STD_LOGIC; \wr_pntr_bin_reg[4]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_bin_cntr : entity is "rd_bin_cntr"; end dcfifo_32in_32out_8kb_cnt_rd_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gc0.count[7]_i_2_n_0\ : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ram_empty_i_i_4_n_0 : STD_LOGIC; signal ram_empty_i_i_5_n_0 : STD_LOGIC; signal ram_empty_i_i_6_n_0 : STD_LOGIC; signal ram_empty_i_i_7_n_0 : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gc0.count[7]_i_2\ : label is "soft_lutpair7"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => rd_pntr_plus1(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => rd_pntr_plus1(0), I1 => rd_pntr_plus1(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => rd_pntr_plus1(0), I1 => rd_pntr_plus1(1), I2 => rd_pntr_plus1(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => rd_pntr_plus1(3), I1 => rd_pntr_plus1(0), I2 => rd_pntr_plus1(1), I3 => rd_pntr_plus1(2), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => rd_pntr_plus1(4), I1 => rd_pntr_plus1(2), I2 => rd_pntr_plus1(1), I3 => rd_pntr_plus1(0), I4 => rd_pntr_plus1(3), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(5), I1 => rd_pntr_plus1(3), I2 => rd_pntr_plus1(0), I3 => rd_pntr_plus1(1), I4 => rd_pntr_plus1(2), I5 => rd_pntr_plus1(4), O => plusOp(5) ); \gc0.count[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => rd_pntr_plus1(6), I1 => rd_pntr_plus1(4), I2 => \gc0.count[7]_i_2_n_0\, I3 => rd_pntr_plus1(3), I4 => rd_pntr_plus1(5), O => plusOp(6) ); \gc0.count[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => rd_pntr_plus1(7), I1 => rd_pntr_plus1(5), I2 => rd_pntr_plus1(3), I3 => \gc0.count[7]_i_2_n_0\, I4 => rd_pntr_plus1(4), I5 => rd_pntr_plus1(6), O => plusOp(7) ); \gc0.count[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => rd_pntr_plus1(2), I1 => rd_pntr_plus1(1), I2 => rd_pntr_plus1(0), O => \gc0.count[7]_i_2_n_0\ ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5) ); \gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(6), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(6) ); \gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => rd_pntr_plus1(7), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(7) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => plusOp(0), PRE => Q(0), Q => rd_pntr_plus1(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(1), Q => rd_pntr_plus1(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(2), Q => rd_pntr_plus1(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(3), Q => rd_pntr_plus1(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(4), Q => rd_pntr_plus1(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(5), Q => rd_pntr_plus1(5) ); \gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(6), Q => rd_pntr_plus1(6) ); \gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => Q(0), D => plusOp(7), Q => rd_pntr_plus1(7) ); ram_empty_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \wr_pntr_bin_reg[6]\, I1 => \wr_pntr_bin_reg[4]\, I2 => ram_empty_i_i_4_n_0, I3 => ram_empty_i_i_5_n_0, I4 => ram_empty_i_i_6_n_0, I5 => ram_empty_i_i_7_n_0, O => ram_empty_i_reg ); ram_empty_i_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2), I1 => WR_PNTR_RD(2), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3), I3 => WR_PNTR_RD(3), O => ram_empty_i_i_4_n_0 ); ram_empty_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => rd_pntr_plus1(5), I1 => WR_PNTR_RD(5), I2 => rd_pntr_plus1(4), I3 => WR_PNTR_RD(4), I4 => WR_PNTR_RD(2), I5 => rd_pntr_plus1(2), O => ram_empty_i_i_5_n_0 ); ram_empty_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"0090000000000090" ) port map ( I0 => rd_pntr_plus1(6), I1 => WR_PNTR_RD(6), I2 => rd_en, I3 => p_18_out, I4 => WR_PNTR_RD(7), I5 => rd_pntr_plus1(7), O => ram_empty_i_i_6_n_0 ); ram_empty_i_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => rd_pntr_plus1(3), I1 => WR_PNTR_RD(3), I2 => rd_pntr_plus1(1), I3 => WR_PNTR_RD(1), I4 => WR_PNTR_RD(0), I5 => rd_pntr_plus1(0), O => ram_empty_i_i_7_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_dc_as is port ( rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 6 downto 0 ); \wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); S : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_dc_as : entity is "rd_dc_as"; end dcfifo_32in_32out_8kb_cnt_rd_dc_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_dc_as is signal minusOp : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \rd_dc_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_1_n_3\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_0\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_1\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_2\ : STD_LOGIC; signal \rd_dc_i_reg[7]_i_2_n_3\ : STD_LOGIC; signal \NLW_rd_dc_i_reg[7]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin \rd_dc_i_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => Q(0), D => minusOp(7), Q => rd_data_count(0) ); \rd_dc_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \rd_dc_i_reg[7]_i_2_n_0\, CO(3) => \NLW_rd_dc_i_reg[7]_i_1_CO_UNCONNECTED\(3), CO(2) => \rd_dc_i_reg[7]_i_1_n_1\, CO(1) => \rd_dc_i_reg[7]_i_1_n_2\, CO(0) => \rd_dc_i_reg[7]_i_1_n_3\, CYINIT => '0', DI(3) => '0', DI(2 downto 0) => WR_PNTR_RD(6 downto 4), O(3 downto 0) => minusOp(7 downto 4), S(3 downto 0) => S(3 downto 0) ); \rd_dc_i_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \rd_dc_i_reg[7]_i_2_n_0\, CO(2) => \rd_dc_i_reg[7]_i_2_n_1\, CO(1) => \rd_dc_i_reg[7]_i_2_n_2\, CO(0) => \rd_dc_i_reg[7]_i_2_n_3\, CYINIT => '1', DI(3 downto 0) => WR_PNTR_RD(3 downto 0), O(3 downto 0) => minusOp(3 downto 0), S(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_status_flags_as is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[6]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_status_flags_as : entity is "rd_status_flags_as"; end dcfifo_32in_32out_8kb_cnt_rd_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_status_flags_as is signal \^p_18_out\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin p_18_out <= \^p_18_out\; \gc0.count_d1[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => \^p_18_out\, O => E(0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \wr_pntr_bin_reg[6]\, PRE => Q(0), Q => \^p_18_out\ ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \wr_pntr_bin_reg[6]\, PRE => Q(0), Q => empty ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo is port ( rst_full_ff_i : out STD_LOGIC; rst_full_gen_i : out STD_LOGIC; tmp_ram_rd_en : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); wr_clk : in STD_LOGIC; rst : in STD_LOGIC; rd_clk : in STD_LOGIC; p_18_out : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo is signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_asreg_d1 : STD_LOGIC; signal rd_rst_asreg_d2 : STD_LOGIC; signal rst_d1 : STD_LOGIC; signal rst_d2 : STD_LOGIC; signal rst_d3 : STD_LOGIC; signal rst_rd_reg1 : STD_LOGIC; signal rst_rd_reg2 : STD_LOGIC; signal rst_wr_reg1 : STD_LOGIC; signal rst_wr_reg2 : STD_LOGIC; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_asreg_d1 : STD_LOGIC; signal wr_rst_asreg_d2 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; begin Q(2 downto 0) <= \^q\(2 downto 0); rst_full_ff_i <= rst_d2; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => \^q\(0), I1 => p_18_out, I2 => rd_en, O => tmp_ram_rd_en ); \grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => rst, D => rst_d3, Q => rst_full_gen_i ); \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1, PRE => rst, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d2, PRE => rst, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg, Q => rd_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rd_rst_asreg_d1, Q => rd_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1_n_0\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_rst_asreg, I1 => rd_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1_n_0\, Q => \^q\(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg, Q => wr_rst_asreg_d1, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => wr_rst_asreg_d1, Q => wr_rst_asreg_d2, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d1, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1_n_0\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_rst_asreg, I1 => wr_rst_asreg_d2, O => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\ ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1_n_0\, Q => \gic0.gc0.count_reg[0]\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin D(7 downto 0) <= Q_reg(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => Q_reg(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 is port ( D : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin D(7 downto 0) <= Q_reg(7 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => Q(7), Q => Q_reg(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \wr_pntr_bin_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^wr_pntr_bin_reg[6]\ : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin \out\(0) <= Q_reg(7); \wr_pntr_bin_reg[6]\(6 downto 0) <= \^wr_pntr_bin_reg[6]\(6 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(7), Q => Q_reg(7) ); \wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^wr_pntr_bin_reg[6]\(3), O => \^wr_pntr_bin_reg[6]\(0) ); \wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^wr_pntr_bin_reg[6]\(3), O => \^wr_pntr_bin_reg[6]\(1) ); \wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(3), I1 => Q_reg(7), I2 => Q_reg(5), I3 => Q_reg(6), I4 => Q_reg(4), I5 => Q_reg(2), O => \^wr_pntr_bin_reg[6]\(2) ); \wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(7), I4 => Q_reg(3), O => \^wr_pntr_bin_reg[6]\(3) ); \wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(5), I2 => Q_reg(6), I3 => Q_reg(4), O => \^wr_pntr_bin_reg[6]\(4) ); \wr_pntr_bin[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(7), O => \^wr_pntr_bin_reg[6]\(5) ); \wr_pntr_bin[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(6), I1 => Q_reg(7), O => \^wr_pntr_bin_reg[6]\(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_pntr_bin_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 : entity is "synchronizer_ff"; end dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 is signal Q_reg : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^rd_pntr_bin_reg[6]\ : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[6]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[6]\ : label is "yes"; attribute ASYNC_REG of \Q_reg_reg[7]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[7]\ : label is "yes"; begin \out\(0) <= Q_reg(7); \rd_pntr_bin_reg[6]\(6 downto 0) <= \^rd_pntr_bin_reg[6]\(6 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(5), Q => Q_reg(5) ); \Q_reg_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(6), Q => Q_reg(6) ); \Q_reg_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => D(7), Q => Q_reg(7) ); \rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => Q_reg(0), I3 => \^rd_pntr_bin_reg[6]\(3), O => \^rd_pntr_bin_reg[6]\(0) ); \rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(2), I1 => Q_reg(1), I2 => \^rd_pntr_bin_reg[6]\(3), O => \^rd_pntr_bin_reg[6]\(1) ); \rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => Q_reg(3), I1 => Q_reg(7), I2 => Q_reg(5), I3 => Q_reg(6), I4 => Q_reg(4), I5 => Q_reg(2), O => \^rd_pntr_bin_reg[6]\(2) ); \rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => Q_reg(4), I1 => Q_reg(6), I2 => Q_reg(5), I3 => Q_reg(7), I4 => Q_reg(3), O => \^rd_pntr_bin_reg[6]\(3) ); \rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => Q_reg(7), I1 => Q_reg(5), I2 => Q_reg(6), I3 => Q_reg(4), O => \^rd_pntr_bin_reg[6]\(4) ); \rd_pntr_bin[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(6), I1 => Q_reg(5), I2 => Q_reg(7), O => \^rd_pntr_bin_reg[6]\(5) ); \rd_pntr_bin[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(6), I1 => Q_reg(7), O => \^rd_pntr_bin_reg[6]\(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_bin_cntr is port ( ram_full_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); \gic0.gc0.count_d2_reg[7]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); RD_PNTR_WR : in STD_LOGIC_VECTOR ( 1 downto 0 ); wr_en : in STD_LOGIC; p_0_out : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_bin_cntr : entity is "wr_bin_cntr"; end dcfifo_32in_32out_8kb_cnt_wr_bin_cntr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \gic0.gc0.count[7]_i_2_n_0\ : STD_LOGIC; signal \^gic0.gc0.count_d2_reg[7]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gic0.gc0.count[6]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gic0.gc0.count[7]_i_1\ : label is "soft_lutpair10"; begin Q(5 downto 0) <= \^q\(5 downto 0); \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) <= \^gic0.gc0.count_d2_reg[7]_0\(7 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => wr_pntr_plus2(0), O => \plusOp__0\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), O => \plusOp__0\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), I2 => \^q\(0), O => \plusOp__0\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => wr_pntr_plus2(1), I1 => wr_pntr_plus2(0), I2 => \^q\(0), I3 => \^q\(1), O => \plusOp__0\(3) ); \gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(0), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(1), I3 => \^q\(1), I4 => \^q\(2), O => \plusOp__0\(4) ); \gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(1), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(0), I3 => \^q\(0), I4 => \^q\(2), I5 => \^q\(3), O => \plusOp__0\(5) ); \gic0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count[7]_i_2_n_0\, I1 => \^q\(4), O => \plusOp__0\(6) ); \gic0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \gic0.gc0.count[7]_i_2_n_0\, I1 => \^q\(4), I2 => \^q\(5), O => \plusOp__0\(7) ); \gic0.gc0.count[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => wr_pntr_plus2(1), I3 => wr_pntr_plus2(0), I4 => \^q\(0), I5 => \^q\(2), O => \gic0.gc0.count[7]_i_2_n_0\ ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => wr_pntr_plus2(0), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => \^gic0.gc0.count_d2_reg[7]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => wr_pntr_plus2(1), Q => \^gic0.gc0.count_d2_reg[7]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(0), Q => \^gic0.gc0.count_d2_reg[7]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[7]_0\(3) ); \gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[7]_0\(4) ); \gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[7]_0\(5) ); \gic0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(4), Q => \^gic0.gc0.count_d2_reg[7]_0\(6) ); \gic0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^q\(5), Q => \^gic0.gc0.count_d2_reg[7]_0\(7) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(0), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(1), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(2), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(3), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(3) ); \gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(4), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(4) ); \gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(5), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5) ); \gic0.gc0.count_d2_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(6), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(6) ); \gic0.gc0.count_d2_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \^gic0.gc0.count_d2_reg[7]_0\(7), Q => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(0), Q => wr_pntr_plus2(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \plusOp__0\(1), PRE => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), Q => wr_pntr_plus2(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(2), Q => \^q\(0) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(3), Q => \^q\(1) ); \gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(4), Q => \^q\(2) ); \gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(5), Q => \^q\(3) ); \gic0.gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(6), Q => \^q\(4) ); \gic0.gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), D => \plusOp__0\(7), Q => \^q\(5) ); ram_full_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0090000000000090" ) port map ( I0 => RD_PNTR_WR(0), I1 => wr_pntr_plus2(0), I2 => wr_en, I3 => p_0_out, I4 => wr_pntr_plus2(1), I5 => RD_PNTR_WR(1), O => ram_full_fb_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_status_flags_as is port ( full : out STD_LOGIC; p_0_out : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_full_i : in STD_LOGIC; wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; wr_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_status_flags_as : entity is "wr_status_flags_as"; end dcfifo_32in_32out_8kb_cnt_wr_status_flags_as; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_status_flags_as is signal \^p_0_out\ : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin p_0_out <= \^p_0_out\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => \^p_0_out\, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => \^p_0_out\ ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => ram_full_i, PRE => rst_full_ff_i, Q => full ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_wrapper port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_clk_x_pntrs is port ( ram_empty_i_reg : out STD_LOGIC; WR_PNTR_RD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_empty_i_reg_0 : out STD_LOGIC; RD_PNTR_WR : out STD_LOGIC_VECTOR ( 1 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); \rd_dc_i_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_i : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_reg[7]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \gic0.gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); rst_full_gen_i : in STD_LOGIC; \rd_pntr_bin_reg[0]_0\ : in STD_LOGIC; \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_clk_x_pntrs : entity is "clk_x_pntrs"; end dcfifo_32in_32out_8kb_cnt_clk_x_pntrs; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_clk_x_pntrs is signal \^rd_pntr_wr\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wr_pntr_rd\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_1\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_2\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_3\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_4\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_5\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC; signal \gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 6 downto 0 ); signal p_0_in6_out : STD_LOGIC_VECTOR ( 6 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 7 downto 2 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 7 to 7 ); signal p_1_out : STD_LOGIC_VECTOR ( 7 to 7 ); signal p_2_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal ram_full_i_i_2_n_0 : STD_LOGIC; signal ram_full_i_i_4_n_0 : STD_LOGIC; signal ram_full_i_i_6_n_0 : STD_LOGIC; signal ram_full_i_i_7_n_0 : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \rd_pntr_gc[0]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[1]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[2]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[3]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[4]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[5]_i_1_n_0\ : STD_LOGIC; signal \rd_pntr_gc[6]_i_1_n_0\ : STD_LOGIC; signal wr_pntr_gc : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \rd_pntr_gc[4]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \rd_pntr_gc[5]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wr_pntr_gc[4]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wr_pntr_gc[5]_i_1\ : label is "soft_lutpair2"; begin RD_PNTR_WR(1 downto 0) <= \^rd_pntr_wr\(1 downto 0); WR_PNTR_RD(7 downto 0) <= \^wr_pntr_rd\(7 downto 0); \gsync_stage[1].rd_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff port map ( D(7 downto 0) => p_3_out(7 downto 0), Q(7 downto 0) => wr_pntr_gc(7 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), rd_clk => rd_clk ); \gsync_stage[1].wr_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_0 port map ( D(7 downto 0) => p_2_out(7 downto 0), Q(7 downto 0) => rd_pntr_gc(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), wr_clk => wr_clk ); \gsync_stage[2].rd_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_1 port map ( D(7 downto 0) => p_3_out(7 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(0) => p_1_out(7), rd_clk => rd_clk, \wr_pntr_bin_reg[6]\(6 downto 0) => p_0_in(6 downto 0) ); \gsync_stage[2].wr_stg_inst\: entity work.dcfifo_32in_32out_8kb_cnt_synchronizer_ff_2 port map ( D(7 downto 0) => p_2_out(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), \out\(0) => p_0_out_0(7), \rd_pntr_bin_reg[6]\(6) => \gsync_stage[2].wr_stg_inst_n_1\, \rd_pntr_bin_reg[6]\(5) => \gsync_stage[2].wr_stg_inst_n_2\, \rd_pntr_bin_reg[6]\(4) => \gsync_stage[2].wr_stg_inst_n_3\, \rd_pntr_bin_reg[6]\(3) => \gsync_stage[2].wr_stg_inst_n_4\, \rd_pntr_bin_reg[6]\(2) => \gsync_stage[2].wr_stg_inst_n_5\, \rd_pntr_bin_reg[6]\(1) => \gsync_stage[2].wr_stg_inst_n_6\, \rd_pntr_bin_reg[6]\(0) => \gsync_stage[2].wr_stg_inst_n_7\, wr_clk => wr_clk ); ram_empty_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^wr_pntr_rd\(6), I1 => Q(6), I2 => \^wr_pntr_rd\(1), I3 => Q(1), I4 => Q(0), I5 => \^wr_pntr_rd\(0), O => ram_empty_i_reg_0 ); ram_empty_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^wr_pntr_rd\(4), I1 => Q(4), I2 => \^wr_pntr_rd\(5), I3 => Q(5), I4 => Q(7), I5 => \^wr_pntr_rd\(7), O => ram_empty_i_reg ); ram_full_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"55554000" ) port map ( I0 => rst_full_gen_i, I1 => ram_full_i_i_2_n_0, I2 => \rd_pntr_bin_reg[0]_0\, I3 => ram_full_i_i_4_n_0, I4 => \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\, O => ram_full_i ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(5), I1 => \gic0.gc0.count_reg[7]\(3), I2 => p_0_out(7), I3 => \gic0.gc0.count_reg[7]\(5), I4 => \gic0.gc0.count_reg[7]\(4), I5 => p_0_out(6), O => ram_full_i_i_2_n_0 ); ram_full_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(2), I1 => \gic0.gc0.count_reg[7]\(0), I2 => p_0_out(3), I3 => \gic0.gc0.count_reg[7]\(1), I4 => \gic0.gc0.count_reg[7]\(2), I5 => p_0_out(4), O => ram_full_i_i_4_n_0 ); ram_full_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000000000" ) port map ( I0 => p_0_out(7), I1 => \gic0.gc0.count_d1_reg[7]\(7), I2 => p_0_out(6), I3 => \gic0.gc0.count_d1_reg[7]\(6), I4 => ram_full_i_i_6_n_0, I5 => ram_full_i_i_7_n_0, O => \gntv_or_sync_fifo.gl0.wr/gwas.wsts/comp1\ ); ram_full_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^rd_pntr_wr\(0), I1 => \gic0.gc0.count_d1_reg[7]\(0), I2 => \^rd_pntr_wr\(1), I3 => \gic0.gc0.count_d1_reg[7]\(1), I4 => \gic0.gc0.count_d1_reg[7]\(2), I5 => p_0_out(2), O => ram_full_i_i_6_n_0 ); ram_full_i_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_0_out(3), I1 => \gic0.gc0.count_d1_reg[7]\(3), I2 => p_0_out(4), I3 => \gic0.gc0.count_d1_reg[7]\(4), I4 => \gic0.gc0.count_d1_reg[7]\(5), I5 => p_0_out(5), O => ram_full_i_i_7_n_0 ); \rd_dc_i[7]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(0), I1 => Q(0), O => \rd_dc_i_reg[7]\(0) ); \rd_dc_i[7]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(7), I1 => Q(7), O => S(3) ); \rd_dc_i[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(6), I1 => Q(6), O => S(2) ); \rd_dc_i[7]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(5), I1 => Q(5), O => S(1) ); \rd_dc_i[7]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(4), I1 => Q(4), O => S(0) ); \rd_dc_i[7]_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(3), I1 => Q(3), O => \rd_dc_i_reg[7]\(3) ); \rd_dc_i[7]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(2), I1 => Q(2), O => \rd_dc_i_reg[7]\(2) ); \rd_dc_i[7]_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wr_pntr_rd\(1), I1 => Q(1), O => \rd_dc_i_reg[7]\(1) ); \rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_7\, Q => \^rd_pntr_wr\(0) ); \rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_6\, Q => \^rd_pntr_wr\(1) ); \rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_5\, Q => p_0_out(2) ); \rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_4\, Q => p_0_out(3) ); \rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_3\, Q => p_0_out(4) ); \rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_2\, Q => p_0_out(5) ); \rd_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gsync_stage[2].wr_stg_inst_n_1\, Q => p_0_out(6) ); \rd_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_out_0(7), Q => p_0_out(7) ); \rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(0), I1 => Q(1), O => \rd_pntr_gc[0]_i_1_n_0\ ); \rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(1), I1 => Q(2), O => \rd_pntr_gc[1]_i_1_n_0\ ); \rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(2), I1 => Q(3), O => \rd_pntr_gc[2]_i_1_n_0\ ); \rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(3), I1 => Q(4), O => \rd_pntr_gc[3]_i_1_n_0\ ); \rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(4), I1 => Q(5), O => \rd_pntr_gc[4]_i_1_n_0\ ); \rd_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(5), I1 => Q(6), O => \rd_pntr_gc[5]_i_1_n_0\ ); \rd_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(6), I1 => Q(7), O => \rd_pntr_gc[6]_i_1_n_0\ ); \rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[0]_i_1_n_0\, Q => rd_pntr_gc(0) ); \rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[1]_i_1_n_0\, Q => rd_pntr_gc(1) ); \rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[2]_i_1_n_0\, Q => rd_pntr_gc(2) ); \rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[3]_i_1_n_0\, Q => rd_pntr_gc(3) ); \rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[4]_i_1_n_0\, Q => rd_pntr_gc(4) ); \rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[5]_i_1_n_0\, Q => rd_pntr_gc(5) ); \rd_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \rd_pntr_gc[6]_i_1_n_0\, Q => rd_pntr_gc(6) ); \rd_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(7), Q => rd_pntr_gc(7) ); \wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(0), Q => \^wr_pntr_rd\(0) ); \wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(1), Q => \^wr_pntr_rd\(1) ); \wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(2), Q => \^wr_pntr_rd\(2) ); \wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(3), Q => \^wr_pntr_rd\(3) ); \wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(4), Q => \^wr_pntr_rd\(4) ); \wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(5), Q => \^wr_pntr_rd\(5) ); \wr_pntr_bin_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_in(6), Q => \^wr_pntr_rd\(6) ); \wr_pntr_bin_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_1_out(7), Q => \^wr_pntr_rd\(7) ); \wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(0), I1 => \gic0.gc0.count_d2_reg[7]\(1), O => p_0_in6_out(0) ); \wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(1), I1 => \gic0.gc0.count_d2_reg[7]\(2), O => p_0_in6_out(1) ); \wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(2), I1 => \gic0.gc0.count_d2_reg[7]\(3), O => p_0_in6_out(2) ); \wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(3), I1 => \gic0.gc0.count_d2_reg[7]\(4), O => p_0_in6_out(3) ); \wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(4), I1 => \gic0.gc0.count_d2_reg[7]\(5), O => p_0_in6_out(4) ); \wr_pntr_gc[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(5), I1 => \gic0.gc0.count_d2_reg[7]\(6), O => p_0_in6_out(5) ); \wr_pntr_gc[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[7]\(6), I1 => \gic0.gc0.count_d2_reg[7]\(7), O => p_0_in6_out(6) ); \wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(0), Q => wr_pntr_gc(0) ); \wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(1), Q => wr_pntr_gc(1) ); \wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(2), Q => wr_pntr_gc(2) ); \wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(3), Q => wr_pntr_gc(3) ); \wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(4), Q => wr_pntr_gc(4) ); \wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(5), Q => wr_pntr_gc(5) ); \wr_pntr_gc_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => p_0_in6_out(6), Q => wr_pntr_gc(6) ); \wr_pntr_gc_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0), D => \gic0.gc0.count_d2_reg[7]\(7), Q => wr_pntr_gc(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_rd_logic is port ( empty : out STD_LOGIC; p_18_out : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); WR_PNTR_RD : in STD_LOGIC_VECTOR ( 7 downto 0 ); rd_en : in STD_LOGIC; \wr_pntr_bin_reg[6]\ : in STD_LOGIC; \wr_pntr_bin_reg[4]\ : in STD_LOGIC; \wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); S : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_rd_logic : entity is "rd_logic"; end dcfifo_32in_32out_8kb_cnt_rd_logic; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_rd_logic is signal p_14_out : STD_LOGIC; signal \^p_18_out\ : STD_LOGIC; signal rpntr_n_8 : STD_LOGIC; begin p_18_out <= \^p_18_out\; \gras.grdc1.rdc\: entity work.dcfifo_32in_32out_8kb_cnt_rd_dc_as port map ( Q(0) => Q(0), S(3 downto 0) => S(3 downto 0), WR_PNTR_RD(6 downto 0) => WR_PNTR_RD(6 downto 0), rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), \wr_pntr_bin_reg[3]\(3 downto 0) => \wr_pntr_bin_reg[3]\(3 downto 0) ); \gras.rsts\: entity work.dcfifo_32in_32out_8kb_cnt_rd_status_flags_as port map ( E(0) => p_14_out, Q(0) => Q(0), empty => empty, p_18_out => \^p_18_out\, rd_clk => rd_clk, rd_en => rd_en, \wr_pntr_bin_reg[6]\ => rpntr_n_8 ); rpntr: entity work.dcfifo_32in_32out_8kb_cnt_rd_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0), E(0) => p_14_out, Q(0) => Q(0), WR_PNTR_RD(7 downto 0) => WR_PNTR_RD(7 downto 0), p_18_out => \^p_18_out\, ram_empty_i_reg => rpntr_n_8, rd_clk => rd_clk, rd_en => rd_en, \wr_pntr_bin_reg[4]\ => \wr_pntr_bin_reg[4]\, \wr_pntr_bin_reg[6]\ => \wr_pntr_bin_reg[6]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_wr_logic is port ( full : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); WEBWE : out STD_LOGIC_VECTOR ( 0 to 0 ); \gic0.gc0.count_d2_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); ram_full_i : in STD_LOGIC; wr_clk : in STD_LOGIC; rst_full_ff_i : in STD_LOGIC; RD_PNTR_WR : in STD_LOGIC_VECTOR ( 1 downto 0 ); wr_en : in STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_wr_logic : entity is "wr_logic"; end dcfifo_32in_32out_8kb_cnt_wr_logic; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_wr_logic is signal \^webwe\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out : STD_LOGIC; begin WEBWE(0) <= \^webwe\(0); \gwas.wsts\: entity work.dcfifo_32in_32out_8kb_cnt_wr_status_flags_as port map ( E(0) => \^webwe\(0), full => full, p_0_out => p_0_out, ram_full_i => ram_full_i, rst_full_ff_i => rst_full_ff_i, wr_clk => wr_clk, wr_en => wr_en ); wpntr: entity work.dcfifo_32in_32out_8kb_cnt_wr_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0), E(0) => \^webwe\(0), Q(5 downto 0) => Q(5 downto 0), RD_PNTR_WR(1 downto 0) => RD_PNTR_WR(1 downto 0), \gic0.gc0.count_d2_reg[7]_0\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0), p_0_out => p_0_out, ram_full_fb_i_reg => ram_full_fb_i_reg, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_prim_width port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top : entity is "blk_mem_gen_top"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top is begin \valid.cstr\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_generic_cstr port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_top port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 : entity is "blk_mem_gen_v8_2"; end dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 is begin inst_blk_mem_gen: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2_synth port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_memory is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \gic0.gc0.count_d2_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_memory : entity is "memory"; end dcfifo_32in_32out_8kb_cnt_memory; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_memory is begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.dcfifo_32in_32out_8kb_cnt_blk_mem_gen_v8_2 port map ( Q(0) => Q(0), WEBWE(0) => WEBWE(0), din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => \gc0.count_d1_reg[7]\(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => \gic0.gc0.count_d2_reg[7]\(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo is signal RD_RST : STD_LOGIC; signal \^rst\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_12\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_13\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_14\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_15\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_16\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_17\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_18\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_19\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_1\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_8\ : STD_LOGIC; signal \gwas.wsts/ram_full_i\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal p_18_out : STD_LOGIC; signal p_1_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_20_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_9_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal rst_full_gen_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 7 downto 2 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 0 to 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.dcfifo_32in_32out_8kb_cnt_clk_x_pntrs port map ( Q(7 downto 0) => p_20_out(7 downto 0), RD_PNTR_WR(1 downto 0) => p_0_out(1 downto 0), S(3) => \gntv_or_sync_fifo.gcx.clkx_n_12\, S(2) => \gntv_or_sync_fifo.gcx.clkx_n_13\, S(1) => \gntv_or_sync_fifo.gcx.clkx_n_14\, S(0) => \gntv_or_sync_fifo.gcx.clkx_n_15\, WR_PNTR_RD(7 downto 0) => p_1_out(7 downto 0), \gic0.gc0.count_d1_reg[7]\(7 downto 0) => p_8_out(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_9_out(7 downto 0), \gic0.gc0.count_reg[7]\(5 downto 0) => wr_pntr_plus2(7 downto 2), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\(0) => wr_rst_i(0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_0\, ram_empty_i_reg_0 => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_i => \gwas.wsts/ram_full_i\, rd_clk => rd_clk, \rd_dc_i_reg[7]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_16\, \rd_dc_i_reg[7]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_17\, \rd_dc_i_reg[7]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_18\, \rd_dc_i_reg[7]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_19\, \rd_pntr_bin_reg[0]_0\ => \gntv_or_sync_fifo.gl0.wr_n_1\, rst_full_gen_i => rst_full_gen_i, wr_clk => wr_clk ); \gntv_or_sync_fifo.gl0.rd\: entity work.dcfifo_32in_32out_8kb_cnt_rd_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => p_20_out(7 downto 0), Q(0) => RD_RST, S(3) => \gntv_or_sync_fifo.gcx.clkx_n_12\, S(2) => \gntv_or_sync_fifo.gcx.clkx_n_13\, S(1) => \gntv_or_sync_fifo.gcx.clkx_n_14\, S(0) => \gntv_or_sync_fifo.gcx.clkx_n_15\, WR_PNTR_RD(7 downto 0) => p_1_out(7 downto 0), empty => empty, p_18_out => p_18_out, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, \wr_pntr_bin_reg[3]\(3) => \gntv_or_sync_fifo.gcx.clkx_n_16\, \wr_pntr_bin_reg[3]\(2) => \gntv_or_sync_fifo.gcx.clkx_n_17\, \wr_pntr_bin_reg[3]\(1) => \gntv_or_sync_fifo.gcx.clkx_n_18\, \wr_pntr_bin_reg[3]\(0) => \gntv_or_sync_fifo.gcx.clkx_n_19\, \wr_pntr_bin_reg[4]\ => \gntv_or_sync_fifo.gcx.clkx_n_0\, \wr_pntr_bin_reg[6]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\ ); \gntv_or_sync_fifo.gl0.wr\: entity work.dcfifo_32in_32out_8kb_cnt_wr_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(7 downto 0) => p_9_out(7 downto 0), Q(5 downto 0) => wr_pntr_plus2(7 downto 2), RD_PNTR_WR(1 downto 0) => p_0_out(1 downto 0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_8\, full => full, \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_8_out(7 downto 0), \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\(0) => \^rst\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_1\, ram_full_i => \gwas.wsts/ram_full_i\, rst_full_ff_i => rst_full_ff_i, wr_clk => wr_clk, wr_en => wr_en ); \gntv_or_sync_fifo.mem\: entity work.dcfifo_32in_32out_8kb_cnt_memory port map ( Q(0) => rd_rst_i(0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_8\, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), \gc0.count_d1_reg[7]\(7 downto 0) => p_20_out(7 downto 0), \gic0.gc0.count_d2_reg[7]\(7 downto 0) => p_9_out(7 downto 0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); rstblk: entity work.dcfifo_32in_32out_8kb_cnt_reset_blk_ramfifo port map ( Q(2) => RD_RST, Q(1 downto 0) => rd_rst_i(1 downto 0), \gic0.gc0.count_reg[0]\(1) => \^rst\, \gic0.gc0.count_reg[0]\(0) => wr_rst_i(0), p_18_out => p_18_out, rd_clk => rd_clk, rd_en => rd_en, rst => rst, rst_full_ff_i => rst_full_ff_i, rst_full_gen_i => rst_full_gen_i, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_top is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_top : entity is "fifo_generator_top"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_top; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_top is begin \grf.rf\: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_ramfifo port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth is port ( dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth is begin \gconvfifo.rf\: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_top port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 7 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 7 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 253; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 252; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 256; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 256; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 8; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 : entity is "fifo_generator_v12_0"; end dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0_synth port map ( din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dcfifo_32in_32out_8kb_cnt is port ( rst : in STD_LOGIC; wr_clk : in STD_LOGIC; rd_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 31 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC; rd_data_count : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dcfifo_32in_32out_8kb_cnt : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dcfifo_32in_32out_8kb_cnt : entity is "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{}"; attribute core_generation_info : string; attribute core_generation_info of dcfifo_32in_32out_8kb_cnt : entity is "dcfifo_32in_32out_8kb_cnt,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=8,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=253,C_PROG_FULL_THRESH_NEGATE_VAL=252,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=1,C_RD_DEPTH=256,C_RD_FREQ=1,C_RD_PNTR_WIDTH=8,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=8,C_WR_DEPTH=256,C_WR_FREQ=1,C_WR_PNTR_WIDTH=8,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dcfifo_32in_32out_8kb_cnt : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dcfifo_32in_32out_8kb_cnt : entity is "fifo_generator_v12_0,Vivado 2015.1"; end dcfifo_32in_32out_8kb_cnt; architecture STRUCTURE of dcfifo_32in_32out_8kb_cnt is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 8; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 32; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 32; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 32; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 1; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 253; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 252; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 1; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 256; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 8; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 8; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 256; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 8; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.dcfifo_32in_32out_8kb_cnt_fifo_generator_v12_0 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3) => '0', axi_ar_prog_empty_thresh(2) => '0', axi_ar_prog_empty_thresh(1) => '0', axi_ar_prog_empty_thresh(0) => '0', axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3) => '0', axi_ar_prog_full_thresh(2) => '0', axi_ar_prog_full_thresh(1) => '0', axi_ar_prog_full_thresh(0) => '0', axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3) => '0', axi_aw_prog_empty_thresh(2) => '0', axi_aw_prog_empty_thresh(1) => '0', axi_aw_prog_empty_thresh(0) => '0', axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3) => '0', axi_aw_prog_full_thresh(2) => '0', axi_aw_prog_full_thresh(1) => '0', axi_aw_prog_full_thresh(0) => '0', axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3) => '0', axi_b_prog_empty_thresh(2) => '0', axi_b_prog_empty_thresh(1) => '0', axi_b_prog_empty_thresh(0) => '0', axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3) => '0', axi_b_prog_full_thresh(2) => '0', axi_b_prog_full_thresh(1) => '0', axi_b_prog_full_thresh(0) => '0', axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9) => '0', axi_r_prog_empty_thresh(8) => '0', axi_r_prog_empty_thresh(7) => '0', axi_r_prog_empty_thresh(6) => '0', axi_r_prog_empty_thresh(5) => '0', axi_r_prog_empty_thresh(4) => '0', axi_r_prog_empty_thresh(3) => '0', axi_r_prog_empty_thresh(2) => '0', axi_r_prog_empty_thresh(1) => '0', axi_r_prog_empty_thresh(0) => '0', axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9) => '0', axi_r_prog_full_thresh(8) => '0', axi_r_prog_full_thresh(7) => '0', axi_r_prog_full_thresh(6) => '0', axi_r_prog_full_thresh(5) => '0', axi_r_prog_full_thresh(4) => '0', axi_r_prog_full_thresh(3) => '0', axi_r_prog_full_thresh(2) => '0', axi_r_prog_full_thresh(1) => '0', axi_r_prog_full_thresh(0) => '0', axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9) => '0', axi_w_prog_empty_thresh(8) => '0', axi_w_prog_empty_thresh(7) => '0', axi_w_prog_empty_thresh(6) => '0', axi_w_prog_empty_thresh(5) => '0', axi_w_prog_empty_thresh(4) => '0', axi_w_prog_empty_thresh(3) => '0', axi_w_prog_empty_thresh(2) => '0', axi_w_prog_empty_thresh(1) => '0', axi_w_prog_empty_thresh(0) => '0', axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9) => '0', axi_w_prog_full_thresh(8) => '0', axi_w_prog_full_thresh(7) => '0', axi_w_prog_full_thresh(6) => '0', axi_w_prog_full_thresh(5) => '0', axi_w_prog_full_thresh(4) => '0', axi_w_prog_full_thresh(3) => '0', axi_w_prog_full_thresh(2) => '0', axi_w_prog_full_thresh(1) => '0', axi_w_prog_full_thresh(0) => '0', axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9) => '0', axis_prog_empty_thresh(8) => '0', axis_prog_empty_thresh(7) => '0', axis_prog_empty_thresh(6) => '0', axis_prog_empty_thresh(5) => '0', axis_prog_empty_thresh(4) => '0', axis_prog_empty_thresh(3) => '0', axis_prog_empty_thresh(2) => '0', axis_prog_empty_thresh(1) => '0', axis_prog_empty_thresh(0) => '0', axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9) => '0', axis_prog_full_thresh(8) => '0', axis_prog_full_thresh(7) => '0', axis_prog_full_thresh(6) => '0', axis_prog_full_thresh(5) => '0', axis_prog_full_thresh(4) => '0', axis_prog_full_thresh(3) => '0', axis_prog_full_thresh(2) => '0', axis_prog_full_thresh(1) => '0', axis_prog_full_thresh(0) => '0', axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(7 downto 0) => NLW_U0_data_count_UNCONNECTED(7 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(31 downto 0) => din(31 downto 0), dout(31 downto 0) => dout(31 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1) => '0', m_axi_bresp(0) => '0', m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63) => '0', m_axi_rdata(62) => '0', m_axi_rdata(61) => '0', m_axi_rdata(60) => '0', m_axi_rdata(59) => '0', m_axi_rdata(58) => '0', m_axi_rdata(57) => '0', m_axi_rdata(56) => '0', m_axi_rdata(55) => '0', m_axi_rdata(54) => '0', m_axi_rdata(53) => '0', m_axi_rdata(52) => '0', m_axi_rdata(51) => '0', m_axi_rdata(50) => '0', m_axi_rdata(49) => '0', m_axi_rdata(48) => '0', m_axi_rdata(47) => '0', m_axi_rdata(46) => '0', m_axi_rdata(45) => '0', m_axi_rdata(44) => '0', m_axi_rdata(43) => '0', m_axi_rdata(42) => '0', m_axi_rdata(41) => '0', m_axi_rdata(40) => '0', m_axi_rdata(39) => '0', m_axi_rdata(38) => '0', m_axi_rdata(37) => '0', m_axi_rdata(36) => '0', m_axi_rdata(35) => '0', m_axi_rdata(34) => '0', m_axi_rdata(33) => '0', m_axi_rdata(32) => '0', m_axi_rdata(31) => '0', m_axi_rdata(30) => '0', m_axi_rdata(29) => '0', m_axi_rdata(28) => '0', m_axi_rdata(27) => '0', m_axi_rdata(26) => '0', m_axi_rdata(25) => '0', m_axi_rdata(24) => '0', m_axi_rdata(23) => '0', m_axi_rdata(22) => '0', m_axi_rdata(21) => '0', m_axi_rdata(20) => '0', m_axi_rdata(19) => '0', m_axi_rdata(18) => '0', m_axi_rdata(17) => '0', m_axi_rdata(16) => '0', m_axi_rdata(15) => '0', m_axi_rdata(14) => '0', m_axi_rdata(13) => '0', m_axi_rdata(12) => '0', m_axi_rdata(11) => '0', m_axi_rdata(10) => '0', m_axi_rdata(9) => '0', m_axi_rdata(8) => '0', m_axi_rdata(7) => '0', m_axi_rdata(6) => '0', m_axi_rdata(5) => '0', m_axi_rdata(4) => '0', m_axi_rdata(3) => '0', m_axi_rdata(2) => '0', m_axi_rdata(1) => '0', m_axi_rdata(0) => '0', m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1) => '0', m_axi_rresp(0) => '0', m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(7) => '0', prog_empty_thresh(6) => '0', prog_empty_thresh(5) => '0', prog_empty_thresh(4) => '0', prog_empty_thresh(3) => '0', prog_empty_thresh(2) => '0', prog_empty_thresh(1) => '0', prog_empty_thresh(0) => '0', prog_empty_thresh_assert(7) => '0', prog_empty_thresh_assert(6) => '0', prog_empty_thresh_assert(5) => '0', prog_empty_thresh_assert(4) => '0', prog_empty_thresh_assert(3) => '0', prog_empty_thresh_assert(2) => '0', prog_empty_thresh_assert(1) => '0', prog_empty_thresh_assert(0) => '0', prog_empty_thresh_negate(7) => '0', prog_empty_thresh_negate(6) => '0', prog_empty_thresh_negate(5) => '0', prog_empty_thresh_negate(4) => '0', prog_empty_thresh_negate(3) => '0', prog_empty_thresh_negate(2) => '0', prog_empty_thresh_negate(1) => '0', prog_empty_thresh_negate(0) => '0', prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(7) => '0', prog_full_thresh(6) => '0', prog_full_thresh(5) => '0', prog_full_thresh(4) => '0', prog_full_thresh(3) => '0', prog_full_thresh(2) => '0', prog_full_thresh(1) => '0', prog_full_thresh(0) => '0', prog_full_thresh_assert(7) => '0', prog_full_thresh_assert(6) => '0', prog_full_thresh_assert(5) => '0', prog_full_thresh_assert(4) => '0', prog_full_thresh_assert(3) => '0', prog_full_thresh_assert(2) => '0', prog_full_thresh_assert(1) => '0', prog_full_thresh_assert(0) => '0', prog_full_thresh_negate(7) => '0', prog_full_thresh_negate(6) => '0', prog_full_thresh_negate(5) => '0', prog_full_thresh_negate(4) => '0', prog_full_thresh_negate(3) => '0', prog_full_thresh_negate(2) => '0', prog_full_thresh_negate(1) => '0', prog_full_thresh_negate(0) => '0', rd_clk => rd_clk, rd_data_count(0) => rd_data_count(0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31) => '0', s_axi_araddr(30) => '0', s_axi_araddr(29) => '0', s_axi_araddr(28) => '0', s_axi_araddr(27) => '0', s_axi_araddr(26) => '0', s_axi_araddr(25) => '0', s_axi_araddr(24) => '0', s_axi_araddr(23) => '0', s_axi_araddr(22) => '0', s_axi_araddr(21) => '0', s_axi_araddr(20) => '0', s_axi_araddr(19) => '0', s_axi_araddr(18) => '0', s_axi_araddr(17) => '0', s_axi_araddr(16) => '0', s_axi_araddr(15) => '0', s_axi_araddr(14) => '0', s_axi_araddr(13) => '0', s_axi_araddr(12) => '0', s_axi_araddr(11) => '0', s_axi_araddr(10) => '0', s_axi_araddr(9) => '0', s_axi_araddr(8) => '0', s_axi_araddr(7) => '0', s_axi_araddr(6) => '0', s_axi_araddr(5) => '0', s_axi_araddr(4) => '0', s_axi_araddr(3) => '0', s_axi_araddr(2) => '0', s_axi_araddr(1) => '0', s_axi_araddr(0) => '0', s_axi_arburst(1) => '0', s_axi_arburst(0) => '0', s_axi_arcache(3) => '0', s_axi_arcache(2) => '0', s_axi_arcache(1) => '0', s_axi_arcache(0) => '0', s_axi_arid(0) => '0', s_axi_arlen(7) => '0', s_axi_arlen(6) => '0', s_axi_arlen(5) => '0', s_axi_arlen(4) => '0', s_axi_arlen(3) => '0', s_axi_arlen(2) => '0', s_axi_arlen(1) => '0', s_axi_arlen(0) => '0', s_axi_arlock(0) => '0', s_axi_arprot(2) => '0', s_axi_arprot(1) => '0', s_axi_arprot(0) => '0', s_axi_arqos(3) => '0', s_axi_arqos(2) => '0', s_axi_arqos(1) => '0', s_axi_arqos(0) => '0', s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3) => '0', s_axi_arregion(2) => '0', s_axi_arregion(1) => '0', s_axi_arregion(0) => '0', s_axi_arsize(2) => '0', s_axi_arsize(1) => '0', s_axi_arsize(0) => '0', s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31) => '0', s_axi_awaddr(30) => '0', s_axi_awaddr(29) => '0', s_axi_awaddr(28) => '0', s_axi_awaddr(27) => '0', s_axi_awaddr(26) => '0', s_axi_awaddr(25) => '0', s_axi_awaddr(24) => '0', s_axi_awaddr(23) => '0', s_axi_awaddr(22) => '0', s_axi_awaddr(21) => '0', s_axi_awaddr(20) => '0', s_axi_awaddr(19) => '0', s_axi_awaddr(18) => '0', s_axi_awaddr(17) => '0', s_axi_awaddr(16) => '0', s_axi_awaddr(15) => '0', s_axi_awaddr(14) => '0', s_axi_awaddr(13) => '0', s_axi_awaddr(12) => '0', s_axi_awaddr(11) => '0', s_axi_awaddr(10) => '0', s_axi_awaddr(9) => '0', s_axi_awaddr(8) => '0', s_axi_awaddr(7) => '0', s_axi_awaddr(6) => '0', s_axi_awaddr(5) => '0', s_axi_awaddr(4) => '0', s_axi_awaddr(3) => '0', s_axi_awaddr(2) => '0', s_axi_awaddr(1) => '0', s_axi_awaddr(0) => '0', s_axi_awburst(1) => '0', s_axi_awburst(0) => '0', s_axi_awcache(3) => '0', s_axi_awcache(2) => '0', s_axi_awcache(1) => '0', s_axi_awcache(0) => '0', s_axi_awid(0) => '0', s_axi_awlen(7) => '0', s_axi_awlen(6) => '0', s_axi_awlen(5) => '0', s_axi_awlen(4) => '0', s_axi_awlen(3) => '0', s_axi_awlen(2) => '0', s_axi_awlen(1) => '0', s_axi_awlen(0) => '0', s_axi_awlock(0) => '0', s_axi_awprot(2) => '0', s_axi_awprot(1) => '0', s_axi_awprot(0) => '0', s_axi_awqos(3) => '0', s_axi_awqos(2) => '0', s_axi_awqos(1) => '0', s_axi_awqos(0) => '0', s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3) => '0', s_axi_awregion(2) => '0', s_axi_awregion(1) => '0', s_axi_awregion(0) => '0', s_axi_awsize(2) => '0', s_axi_awsize(1) => '0', s_axi_awsize(0) => '0', s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63) => '0', s_axi_wdata(62) => '0', s_axi_wdata(61) => '0', s_axi_wdata(60) => '0', s_axi_wdata(59) => '0', s_axi_wdata(58) => '0', s_axi_wdata(57) => '0', s_axi_wdata(56) => '0', s_axi_wdata(55) => '0', s_axi_wdata(54) => '0', s_axi_wdata(53) => '0', s_axi_wdata(52) => '0', s_axi_wdata(51) => '0', s_axi_wdata(50) => '0', s_axi_wdata(49) => '0', s_axi_wdata(48) => '0', s_axi_wdata(47) => '0', s_axi_wdata(46) => '0', s_axi_wdata(45) => '0', s_axi_wdata(44) => '0', s_axi_wdata(43) => '0', s_axi_wdata(42) => '0', s_axi_wdata(41) => '0', s_axi_wdata(40) => '0', s_axi_wdata(39) => '0', s_axi_wdata(38) => '0', s_axi_wdata(37) => '0', s_axi_wdata(36) => '0', s_axi_wdata(35) => '0', s_axi_wdata(34) => '0', s_axi_wdata(33) => '0', s_axi_wdata(32) => '0', s_axi_wdata(31) => '0', s_axi_wdata(30) => '0', s_axi_wdata(29) => '0', s_axi_wdata(28) => '0', s_axi_wdata(27) => '0', s_axi_wdata(26) => '0', s_axi_wdata(25) => '0', s_axi_wdata(24) => '0', s_axi_wdata(23) => '0', s_axi_wdata(22) => '0', s_axi_wdata(21) => '0', s_axi_wdata(20) => '0', s_axi_wdata(19) => '0', s_axi_wdata(18) => '0', s_axi_wdata(17) => '0', s_axi_wdata(16) => '0', s_axi_wdata(15) => '0', s_axi_wdata(14) => '0', s_axi_wdata(13) => '0', s_axi_wdata(12) => '0', s_axi_wdata(11) => '0', s_axi_wdata(10) => '0', s_axi_wdata(9) => '0', s_axi_wdata(8) => '0', s_axi_wdata(7) => '0', s_axi_wdata(6) => '0', s_axi_wdata(5) => '0', s_axi_wdata(4) => '0', s_axi_wdata(3) => '0', s_axi_wdata(2) => '0', s_axi_wdata(1) => '0', s_axi_wdata(0) => '0', s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7) => '0', s_axi_wstrb(6) => '0', s_axi_wstrb(5) => '0', s_axi_wstrb(4) => '0', s_axi_wstrb(3) => '0', s_axi_wstrb(2) => '0', s_axi_wstrb(1) => '0', s_axi_wstrb(0) => '0', s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7) => '0', s_axis_tdata(6) => '0', s_axis_tdata(5) => '0', s_axis_tdata(4) => '0', s_axis_tdata(3) => '0', s_axis_tdata(2) => '0', s_axis_tdata(1) => '0', s_axis_tdata(0) => '0', s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3) => '0', s_axis_tuser(2) => '0', s_axis_tuser(1) => '0', s_axis_tuser(0) => '0', s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => wr_clk, wr_data_count(7 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(7 downto 0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
library ieee; use ieee.std_logic_1164.all; package wishbone_pkg is type t_wishbone_master_out is record dat : std_logic_vector; -- Works properly when field we is declared before dat we : std_logic; end record; end wishbone_pkg; library ieee; use ieee.std_logic_1164.all; use work.wishbone_pkg.all; entity wb_demux_tb is end entity; architecture bench of wb_demux_tb is signal s : t_wishbone_master_out( dat(1 downto 0) ); begin stimulus : process begin wait for 1 ns; s.dat <= "11"; wait for 1 ns; s.dat <= "00"; wait for 1 ns; report "pass"; std.env.finish; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: UMASS DARTMOUTH -- Engineer: Christopher Parks ([email protected]) -- -- Create Date: 13:45:12 04/25/2016 -- Module Name: StallModuleControl - Behavioral -- Target Devices: SPARTAN 3E XC3S500E-4FG320 -- Description: -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity StallModuleControl is Port ( CLK : in STD_LOGIC; BRANCH : in STD_LOGIC; CORRECTION : in STD_LOGIC; STALL : out STD_LOGIC); end StallModuleControl; architecture Behavioral of StallModuleControl is signal NUM_STALL_CYCLES : unsigned(2 downto 0) := "000"; -- Maximum of 4 signal DELAY : unsigned(1 downto 0) := "00"; -- Maximum of 2 signal BRA_CORR : STD_LOGIC_VECTOR(1 downto 0) := "00"; --Decides when STALL changes state begin BRA_CORR <= BRANCH & CORRECTION; process(CLK) -- VARIABLE DELAY : integer := 0; --BRANCH = '1' AND CORRECT = '0' => Delay STALL 2 CLK_cylces begin IF(RISING_EDGE(CLK)) THEN IF((NUM_STALL_CYCLES >0) AND (DELAY > 0)) THEN STALL <= '0'; DELAY <= DELAY-1; ELSIF((NUM_STALL_CYCLES > 0) AND (DELAY = 0)) THEN STALL <= '1'; NUM_STALL_CYCLES <= NUM_STALL_CYCLES - 1; ELSE STALL <= '0'; END IF; case BRA_CORR is when "11" => DELAY <="00"; NUM_STALL_CYCLES <= "100"; when "10" => DELAY <= "10"; NUM_STALL_CYCLES <= "001"; -- when "01" => NUM_STALL_CYCLES <= "100"; -- when "00" => DELAY := 2; -- NUM_STALL_CYCLES <= "001"; when OTHERS => DELAY <= "00"; NUM_STALL_CYCLES <= "000"; end case; -- IF(BRA_CORR = "11") then -- ACTUAL = '1', GUESS = '1' -- DELAY <= "00"; -- NUM_STALL_CYCLES <= "001"; -- Stall for 1 CLK cycle -- ELSIF(BRA_CORR = "10") then -- ACTUAL = '1', GUESS = '0' OR ACTUAL = '0', GUESS = '1' -- DELAY <= "10"; -- NUM_STALL_CYCLES <= "100"; -- Stall for 4 CLK cycles -- ELSE -- DELAY <= "00"; -- NUM_STALL_CYCLES <= "000"; -- END IF; -- IF((BRANCH = '1') AND (CORRECTION = '0')) then -- ACTUAL = '1', GUESS = '1' -- NUM_STALL_CYCLES <= "001"; -- Stall for 1 CLK cycle -- ELSIF(CORRECTION = '1') then -- ACTUAL = '1', GUESS = '0' OR ACTUAL = '0', GUESS = '1' -- NUM_STALL_CYCLES <= "100"; -- Stall for 4 CLK cycles -- END IF; END IF; end process; end Behavioral;
-- $Id: sys_tst_serloop1_n3.vhd 441 2011-12-20 17:01:16Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: sys_tst_serloop1_n3 - syn -- Description: Tester serial link for nexys3 (serport_1clock case) -- -- Dependencies: genlib/clkdivce -- bpgen/bp_rs232_2l4l_iob -- bpgen/sn_humanio -- tst_serloop_hiomap -- vlib/serport/serport_1clock -- tst_serloop -- vlib/nxcramlib/nx_cram_dummy -- -- Test bench: - -- -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2011-12-11 438 13.1 O40d xc6slx16-2 419 650 32 221 t 7.7 -- -- Revision History: -- Date Rev Version Comment -- 2011-12-11 438 1.0 Initial version (derived from sys_tst_serloop_n3) ------------------------------------------------------------------------------ -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.xlib.all; use work.genlib.all; use work.bpgenlib.all; use work.tst_serlooplib.all; use work.serport.all; use work.nxcramlib.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity sys_tst_serloop1_n3 is -- top level -- implements nexys3_fusp_aif port ( I_CLK100 : in slbit; -- 100 MHz clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) I_SWI : in slv8; -- n3 switches I_BTN : in slv5; -- n3 buttons O_LED : out slv8; -- n3 leds O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16; -- cram: data lines O_PPCM_CE_N : out slbit; -- ppcm: ... O_PPCM_RST_N : out slbit; -- ppcm: ... O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n I_FUSP_RXD : in slbit; -- fusp: rs232 rx O_FUSP_TXD : out slbit -- fusp: rs232 tx ); end sys_tst_serloop1_n3; architecture syn of sys_tst_serloop1_n3 is signal CLK : slbit := '0'; signal RESET : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RXD : slbit := '0'; signal TXD : slbit := '0'; signal CTS_N : slbit := '0'; signal RTS_N : slbit := '0'; signal SWI : slv8 := (others=>'0'); signal BTN : slv5 := (others=>'0'); signal LED : slv8 := (others=>'0'); signal DSP_DAT : slv16 := (others=>'0'); signal DSP_DP : slv4 := (others=>'0'); signal HIO_CNTL : hio_cntl_type := hio_cntl_init; signal HIO_STAT : hio_stat_type := hio_stat_init; signal RXDATA : slv8 := (others=>'0'); signal RXVAL : slbit := '0'; signal RXHOLD : slbit := '0'; signal TXDATA : slv8 := (others=>'0'); signal TXENA : slbit := '0'; signal TXBUSY : slbit := '0'; signal SER_MONI : serport_moni_type := serport_moni_init; begin CLK <= I_CLK100; CLKDIV : clkdivce generic map ( CDUWIDTH => 8, USECDIV => sys_conf_clkdiv_usecdiv, -- syn: 100 sim: 20 MSECDIV => sys_conf_clkdiv_msecdiv) -- syn: 1000 sim: 5 port map ( CLK => CLK, CE_USEC => open, CE_MSEC => CE_MSEC ); HIO : sn_humanio generic map ( BWIDTH => 5, DEBOUNCE => sys_conf_hio_debounce) port map ( CLK => CLK, RESET => '0', CE_MSEC => CE_MSEC, SWI => SWI, BTN => BTN, LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => O_LED, O_ANO_N => O_ANO_N, O_SEG_N => O_SEG_N ); RESET <= BTN(0); -- BTN(0) will reset tester !! HIOMAP : tst_serloop_hiomap port map ( CLK => CLK, RESET => RESET, HIO_CNTL => HIO_CNTL, HIO_STAT => HIO_STAT, SER_MONI => SER_MONI, SWI => SWI, BTN => BTN(3 downto 0), LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP ); IOB_RS232 : bp_rs232_2l4l_iob port map ( CLK => CLK, RESET => '0', SEL => SWI(0), -- port selection RXD => RXD, TXD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, I_RXD0 => I_RXD, O_TXD0 => O_TXD, I_RXD1 => I_FUSP_RXD, O_TXD1 => O_FUSP_TXD, I_CTS1_N => I_FUSP_CTS_N, O_RTS1_N => O_FUSP_RTS_N ); SERPORT : serport_1clock generic map ( CDWIDTH => 15, CDINIT => sys_conf_uart_cdinit, RXFAWIDTH => 5, TXFAWIDTH => 5) port map ( CLK => CLK, CE_MSEC => CE_MSEC, RESET => RESET, ENAXON => HIO_CNTL.enaxon, ENAESC => HIO_CNTL.enaesc, RXDATA => RXDATA, RXVAL => RXVAL, RXHOLD => RXHOLD, TXDATA => TXDATA, TXENA => TXENA, TXBUSY => TXBUSY, MONI => SER_MONI, RXSD => RXD, TXSD => TXD, RXRTS_N => RTS_N, TXCTS_N => CTS_N ); TESTER : tst_serloop port map ( CLK => CLK, RESET => RESET, CE_MSEC => CE_MSEC, HIO_CNTL => HIO_CNTL, HIO_STAT => HIO_STAT, SER_MONI => SER_MONI, RXDATA => RXDATA, RXVAL => RXVAL, RXHOLD => RXHOLD, TXDATA => TXDATA, TXENA => TXENA, TXBUSY => TXBUSY ); SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy port map ( O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CLK => O_MEM_CLK, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled O_PPCM_RST_N <= '1'; -- end syn;
------------------------------------------------------------------------------- -- Title : Clock -- Project : ------------------------------------------------------------------------------- -- File : disp_sr_tb.vhd -- Author : Daniel Sun <[email protected]> -- Company : -- Created : 2016-05-15 -- Last update: 2016-05-15 -- Platform : -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: Disp shift register test bench ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-05-15 1.0 dcsun88osh Created ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity disp_sr_tb is end disp_sr_tb; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; library work; use work.tb_pkg.all; architecture STRUCTURE of disp_sr_tb is component disp_sr port ( rst_n : in std_logic; clk : in std_logic; tsc_1pps : in std_logic; tsc_1ppms : in std_logic; tsc_1ppus : in std_logic; disp_data : in std_logic_vector(255 downto 0); disp_sclk : OUT std_logic; disp_lat : OUT std_logic; disp_sin : OUT std_logic ); end component; SIGNAL rst_n : std_logic; SIGNAL clk : std_logic; SIGNAL tsc_1pps : std_logic; SIGNAL tsc_1ppms : std_logic; SIGNAL tsc_1ppus : std_logic; SIGNAL disp_data : std_logic_vector(255 downto 0); SIGNAL disp_sclk : std_logic; SIGNAL disp_lat : std_logic; SIGNAL disp_sin : std_logic; begin disp_sr_i: disp_sr port map ( rst_n => rst_n, clk => clk, tsc_1pps => tsc_1pps, tsc_1ppms => tsc_1ppms, tsc_1ppus => tsc_1ppus, disp_data => disp_data, disp_sclk => disp_sclk, disp_lat => disp_lat, disp_sin => disp_sin ); clk_100MHZ: clk_gen(10 ns, 50, clk); reset: rst_n_gen(1 us, rst_n); process begin tsc_1pps <= '0'; run_clk(clk, 1000); loop tsc_1pps <= '1'; run_clk(clk, 1); tsc_1pps <= '0'; run_clk(clk, 1999999); end loop; end process; process begin tsc_1ppms <= '0'; run_clk(clk, 1000); loop tsc_1ppms <= '1'; run_clk(clk, 1); tsc_1ppms <= '0'; run_clk(clk, 1999); end loop; end process; process begin tsc_1ppus <= '0'; run_clk(clk, 1000); loop tsc_1ppus <= '1'; run_clk(clk, 1); tsc_1ppus <= '0'; run_clk(clk, 1); end loop; end process; process begin disp_data <= (others =>'0'); run_clk(clk, 2000); disp_data <= x"5aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa5"; run_clk(clk, 2000); disp_data <= x"a55555555555555555555555555555555555555555555555555555555555555a"; run_clk(clk, 2000); disp_data <= x"a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5"; run_clk(clk, 2000); disp_data <= x"5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a5a"; run_clk(clk, 2000); wait; end process; end STRUCTURE;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias1: electrical; terminal vdd: electrical; terminal vbias2: electrical; terminal gnd: electrical; terminal vbias3: electrical; terminal vbias4: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; terminal net11: electrical; begin subnet0_subnet0_m1 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in1, S => net6 ); subnet0_subnet0_m2 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in2, S => net6 ); subnet0_subnet0_m3 : entity pmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias1, S => vdd ); subnet0_subnet1_m1 : entity pmos(behave) generic map( L => LBias, W => Wcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => vbias2, S => net1 ); subnet0_subnet2_m1 : entity pmos(behave) generic map( L => LBias, W => Wcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net4, G => vbias2, S => net2 ); subnet0_subnet3_m1 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net3, G => vbias3, S => net7 ); subnet0_subnet3_m2 : entity nmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net7, G => net3, S => gnd ); subnet0_subnet3_m3 : entity nmos(behave) generic map( L => Lcm_3, W => Wcmout_3, scope => private, symmetry_scope => sym_8 ) port map( D => net8, G => net3, S => gnd ); subnet0_subnet3_m4 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net5, G => vbias3, S => net8 ); subnet0_subnet4_m1 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net4, G => vbias3, S => net9 ); subnet0_subnet4_m2 : entity nmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net9, G => net4, S => gnd ); subnet0_subnet4_m3 : entity nmos(behave) generic map( L => Lcm_3, W => Wcmout_3, scope => private, symmetry_scope => sym_8 ) port map( D => net10, G => net4, S => gnd ); subnet0_subnet4_m4 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => out1, G => vbias3, S => net10 ); subnet0_subnet5_m1 : entity pmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net5, G => net5, S => vdd ); subnet0_subnet5_m2 : entity pmos(behave) generic map( L => Lcm_1, W => Wcmout_1, scope => private ) port map( D => out1, G => net5, S => vdd ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net11 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net11, G => vbias4, S => gnd ); end simple;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 27 15:47:02 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0_sim_netlist.vhdl -- Design : system_processing_system7_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_processing_system7_0_0_processing_system7_v5_5_processing_system7 is port ( CAN0_PHY_TX : out STD_LOGIC; CAN0_PHY_RX : in STD_LOGIC; CAN1_PHY_TX : out STD_LOGIC; CAN1_PHY_RX : in STD_LOGIC; ENET0_GMII_TX_EN : out STD_LOGIC; ENET0_GMII_TX_ER : out STD_LOGIC; ENET0_MDIO_MDC : out STD_LOGIC; ENET0_MDIO_O : out STD_LOGIC; ENET0_MDIO_T : out STD_LOGIC; ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET0_GMII_COL : in STD_LOGIC; ENET0_GMII_CRS : in STD_LOGIC; ENET0_GMII_RX_CLK : in STD_LOGIC; ENET0_GMII_RX_DV : in STD_LOGIC; ENET0_GMII_RX_ER : in STD_LOGIC; ENET0_GMII_TX_CLK : in STD_LOGIC; ENET0_MDIO_I : in STD_LOGIC; ENET0_EXT_INTIN : in STD_LOGIC; ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_TX_EN : out STD_LOGIC; ENET1_GMII_TX_ER : out STD_LOGIC; ENET1_MDIO_MDC : out STD_LOGIC; ENET1_MDIO_O : out STD_LOGIC; ENET1_MDIO_T : out STD_LOGIC; ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET1_SOF_RX : out STD_LOGIC; ENET1_SOF_TX : out STD_LOGIC; ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_COL : in STD_LOGIC; ENET1_GMII_CRS : in STD_LOGIC; ENET1_GMII_RX_CLK : in STD_LOGIC; ENET1_GMII_RX_DV : in STD_LOGIC; ENET1_GMII_RX_ER : in STD_LOGIC; ENET1_GMII_TX_CLK : in STD_LOGIC; ENET1_MDIO_I : in STD_LOGIC; ENET1_EXT_INTIN : in STD_LOGIC; ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 ); I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; PJTAG_TCK : in STD_LOGIC; PJTAG_TMS : in STD_LOGIC; PJTAG_TDI : in STD_LOGIC; PJTAG_TDO : out STD_LOGIC; SDIO0_CLK : out STD_LOGIC; SDIO0_CLK_FB : in STD_LOGIC; SDIO0_CMD_O : out STD_LOGIC; SDIO0_CMD_I : in STD_LOGIC; SDIO0_CMD_T : out STD_LOGIC; SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_LED : out STD_LOGIC; SDIO0_CDN : in STD_LOGIC; SDIO0_WP : in STD_LOGIC; SDIO0_BUSPOW : out STD_LOGIC; SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SDIO1_CLK : out STD_LOGIC; SDIO1_CLK_FB : in STD_LOGIC; SDIO1_CMD_O : out STD_LOGIC; SDIO1_CMD_I : in STD_LOGIC; SDIO1_CMD_T : out STD_LOGIC; SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_LED : out STD_LOGIC; SDIO1_CDN : in STD_LOGIC; SDIO1_WP : in STD_LOGIC; SDIO1_BUSPOW : out STD_LOGIC; SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SPI0_SCLK_I : in STD_LOGIC; SPI0_SCLK_O : out STD_LOGIC; SPI0_SCLK_T : out STD_LOGIC; SPI0_MOSI_I : in STD_LOGIC; SPI0_MOSI_O : out STD_LOGIC; SPI0_MOSI_T : out STD_LOGIC; SPI0_MISO_I : in STD_LOGIC; SPI0_MISO_O : out STD_LOGIC; SPI0_MISO_T : out STD_LOGIC; SPI0_SS_I : in STD_LOGIC; SPI0_SS_O : out STD_LOGIC; SPI0_SS1_O : out STD_LOGIC; SPI0_SS2_O : out STD_LOGIC; SPI0_SS_T : out STD_LOGIC; SPI1_SCLK_I : in STD_LOGIC; SPI1_SCLK_O : out STD_LOGIC; SPI1_SCLK_T : out STD_LOGIC; SPI1_MOSI_I : in STD_LOGIC; SPI1_MOSI_O : out STD_LOGIC; SPI1_MOSI_T : out STD_LOGIC; SPI1_MISO_I : in STD_LOGIC; SPI1_MISO_O : out STD_LOGIC; SPI1_MISO_T : out STD_LOGIC; SPI1_SS_I : in STD_LOGIC; SPI1_SS_O : out STD_LOGIC; SPI1_SS1_O : out STD_LOGIC; SPI1_SS2_O : out STD_LOGIC; SPI1_SS_T : out STD_LOGIC; UART0_DTRN : out STD_LOGIC; UART0_RTSN : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_CTSN : in STD_LOGIC; UART0_DCDN : in STD_LOGIC; UART0_DSRN : in STD_LOGIC; UART0_RIN : in STD_LOGIC; UART0_RX : in STD_LOGIC; UART1_DTRN : out STD_LOGIC; UART1_RTSN : out STD_LOGIC; UART1_TX : out STD_LOGIC; UART1_CTSN : in STD_LOGIC; UART1_DCDN : in STD_LOGIC; UART1_DSRN : in STD_LOGIC; UART1_RIN : in STD_LOGIC; UART1_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; TTC0_CLK0_IN : in STD_LOGIC; TTC0_CLK1_IN : in STD_LOGIC; TTC0_CLK2_IN : in STD_LOGIC; TTC1_WAVE0_OUT : out STD_LOGIC; TTC1_WAVE1_OUT : out STD_LOGIC; TTC1_WAVE2_OUT : out STD_LOGIC; TTC1_CLK0_IN : in STD_LOGIC; TTC1_CLK1_IN : in STD_LOGIC; TTC1_CLK2_IN : in STD_LOGIC; WDT_CLK_IN : in STD_LOGIC; WDT_RST_OUT : out STD_LOGIC; TRACE_CLK : in STD_LOGIC; TRACE_CTL : out STD_LOGIC; TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 ); TRACE_CLK_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB1_VBUS_PWRSELECT : out STD_LOGIC; USB1_VBUS_PWRFAULT : in STD_LOGIC; SRAM_INTIN : in STD_LOGIC; M_AXI_GP0_ARESETN : out STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARESETN : out STD_LOGIC; M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARESETN : out STD_LOGIC; S_AXI_GP0_ARREADY : out STD_LOGIC; S_AXI_GP0_AWREADY : out STD_LOGIC; S_AXI_GP0_BVALID : out STD_LOGIC; S_AXI_GP0_RLAST : out STD_LOGIC; S_AXI_GP0_RVALID : out STD_LOGIC; S_AXI_GP0_WREADY : out STD_LOGIC; S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_ACLK : in STD_LOGIC; S_AXI_GP0_ARVALID : in STD_LOGIC; S_AXI_GP0_AWVALID : in STD_LOGIC; S_AXI_GP0_BREADY : in STD_LOGIC; S_AXI_GP0_RREADY : in STD_LOGIC; S_AXI_GP0_WLAST : in STD_LOGIC; S_AXI_GP0_WVALID : in STD_LOGIC; S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ARESETN : out STD_LOGIC; S_AXI_GP1_ARREADY : out STD_LOGIC; S_AXI_GP1_AWREADY : out STD_LOGIC; S_AXI_GP1_BVALID : out STD_LOGIC; S_AXI_GP1_RLAST : out STD_LOGIC; S_AXI_GP1_RVALID : out STD_LOGIC; S_AXI_GP1_WREADY : out STD_LOGIC; S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ACLK : in STD_LOGIC; S_AXI_GP1_ARVALID : in STD_LOGIC; S_AXI_GP1_AWVALID : in STD_LOGIC; S_AXI_GP1_BREADY : in STD_LOGIC; S_AXI_GP1_RREADY : in STD_LOGIC; S_AXI_GP1_WLAST : in STD_LOGIC; S_AXI_GP1_WVALID : in STD_LOGIC; S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_ACP_ARESETN : out STD_LOGIC; S_AXI_ACP_ARREADY : out STD_LOGIC; S_AXI_ACP_AWREADY : out STD_LOGIC; S_AXI_ACP_BVALID : out STD_LOGIC; S_AXI_ACP_RLAST : out STD_LOGIC; S_AXI_ACP_RVALID : out STD_LOGIC; S_AXI_ACP_WREADY : out STD_LOGIC; S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_ACLK : in STD_LOGIC; S_AXI_ACP_ARVALID : in STD_LOGIC; S_AXI_ACP_AWVALID : in STD_LOGIC; S_AXI_ACP_BREADY : in STD_LOGIC; S_AXI_ACP_RREADY : in STD_LOGIC; S_AXI_ACP_WLAST : in STD_LOGIC; S_AXI_ACP_WVALID : in STD_LOGIC; S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_ARESETN : out STD_LOGIC; S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_ARESETN : out STD_LOGIC; S_AXI_HP1_ARREADY : out STD_LOGIC; S_AXI_HP1_AWREADY : out STD_LOGIC; S_AXI_HP1_BVALID : out STD_LOGIC; S_AXI_HP1_RLAST : out STD_LOGIC; S_AXI_HP1_RVALID : out STD_LOGIC; S_AXI_HP1_WREADY : out STD_LOGIC; S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_ACLK : in STD_LOGIC; S_AXI_HP1_ARVALID : in STD_LOGIC; S_AXI_HP1_AWVALID : in STD_LOGIC; S_AXI_HP1_BREADY : in STD_LOGIC; S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_RREADY : in STD_LOGIC; S_AXI_HP1_WLAST : in STD_LOGIC; S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_WVALID : in STD_LOGIC; S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_ARESETN : out STD_LOGIC; S_AXI_HP2_ARREADY : out STD_LOGIC; S_AXI_HP2_AWREADY : out STD_LOGIC; S_AXI_HP2_BVALID : out STD_LOGIC; S_AXI_HP2_RLAST : out STD_LOGIC; S_AXI_HP2_RVALID : out STD_LOGIC; S_AXI_HP2_WREADY : out STD_LOGIC; S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_ACLK : in STD_LOGIC; S_AXI_HP2_ARVALID : in STD_LOGIC; S_AXI_HP2_AWVALID : in STD_LOGIC; S_AXI_HP2_BREADY : in STD_LOGIC; S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_RREADY : in STD_LOGIC; S_AXI_HP2_WLAST : in STD_LOGIC; S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_WVALID : in STD_LOGIC; S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_ARESETN : out STD_LOGIC; S_AXI_HP3_ARREADY : out STD_LOGIC; S_AXI_HP3_AWREADY : out STD_LOGIC; S_AXI_HP3_BVALID : out STD_LOGIC; S_AXI_HP3_RLAST : out STD_LOGIC; S_AXI_HP3_RVALID : out STD_LOGIC; S_AXI_HP3_WREADY : out STD_LOGIC; S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_ACLK : in STD_LOGIC; S_AXI_HP3_ARVALID : in STD_LOGIC; S_AXI_HP3_AWVALID : in STD_LOGIC; S_AXI_HP3_BREADY : in STD_LOGIC; S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_RREADY : in STD_LOGIC; S_AXI_HP3_WLAST : in STD_LOGIC; S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_WVALID : in STD_LOGIC; S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_P2F_DMAC_ABORT : out STD_LOGIC; IRQ_P2F_DMAC0 : out STD_LOGIC; IRQ_P2F_DMAC1 : out STD_LOGIC; IRQ_P2F_DMAC2 : out STD_LOGIC; IRQ_P2F_DMAC3 : out STD_LOGIC; IRQ_P2F_DMAC4 : out STD_LOGIC; IRQ_P2F_DMAC5 : out STD_LOGIC; IRQ_P2F_DMAC6 : out STD_LOGIC; IRQ_P2F_DMAC7 : out STD_LOGIC; IRQ_P2F_SMC : out STD_LOGIC; IRQ_P2F_QSPI : out STD_LOGIC; IRQ_P2F_CTI : out STD_LOGIC; IRQ_P2F_GPIO : out STD_LOGIC; IRQ_P2F_USB0 : out STD_LOGIC; IRQ_P2F_ENET0 : out STD_LOGIC; IRQ_P2F_ENET_WAKE0 : out STD_LOGIC; IRQ_P2F_SDIO0 : out STD_LOGIC; IRQ_P2F_I2C0 : out STD_LOGIC; IRQ_P2F_SPI0 : out STD_LOGIC; IRQ_P2F_UART0 : out STD_LOGIC; IRQ_P2F_CAN0 : out STD_LOGIC; IRQ_P2F_USB1 : out STD_LOGIC; IRQ_P2F_ENET1 : out STD_LOGIC; IRQ_P2F_ENET_WAKE1 : out STD_LOGIC; IRQ_P2F_SDIO1 : out STD_LOGIC; IRQ_P2F_I2C1 : out STD_LOGIC; IRQ_P2F_SPI1 : out STD_LOGIC; IRQ_P2F_UART1 : out STD_LOGIC; IRQ_P2F_CAN1 : out STD_LOGIC; IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 ); Core0_nFIQ : in STD_LOGIC; Core0_nIRQ : in STD_LOGIC; Core1_nFIQ : in STD_LOGIC; Core1_nIRQ : in STD_LOGIC; DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA0_DAVALID : out STD_LOGIC; DMA0_DRREADY : out STD_LOGIC; DMA0_RSTN : out STD_LOGIC; DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DAVALID : out STD_LOGIC; DMA1_DRREADY : out STD_LOGIC; DMA1_RSTN : out STD_LOGIC; DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DAVALID : out STD_LOGIC; DMA2_DRREADY : out STD_LOGIC; DMA2_RSTN : out STD_LOGIC; DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DAVALID : out STD_LOGIC; DMA3_DRREADY : out STD_LOGIC; DMA3_RSTN : out STD_LOGIC; DMA0_ACLK : in STD_LOGIC; DMA0_DAREADY : in STD_LOGIC; DMA0_DRLAST : in STD_LOGIC; DMA0_DRVALID : in STD_LOGIC; DMA1_ACLK : in STD_LOGIC; DMA1_DAREADY : in STD_LOGIC; DMA1_DRLAST : in STD_LOGIC; DMA1_DRVALID : in STD_LOGIC; DMA2_ACLK : in STD_LOGIC; DMA2_DAREADY : in STD_LOGIC; DMA2_DRLAST : in STD_LOGIC; DMA2_DRVALID : in STD_LOGIC; DMA3_ACLK : in STD_LOGIC; DMA3_DAREADY : in STD_LOGIC; DMA3_DRLAST : in STD_LOGIC; DMA3_DRVALID : in STD_LOGIC; DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK3 : out STD_LOGIC; FCLK_CLK2 : out STD_LOGIC; FCLK_CLK1 : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_CLKTRIG3_N : in STD_LOGIC; FCLK_CLKTRIG2_N : in STD_LOGIC; FCLK_CLKTRIG1_N : in STD_LOGIC; FCLK_CLKTRIG0_N : in STD_LOGIC; FCLK_RESET3_N : out STD_LOGIC; FCLK_RESET2_N : out STD_LOGIC; FCLK_RESET1_N : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMD_TRACEIN_VALID : in STD_LOGIC; FTMD_TRACEIN_CLK : in STD_LOGIC; FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 ); FTMT_F2P_TRIG_0 : in STD_LOGIC; FTMT_F2P_TRIGACK_0 : out STD_LOGIC; FTMT_F2P_TRIG_1 : in STD_LOGIC; FTMT_F2P_TRIGACK_1 : out STD_LOGIC; FTMT_F2P_TRIG_2 : in STD_LOGIC; FTMT_F2P_TRIGACK_2 : out STD_LOGIC; FTMT_F2P_TRIG_3 : in STD_LOGIC; FTMT_F2P_TRIGACK_3 : out STD_LOGIC; FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMT_P2F_TRIGACK_0 : in STD_LOGIC; FTMT_P2F_TRIG_0 : out STD_LOGIC; FTMT_P2F_TRIGACK_1 : in STD_LOGIC; FTMT_P2F_TRIG_1 : out STD_LOGIC; FTMT_P2F_TRIGACK_2 : in STD_LOGIC; FTMT_P2F_TRIG_2 : out STD_LOGIC; FTMT_P2F_TRIGACK_3 : in STD_LOGIC; FTMT_P2F_TRIG_3 : out STD_LOGIC; FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 ); FPGA_IDLE_N : in STD_LOGIC; EVENT_EVENTO : out STD_LOGIC; EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_EVENTI : in STD_LOGIC; DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 ); MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg400"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "system_processing_system7_0_0.hwdef"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7"; attribute POWER : string; attribute POWER of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={125} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; end system_processing_system7_0_0_processing_system7_v5_5_processing_system7; architecture STRUCTURE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 is signal \<const0>\ : STD_LOGIC; signal ENET0_MDIO_T_n : STD_LOGIC; signal ENET1_MDIO_T_n : STD_LOGIC; signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 ); signal I2C0_SCL_T_n : STD_LOGIC; signal I2C0_SDA_T_n : STD_LOGIC; signal I2C1_SCL_T_n : STD_LOGIC; signal I2C1_SDA_T_n : STD_LOGIC; signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal SDIO0_CMD_T_n : STD_LOGIC; signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SDIO1_CMD_T_n : STD_LOGIC; signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SPI0_MISO_T_n : STD_LOGIC; signal SPI0_MOSI_T_n : STD_LOGIC; signal SPI0_SCLK_T_n : STD_LOGIC; signal SPI0_SS_T_n : STD_LOGIC; signal SPI1_MISO_T_n : STD_LOGIC; signal SPI1_MOSI_T_n : STD_LOGIC; signal SPI1_SCLK_T_n : STD_LOGIC; signal SPI1_SS_T_n : STD_LOGIC; signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true"; signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true"; signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true"; signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true"; signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true"; signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true"; signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true"; signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true"; signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true"; signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true"; signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true"; signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true"; signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true"; signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true"; signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true"; signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true"; signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 ); signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal buffered_DDR_CAS_n : STD_LOGIC; signal buffered_DDR_CKE : STD_LOGIC; signal buffered_DDR_CS_n : STD_LOGIC; signal buffered_DDR_Clk : STD_LOGIC; signal buffered_DDR_Clk_n : STD_LOGIC; signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DRSTB : STD_LOGIC; signal buffered_DDR_ODT : STD_LOGIC; signal buffered_DDR_RAS_n : STD_LOGIC; signal buffered_DDR_VRN : STD_LOGIC; signal buffered_DDR_VRP : STD_LOGIC; signal buffered_DDR_WEB : STD_LOGIC; signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal buffered_PS_CLK : STD_LOGIC; signal buffered_PS_PORB : STD_LOGIC; signal buffered_PS_SRSTB : STD_LOGIC; signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS7_i : label is "PRIMITIVE"; attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; begin ENET0_GMII_TXD(7) <= \<const0>\; ENET0_GMII_TXD(6) <= \<const0>\; ENET0_GMII_TXD(5) <= \<const0>\; ENET0_GMII_TXD(4) <= \<const0>\; ENET0_GMII_TXD(3) <= \<const0>\; ENET0_GMII_TXD(2) <= \<const0>\; ENET0_GMII_TXD(1) <= \<const0>\; ENET0_GMII_TXD(0) <= \<const0>\; ENET0_GMII_TX_EN <= \<const0>\; ENET0_GMII_TX_ER <= \<const0>\; ENET1_GMII_TXD(7) <= \<const0>\; ENET1_GMII_TXD(6) <= \<const0>\; ENET1_GMII_TXD(5) <= \<const0>\; ENET1_GMII_TXD(4) <= \<const0>\; ENET1_GMII_TXD(3) <= \<const0>\; ENET1_GMII_TXD(2) <= \<const0>\; ENET1_GMII_TXD(1) <= \<const0>\; ENET1_GMII_TXD(0) <= \<const0>\; ENET1_GMII_TX_EN <= \<const0>\; ENET1_GMII_TX_ER <= \<const0>\; M_AXI_GP0_ARSIZE(2) <= \<const0>\; M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0); M_AXI_GP0_AWSIZE(2) <= \<const0>\; M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0); M_AXI_GP1_ARSIZE(2) <= \<const0>\; M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0); M_AXI_GP1_AWSIZE(2) <= \<const0>\; M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0); PJTAG_TDO <= \<const0>\; TRACE_CLK_OUT <= \<const0>\; TRACE_CTL <= \TRACE_CTL_PIPE[0]\; TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0); DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CAS_n, PAD => DDR_CAS_n ); DDR_CKE_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CKE, PAD => DDR_CKE ); DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CS_n, PAD => DDR_CS_n ); DDR_Clk_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk, PAD => DDR_Clk ); DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk_n, PAD => DDR_Clk_n ); DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DRSTB, PAD => DDR_DRSTB ); DDR_ODT_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_ODT, PAD => DDR_ODT ); DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_RAS_n, PAD => DDR_RAS_n ); DDR_VRN_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRN, PAD => DDR_VRN ); DDR_VRP_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRP, PAD => DDR_VRP ); DDR_WEB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_WEB, PAD => DDR_WEB ); ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET0_MDIO_T_n, O => ENET0_MDIO_T ); ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET1_MDIO_T_n, O => ENET1_MDIO_T ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(0), O => GPIO_T(0) ); \GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(10), O => GPIO_T(10) ); \GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(11), O => GPIO_T(11) ); \GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(12), O => GPIO_T(12) ); \GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(13), O => GPIO_T(13) ); \GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(14), O => GPIO_T(14) ); \GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(15), O => GPIO_T(15) ); \GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(16), O => GPIO_T(16) ); \GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(17), O => GPIO_T(17) ); \GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(18), O => GPIO_T(18) ); \GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(19), O => GPIO_T(19) ); \GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(1), O => GPIO_T(1) ); \GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(20), O => GPIO_T(20) ); \GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(21), O => GPIO_T(21) ); \GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(22), O => GPIO_T(22) ); \GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(23), O => GPIO_T(23) ); \GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(24), O => GPIO_T(24) ); \GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(25), O => GPIO_T(25) ); \GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(26), O => GPIO_T(26) ); \GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(27), O => GPIO_T(27) ); \GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(28), O => GPIO_T(28) ); \GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(29), O => GPIO_T(29) ); \GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(2), O => GPIO_T(2) ); \GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(30), O => GPIO_T(30) ); \GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(31), O => GPIO_T(31) ); \GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(32), O => GPIO_T(32) ); \GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(33), O => GPIO_T(33) ); \GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(34), O => GPIO_T(34) ); \GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(35), O => GPIO_T(35) ); \GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(36), O => GPIO_T(36) ); \GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(37), O => GPIO_T(37) ); \GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(38), O => GPIO_T(38) ); \GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(39), O => GPIO_T(39) ); \GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(3), O => GPIO_T(3) ); \GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(40), O => GPIO_T(40) ); \GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(41), O => GPIO_T(41) ); \GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(42), O => GPIO_T(42) ); \GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(43), O => GPIO_T(43) ); \GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(44), O => GPIO_T(44) ); \GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(45), O => GPIO_T(45) ); \GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(46), O => GPIO_T(46) ); \GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(47), O => GPIO_T(47) ); \GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(48), O => GPIO_T(48) ); \GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(49), O => GPIO_T(49) ); \GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(4), O => GPIO_T(4) ); \GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(50), O => GPIO_T(50) ); \GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(51), O => GPIO_T(51) ); \GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(52), O => GPIO_T(52) ); \GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(53), O => GPIO_T(53) ); \GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(54), O => GPIO_T(54) ); \GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(55), O => GPIO_T(55) ); \GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(56), O => GPIO_T(56) ); \GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(57), O => GPIO_T(57) ); \GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(58), O => GPIO_T(58) ); \GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(59), O => GPIO_T(59) ); \GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(5), O => GPIO_T(5) ); \GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(60), O => GPIO_T(60) ); \GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(61), O => GPIO_T(61) ); \GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(62), O => GPIO_T(62) ); \GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(63), O => GPIO_T(63) ); \GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(6), O => GPIO_T(6) ); \GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(7), O => GPIO_T(7) ); \GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(8), O => GPIO_T(8) ); \GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(9), O => GPIO_T(9) ); I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SCL_T_n, O => I2C0_SCL_T ); I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SDA_T_n, O => I2C0_SDA_T ); I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SCL_T_n, O => I2C1_SCL_T ); I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SDA_T_n, O => I2C1_SDA_T ); PS7_i: unisim.vcomponents.PS7 port map ( DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0), DDRARB(3 downto 0) => DDR_ARB(3 downto 0), DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0), DDRCASB => buffered_DDR_CAS_n, DDRCKE => buffered_DDR_CKE, DDRCKN => buffered_DDR_Clk_n, DDRCKP => buffered_DDR_Clk, DDRCSB => buffered_DDR_CS_n, DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0), DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0), DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0), DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0), DDRDRSTB => buffered_DDR_DRSTB, DDRODT => buffered_DDR_ODT, DDRRASB => buffered_DDR_RAS_n, DDRVRN => buffered_DDR_VRN, DDRVRP => buffered_DDR_VRP, DDRWEB => buffered_DDR_WEB, DMA0ACLK => DMA0_ACLK, DMA0DAREADY => DMA0_DAREADY, DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0), DMA0DAVALID => DMA0_DAVALID, DMA0DRLAST => DMA0_DRLAST, DMA0DRREADY => DMA0_DRREADY, DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0), DMA0DRVALID => DMA0_DRVALID, DMA0RSTN => DMA0_RSTN, DMA1ACLK => DMA1_ACLK, DMA1DAREADY => DMA1_DAREADY, DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0), DMA1DAVALID => DMA1_DAVALID, DMA1DRLAST => DMA1_DRLAST, DMA1DRREADY => DMA1_DRREADY, DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0), DMA1DRVALID => DMA1_DRVALID, DMA1RSTN => DMA1_RSTN, DMA2ACLK => DMA2_ACLK, DMA2DAREADY => DMA2_DAREADY, DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0), DMA2DAVALID => DMA2_DAVALID, DMA2DRLAST => DMA2_DRLAST, DMA2DRREADY => DMA2_DRREADY, DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0), DMA2DRVALID => DMA2_DRVALID, DMA2RSTN => DMA2_RSTN, DMA3ACLK => DMA3_ACLK, DMA3DAREADY => DMA3_DAREADY, DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0), DMA3DAVALID => DMA3_DAVALID, DMA3DRLAST => DMA3_DRLAST, DMA3DRREADY => DMA3_DRREADY, DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0), DMA3DRVALID => DMA3_DRVALID, DMA3RSTN => DMA3_RSTN, EMIOCAN0PHYRX => CAN0_PHY_RX, EMIOCAN0PHYTX => CAN0_PHY_TX, EMIOCAN1PHYRX => CAN1_PHY_RX, EMIOCAN1PHYTX => CAN1_PHY_TX, EMIOENET0EXTINTIN => ENET0_EXT_INTIN, EMIOENET0GMIICOL => '0', EMIOENET0GMIICRS => '0', EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK, EMIOENET0GMIIRXD(7 downto 0) => B"00000000", EMIOENET0GMIIRXDV => '0', EMIOENET0GMIIRXER => '0', EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK, EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0), EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED, EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED, EMIOENET0MDIOI => ENET0_MDIO_I, EMIOENET0MDIOMDC => ENET0_MDIO_MDC, EMIOENET0MDIOO => ENET0_MDIO_O, EMIOENET0MDIOTN => ENET0_MDIO_T_n, EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX, EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX, EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX, EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX, EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX, EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX, EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX, EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX, EMIOENET0SOFRX => ENET0_SOF_RX, EMIOENET0SOFTX => ENET0_SOF_TX, EMIOENET1EXTINTIN => ENET1_EXT_INTIN, EMIOENET1GMIICOL => '0', EMIOENET1GMIICRS => '0', EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK, EMIOENET1GMIIRXD(7 downto 0) => B"00000000", EMIOENET1GMIIRXDV => '0', EMIOENET1GMIIRXER => '0', EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK, EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0), EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED, EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED, EMIOENET1MDIOI => ENET1_MDIO_I, EMIOENET1MDIOMDC => ENET1_MDIO_MDC, EMIOENET1MDIOO => ENET1_MDIO_O, EMIOENET1MDIOTN => ENET1_MDIO_T_n, EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX, EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX, EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX, EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX, EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX, EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX, EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX, EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX, EMIOENET1SOFRX => ENET1_SOF_RX, EMIOENET1SOFTX => ENET1_SOF_TX, EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0), EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0), EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0), EMIOI2C0SCLI => I2C0_SCL_I, EMIOI2C0SCLO => I2C0_SCL_O, EMIOI2C0SCLTN => I2C0_SCL_T_n, EMIOI2C0SDAI => I2C0_SDA_I, EMIOI2C0SDAO => I2C0_SDA_O, EMIOI2C0SDATN => I2C0_SDA_T_n, EMIOI2C1SCLI => I2C1_SCL_I, EMIOI2C1SCLO => I2C1_SCL_O, EMIOI2C1SCLTN => I2C1_SCL_T_n, EMIOI2C1SDAI => I2C1_SDA_I, EMIOI2C1SDAO => I2C1_SDA_O, EMIOI2C1SDATN => I2C1_SDA_T_n, EMIOPJTAGTCK => PJTAG_TCK, EMIOPJTAGTDI => PJTAG_TDI, EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED, EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED, EMIOPJTAGTMS => PJTAG_TMS, EMIOSDIO0BUSPOW => SDIO0_BUSPOW, EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0), EMIOSDIO0CDN => SDIO0_CDN, EMIOSDIO0CLK => SDIO0_CLK, EMIOSDIO0CLKFB => SDIO0_CLK_FB, EMIOSDIO0CMDI => SDIO0_CMD_I, EMIOSDIO0CMDO => SDIO0_CMD_O, EMIOSDIO0CMDTN => SDIO0_CMD_T_n, EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0), EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0), EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0), EMIOSDIO0LED => SDIO0_LED, EMIOSDIO0WP => SDIO0_WP, EMIOSDIO1BUSPOW => SDIO1_BUSPOW, EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0), EMIOSDIO1CDN => SDIO1_CDN, EMIOSDIO1CLK => SDIO1_CLK, EMIOSDIO1CLKFB => SDIO1_CLK_FB, EMIOSDIO1CMDI => SDIO1_CMD_I, EMIOSDIO1CMDO => SDIO1_CMD_O, EMIOSDIO1CMDTN => SDIO1_CMD_T_n, EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0), EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0), EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0), EMIOSDIO1LED => SDIO1_LED, EMIOSDIO1WP => SDIO1_WP, EMIOSPI0MI => SPI0_MISO_I, EMIOSPI0MO => SPI0_MOSI_O, EMIOSPI0MOTN => SPI0_MOSI_T_n, EMIOSPI0SCLKI => SPI0_SCLK_I, EMIOSPI0SCLKO => SPI0_SCLK_O, EMIOSPI0SCLKTN => SPI0_SCLK_T_n, EMIOSPI0SI => SPI0_MOSI_I, EMIOSPI0SO => SPI0_MISO_O, EMIOSPI0SSIN => SPI0_SS_I, EMIOSPI0SSNTN => SPI0_SS_T_n, EMIOSPI0SSON(2) => SPI0_SS2_O, EMIOSPI0SSON(1) => SPI0_SS1_O, EMIOSPI0SSON(0) => SPI0_SS_O, EMIOSPI0STN => SPI0_MISO_T_n, EMIOSPI1MI => SPI1_MISO_I, EMIOSPI1MO => SPI1_MOSI_O, EMIOSPI1MOTN => SPI1_MOSI_T_n, EMIOSPI1SCLKI => SPI1_SCLK_I, EMIOSPI1SCLKO => SPI1_SCLK_O, EMIOSPI1SCLKTN => SPI1_SCLK_T_n, EMIOSPI1SI => SPI1_MOSI_I, EMIOSPI1SO => SPI1_MISO_O, EMIOSPI1SSIN => SPI1_SS_I, EMIOSPI1SSNTN => SPI1_SS_T_n, EMIOSPI1SSON(2) => SPI1_SS2_O, EMIOSPI1SSON(1) => SPI1_SS1_O, EMIOSPI1SSON(0) => SPI1_SS_O, EMIOSPI1STN => SPI1_MISO_T_n, EMIOSRAMINTIN => SRAM_INTIN, EMIOTRACECLK => TRACE_CLK, EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED, EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0), EMIOTTC0CLKI(2) => TTC0_CLK2_IN, EMIOTTC0CLKI(1) => TTC0_CLK1_IN, EMIOTTC0CLKI(0) => TTC0_CLK0_IN, EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT, EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT, EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT, EMIOTTC1CLKI(2) => TTC1_CLK2_IN, EMIOTTC1CLKI(1) => TTC1_CLK1_IN, EMIOTTC1CLKI(0) => TTC1_CLK0_IN, EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT, EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT, EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT, EMIOUART0CTSN => UART0_CTSN, EMIOUART0DCDN => UART0_DCDN, EMIOUART0DSRN => UART0_DSRN, EMIOUART0DTRN => UART0_DTRN, EMIOUART0RIN => UART0_RIN, EMIOUART0RTSN => UART0_RTSN, EMIOUART0RX => UART0_RX, EMIOUART0TX => UART0_TX, EMIOUART1CTSN => UART1_CTSN, EMIOUART1DCDN => UART1_DCDN, EMIOUART1DSRN => UART1_DSRN, EMIOUART1DTRN => UART1_DTRN, EMIOUART1RIN => UART1_RIN, EMIOUART1RTSN => UART1_RTSN, EMIOUART1RX => UART1_RX, EMIOUART1TX => UART1_TX, EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT, EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT, EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0), EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT, EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT, EMIOWDTCLKI => WDT_CLK_IN, EMIOWDTRSTO => WDT_RST_OUT, EVENTEVENTI => EVENT_EVENTI, EVENTEVENTO => EVENT_EVENTO, EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0), EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0), FCLKCLK(3) => FCLK_CLK3, FCLKCLK(2) => FCLK_CLK2, FCLKCLK(1) => FCLK_CLK1, FCLKCLK(0) => FCLK_CLK_unbuffered(0), FCLKCLKTRIGN(3 downto 0) => B"0000", FCLKRESETN(3) => FCLK_RESET3_N, FCLKRESETN(2) => FCLK_RESET2_N, FCLKRESETN(1) => FCLK_RESET1_N, FCLKRESETN(0) => FCLK_RESET0_N, FPGAIDLEN => FPGA_IDLE_N, FTMDTRACEINATID(3 downto 0) => B"0000", FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK, FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000", FTMDTRACEINVALID => '0', FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0), FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3, FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2, FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1, FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0, FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3, FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2, FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1, FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0, FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0), FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3, FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2, FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1, FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0, FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3, FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2, FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1, FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0, IRQF2P(19) => Core1_nFIQ, IRQF2P(18) => Core0_nFIQ, IRQF2P(17) => Core1_nIRQ, IRQF2P(16) => Core0_nIRQ, IRQF2P(15 downto 1) => B"000000000000000", IRQF2P(0) => IRQ_F2P(0), IRQP2F(28) => IRQ_P2F_DMAC_ABORT, IRQP2F(27) => IRQ_P2F_DMAC7, IRQP2F(26) => IRQ_P2F_DMAC6, IRQP2F(25) => IRQ_P2F_DMAC5, IRQP2F(24) => IRQ_P2F_DMAC4, IRQP2F(23) => IRQ_P2F_DMAC3, IRQP2F(22) => IRQ_P2F_DMAC2, IRQP2F(21) => IRQ_P2F_DMAC1, IRQP2F(20) => IRQ_P2F_DMAC0, IRQP2F(19) => IRQ_P2F_SMC, IRQP2F(18) => IRQ_P2F_QSPI, IRQP2F(17) => IRQ_P2F_CTI, IRQP2F(16) => IRQ_P2F_GPIO, IRQP2F(15) => IRQ_P2F_USB0, IRQP2F(14) => IRQ_P2F_ENET0, IRQP2F(13) => IRQ_P2F_ENET_WAKE0, IRQP2F(12) => IRQ_P2F_SDIO0, IRQP2F(11) => IRQ_P2F_I2C0, IRQP2F(10) => IRQ_P2F_SPI0, IRQP2F(9) => IRQ_P2F_UART0, IRQP2F(8) => IRQ_P2F_CAN0, IRQP2F(7) => IRQ_P2F_USB1, IRQP2F(6) => IRQ_P2F_ENET1, IRQP2F(5) => IRQ_P2F_ENET_WAKE1, IRQP2F(4) => IRQ_P2F_SDIO1, IRQP2F(3) => IRQ_P2F_I2C1, IRQP2F(2) => IRQ_P2F_SPI1, IRQP2F(1) => IRQ_P2F_UART1, IRQP2F(0) => IRQ_P2F_CAN1, MAXIGP0ACLK => M_AXI_GP0_ACLK, MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), MAXIGP0ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), MAXIGP0ARESETN => M_AXI_GP0_ARESETN, MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), MAXIGP0ARREADY => M_AXI_GP0_ARREADY, MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0), MAXIGP0ARVALID => M_AXI_GP0_ARVALID, MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), MAXIGP0AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), MAXIGP0AWREADY => M_AXI_GP0_AWREADY, MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0), MAXIGP0AWVALID => M_AXI_GP0_AWVALID, MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), MAXIGP0BREADY => M_AXI_GP0_BREADY, MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), MAXIGP0BVALID => M_AXI_GP0_BVALID, MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), MAXIGP0RLAST => M_AXI_GP0_RLAST, MAXIGP0RREADY => M_AXI_GP0_RREADY, MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), MAXIGP0RVALID => M_AXI_GP0_RVALID, MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), MAXIGP0WLAST => M_AXI_GP0_WLAST, MAXIGP0WREADY => M_AXI_GP0_WREADY, MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), MAXIGP0WVALID => M_AXI_GP0_WVALID, MAXIGP1ACLK => M_AXI_GP1_ACLK, MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0), MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0), MAXIGP1ARCACHE(3 downto 0) => M_AXI_GP1_ARCACHE(3 downto 0), MAXIGP1ARESETN => M_AXI_GP1_ARESETN, MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0), MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0), MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0), MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0), MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0), MAXIGP1ARREADY => M_AXI_GP1_ARREADY, MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0), MAXIGP1ARVALID => M_AXI_GP1_ARVALID, MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0), MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0), MAXIGP1AWCACHE(3 downto 0) => M_AXI_GP1_AWCACHE(3 downto 0), MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0), MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0), MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0), MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0), MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0), MAXIGP1AWREADY => M_AXI_GP1_AWREADY, MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0), MAXIGP1AWVALID => M_AXI_GP1_AWVALID, MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0), MAXIGP1BREADY => M_AXI_GP1_BREADY, MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0), MAXIGP1BVALID => M_AXI_GP1_BVALID, MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0), MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0), MAXIGP1RLAST => M_AXI_GP1_RLAST, MAXIGP1RREADY => M_AXI_GP1_RREADY, MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0), MAXIGP1RVALID => M_AXI_GP1_RVALID, MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0), MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0), MAXIGP1WLAST => M_AXI_GP1_WLAST, MAXIGP1WREADY => M_AXI_GP1_WREADY, MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0), MAXIGP1WVALID => M_AXI_GP1_WVALID, MIO(53 downto 0) => buffered_MIO(53 downto 0), PSCLK => buffered_PS_CLK, PSPORB => buffered_PS_PORB, PSSRSTB => buffered_PS_SRSTB, SAXIACPACLK => S_AXI_ACP_ACLK, SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0), SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0), SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0), SAXIACPARESETN => S_AXI_ACP_ARESETN, SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0), SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0), SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0), SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0), SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0), SAXIACPARREADY => S_AXI_ACP_ARREADY, SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0), SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0), SAXIACPARVALID => S_AXI_ACP_ARVALID, SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0), SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0), SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0), SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0), SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0), SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0), SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0), SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0), SAXIACPAWREADY => S_AXI_ACP_AWREADY, SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0), SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0), SAXIACPAWVALID => S_AXI_ACP_AWVALID, SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0), SAXIACPBREADY => S_AXI_ACP_BREADY, SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0), SAXIACPBVALID => S_AXI_ACP_BVALID, SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0), SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0), SAXIACPRLAST => S_AXI_ACP_RLAST, SAXIACPRREADY => S_AXI_ACP_RREADY, SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0), SAXIACPRVALID => S_AXI_ACP_RVALID, SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0), SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0), SAXIACPWLAST => S_AXI_ACP_WLAST, SAXIACPWREADY => S_AXI_ACP_WREADY, SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0), SAXIACPWVALID => S_AXI_ACP_WVALID, SAXIGP0ACLK => S_AXI_GP0_ACLK, SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0), SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0), SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0), SAXIGP0ARESETN => S_AXI_GP0_ARESETN, SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0), SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0), SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0), SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0), SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0), SAXIGP0ARREADY => S_AXI_GP0_ARREADY, SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0), SAXIGP0ARVALID => S_AXI_GP0_ARVALID, SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0), SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0), SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0), SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0), SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0), SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0), SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0), SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0), SAXIGP0AWREADY => S_AXI_GP0_AWREADY, SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0), SAXIGP0AWVALID => S_AXI_GP0_AWVALID, SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0), SAXIGP0BREADY => S_AXI_GP0_BREADY, SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0), SAXIGP0BVALID => S_AXI_GP0_BVALID, SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0), SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0), SAXIGP0RLAST => S_AXI_GP0_RLAST, SAXIGP0RREADY => S_AXI_GP0_RREADY, SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0), SAXIGP0RVALID => S_AXI_GP0_RVALID, SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0), SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0), SAXIGP0WLAST => S_AXI_GP0_WLAST, SAXIGP0WREADY => S_AXI_GP0_WREADY, SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0), SAXIGP0WVALID => S_AXI_GP0_WVALID, SAXIGP1ACLK => S_AXI_GP1_ACLK, SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0), SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0), SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0), SAXIGP1ARESETN => S_AXI_GP1_ARESETN, SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0), SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0), SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0), SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0), SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0), SAXIGP1ARREADY => S_AXI_GP1_ARREADY, SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0), SAXIGP1ARVALID => S_AXI_GP1_ARVALID, SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0), SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0), SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0), SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0), SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0), SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0), SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0), SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0), SAXIGP1AWREADY => S_AXI_GP1_AWREADY, SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0), SAXIGP1AWVALID => S_AXI_GP1_AWVALID, SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0), SAXIGP1BREADY => S_AXI_GP1_BREADY, SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0), SAXIGP1BVALID => S_AXI_GP1_BVALID, SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0), SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0), SAXIGP1RLAST => S_AXI_GP1_RLAST, SAXIGP1RREADY => S_AXI_GP1_RREADY, SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0), SAXIGP1RVALID => S_AXI_GP1_RVALID, SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0), SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0), SAXIGP1WLAST => S_AXI_GP1_WLAST, SAXIGP1WREADY => S_AXI_GP1_WREADY, SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0), SAXIGP1WVALID => S_AXI_GP1_WVALID, SAXIHP0ACLK => S_AXI_HP0_ACLK, SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), SAXIHP0ARESETN => S_AXI_HP0_ARESETN, SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), SAXIHP0ARREADY => S_AXI_HP0_ARREADY, SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0), SAXIHP0ARVALID => S_AXI_HP0_ARVALID, SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), SAXIHP0AWREADY => S_AXI_HP0_AWREADY, SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0), SAXIHP0AWVALID => S_AXI_HP0_AWVALID, SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), SAXIHP0BREADY => S_AXI_HP0_BREADY, SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), SAXIHP0BVALID => S_AXI_HP0_BVALID, SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN, SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), SAXIHP0RLAST => S_AXI_HP0_RLAST, SAXIHP0RREADY => S_AXI_HP0_RREADY, SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), SAXIHP0RVALID => S_AXI_HP0_RVALID, SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), SAXIHP0WLAST => S_AXI_HP0_WLAST, SAXIHP0WREADY => S_AXI_HP0_WREADY, SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN, SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), SAXIHP0WVALID => S_AXI_HP0_WVALID, SAXIHP1ACLK => S_AXI_HP1_ACLK, SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0), SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0), SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0), SAXIHP1ARESETN => S_AXI_HP1_ARESETN, SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0), SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0), SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0), SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0), SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0), SAXIHP1ARREADY => S_AXI_HP1_ARREADY, SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0), SAXIHP1ARVALID => S_AXI_HP1_ARVALID, SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0), SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0), SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0), SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0), SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0), SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0), SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0), SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0), SAXIHP1AWREADY => S_AXI_HP1_AWREADY, SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0), SAXIHP1AWVALID => S_AXI_HP1_AWVALID, SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0), SAXIHP1BREADY => S_AXI_HP1_BREADY, SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0), SAXIHP1BVALID => S_AXI_HP1_BVALID, SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0), SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0), SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0), SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN, SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0), SAXIHP1RLAST => S_AXI_HP1_RLAST, SAXIHP1RREADY => S_AXI_HP1_RREADY, SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0), SAXIHP1RVALID => S_AXI_HP1_RVALID, SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0), SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0), SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0), SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0), SAXIHP1WLAST => S_AXI_HP1_WLAST, SAXIHP1WREADY => S_AXI_HP1_WREADY, SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN, SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0), SAXIHP1WVALID => S_AXI_HP1_WVALID, SAXIHP2ACLK => S_AXI_HP2_ACLK, SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0), SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0), SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0), SAXIHP2ARESETN => S_AXI_HP2_ARESETN, SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0), SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0), SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0), SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0), SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0), SAXIHP2ARREADY => S_AXI_HP2_ARREADY, SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0), SAXIHP2ARVALID => S_AXI_HP2_ARVALID, SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0), SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0), SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0), SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0), SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0), SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0), SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0), SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0), SAXIHP2AWREADY => S_AXI_HP2_AWREADY, SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0), SAXIHP2AWVALID => S_AXI_HP2_AWVALID, SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0), SAXIHP2BREADY => S_AXI_HP2_BREADY, SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0), SAXIHP2BVALID => S_AXI_HP2_BVALID, SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0), SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0), SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0), SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN, SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0), SAXIHP2RLAST => S_AXI_HP2_RLAST, SAXIHP2RREADY => S_AXI_HP2_RREADY, SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0), SAXIHP2RVALID => S_AXI_HP2_RVALID, SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0), SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0), SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0), SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0), SAXIHP2WLAST => S_AXI_HP2_WLAST, SAXIHP2WREADY => S_AXI_HP2_WREADY, SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN, SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0), SAXIHP2WVALID => S_AXI_HP2_WVALID, SAXIHP3ACLK => S_AXI_HP3_ACLK, SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0), SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0), SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0), SAXIHP3ARESETN => S_AXI_HP3_ARESETN, SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0), SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0), SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0), SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0), SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0), SAXIHP3ARREADY => S_AXI_HP3_ARREADY, SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0), SAXIHP3ARVALID => S_AXI_HP3_ARVALID, SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0), SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0), SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0), SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0), SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0), SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0), SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0), SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0), SAXIHP3AWREADY => S_AXI_HP3_AWREADY, SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0), SAXIHP3AWVALID => S_AXI_HP3_AWVALID, SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0), SAXIHP3BREADY => S_AXI_HP3_BREADY, SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0), SAXIHP3BVALID => S_AXI_HP3_BVALID, SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0), SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0), SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0), SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN, SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0), SAXIHP3RLAST => S_AXI_HP3_RLAST, SAXIHP3RREADY => S_AXI_HP3_RREADY, SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0), SAXIHP3RVALID => S_AXI_HP3_RVALID, SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0), SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0), SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0), SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0), SAXIHP3WLAST => S_AXI_HP3_WLAST, SAXIHP3WREADY => S_AXI_HP3_WREADY, SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN, SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0), SAXIHP3WVALID => S_AXI_HP3_WVALID ); PS_CLK_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_CLK, PAD => PS_CLK ); PS_PORB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_PORB, PAD => PS_PORB ); PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_SRSTB, PAD => PS_SRSTB ); SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_CMD_T_n, O => SDIO0_CMD_T ); \SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(0), O => SDIO0_DATA_T(0) ); \SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(1), O => SDIO0_DATA_T(1) ); \SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(2), O => SDIO0_DATA_T(2) ); \SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(3), O => SDIO0_DATA_T(3) ); SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_CMD_T_n, O => SDIO1_CMD_T ); \SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(0), O => SDIO1_DATA_T(0) ); \SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(1), O => SDIO1_DATA_T(1) ); \SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(2), O => SDIO1_DATA_T(2) ); \SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(3), O => SDIO1_DATA_T(3) ); SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MISO_T_n, O => SPI0_MISO_T ); SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MOSI_T_n, O => SPI0_MOSI_T ); SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SCLK_T_n, O => SPI0_SCLK_T ); SPI0_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SS_T_n, O => SPI0_SS_T ); SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MISO_T_n, O => SPI1_MISO_T ); SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MOSI_T_n, O => SPI1_MOSI_T ); SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SCLK_T_n, O => SPI1_SCLK_T ); SPI1_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SS_T_n, O => SPI1_SS_T ); \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG port map ( I => FCLK_CLK_unbuffered(0), O => FCLK_CLK0 ); \genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(0), PAD => MIO(0) ); \genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(10), PAD => MIO(10) ); \genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(11), PAD => MIO(11) ); \genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(12), PAD => MIO(12) ); \genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(13), PAD => MIO(13) ); \genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(14), PAD => MIO(14) ); \genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(15), PAD => MIO(15) ); \genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(16), PAD => MIO(16) ); \genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(17), PAD => MIO(17) ); \genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(18), PAD => MIO(18) ); \genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(19), PAD => MIO(19) ); \genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(1), PAD => MIO(1) ); \genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(20), PAD => MIO(20) ); \genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(21), PAD => MIO(21) ); \genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(22), PAD => MIO(22) ); \genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(23), PAD => MIO(23) ); \genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(24), PAD => MIO(24) ); \genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(25), PAD => MIO(25) ); \genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(26), PAD => MIO(26) ); \genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(27), PAD => MIO(27) ); \genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(28), PAD => MIO(28) ); \genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(29), PAD => MIO(29) ); \genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(2), PAD => MIO(2) ); \genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(30), PAD => MIO(30) ); \genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(31), PAD => MIO(31) ); \genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(32), PAD => MIO(32) ); \genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(33), PAD => MIO(33) ); \genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(34), PAD => MIO(34) ); \genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(35), PAD => MIO(35) ); \genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(36), PAD => MIO(36) ); \genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(37), PAD => MIO(37) ); \genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(38), PAD => MIO(38) ); \genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(39), PAD => MIO(39) ); \genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(3), PAD => MIO(3) ); \genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(40), PAD => MIO(40) ); \genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(41), PAD => MIO(41) ); \genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(42), PAD => MIO(42) ); \genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(43), PAD => MIO(43) ); \genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(44), PAD => MIO(44) ); \genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(45), PAD => MIO(45) ); \genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(46), PAD => MIO(46) ); \genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(47), PAD => MIO(47) ); \genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(48), PAD => MIO(48) ); \genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(49), PAD => MIO(49) ); \genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(4), PAD => MIO(4) ); \genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(50), PAD => MIO(50) ); \genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(51), PAD => MIO(51) ); \genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(52), PAD => MIO(52) ); \genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(53), PAD => MIO(53) ); \genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(5), PAD => MIO(5) ); \genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(6), PAD => MIO(6) ); \genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(7), PAD => MIO(7) ); \genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(8), PAD => MIO(8) ); \genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(9), PAD => MIO(9) ); \genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(0), PAD => DDR_BankAddr(0) ); \genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(1), PAD => DDR_BankAddr(1) ); \genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(2), PAD => DDR_BankAddr(2) ); \genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(0), PAD => DDR_Addr(0) ); \genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(10), PAD => DDR_Addr(10) ); \genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(11), PAD => DDR_Addr(11) ); \genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(12), PAD => DDR_Addr(12) ); \genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(13), PAD => DDR_Addr(13) ); \genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(14), PAD => DDR_Addr(14) ); \genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(1), PAD => DDR_Addr(1) ); \genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(2), PAD => DDR_Addr(2) ); \genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(3), PAD => DDR_Addr(3) ); \genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(4), PAD => DDR_Addr(4) ); \genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(5), PAD => DDR_Addr(5) ); \genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(6), PAD => DDR_Addr(6) ); \genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(7), PAD => DDR_Addr(7) ); \genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(8), PAD => DDR_Addr(8) ); \genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(9), PAD => DDR_Addr(9) ); \genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(0), PAD => DDR_DM(0) ); \genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(1), PAD => DDR_DM(1) ); \genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(2), PAD => DDR_DM(2) ); \genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(3), PAD => DDR_DM(3) ); \genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(0), PAD => DDR_DQ(0) ); \genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(10), PAD => DDR_DQ(10) ); \genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(11), PAD => DDR_DQ(11) ); \genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(12), PAD => DDR_DQ(12) ); \genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(13), PAD => DDR_DQ(13) ); \genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(14), PAD => DDR_DQ(14) ); \genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(15), PAD => DDR_DQ(15) ); \genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(16), PAD => DDR_DQ(16) ); \genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(17), PAD => DDR_DQ(17) ); \genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(18), PAD => DDR_DQ(18) ); \genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(19), PAD => DDR_DQ(19) ); \genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(1), PAD => DDR_DQ(1) ); \genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(20), PAD => DDR_DQ(20) ); \genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(21), PAD => DDR_DQ(21) ); \genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(22), PAD => DDR_DQ(22) ); \genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(23), PAD => DDR_DQ(23) ); \genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(24), PAD => DDR_DQ(24) ); \genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(25), PAD => DDR_DQ(25) ); \genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(26), PAD => DDR_DQ(26) ); \genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(27), PAD => DDR_DQ(27) ); \genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(28), PAD => DDR_DQ(28) ); \genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(29), PAD => DDR_DQ(29) ); \genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(2), PAD => DDR_DQ(2) ); \genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(30), PAD => DDR_DQ(30) ); \genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(31), PAD => DDR_DQ(31) ); \genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(3), PAD => DDR_DQ(3) ); \genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(4), PAD => DDR_DQ(4) ); \genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(5), PAD => DDR_DQ(5) ); \genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(6), PAD => DDR_DQ(6) ); \genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(7), PAD => DDR_DQ(7) ); \genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(8), PAD => DDR_DQ(8) ); \genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(9), PAD => DDR_DQ(9) ); \genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(0), PAD => DDR_DQS_n(0) ); \genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(1), PAD => DDR_DQS_n(1) ); \genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(2), PAD => DDR_DQS_n(2) ); \genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(3), PAD => DDR_DQS_n(3) ); \genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(0), PAD => DDR_DQS(0) ); \genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(1), PAD => DDR_DQS(1) ); \genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(2), PAD => DDR_DQS(2) ); \genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(3), PAD => DDR_DQS(3) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[0]\ ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(1) ); i_10: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(1) ); i_11: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(0) ); i_12: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(1) ); i_13: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(0) ); i_14: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(1) ); i_15: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(0) ); i_16: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(1) ); i_17: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(0) ); i_18: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(1) ); i_19: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(0) ); i_2: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(0) ); i_20: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(1) ); i_21: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(0) ); i_22: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(1) ); i_23: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(0) ); i_3: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[7]\ ); i_4: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[6]\ ); i_5: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[5]\ ); i_6: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[4]\ ); i_7: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[3]\ ); i_8: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[2]\ ); i_9: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_processing_system7_0_0 is port ( SDIO0_WP : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_processing_system7_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_processing_system7_0_0 : entity is "system_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_processing_system7_0_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of system_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2016.4"; end system_processing_system7_0_0; architecture STRUCTURE of system_processing_system7_0_0 is signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of inst : label is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of inst : label is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of inst : label is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of inst : label is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of inst : label is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of inst : label is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of inst : label is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of inst : label is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of inst : label is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of inst : label is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 0; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 0; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of inst : label is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of inst : label is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of inst : label is "clg400"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of inst : label is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of inst : label is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of inst : label is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of inst : label is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of inst : label is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of inst : label is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of inst : label is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of inst : label is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of inst : label is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "system_processing_system7_0_0.hwdef"; attribute POWER : string; attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={650} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={525} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={9} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={108.333336} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={HSTL_I_18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={7} ioBank={Vcco_p0} clockFreq={200} usageRate={0.5} /><PLL domain={Processor} vco={1300.000} /><PLL domain={Memory} vco={1050.000} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={125} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin pullup_MIO_0inst: unisim.vcomponents.PULLUP port map ( O => MIO(0) ); pullup_MIO_9inst: unisim.vcomponents.PULLUP port map ( O => MIO(9) ); pullup_MIO_10inst: unisim.vcomponents.PULLUP port map ( O => MIO(10) ); pullup_MIO_11inst: unisim.vcomponents.PULLUP port map ( O => MIO(11) ); pullup_MIO_12inst: unisim.vcomponents.PULLUP port map ( O => MIO(12) ); pullup_MIO_13inst: unisim.vcomponents.PULLUP port map ( O => MIO(13) ); pullup_MIO_14inst: unisim.vcomponents.PULLUP port map ( O => MIO(14) ); pullup_MIO_15inst: unisim.vcomponents.PULLUP port map ( O => MIO(15) ); pullup_MIO_46inst: unisim.vcomponents.PULLUP port map ( O => MIO(46) ); inst: entity work.system_processing_system7_0_0_processing_system7_v5_5_processing_system7 port map ( CAN0_PHY_RX => '0', CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED, CAN1_PHY_RX => '0', CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED, Core0_nFIQ => '0', Core0_nIRQ => '0', Core1_nFIQ => '0', Core1_nIRQ => '0', DDR_ARB(3 downto 0) => B"0000", DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0), DDR_CAS_n => DDR_CAS_n, DDR_CKE => DDR_CKE, DDR_CS_n => DDR_CS_n, DDR_Clk => DDR_Clk, DDR_Clk_n => DDR_Clk_n, DDR_DM(3 downto 0) => DDR_DM(3 downto 0), DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0), DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0), DDR_DRSTB => DDR_DRSTB, DDR_ODT => DDR_ODT, DDR_RAS_n => DDR_RAS_n, DDR_VRN => DDR_VRN, DDR_VRP => DDR_VRP, DDR_WEB => DDR_WEB, DMA0_ACLK => '0', DMA0_DAREADY => '0', DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0), DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED, DMA0_DRLAST => '0', DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED, DMA0_DRTYPE(1 downto 0) => B"00", DMA0_DRVALID => '0', DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED, DMA1_ACLK => '0', DMA1_DAREADY => '0', DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0), DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED, DMA1_DRLAST => '0', DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED, DMA1_DRTYPE(1 downto 0) => B"00", DMA1_DRVALID => '0', DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED, DMA2_ACLK => '0', DMA2_DAREADY => '0', DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0), DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED, DMA2_DRLAST => '0', DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED, DMA2_DRTYPE(1 downto 0) => B"00", DMA2_DRVALID => '0', DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED, DMA3_ACLK => '0', DMA3_DAREADY => '0', DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0), DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED, DMA3_DRLAST => '0', DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED, DMA3_DRTYPE(1 downto 0) => B"00", DMA3_DRVALID => '0', DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED, ENET0_EXT_INTIN => '0', ENET0_GMII_COL => '0', ENET0_GMII_CRS => '0', ENET0_GMII_RXD(7 downto 0) => B"00000000", ENET0_GMII_RX_CLK => '0', ENET0_GMII_RX_DV => '0', ENET0_GMII_RX_ER => '0', ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0), ENET0_GMII_TX_CLK => '0', ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED, ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED, ENET0_MDIO_I => '0', ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED, ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED, ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED, ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED, ENET1_EXT_INTIN => '0', ENET1_GMII_COL => '0', ENET1_GMII_CRS => '0', ENET1_GMII_RXD(7 downto 0) => B"00000000", ENET1_GMII_RX_CLK => '0', ENET1_GMII_RX_DV => '0', ENET1_GMII_RX_ER => '0', ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0), ENET1_GMII_TX_CLK => '0', ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED, ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED, ENET1_MDIO_I => '0', ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED, ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED, ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED, ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED, ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED, ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED, EVENT_EVENTI => '0', EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED, EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0), EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0), FCLK_CLK0 => FCLK_CLK0, FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED, FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED, FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED, FCLK_CLKTRIG0_N => '0', FCLK_CLKTRIG1_N => '0', FCLK_CLKTRIG2_N => '0', FCLK_CLKTRIG3_N => '0', FCLK_RESET0_N => FCLK_RESET0_N, FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED, FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED, FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED, FPGA_IDLE_N => '0', FTMD_TRACEIN_ATID(3 downto 0) => B"0000", FTMD_TRACEIN_CLK => '0', FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000", FTMD_TRACEIN_VALID => '0', FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000", FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED, FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED, FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED, FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED, FTMT_F2P_TRIG_0 => '0', FTMT_F2P_TRIG_1 => '0', FTMT_F2P_TRIG_2 => '0', FTMT_F2P_TRIG_3 => '0', FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0), FTMT_P2F_TRIGACK_0 => '0', FTMT_P2F_TRIGACK_1 => '0', FTMT_P2F_TRIGACK_2 => '0', FTMT_P2F_TRIGACK_3 => '0', FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED, FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED, FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED, FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED, GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0), GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0), I2C0_SCL_I => '0', I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED, I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED, I2C0_SDA_I => '0', I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED, I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED, I2C1_SCL_I => '0', I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED, I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED, I2C1_SDA_I => '0', I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED, I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED, IRQ_F2P(0) => '0', IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED, IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED, IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED, IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED, IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED, IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED, IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED, IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED, IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED, IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED, IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED, IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED, IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED, IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED, IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED, IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED, IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED, IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED, IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED, IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED, IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED, IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED, IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED, IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED, IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED, IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED, IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED, IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED, IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED, MIO(53 downto 0) => MIO(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK, M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED, M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => '0', M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED, M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_ARREADY => '0', M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED, M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_AWREADY => '0', M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED, M_AXI_GP1_BID(11 downto 0) => B"000000000000", M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED, M_AXI_GP1_BRESP(1 downto 0) => B"00", M_AXI_GP1_BVALID => '0', M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP1_RID(11 downto 0) => B"000000000000", M_AXI_GP1_RLAST => '0', M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED, M_AXI_GP1_RRESP(1 downto 0) => B"00", M_AXI_GP1_RVALID => '0', M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0), M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED, M_AXI_GP1_WREADY => '0', M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED, PJTAG_TCK => '0', PJTAG_TDI => '0', PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED, PJTAG_TMS => '0', PS_CLK => PS_CLK, PS_PORB => PS_PORB, PS_SRSTB => PS_SRSTB, SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED, SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0), SDIO0_CDN => '0', SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED, SDIO0_CLK_FB => '0', SDIO0_CMD_I => '0', SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED, SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED, SDIO0_DATA_I(3 downto 0) => B"0000", SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0), SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0), SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED, SDIO0_WP => SDIO0_WP, SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED, SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0), SDIO1_CDN => '0', SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED, SDIO1_CLK_FB => '0', SDIO1_CMD_I => '0', SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED, SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED, SDIO1_DATA_I(3 downto 0) => B"0000", SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0), SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0), SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED, SDIO1_WP => '0', SPI0_MISO_I => '0', SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED, SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED, SPI0_MOSI_I => '0', SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED, SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED, SPI0_SCLK_I => '0', SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED, SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED, SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED, SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED, SPI0_SS_I => '0', SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED, SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED, SPI1_MISO_I => '0', SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED, SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED, SPI1_MOSI_I => '0', SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED, SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED, SPI1_SCLK_I => '0', SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED, SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED, SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED, SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED, SPI1_SS_I => '0', SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED, SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED, SRAM_INTIN => '0', S_AXI_ACP_ACLK => '0', S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_ARBURST(1 downto 0) => B"00", S_AXI_ACP_ARCACHE(3 downto 0) => B"0000", S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED, S_AXI_ACP_ARID(2 downto 0) => B"000", S_AXI_ACP_ARLEN(3 downto 0) => B"0000", S_AXI_ACP_ARLOCK(1 downto 0) => B"00", S_AXI_ACP_ARPROT(2 downto 0) => B"000", S_AXI_ACP_ARQOS(3 downto 0) => B"0000", S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED, S_AXI_ACP_ARSIZE(2 downto 0) => B"000", S_AXI_ACP_ARUSER(4 downto 0) => B"00000", S_AXI_ACP_ARVALID => '0', S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_AWBURST(1 downto 0) => B"00", S_AXI_ACP_AWCACHE(3 downto 0) => B"0000", S_AXI_ACP_AWID(2 downto 0) => B"000", S_AXI_ACP_AWLEN(3 downto 0) => B"0000", S_AXI_ACP_AWLOCK(1 downto 0) => B"00", S_AXI_ACP_AWPROT(2 downto 0) => B"000", S_AXI_ACP_AWQOS(3 downto 0) => B"0000", S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED, S_AXI_ACP_AWSIZE(2 downto 0) => B"000", S_AXI_ACP_AWUSER(4 downto 0) => B"00000", S_AXI_ACP_AWVALID => '0', S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0), S_AXI_ACP_BREADY => '0', S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED, S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0), S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0), S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED, S_AXI_ACP_RREADY => '0', S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED, S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_ACP_WID(2 downto 0) => B"000", S_AXI_ACP_WLAST => '0', S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED, S_AXI_ACP_WSTRB(7 downto 0) => B"00000000", S_AXI_ACP_WVALID => '0', S_AXI_GP0_ACLK => '0', S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_ARBURST(1 downto 0) => B"00", S_AXI_GP0_ARCACHE(3 downto 0) => B"0000", S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED, S_AXI_GP0_ARID(5 downto 0) => B"000000", S_AXI_GP0_ARLEN(3 downto 0) => B"0000", S_AXI_GP0_ARLOCK(1 downto 0) => B"00", S_AXI_GP0_ARPROT(2 downto 0) => B"000", S_AXI_GP0_ARQOS(3 downto 0) => B"0000", S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED, S_AXI_GP0_ARSIZE(2 downto 0) => B"000", S_AXI_GP0_ARVALID => '0', S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_AWBURST(1 downto 0) => B"00", S_AXI_GP0_AWCACHE(3 downto 0) => B"0000", S_AXI_GP0_AWID(5 downto 0) => B"000000", S_AXI_GP0_AWLEN(3 downto 0) => B"0000", S_AXI_GP0_AWLOCK(1 downto 0) => B"00", S_AXI_GP0_AWPROT(2 downto 0) => B"000", S_AXI_GP0_AWQOS(3 downto 0) => B"0000", S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED, S_AXI_GP0_AWSIZE(2 downto 0) => B"000", S_AXI_GP0_AWVALID => '0', S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0), S_AXI_GP0_BREADY => '0', S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED, S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0), S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED, S_AXI_GP0_RREADY => '0', S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED, S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_WID(5 downto 0) => B"000000", S_AXI_GP0_WLAST => '0', S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED, S_AXI_GP0_WSTRB(3 downto 0) => B"0000", S_AXI_GP0_WVALID => '0', S_AXI_GP1_ACLK => '0', S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_ARBURST(1 downto 0) => B"00", S_AXI_GP1_ARCACHE(3 downto 0) => B"0000", S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED, S_AXI_GP1_ARID(5 downto 0) => B"000000", S_AXI_GP1_ARLEN(3 downto 0) => B"0000", S_AXI_GP1_ARLOCK(1 downto 0) => B"00", S_AXI_GP1_ARPROT(2 downto 0) => B"000", S_AXI_GP1_ARQOS(3 downto 0) => B"0000", S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED, S_AXI_GP1_ARSIZE(2 downto 0) => B"000", S_AXI_GP1_ARVALID => '0', S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_AWBURST(1 downto 0) => B"00", S_AXI_GP1_AWCACHE(3 downto 0) => B"0000", S_AXI_GP1_AWID(5 downto 0) => B"000000", S_AXI_GP1_AWLEN(3 downto 0) => B"0000", S_AXI_GP1_AWLOCK(1 downto 0) => B"00", S_AXI_GP1_AWPROT(2 downto 0) => B"000", S_AXI_GP1_AWQOS(3 downto 0) => B"0000", S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED, S_AXI_GP1_AWSIZE(2 downto 0) => B"000", S_AXI_GP1_AWVALID => '0', S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0), S_AXI_GP1_BREADY => '0', S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED, S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0), S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED, S_AXI_GP1_RREADY => '0', S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED, S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_WID(5 downto 0) => B"000000", S_AXI_GP1_WLAST => '0', S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED, S_AXI_GP1_WSTRB(3 downto 0) => B"0000", S_AXI_GP1_WVALID => '0', S_AXI_HP0_ACLK => '0', S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_ARBURST(1 downto 0) => B"00", S_AXI_HP0_ARCACHE(3 downto 0) => B"0000", S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED, S_AXI_HP0_ARID(5 downto 0) => B"000000", S_AXI_HP0_ARLEN(3 downto 0) => B"0000", S_AXI_HP0_ARLOCK(1 downto 0) => B"00", S_AXI_HP0_ARPROT(2 downto 0) => B"000", S_AXI_HP0_ARQOS(3 downto 0) => B"0000", S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED, S_AXI_HP0_ARSIZE(2 downto 0) => B"000", S_AXI_HP0_ARVALID => '0', S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_AWBURST(1 downto 0) => B"00", S_AXI_HP0_AWCACHE(3 downto 0) => B"0000", S_AXI_HP0_AWID(5 downto 0) => B"000000", S_AXI_HP0_AWLEN(3 downto 0) => B"0000", S_AXI_HP0_AWLOCK(1 downto 0) => B"00", S_AXI_HP0_AWPROT(2 downto 0) => B"000", S_AXI_HP0_AWQOS(3 downto 0) => B"0000", S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED, S_AXI_HP0_AWSIZE(2 downto 0) => B"000", S_AXI_HP0_AWVALID => '0', S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0), S_AXI_HP0_BREADY => '0', S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED, S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP0_RDISSUECAP1_EN => '0', S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0), S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED, S_AXI_HP0_RREADY => '0', S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED, S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP0_WID(5 downto 0) => B"000000", S_AXI_HP0_WLAST => '0', S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED, S_AXI_HP0_WRISSUECAP1_EN => '0', S_AXI_HP0_WSTRB(7 downto 0) => B"00000000", S_AXI_HP0_WVALID => '0', S_AXI_HP1_ACLK => '0', S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_ARBURST(1 downto 0) => B"00", S_AXI_HP1_ARCACHE(3 downto 0) => B"0000", S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED, S_AXI_HP1_ARID(5 downto 0) => B"000000", S_AXI_HP1_ARLEN(3 downto 0) => B"0000", S_AXI_HP1_ARLOCK(1 downto 0) => B"00", S_AXI_HP1_ARPROT(2 downto 0) => B"000", S_AXI_HP1_ARQOS(3 downto 0) => B"0000", S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED, S_AXI_HP1_ARSIZE(2 downto 0) => B"000", S_AXI_HP1_ARVALID => '0', S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_AWBURST(1 downto 0) => B"00", S_AXI_HP1_AWCACHE(3 downto 0) => B"0000", S_AXI_HP1_AWID(5 downto 0) => B"000000", S_AXI_HP1_AWLEN(3 downto 0) => B"0000", S_AXI_HP1_AWLOCK(1 downto 0) => B"00", S_AXI_HP1_AWPROT(2 downto 0) => B"000", S_AXI_HP1_AWQOS(3 downto 0) => B"0000", S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED, S_AXI_HP1_AWSIZE(2 downto 0) => B"000", S_AXI_HP1_AWVALID => '0', S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0), S_AXI_HP1_BREADY => '0', S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED, S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP1_RDISSUECAP1_EN => '0', S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0), S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED, S_AXI_HP1_RREADY => '0', S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED, S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP1_WID(5 downto 0) => B"000000", S_AXI_HP1_WLAST => '0', S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED, S_AXI_HP1_WRISSUECAP1_EN => '0', S_AXI_HP1_WSTRB(7 downto 0) => B"00000000", S_AXI_HP1_WVALID => '0', S_AXI_HP2_ACLK => '0', S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_ARBURST(1 downto 0) => B"00", S_AXI_HP2_ARCACHE(3 downto 0) => B"0000", S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED, S_AXI_HP2_ARID(5 downto 0) => B"000000", S_AXI_HP2_ARLEN(3 downto 0) => B"0000", S_AXI_HP2_ARLOCK(1 downto 0) => B"00", S_AXI_HP2_ARPROT(2 downto 0) => B"000", S_AXI_HP2_ARQOS(3 downto 0) => B"0000", S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED, S_AXI_HP2_ARSIZE(2 downto 0) => B"000", S_AXI_HP2_ARVALID => '0', S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_AWBURST(1 downto 0) => B"00", S_AXI_HP2_AWCACHE(3 downto 0) => B"0000", S_AXI_HP2_AWID(5 downto 0) => B"000000", S_AXI_HP2_AWLEN(3 downto 0) => B"0000", S_AXI_HP2_AWLOCK(1 downto 0) => B"00", S_AXI_HP2_AWPROT(2 downto 0) => B"000", S_AXI_HP2_AWQOS(3 downto 0) => B"0000", S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED, S_AXI_HP2_AWSIZE(2 downto 0) => B"000", S_AXI_HP2_AWVALID => '0', S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0), S_AXI_HP2_BREADY => '0', S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED, S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP2_RDISSUECAP1_EN => '0', S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0), S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED, S_AXI_HP2_RREADY => '0', S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED, S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP2_WID(5 downto 0) => B"000000", S_AXI_HP2_WLAST => '0', S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED, S_AXI_HP2_WRISSUECAP1_EN => '0', S_AXI_HP2_WSTRB(7 downto 0) => B"00000000", S_AXI_HP2_WVALID => '0', S_AXI_HP3_ACLK => '0', S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_ARBURST(1 downto 0) => B"00", S_AXI_HP3_ARCACHE(3 downto 0) => B"0000", S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED, S_AXI_HP3_ARID(5 downto 0) => B"000000", S_AXI_HP3_ARLEN(3 downto 0) => B"0000", S_AXI_HP3_ARLOCK(1 downto 0) => B"00", S_AXI_HP3_ARPROT(2 downto 0) => B"000", S_AXI_HP3_ARQOS(3 downto 0) => B"0000", S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED, S_AXI_HP3_ARSIZE(2 downto 0) => B"000", S_AXI_HP3_ARVALID => '0', S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_AWBURST(1 downto 0) => B"00", S_AXI_HP3_AWCACHE(3 downto 0) => B"0000", S_AXI_HP3_AWID(5 downto 0) => B"000000", S_AXI_HP3_AWLEN(3 downto 0) => B"0000", S_AXI_HP3_AWLOCK(1 downto 0) => B"00", S_AXI_HP3_AWPROT(2 downto 0) => B"000", S_AXI_HP3_AWQOS(3 downto 0) => B"0000", S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED, S_AXI_HP3_AWSIZE(2 downto 0) => B"000", S_AXI_HP3_AWVALID => '0', S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0), S_AXI_HP3_BREADY => '0', S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED, S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP3_RDISSUECAP1_EN => '0', S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0), S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED, S_AXI_HP3_RREADY => '0', S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED, S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP3_WID(5 downto 0) => B"000000", S_AXI_HP3_WLAST => '0', S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED, S_AXI_HP3_WRISSUECAP1_EN => '0', S_AXI_HP3_WSTRB(7 downto 0) => B"00000000", S_AXI_HP3_WVALID => '0', TRACE_CLK => '0', TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED, TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED, TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0), TTC0_CLK0_IN => '0', TTC0_CLK1_IN => '0', TTC0_CLK2_IN => '0', TTC0_WAVE0_OUT => TTC0_WAVE0_OUT, TTC0_WAVE1_OUT => TTC0_WAVE1_OUT, TTC0_WAVE2_OUT => TTC0_WAVE2_OUT, TTC1_CLK0_IN => '0', TTC1_CLK1_IN => '0', TTC1_CLK2_IN => '0', TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED, TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED, TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED, UART0_CTSN => '0', UART0_DCDN => '0', UART0_DSRN => '0', UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED, UART0_RIN => '0', UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED, UART0_RX => '1', UART0_TX => NLW_inst_UART0_TX_UNCONNECTED, UART1_CTSN => '0', UART1_DCDN => '0', UART1_DSRN => '0', UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED, UART1_RIN => '0', UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED, UART1_RX => '1', UART1_TX => NLW_inst_UART1_TX_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT, USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT, USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0), USB1_VBUS_PWRFAULT => '0', USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED, WDT_CLK_IN => '0', WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED ); end STRUCTURE;
-- -- VHDL Architecture lab8_new_lib.SimpleMux4.Behavior -- -- Created: -- by - Hong.UNKNOWN (HSM) -- at - 15:40:39 04/ 1/2014 -- -- using Mentor Graphics HDL Designer(TM) 2013.1 (Build 6) -- -- -- VHDL Architecture lab8_new_lib.SimpleMux3.Behavior -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY SimpleMux4 IS GENERIC(width: POSITIVE := 16); PORT( Data_In_0, Data_In_1, Data_In_2, Data_In_3: IN std_logic_vector(width-1 downto 0); Data_Out: OUT std_logic_vector(width-1 downto 0); mux_control: IN std_logic_vector(1 downto 0) ); END ENTITY SimpleMux4; -- ARCHITECTURE Behavior OF SimpleMux4 IS BEGIN PROCESS(all) BEGIN CASE mux_control IS when "00" => Data_Out <= Data_In_0; when "01" => Data_Out <= Data_In_1; when "10" => Data_Out <= Data_In_2; when "11" => Data_Out <= Data_In_3; when others => Data_Out <= (others=>'X'); END CASE; END PROCESS; END ARCHITECTURE Behavior;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- -- Cache Core (SRAMs), 1 read/write port library ieee; use ieee.std_logic_1164.all; library util; use util.types_pkg.all; entity cache_core_1rw is generic ( log2_assoc : natural := 0; word_bits : natural := 1; index_bits : natural := 1; offset_bits : natural := 0; tag_bits : natural := 1 ); port ( clk : in std_ulogic; rstn : in std_ulogic; en : in std_ulogic; we : in std_ulogic; way : in std_ulogic_vector(2**log2_assoc-1 downto 0); tagen : in std_ulogic; dataen : in std_ulogic; index : in std_ulogic_vector(index_bits-1 downto 0); offset : in std_ulogic_vector(offset_bits-1 downto 0); wtag : in std_ulogic_vector(tag_bits-1 downto 0); wdata : in std_ulogic_vector(word_bits-1 downto 0); rtag : out std_ulogic_vector2(2**log2_assoc-1 downto 0, tag_bits-1 downto 0); rdata : out std_ulogic_vector2(2**log2_assoc-1 downto 0, word_bits-1 downto 0) ); end;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY GALAGA_BROM IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END GALAGA_BROM; ARCHITECTURE GALAGA_BROM_arch OF GALAGA_BROM IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF GALAGA_BROM_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(14 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF GALAGA_BROM_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF GALAGA_BROM_arch : ARCHITECTURE IS "GALAGA_BROM,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF GALAGA_BROM_arch: ARCHITECTURE IS "GALAGA_BROM,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=3,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=GALAGA_BROM.mif,C_INIT_FILE=GALAGA_BROM.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=32768,C_READ_DEPTH_A=32768,C_ADDRA_WIDTH=15,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=32768,C_READ_DEPTH_B=32768,C_ADDRB_WIDTH=15,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=8,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.326399 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 3, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "GALAGA_BROM.mif", C_INIT_FILE => "GALAGA_BROM.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 0, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 8, C_READ_WIDTH_A => 8, C_WRITE_DEPTH_A => 32768, C_READ_DEPTH_A => 32768, C_ADDRA_WIDTH => 15, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 8, C_READ_WIDTH_B => 8, C_WRITE_DEPTH_B => 32768, C_READ_DEPTH_B => 32768, C_ADDRB_WIDTH => 15, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "8", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.326399 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => '0', regcea => '0', wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addra => addra, dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), douta => douta, clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 15)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END GALAGA_BROM_arch;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; buffer_address <= buffer_address_i ; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1')then curdesc_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 6; constant BUFF_LENGTH_INDEX : integer := 10; -- Buffer Length Reg constant SGCTL_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal diff_thresh_xor : std_logic_vector (7 downto 0); signal sig_cur_updated : std_logic; signal tmp11 : std_logic; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; buffer_address <= buffer_address_i ; buffer_length <= buffer_length_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; --diff_thresh_xor <= dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) xor -- axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); --different_thresh <= '0' when diff_thresh_xor = "00000000" -- else '1'; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Bit 3 for Key hole operation ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1')then curdesc_msb_i <= ftch_error_addr((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1')then curdesc_msb_i <= new_curdesc ((C_M_AXI_SG_ADDR_WIDTH - C_S_AXI_LITE_DATA_WIDTH)-1 downto 0); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_HALTED_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) -- elsif(bytes_received_wren = '1')then -- buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
-- Copyright (C) 2015, Charles Steinkuehler -- <charles AT steinkuehler DOT net> -- All rights reserved -- -- This program is is licensed under a disjunctive dual license giving you -- the choice of one of the two following sets of free software/open source -- licensing terms: -- -- * GNU General Public License (GPL), version 2.0 or later -- * 3-clause BSD License -- -- -- The GNU GPL License: -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -- -- -- The 3-clause BSD License: -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- * Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- * Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- * Neither the name of the copyright holder nor the names of its -- contributors may be used to endorse or promote products -- derived from this software without specific prior written -- permission. -- -- -- Disclaimer: -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.Reg_Pkg.all; library lpm; use lpm.lpm_components.all; library altera_mf; use altera_mf.altera_mf_components.all; entity AXI_Reg_Wr_E is port ( clk : in std_logic; rst : in std_logic; -- Write Address Channel axi_awid : in std_logic_vector(13 downto 0); -- axs_s1_awid axi_awaddr : in std_logic_vector(13 downto 0); -- axs_s1_awaddr axi_awlen : in std_logic_vector(7 downto 0); -- axs_s1_awlen axi_awsize : in std_logic_vector(2 downto 0); -- axs_s1_awsize axi_awburst : in std_logic_vector(1 downto 0); -- axs_s1_awburst --axi_awlock : in std_logic_vector(1 downto 0); --axi_awcache : in std_logic_vector(3 downto 0); --axi_awprot : in std_logic_vector(2 downto 0); axi_awvalid : in std_logic; -- axs_s1_awvalid axi_awready : out std_logic := 'X'; -- axs_s1_awready -- Write Data Channel axi_wdata : in std_logic_vector(31 downto 0); -- axs_s1_wdata axi_wstrb : in std_logic_vector(3 downto 0); -- axs_s1_wstrb --axi_wlast : in std_logic; axi_wvalid : in std_logic; -- axs_s1_wvalid axi_wready : out std_logic := 'X'; -- axs_s1_wready -- Write Response Channel axi_bid : out std_logic_vector(13 downto 0) := (others => 'X'); -- axs_s1_bid --axi_bresp : out std_logic_vector(1 downto 0); axi_bvalid : out std_logic := 'X'; -- axs_s1_bvalid axi_bready : in std_logic; -- axs_s1_bready -- Register write interface -- 2 banks of 256 register -- 1 FIFO style burst write port Bank1RegWr : out RegWrA_T; Bank2RegWr : out RegWrA_T; FIFORegWr : out FIFORegWrA_T ); end AXI_Reg_Wr_E; architecture arch of AXI_Reg_Wr_E is signal txa_busy : std_logic; signal txd_busy : std_logic; signal tx_done : std_logic; signal tx_id : std_logic_vector(13 downto 0); signal tx_addr : unsigned(13 downto 0); signal tx_addr_nxt : unsigned(13 downto 0); signal addr_inc : unsigned(13 downto 0); signal addr_wrap : unsigned(13 downto 0); signal addr_mask : unsigned(13 downto 0); signal addr_align : unsigned(13 downto 0); signal tx_len : unsigned(7 downto 0); signal tx_size : std_logic_vector(2 downto 0); signal tx_burst : std_logic_vector(1 downto 0); signal num_bytes : unsigned(3 downto 0); signal wr_addr : unsigned(13 downto 0); signal wr_data : std_logic_vector(31 downto 0); signal wr_be : std_logic_vector(3 downto 0); signal wr_ena : std_logic; signal reg_addr : std_logic_vector(7 downto 0); signal reg_data : std_logic_vector(63 downto 0); signal reg_be : std_logic_vector(7 downto 0); signal reg_wren : std_logic; signal reg_we_ena : std_logic; signal reg_we : std_logic_vector(511 downto 0); signal dma_sel : std_logic; signal reg_sel : std_logic; signal fifo_sel : std_logic; begin axi_awready <= '1' when txa_busy='0' else '0'; axi_wready <= '1' when txd_busy='1' else '0'; axi_bid <= tx_id; axi_bvalid <= tx_done; with tx_burst select tx_addr_nxt <= tx_addr when b"00", -- Fixed-address burst addr_inc when b"01", -- Normal sequential memory addr_wrap when b"10", -- Cache line burst tx_addr when others; -- Reserved with tx_size select num_bytes <= x"1" when b"000", x"2" when b"001", x"4" when b"010", x"4" when others; with tx_size select addr_mask <= ( others=>'1') when b"000", (0 downto 0 => '0', others=>'1') when b"001", (1 downto 0 => '0', others=>'1') when b"010", (1 downto 0 => '0', others=>'1') when others; addr_align <= tx_addr and addr_mask; addr_inc <= addr_align + num_bytes; --FIXME: AXI burst wrapping is complex...ignore for now. addr_wrap <= addr_inc; process(clk) begin if rising_edge(clk) then if rst='1' then txa_busy <= '0'; txd_busy <= '0'; tx_done <= '0'; tx_id <= (others=>'0'); tx_addr <= (others=>'0'); tx_len <= (others=>'0'); tx_size <= (others=>'0'); tx_burst <= (others=>'0'); wr_addr <= (others=>'0'); wr_data <= (others=>'0'); wr_be <= (others=>'0'); else -- Start of write transaction if axi_awvalid='1' and txa_busy='0' then txd_busy <= '1'; tx_id <= axi_awid; tx_addr <= unsigned(axi_awaddr); tx_len <= unsigned(axi_awlen); tx_size <= axi_awsize; tx_burst <= axi_awburst; -- Last data transfer elsif txd_busy='1' and axi_wvalid='1' and tx_len=0 then txd_busy <= '0'; -- Generic data transfer elsif txd_busy='1' and axi_wvalid='1' then tx_addr <= tx_addr_nxt; tx_len <= tx_len - 1; end if; -- Data phase transfers if txd_busy='1' and axi_wvalid='1' then wr_addr <= tx_addr; wr_data <= axi_wdata; wr_be <= axi_wstrb; wr_ena <= '1'; else wr_ena <= '0'; end if; -- Start of write transaction if axi_awvalid='1' and txa_busy='0' then txa_busy <= '1'; -- End of data phase, send write response elsif txd_busy='1' and axi_wvalid='1' and tx_len=0 then txa_busy <= '0'; tx_done <= '1'; --axi_bresp <= b00=OK, b01=EXOK, b10=Slave Error, b11=Decode Error -- Write response accepted, we're done here elsif tx_done='1' and axi_bready='1' then txa_busy <= '0'; tx_done <= '0'; end if; end if; end if; end process; -- Register generation of actual register write signals process(clk) begin if rising_edge(clk) then if rst='1' then reg_addr <= (others=>'0'); reg_data <= (others=>'0'); reg_be <= (others=>'0'); reg_wren <= '0'; dma_sel <= '0'; reg_sel <= '0'; fifo_sel <= '0'; else -- Convert byte write address to 64-bit QWORD address reg_addr <= std_logic_vector(resize(wr_addr(wr_addr'left downto 3),reg_addr'length)); reg_data <= wr_data & wr_data; if wr_addr(2)='0' then reg_be(3 downto 0) <= wr_be; reg_be(7 downto 4) <= (others=>'0'); else reg_be(3 downto 0) <= (others=>'0'); reg_be(7 downto 4) <= wr_be; end if; reg_wren <= wr_ena; if wr_addr(12)='0' then if wr_addr(11)='0' then dma_sel <= '1'; reg_sel <= '0'; fifo_sel <= '0'; else dma_sel <= '0'; reg_sel <= '1'; fifo_sel <= '0'; end if; else dma_sel <= '0'; reg_sel <= '0'; fifo_sel <= '1'; end if; end if; end if; end process; Bank1RegWr.addr <= reg_addr; Bank1RegWr.data <= reg_data; Bank1RegWr.be <= reg_be; Bank1RegWr.we <= reg_we(255 downto 0); Bank1RegWr.wren <= reg_wren; Bank1RegWr.sel <= dma_sel; Bank2RegWr.addr <= reg_addr; Bank2RegWr.data <= reg_data; Bank2RegWr.be <= reg_be; Bank2RegWr.we <= reg_we(511 downto 256); Bank2RegWr.wren <= reg_wren; Bank2RegWr.sel <= reg_sel; FIFORegWr.addr <= reg_addr(1 downto 0); FIFORegWr.data <= reg_data; FIFORegWr.be <= reg_be; FIFORegWr.wren <= reg_wren; FIFORegWr.sel <= fifo_sel; reg_we_ena <= '1' when wr_ena='1' and wr_addr(12)='0' else '0'; reg_we_decode : lpm_decode generic map ( LPM_PIPELINE => 1, LPM_WIDTH => 9, LPM_DECODES => 512) port map( clock => clk, data => std_logic_vector(wr_addr(11 downto 3)), enable => reg_we_ena, eq => reg_we); end arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b7+wqSpu+Vxsu52LHJeQnZpUhR4RZdYT0luDYOlwuch6xt02nBGL0Gs5IhQ8FyDA2tj9H+LLQwAe 4Bd9/LNhCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RUCi3kNH4kKCap6NTRfnAayhe2fBs0itMHRfJsaBHyhlzak7MggjUpD+VP4BH8qm63qa3ns0ndGq GFxl1FMkhxD9fwjcJe1UdEn0/vWFZNo2Yao6PRHD4KsbJwv0HTiPKmvwStR76qsct7mdcx57tMFo Hloda9dTnIZx7RLD0dE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VWVP8oKyRWRr+bUoHaK/+Z7c238ZK82LOgf6oMc887fsHzly/qvgy0fEOx44O+SQOkuuaTAOksw5 rP6U+peuU+RWL9GQ7GX9NWXwAbTDC370dZ1B3dCNvEToKY+IUGxR7ZDpnkoCremIZ6Z9sm+R4JUE kPeL9NFek3J7vV4oS0xy0feaFTenovGBsJwsva4ThxOZ6mRlEm2ZGTKJobFk8uqh5HTfPlzfhmYW woNiMcQU+6pDmY2vjzBiO8wsdOKc39Bi3s5O5Ym1h5cN9cZI632Coc4fbzXLwMt839HIMGqStmYB /95Wkec/Ct0HPZ7Rvg9aOmZOYOEyPnTg5Eq/kw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HHztzh+HyYr8MV10OFh9Sg/07bA1vQG1+b5dPfcuM8Fc3HC9WpVAisbjKFpr0HIn3tkiXQOACcJl tx2UTR9ggn/oqFCDkVWRer8s3kaZyjrEuN8Nhr2t/Ep0/h23jrvCyWMFQFZdvr1SZFbZlQc8ErrE kQs7CUHrNyyRnqr8p5U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n8WXokamr8oQPswwWS0WvyofRcG+4hS3s7aBePL/+idcMx9aXb3CHE+pgBB/eAcGwjWyB9OXka+y guHgsV59XfQ05Xtebp7biLslnN0OS/+iNrdRVBRI04Fpq3J2EP6vSW+eyL+lsUjTIw01/Oo7Pt6f 5mDPYwK2jpEd8RouIa+Qe3Q6jXIwh/+3jeg8boaoQrCVsKlDTfHl20GWomcVbEJbU++rlHlDZpjU lqvTOd7sWXufcrWT9aO5NhuA2a+S3K8a/MDBKpunktM/IKDsgJwyE98tEQbGbyQG3+JDbOynwrrO eYgz7saXMaTYWkbUugAVrJFZYr/uCl+FbER2ug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40496) `protect data_block WFCynJRJJJgrECfwNzmn09LvS0HtlyqUIyl3ZObqWjk1KfhsWSG3nSCuAbls1ngc0WvU58PZnwXr NTiSwqn7Ha37c7EZI3N5gwn5pfKs/Km0/+OTFdlpSgxaTNJcoQy8govqGfTMGg+WVv+c7EgCXvPH QPw+THxzvUB9P07aT2AaVjyOYYowMCzsp2C6BXUUdoX2tmqBSNHra7gw0YMMp+UqeIvIlFZB4Tgv 9kvTDnZDhA4hDF88jJws5Zo4sBbY+HjpbuKELX8XK9xa0krH7vtNRtqV5c27utO5Bidh4SnRYzWu 86csKhXqAkTe921TEV/P8yebYe+oeu1sRGrGe+BH1COPSlqxuGpaoxz9wwDARWsodNWSoLKakRZ8 ITceKUS001rEyKX5xzcM0jUuOy7cikA+B1CwTGB5myynOhqcukjgjZxW7HztJeuawjXVKu24ZPDf 8IcoKEeqPgerNU0oTivEs5c+BY6xCfBtkM8JbD8a+F4qMqjx53vFKPTfBNlyn86ztUh/shGDXw4L 22bUkRBndPXYtXxiyGZbnQK3HgdxRmb8Mdnmw0akxZhEc8+I1SI65Rrg4dXBl8caRKn91OX7wz9j akUgyofEG2QI1WPQVYvcj/eXPCLzIHUqtbzvN1mfOmh34tz7iSc7JhowmB4zO54LdsWrs7mCy+2E 07rA43QzCE/dCr2PY0pl/Eid91hba8Bv8YscYZFxwneg92meKoDBqyg6WixTdoHlW1NjiNohCfxF hJVKgsnjlDJj9zrayQrRzjv0nRG11yleNvev28Y2jqjYrb0n9RMoGfpwF99RC1H0vG33JFIX8bPK s9RIkAinovtmtILp3S404KXBAEQuioXIETFPkmdP21DK9962oC/N7889slNdr1N4JzDKtgy6jIZc cWkG4PsZrWuOlHb+wWi35pvnm4dcPCa+lArDZ5+gjQf8Nazf2Ko0GQxkA6iCjLvHmK3HVtMFmxTD iJPfFCamdUm27JYAhqLfIfDak7/SrHQ6Q6N9syOkS9s9eD7tF2sRd6Q1NInREjRKggPFl5EDxkxu k2Qge9oHpYSgAXafalHogR/xqjpXn9358P4DKIBVUtrf4BhUmP8nqpfs5nTmm4UnjWnxWdG/h40l L7UOHk5ePRKiF0EWNTEmEj1j4wupDp6OYlBPAda8ynJZXSJjhFnz5LsZQZ7nPyuC8lQIxzGas8Sw 0SUqVh0tcSpZ1Rf8GPH6Tky9AT8ZeF3sP0w2scWtbaxpIihVbMoUx/+KuUEZ+3mnrc1ytM3tYuwl NCCKV8L+acO4osoI3AmCbO5IJ1bW9g955j/vc3DfuEfHZILr5HoUiyNl52MytrxUzeaYl+XJzr+W YLdgYQh3CpPjuD2wUlAQF8uNCggDQTIs3+vT8ovMLbP9wwTelb762W+UgvfPCvP+G8vj82Fe3U2s 1K9O21nWX5xD7lZkusQY1XyH28rYvFXD2F63jnwGQTEFnXW5EWyvZ1em9mQyKPegML5f6RpFbbfN FSz6Mtv2iPtE10ZE4l1B/UlzXva9ebC01y+dsOCOjMuAoIdNajVy3pHhr+ZfUPy12YLpD8EU7zu+ n3rSSAM9Oi/6VhOhSsM5yuo3rk/bfMpo7lpPOWnQOzUsHe9qnGcHRjSCpsZAAdXSLU8g3H038qas J3R9ZmTdeIrTXn2qzDyqy+Jxdx9LZoq7kkKb/TSgRN7Bf/0brMGY6FOfwoxiXn1PW8ixOUkMuQE/ wmsprujcsRPasLnUWrvRz0zmFrDSavJExNImdbYTQgVESTWYG/vuINdmtfB0IlDll1D6kCFqToK8 hHOY87Gn8OTmOQqJzW/uQjbgwrCqoFlsufrpEjVTnpQzHnYkg+6rKgwBRDCV/YUCmtvQk4N6X2bf P8Go2+g0CjwCRjvBrjGsykVZcqBjeR4bFcwNm8kzQ4lnRnuU6fxgcSgVLIUShL3m9Z2gUIJ31C44 lVyLhy8MONDKSQiQ7Kz/sNpgoo2ms8lEipDeFrG1MjNjeMgU7T+AEaCvwruZVqr8TM4++beCGSoT MDB8cgjHBJ8vUGZ3lDncHCY5iF93nZGWxmhvMEgXBJvmglRV+KvFgC1ize3JHNIOSwZqWXr9t8Gt M7qjCkv573lP3MyAjZSjlHWbV3902PtpXWKughJF82eqv9I1/1il44xL/+b61uOi/WAcNxsL5LDk ogDxTRUu8HPvdts1pX6E77kAxo5Lw/NVU/6bo1yaU4EnqrNorYfube0feDEu5FnUi2m/BjWCEiC6 bm4fZ+aN+0o388Q2vdZCmrldqXquuMoqEcHYRFDC6Nhd0Yzi6YSnL6sSAsHTMPciLw4OnYcY68Ll fklVs3OwB7Q5Zfqc/FsHH6pbLqQhZREv7WYuHZM+GJu3ZVxWZemjNRgf4Xwmm/sjE67DpfrFawns /tcC+h1rPUN8YVDK0sm5ygp8DnoF8nLstxI6tMsdSSjb2Ko5liKPrWTWIBnaERppImifBfRxtyeE gsVvR90QVnlwFPJ1ciJ3NiG3YbEdsW8QK1GGDrCzHoPXFVLrwqbHDWYDmWs6PVLET8tB9SHo8YV8 7CyYQKcAJ24mcRe6nUzIFc8DhU7QjhWRLDB86bHGoXaquUD6H56hQdDbNjcsuWzPR+cpq+CDZawU Qt59n19Bi2xwgjoSsU4WjflLOUPI6OQ0P+p78f5/DooRAeS5Z4HrCboe4s+CujIhwG7ImnvoS+2N umncZ1UzPxffRtsvBcTWqYKL5rfz2NYDTUGEUlE8BdxwdEoN3DAuN0tJHtwtLLUkLgHLMyEHfAcH D9S2SIZEnsCxdxd+uYaYufpPtOUqNDEkpXMTd9kCwV7orMDuWDPYRFI66rOTbgusCp4s/e3QjfiC CubdERuh87d2UYEaE6DlFIbJN6vZh9k9S05ZJDbWYNhwNgqoOTWwF5ixAidrW2Xhz7umKmVgrKG2 qsT2BV9F8yt9MheZ1YVxDmJ6lk65y0okwahqAikAdcUNkHsIQpHX9noj5xZE2k2C3DSC80bQwm9v r3ASIiGWJxpHzqigwbOyA3WVOrdC10xu3x4l/WkOn5M4dZlI/H54mv3zwVYGPcsjEAims2Wdpqd0 M+u4JfDOwyNZtOzYpm36EF8gur52ZPTrdAUGevSYt0wfCJg1v3Lk47wHpd/rxln1/3kna0ai4UZH piiOsfgpQL+QR0uWjdND55RelcLesurwjH8ZFy2d86uIsRRgjD7AQ83SOCfws70l07uXLKa9vwzh YC3xs9W2Liim96G6Og2uoSmX2lBGoA/EMof9wm4tK77A1t1Zl+Z/t77EybOhg8kojj70wQpLBSgC IoTlJ3Z0ZR0VV/vv6SHNAXDnHpbmpVI5J7ZojXc4rnLUD2ngIH8V710i09lXhY/DNH9c3NTteWUR vJ3FoGXo5rNfNbfpNZhW38Fcm4SWnBll1FpJcAMH1CUMLnIaxs993CWkInRY99mAeESVJrD7ODES mdXy4vm4eK9xRM2vp2LEhnB/6+eWhfX9gLxrGZ51byucAy9oomCJQucR1fQFnAhp1Yrpgc6lwgLA bNHHMCTVFgxYOY1t4ikEcDU9EL/MLSEjj1qzmUoky1qekBVioGkoXXrtnMUzZq9x/531M41ZMXZc O7zItLJ/x+L45R9xl0ENec/HL1Omg0qXp8JcNP4P4JRWbSjcT4WrJ5T3uy9IKgof+YjTohhyTVoW A/kSYEVsSG99S6olIA36Fvm7SC9nKxYl/gLSloSVWsVGCM3ZUw1FF4kYfVJFSRUsPpUiz6g0GgNe aMo9eO8zrNJBMi6Vb4pIP6nKUropYfId/YvSk3voej0g8Q0i12Zd+ebaPzr7GnPaOCr5KW+vyMV7 EiIdPSEMFrPKlzTJ+Vg3/CMzFKV/UT2YnDRlOX+JS9Oe3UDtx11eGpJhWtHQPMiitfcP4M1UAGzF ej5KDwvLuNt+y/zLkCea3XzU8iKVtsWm4g3XzbebPJVZiTVuRe0WOauaQXis40YofUm1RQRR9oIu tJ0ccg5UHXd4x+aWw5zB7X0oUXyygDmQaU85jRQcw+/+NisFBDAolBkAY6W9PKUelfxmuun8TgtJ FRDfZDkRU6tAKTfGe05V/txkoWNOd+oHFgo3tOqDnrUuSEfIushtXWNeYM1NcRTtkSbMPr+vkIX7 wmvheuke6ei2VjcySZhBwU4Utx9sMgQ77pOk/WepR3bjDN8rAgmc7ezOjHzxlSGbuSGrwUillY91 ftSSlqZ6/HXx1WDO/xuMZQWxWU1P9m9Aos9ZlNQHjNZfzAO4xwaSWIAgJmDAgXF53zE4f+lq2b5C +qTRPOUXrVfg+eEr/LmP2FwfYHpX4aIxAvMznF6y8zuivKG42aNhd3Prd6HwjKxNj8DipMdrMucp 1QJ+Fpwekh5VQeiBiGgocKEl8b1JLdBFsDbWJzJJd9xKxZLNQUNV0NFvgLwUIdediOh9bd+nX//0 pT/a0gy+dOKxGjkjSiPdB4J8aVof9VxR/ZKcHU6CL+TQolW5ybmzcKJ5aQ1Z63cuKCU4z8zx/bPZ QnULz5ZCG3JqQFva/8d67pSGt8NQctvwOwYQiEBuTJF4ysEoD+xBBUfaF0LBb5AgcR9AfXhDnqzK igzLHTFR8T1kZxNlUQacOjX7x1fh9bF2MR+obiqZqKrLVlnhINQmBNEtsO9XEBjfpICOZW97sB7x 0acNdgxqaPYhKaDP9u40csgU+/HhzvdB7ffB2/+uLEpVNuT3vWWkKJTcOYlLJygKQ9AcaT+F2WdR aJXPrmI35j/mHUfi721+kVQj2ElL2BUlqmtB7z7UM+/5lEOHl9cwbpiHaJUc6LWqTkb94G6AkqeD I00oK6yIJ5TffnEdGS+ImnEyS8Sw+7IGc5GwdPOFaBZ6iaNJ38ClMFRiwxa797wq5IuZOGvKeuTN y9xQ1TGZLG5uE4xOSntDOqaTYzntrIPcpxna8l4EGKyzD5nO/wx091hTPiY2lzuy/4Ho1tsvCxuX AHEG2CqWnoClHtF4Iy0zQXXUfnEeyuz/JF3pvCOl+nVZsbHiJaO+v3NzVJiLT5uTh/bZ+ZR6uwmx pTjw+HGSmrtMoPhfRg0Lay1MPKPkpAjYtWrndiyjIU1oQuOnS3AXqKfmPhALeeVMwfoyRMLL+mzR sG0SOdTsn5PK8dYZ79cf1lD4i7ka7rZ708ELDdofoiBQF3f2r+CxeUEyzPnI2aAJ0MKGE6IN0psX wJhqoZ83RzBN+d5G7/VJDl8cIZXGdoPl5bbY7wu9l0E5+EQBQQTzqZIhpckhp4jbhDsKuSwl5Ra8 hgtQc2Z5GaPrdT3kH5v5Dou1vyBVdtyUjem8CyJFmUfW8rG8/rfHmfuzxx1R+NCyRm88/QfcTjOw CEXj+HR4lCIHPWHEnqdw3ZpMR6zvI5OaJRd8DlVaA09ILfz2LNtyERvM49n/IWLaRIFoJixBLJqw JEbUZfoo7tliJQICn7OpSrT4FdIO/jOepDdoTHLXyRE1WBmvjPTWn0wNefy8OjvHwUl8nNK3gaRX xnXhIh8XawexL9EArGRNwuV6pi3Tho9+mfqj9uXPpgjlU87haLKMTvBdwswHJs00AcBOWh9/HF9U Dw38sV7o9yljEcTSINC8y6Z+uu3tf8luDQFuzC7M/iFrQI+ms3EHbwFKKcrzyAgrxFS2fB1vxzcn DfBNxzV6uCjn0nVIUcsdvinggctqvT0q3xqs9f2T9gN31MUeWQXzsxoHLWPuLmU0fOQkmWNEAhRY XLzsyGUjZoPmj294cwAX+ZDzsdQvT6Eo/bpNw96G04h8XLOvC1OkY0q4HZ1aQsqq8tXxzGR9bm3R Cn6Io8dndFW7f4AUc/9m2GSFniQkO5/oNXydiW0Et2kbssvAEDZ0TcJryrpYNu4X78vd6tn0CZzv uwEfFHAjkuPrCaN2IeYrI0qYnJ5bkICFjqHtdittMhexb66LiDLGV1lp+usUyqzsLkp1ppZmur3l Qutg6lBCBEuDmVTS8wSF5i4hfxWqgYnOzF1Qikuk92MYWEVoi8lDlrsEfFB+nVagINDGxgWd4Qui FiuGqVBAxFrhdomxnn8icgdmYcBWHtwTm7sknMDIYxnSEoU01u0Jp9V8RgugL/qV8xdE55Pnlcpg dV31jsLOVrbxVFuLWCqoWCkRwmOHaJicNcAcQxfMyqs8Al069yLHR6jpO01PT+wOSbMT0kYjiYlX m7Nv7QieeCXLpkCXKiRXdLaYkvfFvr9pyUJVcAF8v9jaNEomyIJ71kdbppOoAalQau6jj0B7pMz7 I2qP39n6GUUjpFodXov98ayk1E4MnjBNEXNQm0TG0+XFvNr76I8XcjvuWi6b0+h2lpKRbswLjIB8 FsKIlPIR37qfH8CcU9NOixGic0AEzwsB7BDOKDeK14fEka4osFX5PBIwJ7rmbckYg85cpyKloAFI xhDqexkK4Pf8S+PbxbQWsOx0k+WBami/1oJ0VnmXrVvIZHYKkvw9OEYJgVh4c8A6oOMo3O+1+kqc aA3l/bpjDFi9X6uXpCwBW6URkSxPiuE2kj8cYyTE/yKbXAwuqnHioX8p9cKi1/Ycwe8g3ZwTwNSQ 2E+02BkpsmuxeerE3X8rpY739V4WIOgtZ4LNJn+YkrqG8ygpoGZ3wGU1uhEo8OxnYUshX/f4A3SS XmvN5cKAND0CFeQClh6jY6zf7hY1VyivVi+Ge28D/17Cs/jD1l7XpbGlXAAiSOq0rdocjhXJ0dtl DXiVaoPQ7Pe/zr1jDmDanVZqinw9qfNM86EbFCz4082hEc+fXxP3DjU5vXySUX8v0ioYQAiPP6Ak oHq4Q1fE4MENm39QbQPYoysbVO0AXMGowApzsPykX6Wlc+UzXg/RemF05j0lwuKZ+TdndR8vwPGW fqKWlh2/Mr2pzTmi+OS+zLwZwiCQPJ7mJE09Jpi9ddAW88wsDKiF8ZdlbBxroX/Vi+isPB+blplg lvaEEqYri1/JSxZeAmP7TqPeZBpNAs8is1HXDfzum7ua+tkhSV+SeIheMACZF/UJIZaBfF9L8CnP Wz461peXhOhJrzfCfcofSzRqwdPT8FEpg7N9XX/eCJ3u2FPzBvte7ROP4Vaa+uoahsK5r04jEr9h 7WcZpfVazZq5L1O84mam5lg7mFiYMR1wxkk3/M+8F9yg/c/owTbuhRj9wuXKh/l1udyk9KJO2d9w 7f0J+q9XxUD6z3KLl+raT8q+XnZAd7jLfCYu1SSy6NNWGfiCEN5+Xf3FIrV3tPHDmawtqXEV+Be3 kr3dBAioyojODulPDk6W1beBxG0Vk07u2aLPq59C6zpaExnb3qWVtBs/WURKd0F0rcPkxp68unEx aFTtmLdUA76hYAII596ohKuK4ols6E99A53zDuFK/7Z+Lor+gyBy2bMHWur6w1gusamadVVGTz6j UbxU25l2d1LQiaID7zH54PJRuvdlNY53RF/LR0OX4qN+h3Ydn0F8P6+jmBHmvLa8kX042QkTFzFx GAuUb5ErTfcXPzQe2CJCo/CmzpCH1EmJMph49MP67OFfX1S5et+yMaTjY8bhM+mjw0ckF7/2TNDK lTpUzBC/37Hr8uI3KZkFkgqTRzEdVq1kIY2ZnpMW5lQwaNu62KhgAzEnoxhXMrK2U3U/AiHkqgMK 94WIW1SOziHb9ldsoQW0aBcf7P+jC3cl9eKo9cVbC+Ti3pXWEnNuN7xceEbUxGv6wBuwWIAcV1nK ARsBKYtm0ZDPz9WBFezR7vnCXCwCIHDtOAcUfZKks3sCGboF300vHeM5bsU9GJ34oVVdg2mzHGWN 8RAU0KUJFj9BWkUrY3taMlKSCdK05yZDs5XO4Bq0K3SQoRLw81aV+IZzNoYDlsW8SXe/K46TUEkt nlklA1h/0nlOJkyG7TSvp5NVxEy4+0bHArzf0q0v8OizmAXef0xdN3QrkKnioon/SpYCLJdbzYw1 jcevjzSdhQv8fQ6UYEJ8IZtUNkj714kdACqX7aTqgz/2Cald67BUVQTJzUaXvmnCZIgCPjUAmrQl pWk8qW6LOUj1J0JL4kp+qMDMFJcrcrboR1SVeUpikf1VGJeN1Mu42PO0XbNhulN60kcCbDf4vwzx tSR5PKWmLAJL8JWP7b3Fie89nEIVfDrwDudqov2Z7Spa3AwvZXzZlQZvjY7mL5C70iLShwH5MNCV AEsB+WZeUham+jczvC0qOLfLK2l4eSiEIBB5AKBuv1r4KfJvNnuMAlF1XyrQEI7NfRhNh6b4imlo 6xK4PzLdkaBCc+cfzoXXSV9EXHYA4reXDJogu0VGIoN6BLLYUg1sgjCMzYiUjk/8Hl1nyz2Z1WNa taBh6qo/eqmjEcxYQ8PRIJWrOyZUaN2dkW3Q+R4eYxMCclXIXl/n3UiV2Oi8HN+Dz1JeAsN1XNWC XiABGWZ0H1C/kVczLE3qYayOZAVEYh/rjED2JZWxjNbyz3I6W9lnH9ePcu90VQahznVZgqBmp0An VtO0+b5KEgY+6xkBJuQO8Z3+dTKt/7tx7tpdiZrx1Msq5NsJojwOG+DMAdUvZNfKFzcvy2Xs+pc0 y+L2DI6q3JOALbKzrAtWyTNqLA7570nxYuLk7GQQEFnJKfrfZLXhsiztzXVUSK8cHjYuq5m2REEV kgIcybwsEyeMDB+Ne8s9NUQ9lVP+VF1dR9HRgabtnL2VaTiQXwZwndOVR9FZSABuWHhDbskNmsQ1 ZiX9YtTmU2rYQU9I+ftO/26gZ3oaGz4xO0m/o9kYSKZS7iUZbcjTMUBlJcHFcE74XY2rObkggMf8 39HAJK8h6nsfaF8kf0H+epvHthaLO4VgdKXCO/wcDAUPz8Yt1Zy3YTJ4VEfdFpgmii1h7zlzDq0g xeue8Jc5jBjAybLZlk/aYGYdzjY/VAG1XkCH86GDaADIwGsQCn7WZVyFTuwQpD5HwUSigoREOnp4 9B4qApuGCyqEPtjvfajMDX1LTWke4xw/OFrm2UuLtxk8TxDCQhKOzID71R+zAQrqk2drqGCrUwv1 BzE4dxSVdhPEqZHx0troaMlogcs2NlfzWnQRb7RWrVmSqtejol4J5zNuvA9NRq24ENv9YtHQvFUE 0QOOKHbILYMMScxLGMgce8lunf1YZ1DmoQeXmkhGY4yiewhhgr4evjKdimgdUIcKCyBOSuXMjVOo 63UZK/lFogcde+UdbYT8DCDTNU+hlQ8EOznYV+AhuB19Syb7LRSWrSGaK5CQSuxwVI+Ft6EjlM84 O4AO6DkWlf9rYZwqyRLjh/4AZ5f881Gmmj1itR1Xo2CHlcYnGDJgiTXwPr+B02ZhCx9aDNqRuPRk nrOj4dqimbFIB1Ei7+wrrqNTy/V1xx8LdpSB/PVo3koAaJ+G5tVy1LzJggaTbdN/e40xSIYkgOFQ jxIplz+t5NzG/njJYBZ4uA/Mv1NKUOu0+FD5F0t2rKA0fU0gcJA8gM4EUMuZbJIyVU8KCv6+WjXG XK9jDLnsQxzJFlezqGhK83dslRPBL+E+F5rvwHo0ExDwkYWyyaX3yiXYzw7OGexmgb5e8onjearP eLgYKSNYLRMRVcO6oPGNsHJiR4z4+8bgduMT5uKps2itTDFmgZXVpCv8bpp08rFIPa2UtnMR0z3q GllTA2Cl3ZS/98xsL2bY0MXbrphAM25vvy1jADwAolEDsP1ignU0mVGEsmH4jLDoCM243NiTiLKY +Ri2bLIoja6p4Cv8XpbUgLgwFnWS/Yld7O7NGQc7q58QzUUQWAFrR5YBYtvf55qxKKfatTDu4pEH +zxUviLyTzqv/3g65owZOd1NTE0rQAFYCp59SyoSmyt5r+2+B0CNzHcTAGmnAWE3VDPR+XkYJRlU 6HPAQnciEPdQILRoyiBQnMVD85PtAJxrpofTAtPNo4vdEGThhquzYdAurLcgubINqy6e0bUtNCWj wrjDyCyuXQkRVkG1f2zsKwBde82r7Vg+Fo/uBVJL+d3kfu6Ak6inobDxq0UZLkRVz0qKAMYaagG5 27+wKG4dVHWjnuttUu2Ja1rHxJqN2SBpsAuk7mKqTnlbxleUMhPNRqUVlJCIM9ITLpRrqLYAE1OL QsPeMN+kIF4zxbUX14ePvgfJHPfvFWWo0QKXsUn1CNBuyJuoCNZOhqVjmWeWKraA5CkWfmd6Hl60 qFN0Lj7vH1MC5oPNP+PPKyekuOC8Yx4QAgbBDZ9ywMI87q1jfW0y1PFamwWkBNwZ78mKJtbTTPpS cR7WgQTz8DLwrOV+Xu/ZNU2otoOQ80BWG4Yif7R2t+UktGDmkV8IjZMkAy3erc5WuW0JfhiqVMkz Qa8HNTu2Wv6NBTB3i1daUUaL42IBYL5WzhB/nyaFp/RzVXdmkA9KPCwNgbRq20OWIf2meZtEdqa/ M+zPVQbtucuqZs4VG4Us2yRMpH7NqvGn+fZ4QKd+HT2Cr3B8BQn8LI7Hc+MzjvNm2d1SoicjmCLS SK9iKSMRCabvP/Qd8yDhrEn1Jsc6qptoXo7FoDPbA+EZWzvmprAPMrVmvhSSrcz1UMnD7iRPoexN JK2i2t0TWKRUy4Zulx3HydEzBg4GRdGfmRAnRxKKQ/Sw8dE4WGqcCi2gmJyhETYr0ubhPNc3ompy D6DIkCTOBrPohYEadfDYmhMACu7hr4+N4U9Y4SGoFyA7BPeFQt/XDIxXtnz90yBhB+Xegcv7AVeG PlefRAIOVgWY/Z3ap9GSgAMI7cgkbaSfy8cosAGiQmztEFWiwsI/l/p9g0Ync/2PnlvwDRBq1dQ1 c5ZPehv9rCMyGNzefZgzgvjeNWAj1HyVSCKhKODQG96BqOj9aYVdUr6I/g4Jq345bsckZfls5FIw TvoDWl2L2Ih1RWAJuPgKO8UHj5MWAdDlClNroIXe6JJpeC3Zk5mb8GfBUDxQT4gzmft37CWCe/zf wJPX0wpKV8cgzLDIQe9ifsUMgT+61uop10aAocDmuDBhCCQEOQi9Yne09nqa9967fbNd783a+nRg uvuysMXPsXCp9hRaWGvnnuW/7kLUtQJfAE8R6lxxIFFZ8jrEHCvhWKHvI4EEhLDCmW7OxhxgvcwQ OEFa/yloBdwn2JO6+nxbEwITQ/Ox0hAONYfIObzdQrevp82sLlmQCaprx1DhgbI16oFOjOUALet5 6ztYYA0JgOp0kj9K4jkweyloUvieJVnC+sxdvAtC+f3MPmziI0AR3Nr7v7xf9RVljZdCBw7htjj/ Rh0UAn5p8Apb8Ku2Z/R75++wrev5lS7U9Mrq6uesxA6s3LYDu5pmPine+CFW9vOLsEq50F9OLoPo UHRVQdByCeiUNR+lAUIZzZtuZiJjNGkfIdgPk4BYThZ4htzGF+dLT50+j+0Ngo+apkhyfuSeXgVI /1NQ0YcLSh9MTQwjp67A9XwzlIf+gU1G2ISw8ejAQ3wdHN7n0uxpOwAaBqA7UO8XfsnvrELXK67y L2yah4VLktPjLb1fexW/1gMa0asQ7ShOgaZBdzIOtxUv02RBV7z9HJUaoXIDUennGdOXuJoWZ+T8 tVZbGGOayioGm+8607qDyrBhkzel3iDpNp5tRhw0Qj8gVfeR7goltNNB0Mn+ekf7nsvrKkbFlgIx gDlUYeGMOtZFrOrP6Knl42zlKhnrrChTKMCWFQg5XVzasibDvMbPuROMpn0LQPmZ+J4oHaD3b2L8 kqSx68/nNRE+NbQ7lmKV97ITFwmKH+nqszNDrcZtBzdHqv8HlBT4I/OG/4qMQ1Bcu5gboK2DTl4A dp+I0KEhjqJIelRf9ZN56wSjqYILD9FV6OK9aXW11VUlX3zFuC0ciq306ha7uw+FWywlcbOW+Eo5 aWkppTC6QqoupN3VKx8aBBy6sMM7+ZGVkxtYFiW1EB2ADCXQZD5eoa0zVc9xrhf8IH2BfScCcT4v 50Od0D3lYYQ0dpVvsqV4b7WJmTn/FGcSsuXxyUaZlYaE9pnTOeu0nqOr+aGUfnPQE8DmVKWWr15G K3Aze7W3vKHHxuItK+t8MN4Mf6NN3EZGJFXnFawcbgl1foX5xm9+2ttPZ/oUUJpwAbesBgpU3MR8 F2iYEELLrQm6DcB1J0qeIqtrf+d14uDrSBHoI5nVQ3c8lqqroOhnCpP0ltlOeiBjz7pg4I+bCzwq 5rCol25iUZe1sZnneArLRDfSpfCf3WVjnQpLq9c8jMRQiiJ47kANOfCX0cuHiIJSp6Mo4BrMr7Bt +yScyKiP+fHYD3PGXBIZhmxjs2QZSKVQKDGTzPRrUTcLkqoNJOFVaa2+7pAXwCxAF9bNV5nVblPI CeZ1xb2hWCkJIubpdgWmSEiXbHXKpILalmpLszCL35aLVKQvdBjP426o+lDwQExoeRTEhrkUnDQf rdqQqz5KZFFxKatXvqdK4YEVzjXN7HAHvNkxmkTjnsgQT52HT8LknClau6gZ87EZom32K7p/SGXr U0SadG9qZrWmWr772zfd6H8tZO27efs3xmjDN4bDtY3gWcF9IF37ClpjeQYKONQJC3GuoV/vrErM mOhZ2nVSfQhqtxRNkUQ2CIrPNOfoCBjnDba/xQriJTcEIe+LYV56MVvblspYivPHm9Dp1nOCjY9J vfJr+olbV5AVWbodoG+twBTOa316VCC2Z1OaoLVetnVEAdQMwyvhn9jnOTOQAo4KuleGh5JWEO0V BFSnP8GfIbz26bKJ5BQRLDXS0Omk0K3oebN1jd+NyCmWpCd6P/ZUxoXZy/b4hnjrgIrKRuc3pyna GhK/asGTadp5nOOmKso0HuNNGZDKcYsLC2ObjoDXi3o46B+I1NtsW/a4IVauEBVr5uD5f3auMENh OxWjPaiVgcqfbIOgfQn3XUu2BiFbca4Cd7om+YNkMkAIYZWP/wrTYjcIZgaOuTvRsSkcOgr8H+3J 0OiWum7SHRx5WBGVFvFR2m1EosMQRM6gpKUgsYEOGHIJAvkgrLQvCPwbEc8LrNSeIWsqaE1bjiHK BKJKSgAOdzQBrfR++RPKWff9+LcJ/EF1ZwZKR3TlI1dP7NxfIda+RGh9mencz78Hfv50lKplfN/N M+i8HEndv8KIwHi9pTNUF2Kox9wSEQ2h24UkeJhgLOGDqVn+jLv+Ok62xCbrNuZkgigjuPFIhkis p9Bec/idpgV4FEbtlA7cvicsXqLj9cZft7oALbvYU0El8OXADDgA+t/zRzORJah/hFXB4YTxLn2X poh7FhdnfidHH8ZezaVuyYzkKsktWODA71BeztCM/pAXULiW89D7xmBP1eySAKcjFUHWk38XfJMG xize5vROXaYN7yApFY3p4SnXxjnR+qn1CPGRu+x//OxDbGN/6zoSWpnzX/EezfAsgqrQ+Us6/TxO 9ChiBXKYRY88TOGebivYfngEJBTHrmLZRJD0825bv5WykT2zG6vgbniwH8svKhGxaOBYgEyRqSJd T/DDiGdD0F304anlI0TnT79kF+WYr93ZJ6C4W6ZPCi7zz9/LDPPSnyDOfsJsJX9PpLWVMwBafM+b V/Wpzk4GN3DsmMbudT3hR8/nR9cDW4xiLnPyu6qvCgV57Vl0r4bIk5ibvDhD/zsH9bfYyt6cLVp3 W7vKP1kB2Im7bHxBZffx/5EIs5VeJJmtL57MrLSbI0s6DK7tb9QmSMAowLBqZwlFOdvqhE0XqmHw LuYqcwMpdLqTbKlXcdR503gQoAifGbjbLLw5jzoJjuQ3fUt+2/2yQuoCSAepwszuYSvP2G4OPf1x sZA5uGjQRbYqi881AwPz/18V+jKtROT/TXsUSzmrKcU+97GKLc8jIZJhU0pQbxFU5VSxCfjDZDOc waFuIhYbrCrjZJksbzNNXjw9wJF/MoGZ3cTA9hA5aEy2k7VOjipFHFtKs9VFz7dY70xsi9slmiDC YuRt24TfJdaIrD/0SROwdUkW5Ipn2ZjopFv+99n+Kt0wRtakQqBnQV5pc8GD/wmcmxGixBYYfLQb WZlT/f3e3Tmk6BAWTEUsZnDgYfTWm0Zdd+oXHJWqq/ISJN9WATCzdtz5qyAwKxOxs0zcjbQ6vQRm 6o6SzN4ZG4wxEQAlQqdh/X6rMzDjrtqItumBDQeqOqhu0FCfZssRGLfTEKl5KWuearfImvAe0LU4 Bqx8ib2oHfG4LzASWU0DqulQhnU3mw8dj3KmhdPyLBz94dzOVInFp0ZGrObKhjqTI4A1wMHC61+y UjA7gcZrh38E5SbcqcdI7tsPyXpvHWRkCBESjO1i8930Pxe3gDMYAjJB3vYEQSdtK2DXb3Cxrhav CiIa75xXvktUIPul4dAy8Jmw3mIyLMC01FxXq4da8mpIyNk6IuDXijDezD+6KgxmE0AUbuuI9X39 F//1a2hp6bxFXQP18WrEFHxAVmzJpkN0dY4dWWhwM9b/Z3gMkc4nt6WY2XWKTgfRIVvO8PiuAFUk 9i2DghmGIfIIzZsR9UJh6i5lwt6loN6nPWzjfC9+bleH2N7MlEgXK2BVvX4mAVQs3ID2X/HPwnUh ywnPk3sYJuL8cbVHC1l2bjx193dyLCbJUeOeC/FT1tX+Ny23LzX3zQksG9sHsyZk6HI8Nb+FF47U NkDlXBrgx+9aguAhiJ7gWbtTuHGWv/A2Eru94hZiWvh8wjfT+93tI710hbu0/99f80qRONrHVMuO 3yseq8FuIClFKSn64VoWMAVhZ5IuV+kvUjTcBzLE1cPlNWkrw6RAc+D18gON1cH2NjVb4yDQZY4m yaKv4WP5AlwNi8GYRLBZGJugSx18gd4hwPG4gZFS3TX07vupgeT0DnrbNfxAf25LgUolYO/FZaaw GLGaM7vj0IS6f6NGjG5C9AajabU1MdZK80RaZazGNiKTF0Upj2L3KqFohYAr0PZdZ5buR4rciNph JLNkkXlIGKNhu9CGYCX4nitSBFbHXhdLkSRcl2YKhEj8jp/mnWac9PH8F9d5cZd1c+jMbCDB3wEh HwyBh+IS2GvRILBrn+cbqex4/r02X5skt5EIEfzoM5vKQFCJ7fKFS8wrmn99pHHfJa+HeBkYX3UY QUEDOY8QMG0Czm9pvj7ZPBhgXn/Cxe4Dlh/56NC3//MXE99xpzxR352vYA8nRrWWyYqp0RliulDb LR55B0zKSvJ1nIF3EogJWSvKOXsmZ1ari9efomr0nhg6FriHAC3LAfPe9tkkmAIx3YwUiuRYJaKw 1i9ArUpYmRUpb7FNyjUYoJ/uGw0OKiVlSxUg6Egoqt5KXdCruTV5kEztmn9kSfeyu9IOY/Y7s6UU XQtdJX57sXXYr1GYcWOOaK6/vsdzLa5xCMg+uDdx791n2oR3fACRxpHfN8633Vc6BdQb6Q0kKkoU EGmhNvNlMyXuz2TKMLxmqi08h1Rw0rgI9QEXGPJmoM4c/olqF+LRtmFS39NfvfEuW7clulHvP0sr 9+IL/nIezPYV6xvRna0uIrH//CFpktbIv3bAjNvQ0ktfZx6jCXVI5rCwIzmjbDf2fa/3cGyrpAEH yva5lNx+3ZjoozjgYIi0rMb/+anAT93P8RHma9YsbRn+nGyQmYuxtj4Ifmct3verJwcigSbKFFB1 vW3mfVDU6nNBquUohmCpcO5PzZ2OcRVZgACBsriUnGR/4UM6hZBMEiRSdgLjcWDhlpZr0V0fALfA ny/lwJsXJ+VqL/QOPHikHB4pgXHoz2hUMYetA/2ztGpe6PJcZTwxn/0fMaUeLNcJYYmdoegeD3YU rr9hixegE2/xfaEdWD3X9URVE0+nVt9aejjbqs7BwlgrvFzIXE30kvJg5aEMPnDuMVZVfhI6oBYR 1pvmrkbdEJgtBYhxfw24e8CuOO29EqwIf7n12QOiWj0yX81Rw3gVwktBm0s9ZiOYvQ28Gi8TZdlO aQdXMXKKrujiF8cNvZIoockuR2pq1KfoSXAspQHKWK6B+wLoNKw8ILisiDcjDXRkh3I0qeJKNSIG pK9g91TntPwsDDTcCOTUNhbiNmNp56Teu09aWD3Jz3Irtzi6jJjmGrHnQGRNw/KmyfZ7ADSiPcZR cyruuGoHmuMasiY396VDn+XN42Ykf+m1Nx1rvwtFPnFDwQoDmpPaXXPyEv8/+toGLK80DqieBjoO s4GEjSfNLupAckL9IUN097FHOFdJISJebLCU+2I9dHv2ACXGrT8x1gG23wVU6k9BW6V4P1pbW6kP lJJAAMqOkOc2EeUBLy8qth94IbGVi+c3wPjy51iGBytayGrqk8bBqG2Gu5KuD8J5yLsIuo2CcoAJ tVmCCQ9QS6Fz+LWxMkcq/k3VvZ/WYbYpxHIVmAtS7UZDa0cI8lZLpxLdwe46MmRYTL5OKTSeda4e DpRcCbLkdChK29iSjueY2gh8UHOQRiLZvYtFUjj/MG9Pmv4sF0kdi1Y1QAMfQnO5uT4Rrgs9QB83 jMuF9QTadlAOIeMBjTVkHx3g5weqDYLVccCWWwnGehrUzFNDrcpapgv1Nc3NuBVf3QrjynBo+dXp 8taLpv84ArnHgF2Axl8m82NOjszw6Czu/lEcRRp4FmeviI3C8ZBnG5aIO2LCGb3IOgV7jurzYaIf YZwUeZIWfEQKebvfZcEQBXikv0NjrC2+QzH06+CPsspZcD3I6l5/I28BkibC0XI482ltfr4xD24F 11zb9gDMAbQzgaL9fLeEt9Hgb2Y3/K/9cMfeME0cuCt7vAn8xyJh72X86kyXFdUSKTjYSE0MNf6K hIN4b6Mv6uNMf4zE5J0q0R+8GkERyg+ZOXUSDOrNCGigZrJvb3WSEsz5VlTHfv9nykDR9vPpaj/9 F3sTTQ+1rOESzslhVqqbSbuWUSFWK+lefAJMI3GfQabS4W5eH9bAOMPaktX5V5PgTgZ0Xs1i5Klb Q7cuvmT3rQHkuRoZ4sx65ldAOYsNmUALj1s2YPtKnY/t4tuf6uYzPp3iMYZWD/VMt2OMtaBtOEPq rFuVjkwuE6d5Ndr9hrEXGgSPRv68cSyUmtyOKH7Br7I2Hcsg3Xhvp3aH69nvaRO+4cHJRuIP/LOa 1g++iecE8NtPXliWt+tsaWAcvyHgQ0eZpujuI0aP4b7p8SiXNSdOl9K7Bhxx/UdFJz/06wmA2I51 sqXTFxHcZF3dnYawFE1wKc57ZLKS7hN49vDJR8mChBSk0+yJCGAxmW/tuw8ycWsm2ljs7QybPS7k G9my7mPn+LmMCbVOvRzrZDZSIQg6UMZ7Uul4yB6rjTT2ffaE+eBoRWEUA8Uv800F6jzdm/kUtF0P mU9rSMWoKTvG4Do6RWouuX78FkIy0RZQn5xTw7LDxzhN8G0UbW26OrhZpWWApelH4oaqMplDQ3fa tmmtnb2KVpnKpHnsd/Xk8oQhuWa26XI6ujZ9cKg7/eOWELKjKjYBh/kt5QvbV+rC001hq9an034s UVfqwfX1IYD33j4yF94o7vFR+6BCqUelDMHTiWmshMlknqg01IC0iIvvRVBZJHQIk/g/t4las4EY 7Y5hzPu2oMLFTEfC8D2MVS/GoXx9561FpijYIZSzXtthUrLY6vx9qLRx+77xFAeMqk3uR/opDBup ddR0uBZCcXTLSfH7aNDpkOeEuKIMAaDtr2EUNovmVb4mDwKTkGyukTqqcbn0dF6OG2QVvLMQ5jzc NhX7qBfa87B9p86GiAy3uLEsIHQDGgz2PsTxP/vYZ0hldlIY4N4qHgh1iMp/On5pXBVtaXuoSBwn /Yud5Te9TVG1OogjFgRhtS8X82FXtoUQnf/PVQIa1xeg/YgjiADK+k2fkW04fuKNiok3GQq8OJOz u/NCTzijb9k7t6w5lbIRc2ByIZ/C1DcxO/4NZOkmPdVLthHhcBtCCSxBBVGhMrZZft4XYcYo8ZRR C1IC2doFNKno5NjGvdi31is9jCXoHh7GBXMBLnVfSMYbUJLa+g7iEi5//TAekUigLU0gPLXyP9cd +pQHZjXMC9BAxR9ps0c8H33qI0LhcLhzw35/APg777bQQ5g0UKkwKDln6xsswQ5bBMGlL37hqRRu KUf7sqDNbnyZUCy5L+JeuZo0+GmHtBLlxcpV+97JY4VfUAkQzvCvoOkOsMuSvVyY6hFyoeAg2f9u tnCDxD02uTQSc+ERFp3e9/l4ZJBb2U2p1zLbVkxw2bC6tu7MMfzqMkq8YO52PPFk1J3R0qfasISy 4T5ZFoZvaMIIpaRd+Zi6yIEKA86/Xi+H8N6L8zY7qmjiPY0rqVtNt3Ce/IrAtEeoTrGaMll/eyf9 qXAH9KrburJrLMZNZNTEwH3vNLDy1rDQJVwx1+E9JdmEXl3KoP76/9ZP3PBi7wvCL9AXYZfZSPyZ TeudqxpbFnkzVWJgzWSiw2SEDYnPLZcn8hjn4hAMnWdkEKiXAExR381nEJKe6fbeRyVHSD3Ftk+B 9zc4VV6giYk91jbrNVkA4dz7AQ8qT+77Oa2R287cMjVmSfOU2fNhpbc+/NMKu/D8G/25sGLyO+wz +EwgnAd4yAMJnRJKp+PDttWvQsgaNygzOMuRcvVk0qkrIHhdXcN6EZ0MtStdHa+Hbux1uAOy8+PO Yk7ozegu28abiXWbQs2qPTnZ93dmlto/wfVvksTEWKcK5VvmuX4vfykR9BV0zGn5pJJ5oYJHTFGW sK2WOlRP89+VI45m4DheD/p44yL9xjNn4tXFEyVtNwJal4r69ibCqbVAblsAsU60QZGoE4eW4vMq jcwBROHvl8/SxARljUToMywoJmsYcx3zRwL1b88yRk4M+BkfKFolgylb+WZA5LG/Dz6XG66KmdL3 9Z5HcRVNR5ErhT0XBJZ57rOrcu08bDI7Yne+nuWFN0fG+K5Sz9GTo4/4dlRpxhNMm+SlXUcwZABU 37SLNPe2wdxIPIEnK6h8jGTKw+OCxltBm2U8EEeVoa1/KO3Ml9GSQ6uEI1+MTVLWJ0HTmRk9TIdC 6k4MNjnAP2axT7kHoXxLrUGBRm1dcBZVVgQFqGGHuSCvFQokEwPZp7j43+vO0HnW6FCtJequ+g/P 76zSRNkTGFd7ECpBGxOuL0lx+no+p0xI1Oz2t2hHIM16hH4ARA+mW8RD9fRxoJ609t/ECHQsLbY7 r15k6bk1be4UvOJSj5mn9GUuPLqIDMNLsx0Si2CsV4XvTUsZ/9mpiOByoW6U+aFSWSH3Y6R2cvOr OgffBvMpcnhwC2oVEakqvz0YkPkmJFdD2OJ4x0HTVWSRE95PZgdfuVsvoggzaFL3JgX35VpM1bpj OuBck5FHrNEa+5voXd7kdbKpWz5y+qc3UAtHaBp2mnu/UScEdtbVwdFa/3ZBt55bNuDq/gZQdAsc ioPZuERPHmcLbV3C6HuvDx5uhBLz54/OQHkSqIY3coI6F8MSPs589W4RafDm5Rdep0+mWib7Y80m Uk5uBsYSQIDggu7uPaMdGXYptQykxkcXSyhyyja+7Qy6hacRtRNRq2GQtC7T42mK2h4celxdutIa XzSyjt3SpQEDF6y470xG9OmP2a4H95aHfxSG53/rWp3dSp7xO+pjxMp3HAUVBk2+FKRAf+uLkKgL 6qrPrx4MW1ab6ufNrtsiyYd6Qq+vYKtoN68m16o5eNf4E8uxjyEkyoaAk7lrD5sWYnPLWdOcv6Bv iViGjkS7EPQ27Je5xx5QhHXh9SPnFa/55fno5NbnS2JyH2TSSUz7wJRjANYXY18cBHqqsbUiT0dD g287AXbxyKvx+gsuT4ZPEeGxBFaSOAmkR+9b0St8DJ+jxy7kN9S3/Ehm8pPK52RjbU33H5MRS8Py YRZwED5u3yvX8i9C6K51G/8u8pD7sJ+Riwha/vIMjkkUD1H7O1rxRBmX5flIyR3x1iXrbeSL/QEd DB+QhI0ITQrgg5xZVUX68m2HG24brsuBj8UdGMie1hWcLXH+Qof3qXl90FBNte+WNd+ATFLZDD4K 8EOtM2tjNsnewVpcuFLxuIpiS6i2VIXvMeOe7ALu2LlK+l6EgPpfzTbwBYZal2gTgyAKUTUclqK7 Y4YcS50WZRB7YEpNCqFssBSrks40Mg83X6nha/sQ6MWVN+YB+8E9Ux2AzbAVazFTUy00KXZL0tjh vnq3YYmz87i+Ol+bSxdmHrlOuNCiJ+P6VkkCpEN2popgBA6PYL4Lbws4uClvhYWbCPuLo9tdUeDM tOWjEgU+SC7JT58y65gTScdkjc7IZMWZItzIaQThK+hXXJ1bMqoq8mBSDdQSEGJxyYgIIEtrDhrr v74Eql76o9cwz5L92TJE3+EjdhDU2Xuyo+m6FDRz3rOGjaS1kZm0F+XKIypBadIxQweoxhu3s/J7 nORgaRNH9HVHHyjGi3gVJhBrO4rBV1RLqWR7TOtIMgqRhBB/7uRAOAoOCh2r8NlStL4J/tcmaI4m ZAFsIC3Qy8xCz9gkCYghEazMH2SLLxvmi7lt0KENCMSblr6mSbdn+88OdFIqAEEqwI5HvlT9RESf U5ofdzAtKMATxlS1hu7XMMF8LgULeAVwlYtuHvZhHhSpGOLRKfbO63F9NmWlvmqFPlCiEKSMxQE5 jcGvYGOhBSVoWFp9OJMQscKPskOf/hPmbyIg2aCOStggwbYV3zIREUDQomSE4cBOTzVAODfxqbkt i47Z2rdYGr46p0qR/5NlGlBAsXStPu2fP2OTFlPVJaet4KoytJzh0FVjegBrwmhoMPy5lcNuVp1L j26ScNnfdHpokJNJ9YDfwNq4+nc0fY5pP01u9qkVDkNfkWbBMSIGL2RVMwCkrucI5PQj+RTvuO8R zdXGhR8hx9+QQNj5jxGGc5POgRIYCofS3M7gdvpDL+qOniEGQs+ggX+BTyufX6s+Xwk/1yWALX0I nm2tT4ZAXwgYLN4jsmi15zDsjdCUE/tIFgLdrvlOQu4t+HyF3FNnSrs3EKPzFwPrDti8snxXIQa3 UgVd9g29MlKFW9yrqPoyEG+EAsdD35//EHiOVZangZ8O2OPwHiUREeMovt/IQy9Zcc9Q/7MyO69Z kb62Qmh7szcvMYJr3Drl3GPeN1WnsUMjT1v2c7NTBWbBO9MU0mVZOU/UYHA5Ioo3jWU0r70OxTe2 obkw6hRbs6O8IZGfIUJdN4LIyICJHxM9p+R7VrW1vWfmu3IzoWYS5uquUZI+tou9uYbtY9DcuaYI SDHaaFwEWHW7y0mLL5RRU5bMziykmMsS8DrQSyPvFOElVX4itT0S4ZbsDi504F3GemBzjD1I4+Pf qxKxFi9R3QxJZ2i5Y0jW4kdCx3C8mcyWf/eBDnDQaoik+XSeZbCRfm3mv55tqIcMQyLpKkficr1c fXlxvMhwIEKwbT6t1bOVUW3Eqz8H0q2M26Wxi7rPho/OibKI+WCIt/w5NgUTuxNs+Hd1nzJAJB/K CT3w52mQSzbE+vZr/WgGkElhZYWnO+PxOPlt0NJnzctMSXeybE/zW0gTVVUk+EBMwUAVuttLXNgb AsDaFj/xkRS2JfJuOTkVyRVKR58apRP8WAGL/KyrecA8TR1OsQzoX6fNEktcV9Gc4ZavJznsjHxJ byz+av0202hLMGHvwURu7NHOS9tjX+68gwHwmeWILRwhJ9sYJDQMgfeDreUOhIOySR6VKdp0Kr5x mJVJIorjOt8H9YACigdcP9VMLYGZgeYND+CBi77ixozRZctrQxJBFUS+2m1YNcnJa/zrvL4I3ugS VPryAmT5lVjaEiepeh3wmaV7o7oBYCJLH5vftDTUt/Hq0jFKkObtNflDfsP83HiCRNxw0rtdNumV 8+eS+rnRJyOvP9fn21C7WlMiYoLHKTcbN02U10aXKJ98ltqgLUc6NVamJtzx42ONazX61ZerzWqD fpiINqcL1kMniK9GNrjld7mjSzp8btBpCKfgpi2VH+iVseDq4ESow1b25VKv0v89tzwYtXce7UmH E+/Aaj1WzLPs9GRZm/xkQ7ni0hXPHubYK5FfVaVsD0U8es6MRNNjfzfp+wqo8/GkCM0qHLzxrz+v l5mMZMPLG6GI1ucf+TfQTtQUuxLTUpRicToLHQCgXRqvt1Txhs0QDsf+lrMTgUVW9yFN1qazyyc8 nY5YWl6khdZWvhL9q1GEG/L541+qw2ZJkzQ2B7vN7bSdiu8IfxEZyMa6jDOee9PM1EjBGlHfLq/a WAPOg7asHW3Ym8akCukNkMKZ/aNMuRQOJ/qgaq7wcYT0tVKYYcmtJVpcxGA+QFIpTmL8qndB6xNH 7FXkyJAOrdnQTN7agtWJvb6tyeJAc2HBLUt+E4x7TromWs0K/hJuEJ4yTqNiPOlwUSDmGqi/krxf lDdbXTIxRCWi3CKeXnh8nsiWrPZFpMpafPdGi72sbCbxy0fvtxExcRjQlZwkn5qQVX572vv0Npap emnZcMqo9ru59t1rPoK8HauAFDx8agZUKkcHz1KmxL5zIIV0i3TxrTLBDyXp1xsiGpJADsUa1txt t6agLo/HcC/XtxWlydVDb0e/xYp+Iqe7Im6UzJZyLM2a89RNhm9G0SjkQqDUZoRZGhCztHGPu/fl gY+WC7WI65eyQKOYnZca2gDFzCDGf78uNlgGzEjawMNUiKB6X1OWxHniNV1XTNuYIuRH3favhA9h 7Lil2+UcZbdpW5h+qg6hd+FXJwTe530WJzotSmVNwwDE1S92wJiycNsF1vu8NOAwFs9162bk5UX2 qanl53yruswGF4n4zsuK8bPL/tIf+PghjszOWPsdwKJqNqwMhx7WgG1w/3oWebZVpPaIkqLP5oo5 15ijV7qjdmLCEWz46AzrzY8Wln8niJmQVN9GRAb1+kODC+8R6y4qrThumLdvMzr6Fe2lkefyx0Dg 26Fjb/6XeGbGVoZTrJGkGHeYrEbijsENOe2Wa5+plWuzqthuDYfBgiO3yfTSTnn8wFe+QLbwpQCE ajKgkQXk09cVCNQFopDFIM+AM2Tb3U9E5lfqJ4mGRxotuGxNXf6hbYFR6Wkb0+WOTrVe7jYwauyM 0K3/bgvafBJB7FURbkbkxDcLL9kCU14jM5Zpc8ZWNqqO/VQjbHLg41hEzxhZt1GTgxmNDq64U0jv vtj/RiUlTAnMRjiTfkLsDrPU4zpCz9I55FOlKrPxYUEh8tpxpKLOkeALDBI90rjR0DbR5Df2Fm6S vf+EmIVoqB7kREGGdFmuPx4sxiq1rmTBIbbzSKWDhN96YdZ3YqQ26f9auQ8sCE16gnGVnDh/69kX RpQD0xU21U6rqU/VK7dF1jUWmrfnkn2aY8eIBuNm1w2G+jt3ZbDS8eN1pemzPrgmzlyQ4wTecscg 7NPkI9FI5/FNQOz75QaRjclnYL8sHkPwDZtLECtDTSE+b/GGB6DmCl0Mbwgg1RvMrGP5jS3Eduue 8jNRBib61KK+oaTtrkANpmBuM94WS7ySxp/4RSIBI1ft6u2jRXhUBKu91Ie2aPLauH9DIHj94AG4 ANfLV3X2wUTH00BzCUTEnKLpjs/OHNQFPk63jLPO17xY0LrHZmSZWPdkJio75myNrXAhV9aDoTcQ sKx9WKktxY3lmTB7msL5Eaa98aloYe+HgQKhLbQjggs4yplykyCGAN2OfGKdN0na+Z4NIwy3oq8m ngyzbHanP37y44zxQ7T28SHjc2vdV8uD9fDIkR36rS8j1oh/TkU2zhPWzPwocvVkE947Uw3mGzDf Bd2ndWcG0TMxr538xjtiV+9LiKJl2emjHzg+Oi0DQc9xYI5k4dvYkYWGC0NoMpHhkQ/EEtPdZu0d MjcTN+cCe9rI0LQwsRSFGBMt3PDBhz+0KymA/LIRaZszRHrJ7DkfixsZzxd1k+Jz93/Spe9Y6gAT fn8QPuQn5Bywb4z/awNz1rPccM+1C1fute6U/PaybmuvsaQfpIa6zlq3/FYy3pVfwL6GBgwfR/rS FrmSGEfFX62lyPoJvVa7wHfbDvSYm4Z9Alkk2xwInT1Fss8+k5cy0ogh85sWcBXPNWFkjy4Z1Oxy A3TFMZ5FURxlST+2hEhD2Ii2jre4AhpdzxTtt0wRdc7kGm9uJPfGFfe1Sq+inqAJ+YvPF4RTASDg zrmibDubymDFKMcB7kmBgulFNqc4zxc7qpmBbpk1HVUS/BDU9AQ/Tr2F6ZWpDaHgdlj6aJRtKryg B3mo/CNhmpXtWuzL2aMHNwv9fgoB18JmYPFDcs0yHpFiGXnQGFKNiRJAagGR49FwKXU+qo4RrMF4 NX25SfdyZgeNaRQZYgTKgb3yl4do4ejzxHKBtReAWw1zcmz3HdcSuBN2u7G4nv3rge8OlZDI5uUg AYJwDjSAl46gAhg9+BVu20j4PpPRDPMBacgxr/Is55FcBiFqtZZKRMqmhW6ZuJ8aHbUokhSs6NNl ZWybSwr/ZGOXSPWJZGEY9NLQDiS3mQmhwCV8pN1G8KEgqWsL3a7u7rDXa9FugDixjAWCCQM1MLKi TgUwi4CogM9QFC8rU2E+5PXiLs9Cf3JIPqJY/m5VrVxBUBeeiC9SJQDfFNN4Ta+hmYXi5ENN9Syp hfe6dX2lGYQnvoC1oRurZh0MwsyRWeVRWO/g9rCwMsOPduMaDM7oaJjcOrbGQGSWbPgKELQELtaj rJAdoJJiVA3QskZ0nlK/Nc9qKAPyLRRRxQ84RjtN5j1TneuEzoQ1ca/fTB4JQVMr41bVRN08ztyV D4STlHfP5pMdUsKERlSqoT7B7eTmJ69QRYbQnFDdxrMCmF7AtMUPq4BCJUn3g9CLcyrTA0UfwVXh 6CS7uniPfi84G7v/jwCScp5rKJE+Zl7s9y1RV2KoACIlTtNHNPl3QJfYP7uOSTWqT98iLViPyBU/ y42/Gy/6VPIOEttn3ckG8ps8sDdXozAzFx6uIjitkih2Q+Y6E6ciklDIjAhgxqjyLVk0U3LIVXym +Od0KXYxm3bY5d5UAyUNt7mVYA8wx5sXt5IOVTF2v/4Y2EHPTPvO+kULBaOz+UEfFl+r76lT5DXn F47cH13cfxBwwu9FLHxwqWKHTQWFD1u/7BiHaRAB1YRDfES8kv/+AbA1NWWEEHu0huEvPwHuSsIw KgYPsAJtYPlIKJbEVNQGtu4LpSGW0DUlP8f8OpUf2H0t76jLOLRAw1r+TCU3Dnxu29X2rCTeEXsv GMq/c41/BB00cuYUejoPvZ4HfNkXjCFeq5QfMJzzXtmxwbTiNjSYAG7kKQ0e2RDzrUe29Yp0iIPQ ZA9BIG8oLH7K5ByUeBWt/TkIrFKef2djXswY0obM4uRA5wOWO53bDGTzE2cG0NQkGbjnwwOzk8I2 5FBMh1TrMM0depnaoRF2l1rMqIvsr2/Xvncm9qZWSC/xcvyKb68E9NcM6y5CC9qUy/NBbhmi3MMg 0ZYA6NoFlRBlxDnJCVGtzxN4DznpofclIpFXd0piNYkFFSGVEoNHOldihEP3ikeenagzSPhAEZEu alwk65xuv3gjiE/7UkEFYrIeTRnfKcdfuOW0UMuMTgpXPsc5/ueLWP/d0VpwBB/1OwmgxgEyGD6G pFnjXi5MGJZA9MBapMtpRuMyUzEnd32LcedT9lpTAAKYJwY2I5HTZJA16skPjfnMiMbBrNVHDTfr ekx+NdZ4P7I8JWep6BZ/ii6Oua6CcL+IiTtvRdGnT9LoqLF43UA2InRpy2xca3LcfeXP/wtNQmVG x3SdDcC+LhqI5iayVdzFzan9+CKVpInx6AJtQwKPqvuwsEm84p/+bYXeX/tk14ESwv1n/F1BfCmk G85S+oYQAYyRFAM6ez1POOqqr/y4BXTag/KRsiqKwt5dRy+G4rucKuCkyhfEYCJ71aO1WhzC03xW 2Awdz8yNvLm7UMDA/NiCzEy9HzU/MshC29NBt/nKDO2Rh1FQ9O1WKOAYQzPb/9SIPnbswhuHr1ea npcadnv3o/uc2+8xpPb+4MRz/0XJ7w2fG9ujacUbO6p3hGFh4CwDVpQbb7w9C2U3aOjizg/5fk1e ilna8OMi6ljYSGO2rU8jNuDIY5EsB+ls8Z+ONKphmfBJffluYugs1jTh0pnDJI6fh4cQ6B+wRk8g sihhFnRymnxzrb7Sfd2AIc4MWqC02nkorX2F3LH4UY7CodC15FHyvuBJWxZKj7fyBQgvHxfRSYKk 7JB6ubdIpCGwk+NC3u2wGmH6pnFuJ4BYYFrHhFI8e1B1DxI+Yv+aVwsBBIfvlg0U44frzU28igQM xM4pHYPHBiEmKqRI3347ae0EKe1mE4g/hBO/MwM3FxwhrkAPlNfKqjWbXCzkq1jhdJXRYyPDD7D1 dlyM2A1MyWEc/UKvMxJy9ece6+RP6uLOwN9BEjmwBvjQDVZkw+hw9qSBSOdzULuBNMcPqiAhxts7 oCpFJDOD6/QCqUmsOfnrI6BmyFZT4u6qC5N9Ze5CVdFH+q9QV/+eVH+PyKE0QkgvHTDRAaomBDGI t5uNn/WdbNl43pzXHLJJ7+kX7sPUqO89wB1mlepYIiXxb2HDcDCYaC69Zb1CYZaxH/bhuX+d3h8b DELuHkOOOlqYrWw4XSxUaM4zK7a6Oh/M6dMWFZuhqgF0eSpAs/owql9VJ5sX4ee0QTaeSGk8NIL0 SInRQodCF3JTAncWmkJSSaeArqsRwYUBtdj7SEGbaUHsf7CtztqPviHmc/Neb5wlUgqTznyCSAx6 eFueyoEjql466FNSxHa1q7hom4Q1+Tl//L6gvzOTQWIVHrvU2fmtIsvd01tBDqnQrp0ugC2RUAy0 bb0ygfwmgL4u0gZw7/9yxBsYP+BVdZoW6ruWMdCHftP2HRuPRG8ahYkDevSElW6QK3BvEwOr54Tn gWJgxTmCct1V1wmN31VqKEfou45vkvsRa/L9hkXzox2ag9CH5JdAA44DfI+ug98sUXE1ibNwFB79 mZeuhcwJzk1UYXoSe4ZUShcuFmLhQtVfV8fARbdsf2nCdl7rCdfuxwKMmQYPBR8QPCVerpbNXmME KzOLY6CUq1KHz7R3l0JFcJUfX+67e/QrDgCPeFkyWvYwPdZsYnFsV29QQeToCAVWtybszg8zqiYH BQF0brwuMmgErYSELsvNkdHUh9yn+CiAszS0ZOXkQy7CHxDJ3Z6/C2zf1iMtOh0zbfrbzeKCM5/t DMXsO3Jm/3EapxomzYFRpIxeSbDhu59jYpISgtpWFq0B+XrPVBB1mSIAr/Tg3WpEnnTznQKvJZU4 n3bmSkzdMa+FZRIZLJhFp+8VApQ8Y3L4AMcdrOLUjanDVZu/Fph8FlotB83afz0DMAccZ+mKIcAf QeO/4omk/SZnu1UzvFYx1WcFlIrLIA9FOp6575yHCK7PH6+/YWyWflxVMR4JGmLs7okBHROOzcx5 mDJRAWCzLlxx9jS36ESxge+CvDH9oadfv5Yr+g64a9cYec75a5zAHxEXjepnA9TlN9n982uUx3Ns CU/wUconPGJw4xRfyPgrovOUIUw6O5L1RNfPTBqxsHzmhXmcv6zBP+xgXXcFCEfFgeNDKqTf2alr bORE0RbZTtCSyu5V1jKxDSpM/mYn0WZgzaib+XiEdAE+nqOYNQpLK5c77dy6JigmYjcEVMcIodCf MXBSbwibdx9V9o3HrLQKvxml+QoxG9sMOQyPN4sJSgevHLTolxjaNzlQKOF5pDb0h8EIKqC4l1il 0Gm0YJIGvCcjTI+hhyfPxwQior4vuou1AcPyU3rJUZFGkhQs+JyjGANkNLRoRbkRzWmJ22u+A4+D L15ck11jkCP990Vad9E9s244js5rLm2wl89jcc00/jEfXtYwrJ45xwdu9j7ktxL5fQmgJULa0Sl9 iSglzRTX57kx1B4JFcuQGTlZlKh8XHJjvM5uTclvxTnim507hgBRsjEUVqINigMpkqzfaH5kyXkm hs57WcjfhttXXCFxTNE3/nucwum+uZ+9QNOqqNzXUrTYoKhwF+xE43QOOZQg5SfAxEfAosEXchVB KXRUurLldY6eOPjuv9mmeH6KBcMTx3GQ/e6VTYivZZySY/5+6UydVmOuY9MQ+B+XT56fWvEbqitD ms3XscTm3l/uXPrjbX9lh9rcvA7UeKPBfvr6capEd9RRZjYnDUTm+7FWclTdfoJICE1ldKrW/bdm 1oNLYNEroT9Q2aqE+LH/nYAB9eNDpUvSZmgtAgu8YimcOE53L6fZ0KcrafpNMx35N9r8aJ+7eFDp BkPfvcezvD64whAZq7I6JkikAUe0tPHOSpvxBH1/2Y4r4fXQEwyr8ORH6UJH3r7gkkvW5rLKCSFn OsJQWDUyRpPobWPU2hIlOZz6cuutykWDsIUW6ZW9RKMB/VZPK66WhTN+NPDLDd/tD5HY2jmc79Lr GE5XGOxHttDlbaX/PkcTtG40vTNEG565Hfnk8wljWeoCOiCBkqV5aNy5huQocdg4ndmuhxHdWhp0 AzMyRWdN0fq1LquLbRb8hSfepLQe1+YHJIfNFXMY1XSfCO+2rqgYXGXxjpNTBcf6T/ICPIhhVVAd +UcG4K1AOc1QM0ZNL5FNK+HtTHoZK9N1S/4nf/FnjjFXMveQzefl8pXNStKzHuNmRzZtQHCcbsbc NIfFGp/JPf7nw5CmFxclicJrBLgKufdgxGNvwZf3ZKpXAYcxGvOwFYfpdm7RnDvDqVwixro4mX2d QCPkZypwDqr6QxE4fdVl8tUEsHLO/+fcOOhEqsuzx8WZPIraAZQIQM+KQ4VnPRiP1VvWv04Tm9x1 teWFlEBSEunK2neiDFjXC1X0HwaXj1/1CjHNTHcdMnKmtdZbusQoA61uiuNBzueQ7TQEQqWRYDXj HDU9ChGBW7WYp7k/Nz+ZTfy/DPah5TKJZrOMC59CD9i8YF1z9jpAG9X/A1yU7BLMuCv2pQFQ/ysG LhJj6P7BzdHWaEwWtL2MDm3EWY8GdsD8mb02gmeN/pBz18WDT2w45dErUnym4JITBuGA1qUj9Tao Y1AnkEbmvX3Wjwqb1E2IEoHncuzx0P4ItaerDWZBId5eFTsYjHrvZ+GbU0jBMPf0bCxe+lBuEwze iTugF0n13WV02BhgNvWM2IJtf8TiBfG2J6+QziC++Ej6fwbb+HfOHsrwyhnnkayt/lxAehz5J/iT wHXNduILwV7HyJuoVqwTqiR7piL2+ZhVYuBxclI9kfAsR4hnkenZY80qC09mW0gS2SI9bWCfmM4N pa1tnnSuuO29vt4KuQor28m/rO5Jwhbd+FEYw5rriqn5dXwRwNST0oUa1zvT74PKJkRz3RE3XwrA ACwHRtjYJbvvtb6Qdtf0nYsNBU3Vn6jDaGMVMG8xe6Gce0V3ZTjxt4v4wEFEBfmteCRsUFMce46W 3qIS076mqpl3raPQFgWgJqWG5Nbkceai5/qovaYjKe0Q+k3DN1xAY3fSIdMTQPUfQYq0q8/pLkdz rJSAOqrV/shEwDQpiVwplQ0v7ZoU0B2d9R6LxkD1OhT2pSFbToZHYrKo8XonITT3W0NyW2JnYq1C g13elb2iShlZgyibnKbDV3uKWi+5QToBhAYNlBnzSumzsamx+w/IvWG0nd6PjxUjq3jSFeTiZPdl fyJRZcVIbbCfi5YMPXReTI64N3I+PAE7kii/glVQo11WhWuOVDqGD08PUFIeH5XVGqe+9JHz8U51 pT0PQih8ttOkfMBlau1nFE2IHWbsJJDHSCXJQbou7DJ7zhINHIjGg/Q4KCqICkDyqsZkglShXjh2 nzNvwSqc2oJ7Et9P83gdjIC9Ch2zRbU9HjF9vCFam3SHSGvVrixAGQxt/1xYMMsx+SzTBJzh32gD ij83L9ik34rrc1WY0+qULUGA3wvKOJ2yybSRIqy1AD+arkyiqm8+IPo27jimMmhg99hjrGm+0h+p QVsTBV2TkvePbz17UiXMCbLNXQlSF+hXA3xmKIx6CBOdwOrXE7jh/l9pPCD23TEtsoIsOZqVB7gG laitzmfIfrjcqOaqDq+I4MUAN0fEtEKz+CYHz0to79IYnfnQShtOvWEblqG+icIrAMZC/U2eiyxZ DhH+LkO+D15IsRoTAxTSBjTwW1ru7t9iZrz+FIAex0LZiNliyInGS4OuWKYFQHlUsntx8QAal5MG zke9kw+alc2D+G5UWRxlElE4gLhfJSeUGT/kwl7tntxIJF8SQP+KKjkkV0kgIf2suoVytS26VFju X7+Sw21X0jP3BRjkNnfg04B5enNw3P7LuFREAJEtouMo/pAabuVJ54XZ4Ncuvl6BY8FgD3ut5c+R NzD7GOL3Au+QQcwVYRu1N2NgQwIz/0Z7hLQ64WRc+0PH7aCD3wA7vL8yqW1+faUg5BC3uElhOO8Q GPWlKFk7qF/Yj09Qh0hUw8gH9n78kdBP3BTZc3KrFwwncshH+aL3KqFetBmXPjVU4EYKBgS6u9YY jLBZhotMFExqPo8wfdwN9S1JhCK2wVbDUwZ82oMJ7yEXkutfKsPhNC9Rs4zbgQ/Q51YlrxtWhhcJ lRBrlNu5ZIXQst3s8G+KvXnp1qFdlmBloGaygJWesGFq7QPoJavVKgQe7hW7Nvifiw9QzyEBLfV1 v5RluXmDuJlEgRNo9a+gqnNYbyJ0neRWfx90aS1W56crqyCV47+Q3tup0XZj7VK2lmyhJJPxYihC PzFDTZSTPmpK8M407zO704UmCr0thN018VYh1dBEunQPBQPVFPKmtzhb6oowaPXfzUeO/u13lA8r vkkcqCYUjBRLOsTPsM3rDOoMDU60GzzeZRpWa9121cmArt/nn8EQpLQaMuBtYP0Z5+31FukyAeSW uPGKdrDRiGkSiey9O7DGLkG6SvebU6XGqz6jbqAzf5/us3sgKBMgUd0WxaOc6eBD2IyUaORmAysn +GurzTETJz1Q825zrUQe7V0WbwSfdHcMUy8z5DqrRvlDOx8FbEumBwEeW3dtz1MVUytHyZLCZ1MY TZ881LJVa1QzX6hj4MZ5ORAnPHUC7liFjuGmcJKe+CsEbaN9DWUtsbd6wAsIhZu14H2PbA8iVt3I VtM6OOFpNWuVxwriyr3kov5pWYGPqZZpBV3+uQObou7i9r9q5HsdBJ21rq9zNttTwqWa1So/62q0 vCh769hliOv3yprCENCq4x1tnFbheHzwsOR6EmqU9pWzzuyLgYxEo03/XeEexozlVQbG8sf64ZNV xpIwAddssJL561vi6b/mNWNWr15A+nscsFToBy/7c+fY3FkQzK89xaGC2SqSb3mnVl1NO2MfwtYP f+o9XnNEpCIgB9RYxWc7hRaSjmnzqb9VvHC8JMkevazzuoA+csXtPhddJ82QXFYA1XT+0hMtmIzR XR4/KFmw5j1HsvW2UokhZ4y+m0YcaAfpbfgKOTekDX5ZezFF1FTtJsRYBXUGgJH3yl+oEb1ySrl0 EL9ug/yOGE5jef5X0TBaHi+FwXJdJyG9dgJ934qG2JBg2ayERZsJzTWS3aIK8/3i9qLdH1rNOW5P mhfwVa/40fwIjV3Z48pCZL4426ctJ95kEinrXd23aBt7TuOjBMItopPhMeg0CKc151nGTsgBIsC/ eN7d6b4wfGiJMwQVbGFUJ+BctE3UKnkdINCE+60MbE4S7wqwXqF+1jY9vs4uoTluKAUQ+14CRyYA lTeplENcf0oS1VTv/RHyWl3McRb6QYib8q+L1Djw0h0+zw+y//QbUYODxHJ8P6sAhrQG03b5Pkf7 K16wa+oGeTI+XBmFC5uj6phoffsvO++blT9CfzJdp2B/SnvaB1vkgp7Yfidlekg9EJ1s+LaybynO wQpDeE10T9QLDxSg1hwvog4I02H+0XsonBO4qzxnZQZwMWBXc6G/D/pGiSa9SIlxFzs4kmq0VpTe mUdI/QS7g525qSyzkpolDL+PrpLapW6z3aAiDDhrYKAL89ZrVVWmKBpzImwXvBGIyXaUXziu+ti+ 2UmlxF8nWd1FXCp8uP2Qz/nvyBMbo2xfk1mCbyrrjzans7e15m2RoqANqMe1sQ0LnSUjPkQ/I9hF Kbjp82xYPj9lDstHeiVDTtTWSAe4jrtKFbHvtZQSdqGcnVA3t/6vBlu/GMqls90CI5u5mALhIJd3 n+9+6J+cp4jbrJVEBm65MmNEytg8bVaOcXCrGagzSCjpVuV97qMg7k52LbLvWnPdcPSNJc605Ms+ A38Qnx4Mep9/kLDjG5JWsjtxuE5xNxHafVyYgxdP7GMYdAVvKTlRuTbRBrlt7EfooV93sr/dYYbd v1DLX5WtUNRLo6b/PGWhOAJtSF/c+wM2VzTRBHwMK7V1kODuyYHlVgGT1/dl7Pp3akpHqIcw4E5C O/8Ykvy94KB0nG5kGENygzh5Lbl+zNDoHjKVcboTfPMmzt+6V/7Td7rYIYM3CSBMJKUVIyWsGGTr wmYB7NFXXatazSK2wxqv/1aXVpSKaGfozbDUNuQclfESLcFXUttaq45FoqC3JVnC8jHd/04F82Hj FELFJDnyNIa7XEZKkBkjk/Lr+iORt7Cnq9FMrxwXh7TkPKr+zSIPOeH3ROY6Sk8Votze8JIoB876 6cuuWWtMGRcmmKH2rOlev12yenTp5g1lBvccjjxKBUyu7zN6PEWoInXBfAV43bdvdVqL0RiVV3z5 tnUkdY0HyW8hguSHLRvAXUqmvptlkfr+7GklGQUYO7/NsMIuAivVk0GWeEY9MfZ37sdcoHfGRAEs z1RP7NRYJNGP+rILNZYOr3hMYYBMcnuiL13bkegUJVFGBGgWQb4reXypvNqK+L4XWWXrJE//jVWi 5YsPxfGjz/S/0qNiRP8hRft4c1mr7rv6WfXxWVVj/Gac9P2FmXyjVcYAYbK9cIZmzd+m9QVggPIJ xP+KA72eSIEhpS5Gn90R9Wrz44K3tM9ZQi1V5uBFzNchFjaYlOqRLNMngqAsd9itYXCmW653B3fN eY47tR3zrZm/e38z+Q6gCIGxWXhrpC2eddcr7+n2l0PaULmmja34DJ5m6PC71+WuWtNXy37K1pQ/ PwvRlDhxBPQx5JvgusVai3Nxt3ge3ExI5BzPAdUxve+Zm3Sl7w46FgtBMm68EETX/KAWhl+OoaBz a11lTd9nv8TzWqRPQ9h39aktn7nS5nGKXGWMeDcQ27HnUgofpgPl+YFntLPJnGwyyJMZJ+JfNag3 o5ZFU6XCySltXWGMOuDbZ8CmHobe6s6doEEZcfXq/C+sqDhZz4W3fgk4EA1M4qt/qJLW8GPA/Ymv 8fKH2cY8MS8VKIfIah/AVdbwgxxR54tJFqN3h0ZLMWVkWt1faMM/UuyV0ExspQQBf0camykifWHd W6ND3IQ0h+BZI/exLo0oYUEfi9jqoIYQx6sXC4fQT9rl3vagu/cAWYijbWM36XMslomdlac9Iqj7 dEEqdlKcwEo7oV8zSyed/pNv7FM43iX1jotiLHsaeEFTGiHpwMObT/MrnvfgvBFG53ZcFSRf3nUH I+3zPgOVPyqbOra+RCMR9lBUJBkCN2Y9dDaQXlc2gqsmKGuN6unxNzcdmgLnCoru2uZZMeD6pojU oJYDACFgSUrLESR7K7CSyYinScpCgO8jvM5vm6MN0DtvTLwJHBH6AIgaX7F0f4osQRhK2QG9dHuY GerpLEyG0kHvQjF8huiY0vzsChNb4TYzYi65zMMfZuRUOJ9eCpvw2525XpipQ2KJ2AhQ8mJMlAOV 02QpH9TAoy5NNvhh/Oxw4/yO3w7RZ82v0axB6BNSnKNgVwl4uuovugqSbL0JSw35B8flBecIAdO2 aKHVMDYpP2dvIKg1HxrfNjaMubFl7mzUz45PhnUd9EvkTbh0tYWkMCWF7C3t0eNdEvjSogwd58E7 TV7Y9jgdqbcn+BjiT2Gv4C7dCA1/1VppVnZXoyfbi3uLG4gR/hwfMIu78vWtrlXyeGgaReeh4m9H tESuAeZwjLssgBlYBeO3Dnrt5eARHYJ8fnRo5j2H8T66klYVPgUze4slA0Yk+feQ44oNOIMYXqp/ xsBjf99LEU+G1hTyRmecTSJHQsV2Abwtl5vM2xGCJSVABuKHzyscJlAhS6I0BxIZbLJiNNKiGH+2 qYsOTkVOBq4fhFbOHRzPoi7y474AEi0ANQWzPKcybqAcjRSINCI4aCtabSGXY1Wd6jouMLIUpHmN S5L1vO3rbHo4nX7dDnhB2Gf2kyI+GbuZWNMP7SXKH3JnEHsEGXYvS9Rr8agDNwOZnCpO0oA34Kl2 2o/QQmP02nWso2Eb34i9zFBWh6o0itOXuijXKQuutUfO2WL+EapoN8HA/DdzPJ9AfZU3nruJjsRP LkBhkn+JH+vtDPWcAXUFoQrZl5whqTXQcNvzgdcPe9hG8zggWYPdMZmXZXiv2w4qlBtIzDmyO2EJ B1lSlf4sYI5Qsj3K1yasDgzTEcwvsK/dcEKQ8HE2ADn/PDfgVRj+5yckkXKt+XrtTG1TkpOsMpJR 6oaI7l4UE/ca2+yBhcSRVxgCxUeTLDLNTjnlQrtUdLIWbJHlA6lmzvk2f+tMVn+RuvPNlHBosXFO bMe3e7iai6l612HLvvx+LT8veUXKhwVNtKe8QFrzZ+V8pwsGmHSK98SoX6UITFQTL4XT0/DXdyxC 9QHJ3GsXTYQSvDYVBPkNWk9XZd0CdvaF89ynC9ZDno8k3a0McAVcYKRZ0vTFtka77IemW/R1UZ2x a8OdWwi+Dy9UzvwPFzj8EAx6VWAl26CL58TSIN/EvIsXMwxLfkMy1hcIxGb/o2pIk60144uBDmaV ftx0df1cf1Uqqgn+FlUW5V3kF0Zx0AOotQ23H/CuPfoi6ayTH4oMsraTIJU9EHLU5/c2RcN/1wQ5 6+Xis17Kiph2Q4PBjugaIOH/dEfEtWncCW3+iFHjFt4EIZN5UPZV7vsNYIGtcvqorLcwnnYIiT6I 1YlRe8HCVlNyuK597LGZ5l+TeMyhsUsGi5/TJGpIIBfDMQsXg/q1wxxXMYaMX1WpFDXmFWrtd61R iGHyDoywyQZmlc9A6otfnR+y+QU6Bm90zujuZ6xtaxiIbayPLzT8Gzr0DFZmuAxmlhr38yuDNYOn +GbI2/FOZmirbBHqvZq1Rn/MsgwrVVTg/KeSmSB4nIscVmkJw4NwWSzHmTU3Zg3Tsyxksr5fyPP+ tu0VC0kLd4RfISQ5k01Qr8irEG7ri0cW4++S9/8jVl2+EUavW4Sd0b5OGfjcYr/FIxoUSxfmqERq dEPHzLayF1xCVLATK/7KJyfdSKGchH6CYGbIfnCTiXlxjGPbJsgGyXnKxNkOKwFnEi4LbQTJeN9b tS32QcyNkGNGdCfKWfCs8bxEFZQljFjAGIBWz2WlkrFQBmTKCkGwGiZ+DISzGq9z6DV2qEX+kjIf bfbryi66vXTpElV/D79460HhqjdOoQsUGXdZIaeKCZIs2WfhrS3l9loQPDD1yFDu4R3E9CL+OX75 FR5fN/KPJ4GtHsnIzxGNTXc8Ts+XcchFKU5eapStgOLPakM3UpOgWSli2ABVnbUO5Waja5XsgUH9 E++OPOO1TURMKgu8CRbbpZ+W49MBE0dakFwEuxMXrxt+iW9FozfmjH8znBb+Jsf9CmzuqJP00vTu HEMxou1b+Db3Xk7XF+ZqCVGbSEeQ/OLFBLybh90VRcqxfeV033P/J9mtn9pk0K39iNH9kG3sQyEh Iez8H/avDg0XUtJZnqb//6WIaD2gzME5vQzhy3qBvY6HXh54EuYynIPPK1j3YYamw74y7SeUf83l PHbfdn4yAxrVjKaFebsh45oAg449Hi8EhRVhGFEus50/SzccsFVv0fhLRaO6u11P0qjKBUQPl3uT SiXIZIVbiqp2ff4UC1IXtKZMe096CowbE8ihnSm0rOrB3miU+0KzjpDUja9ezvHPX/Z4Ylpz6wcu DR+mBBvRq6y5VUCnxtGP0phzX4DokZzSCzqQN+CSCvtrBkoZ1F/PC1O43NfyOStUihMk3Fe3eSQB vCvsWbG+3gE5meprC/aiEpkbqOF9qWyAusdsBknmlaiZyv2Ih4FDRJUGGtWV89XSj/uHnISGw9R5 RsgfV4UYkC4GEA/POIlvgVG20Zny8NqSiaf3J7FkjmKaUm64iJaH4lYWc78NBhlPDCRg8YAxt5XW Y0nFKekzbLFTbWUrlbstExrW36jUt7Mp9E1saJxjkyfso8tYK2vZ9OF8M7zWVav0bMfGZPCT8zDO myBkbgrdDAUiZK7S0blM/7m91VngrlvwkvayI84812o/mDig9eibfOpdZPpA+O1sb1T/HVfb+MJa n5TthSmReGr5bQhunvZFXxCVMuIOXGURjZPAUovKqV8vQegQvjggvhmVvIW58AunDjgDfQByPQEr 6vd20iYJgHluo01KeK4BjNKnfnalcp08cxkX/t0RUrXUOSUQcc1MdbAcFC6bwZtyMncu9hnSKZPE zybHk/SCMrWQOZFcvrM+3MwoVIOeFEYfsJTIyXOPHHAOVYHyuZ2DhIWGEiN2AS92gTBlTUsqbO7u Cou9J22BsXBay8OVIRSEYD04ZW/H+xi3ItucT6G6kSnkPnaQ+wFhPk8QLuLPXMI8B5jAs2mdYecl Wl9gltemssEi+iUD1h+5geaS6Bfyb34LpU70obIGK2c1ltHP/+4pF0AGGAX+nblxOUl+GLilPw6r EMCqc5s/888DGnqQo95WARnSZBOAmjHL/n10yNcUBuAyabjg7qe12xt5yF3W18PGBB7Brx42JsH6 1TWs9y87VyV1svALP3RnPl3pmzA0JCB2l4IBeHBI7VgK0ucZUWExCyGb7RxsB90ig5uvRdkSf6VE r/wYWb/6vfvcc9OZYG2S96V2rByKruUosBJ60G4RgF6RrpjKOpbcfN8LEQvF5fxoi7CtsLNB5X69 ob33ef+3ep03mESxVmvQvyfYpi8VW4i6q4p+v594D+rbxg5TcuNoOckWI5UD4u9n71d4ntSZgJwq rNSJUhse2GUwCedzQKeZG1dno3ejE43h9nN5EInEZayUkxDSp3HKf7O3K3rqBUDU2vUaytdJNLhD Q+FNSGte6n+KxDDpjBTmJ1O6Tx6AuWR0n675gL6xis0s5uAiEPF/Cb5WzKnCVE5yobxELRr2ZMTS pYDMfIAr0ZO5tU1UAHc+vq7P42V/g7oBzJFO+39P0CeO7gLNBa49YL/8DZrLSRZYRwmyhO/brn10 YJ/viUQRtO5E2UaCZ2LS917V8atSx2hO7WSuB9pM0+z+eIQsxxpdjsMeHM9f6kEz6WVIdyAONblL /Eksf51oe7APskTNGvQgxPxfRW+EDX8tCfL4WpFlB+/VV2qzxx1IjGsCs6GAJfTL0DLPFCvjfduM CMwYMxRGxO7x3oAL6uw9WPOcwb9Gs6wlfkDp1kq2e6IVb6RAJRt4O6xFD+SQLlVDvYQslSP9+MCz Ns/wRAfD90OQZyDxcLt4x1j+aYaI84cjvGdSbcrf4BtAOXvBmp3t5Z3Es1RGsQdifpuw58kWoQpE Fu9oQBFtBTAnFW0nWQvwG9H1GOo4x8oDgsXjh87MbhbZAiQqAGC7HFzd17AuvCATapeRROj876MV RAYn4XA6ioa9rrRcQj/OF/3w5Aex9NaEg5cBmU6qTQCc3Z9ev5wTdxaJzM6nh0+gHBl5txjsv/z3 PNlVxwM+GrswKGXYAci88HG2rsT2Se/8GJUfl+lfOit+1bekgAb+oYc7MgkQmAk/yygn904eMlnM wvUCeW1G+AZBOL8RCaugV8XOmY9EgNh5geIQLPJwBUxDZtLqR5b5DxqNKzLX9kiO50pL+H/vJCzW cdHTdL53x9ygb8L4AHTxiG0ztbFvb3LaHTrcjZEGHB/lRhzXCbtDfDlSOuuebEv8iyHqQIsG4iti rAzRtmhnQXTx584npmC5A8rSn6nnjwR3U1tZ3uqz6MF0jNDXUx/0ZFQOQ5blkNLppuDDZEMnbKZc mvGTsowHrQ6P7vsU/RODOcBvTAJljP280oJoFGa+XWasDJ+NX64Y1nCTF/KxLGIj7w1948ZjPMon O1oWdtCotcZPzbGtNA58AbT4DgqYxoEpo0iMVFiUpk69wWeGXJE3iVygpOiT0nKn/NW7bmDxzqqc /S3B7SV3vmmHeOpBJSzzUKPeSh1L8rfg+bzSxmhLkxwvBTEktoGimg1ADYSNjElBDz4tlMp/KiBt vWQVx3Ta20Tz0njh5g6Mt8bWxF3X3B5b3XjHumTtq8/K4qn85JzPzWA8LsO+VXuQc2HahbdsHs00 uh/NHARQQbpVzzYCh7qZ2lJaBWKFbsZ27hHig35V2Ah8Mbekb52/hSp4aN7nb0ftIi3sRZB5bRW7 SK/GRm8wufPnRs1Wbc7fmC1bwNR1hSMzCLqPNsc/1sl4i2OYn5IS+s7AUKqeZBkdeYzwkA9N/ybp 8iF2BagJrjonu6h3RCxqgfMUANJGEbTS5q4ZZ+U55Hzs1EEO3yyYANscJNcnqnXTrV5CJznMUY78 G2b6F/+WxRrP+JkwlAVsSC5+A0vTizyJZ0LwM9bE13sEAxlGOVNvqAcOuZTNJQe8wptSGoWU+Zge 6GAbSabtfu/tLI9/rPGbgTo3k2a+jFknOXlql4b2+QVVNM9LDliSYqoRyWKvb8Zxjlwqp4wcDYQS 01eTAx7VaNV1bHuRVZOPCfeKqsre74F6fOv8nffJCEAPIc6Rgg4dKzi2ir9UEHcIq5/jbzDdGwTj qMwp5FkARtxy9Imn8bjQlzKIIaOBsm0hgQ8ybow26wt1LaxeuU6iTxsjTrgFKuqUI3xdl9u1P4LI NblVQlzGzcjrL9TjFBSQg/XDrieODJRfbHnq6YvHXIvTpfK1vj/d5y/K04CjkVvm+AuMTUr+UnJY LEHoor5u2Y6cMI9deBg5scuDLOZorULqFb6rN9kkH2piF+VUWuWID5hn2D7KainJCw6Wv7XbKxfj OIjhakcC1MywrspRIw4PLUKhi5QAiwq5gxVMxt23x9+0bOs11oF0MoShBqIO8mqk/mQx5p2EPFK0 QMx8wZUkvOUIiUzk8NjgnV1rNGmaBqQFj4vYlKy1wNCIZMYVUsFCbJ5XDRx/7bZVkrmpe+ojR6Pz lsJ5VZuuyZyGXxlQHtC5NWlVzCfbaAJIOx+y9QIrfCXobUsU3GgogVpJrssLFlS77EgYtx4kux71 Ps/kiv0spuejYieyDy5GhsOwqjSU/L6majk6ieiWv/Rh90UZ5NgeH7PT77fQ7aUiVB5KEUO/3ATm EokyPrQaVFeE14/snvEboKh2Dx3u6NZHXfKWDI2vVF5Dxc0pzPsZ+FHSmwcmTPG1u8xxLjYR/2jw DWqeN50TuaA/vB/RaSkYwVwQcdBqdxEeyh0pG4BQ4pBGYLBk94JV5yC7Gh797Odbe9QK4NRHce0N PALbhjQJN6DN9pwv9LuM2Nzbb736vGrkW9zoM2yisQWKChKP+SF6zHMWM7TQRHNR3FKZXYH8EZ+7 cLtTZLWz/lD37e40oeuKo/ZH2DEpHBbs2WedJw5MdmSGX70NJECHCPcRsUNYgneZvN91Cyo/QgJB TBpHj6E+hLHZMgE9sUUhtjufOVMvHHk5H8VnBA8l5N9NdwEFjRN2+sbC0vFu4ROuAGmPQikpQMNx YLws/TYmJZwfWbL4bqzs1H/MKVK/ez12V1HklyFBzbBk9ZuZEufx4UcM3AWJa2ltuUjXR5NIhEgL 0m6Rq3Rw5xAVaWE9uxQSbnrhbQCWlGlif11V5zklO5hlNL+LSjfg+22r8rVmqhQ9FngsCHAkIwOD PI0nwj3jd98KIhVR+CXNlNmznE4/iTCgN3OpFmJmvMMkoL/q224EPfIyPUjQTEuSU5loZZvtViaN 41VrHZ53p/9q59adHPQJYIY9EyNGLhAK9sm+TAyySvBBN15rzjc+0KE/zXakQLcL7iG6V2CX0cuX A3yb/7HHNPnLXu1YyUxCta+eInjuR0+RxulTQKRK4KybSVVkolJz312AzLMSJliim2cBdLNyOp/l J7bzh659q3uzKCKMjvYQ/vL7eXX0wnlizs5TpycRj5gqQruJ9wlSnhNdfOhAbNExWRtduRwdQdTe NeQJjAR9Jmjxd4TExQwCQelp5gjLL4yey0kRZ9SejsL6d4DPz6BEJ7ONzbORvx/teG8Nfm6ZOAwz k/RcEzcnH6TtHjoGQJN6110q8Fab+qtSfIVX5p/Z4HKYHJlpThg6TtacFDY1GVfdFIupovuPSWTe CFELW0je+G0oyOZFmInskoS2R3NjAcN2Nk0WhgBDs4gLUoMRmnnmkP0LOTnBlf3GbcrDG5/ar5v5 ewftPYNPSiHekZ8mLQ2z1NhenOQ3Yh40/oMqMtuyyXD8LZSVonN/76DlN4kVkCAx4isly8qVN+Xc 6rV5emB2LjJZWHVewq61nfd9NY0FpX5NLJx8oV7lyRIUYL8d6mbxqTYZ0c7f+jltljSPQQlcmtwB Yj2FiFeqAWaNteqrMzkKKVKT7doxO6fN7yH+dtRUTY/aoRYfDPwFz1jtFaYYScAV2BX8blDLYkp2 Bsi6vnd3vwedIsWZiHzqXZNX68vYryxg0QDVVDOCTvSzWcs0LL95dqeZwyOv3DCu9v6ItbRhKXhQ mfNdtV7/3HdVJSiAXxTDZcEXEaU1z3i7A/EvnCOnkdbP8cvkdDsOGOqJpiH/IHv78JNgXyAScHi0 0zg6X+Bx7dPRHi0ZbByiGDI4l1H+CTfiUZxpBGnswSlMHvkdbu6hJCDi1m5tj0AVulJ8WXzBA5j8 3ukDNFLLIJzD7CgEfYEiXkAwwmFuWzE4jnYuxngsSnU2qfkyMtvYeQfwSwtq6ojAhqRi2S4XRQUL zi6Hv/mrM56z4QsgYXrrPT7vlkn+DhUhpBkonf/mXpmiiW8o2s0lE8Z88o7hILZx55HSN4Irzf3P pYjJUbf01UECWLKCHUUe6d4lbMkoxeUV9tUUTZm2tPEuV//Gon7ATLJ9+3IQ0wA9XRFxDOkSUj+k gzaoG6Tr5je/tym/pRw9it8YQSTVR0s9W3HNhlc1fJHlKeKerdOuLQuUZ/+L8bv3tQECbL9ucxNX c0j5M/mKCwlbDxA9H4FJGEuL7lusMHf2i0zz8U1XZt/FCuGDWZFGHGbzKz96jkAEYVpjrH3OqTgq 6Lxz4ZQNZbv6DcC/n5bPDGBR20lrl8l94/w+9aF9kFH51G4oMQFb/pGEYXqaMpuetWinQgyEm6Oc ZB+FBPes2RjLnhNbe5sby5yiU8p91l4kolD6p2lQtgT4f9jHHLR//U7oeHFjVeNS94Z9CThRub54 xxMuNsXahJ08OGW3opbT4HR3zRQHPZHFw6P7tVYQ5UNRMpn3/Q28RsLHZRMC8hxz1Spu0QTlfWiV PL5qkwcorLJn3OeRksNaLWHtT9g4sJmDIpbcR1J+2Q1Fve5CFH5tIkQMcUFexY9DP6Xtfqg97udz 7UwZqcA+XrF4PwFtPBUZoNa/bgvJEENkjmWU77nUuNkqwNNr573yx3w4VdChq+lMamadWQ/0SI3V DZW2Y32VpAt32CXxr6teurPaHtNuaPpyWGcCrx0w9aDr+BaY7QyEiBJjjj6ZkdFyqlXSv9QBneOY aFnV/Z8azZLKNwZrs/aVdPpHgz4mjPd8eX19oM5zJnaHomfsHe7b7jDq/G6/nIrNHLYeNL9Jpxtd 8A3Oc4/L7TZHlxWWuqG6JM+kXh5gkAKs1zq6YIDXw39WvXLhJiDbGqqR42S1VkBaeeQgp7jqHW3j L0eEyI2S+C9vgDK8WhzFxeKCadUJ7z/ttLi8c/rG+IG5kYPO00QbixQnXRpOXUsCwwt95uHrCrfV CiW94gpE9ysp4X2Ew7JlUGBx/mRW4rD9ku3gDuyauTAlY6PbqnfGJPr5e+DrYvsdkCLCANR1BE3x ROgg6xQTMm4CqCvqJXqVTQpmXv4mCGHbx9QO0d/M3ZJFFo1AD2fz1xxALM6Li4HsIy+qTpgd9/St XK3a4mqctfuc1AeFdHWYwNz78QhBDZZ9UsbQmXyeFHtIFHkUTnSZ0hN8vUgNmUE0PoVHqO2JZw2F HxfFVYXLRyX7Z/VnVINChsRcZrb910npvTlfRf4etKNn/d5ecLKFStF9zyHB2+mF+r4ZsKP5AaPa o5sK7Np9/4K/PyJVj6m+m6d01+1CHiBQbr87gSTFqbhSQx/9fB7eANV9gI1HAmPE95WAPove9iBt CfyqdTO/VuOzWhGOiEQE3Ho/vEmISvluQRGu82lqjFEDbiJo9omn7jpw536lQR+cc7IMqQIdudNS N/HcFWFFUiq5GgiqQIOti6B4i+UxgIhuqC/CQBFX32G2CgwWvPrSWMzl/ww7Je08NOrgK+9fR6U3 DMEE/bwrL/ePMnzJbAObGZ1iOSWY6FYuO75caCJFbCl387FoSQ0TDgADcF+LH639QCTsMIvu1d/w HuXyWL7doEXaAXbHXTuvtWRZmMEUkUUnVtQc86I8oPbm78z6WWreKw+Js5ZTuBgKbJkR+OZjnE02 TWHSd5fs3Gb7p9bPGroaVSZxEgfrxGHTsQes71NB6yEo8qGKgFV6G7/k8quyDhCfVzsOKshqCGcp 0GzVpt/B/zOI/WhwIlrkDvqqVKWigLM412haomvlChqbImzNGX+4NvTK2eZpTCkOhGtdMvlu+jX/ WmGFnalG5krbwFoXRwSpTqzWbCTD3qff61Gxhjmf0LiGM3IAPwNA7SURQjLhlKadc6OtFRjZ86G0 +02qZO5wqQhZquqIrvSDehKF27fJbYyvupMzMsNRhGtDYMAL+wsyU2+aVU+8VqgkvvkrR30D/cQQ 98nyzk69Vg65CZkkHOoPxEQ4H8wJ/gHnmMk4B8WXKPefJsQji6IfmpQIbxJim+E3ykYeWwvNTdU0 1s+wLK673NTOjvnjk5nrpXqQrGwREDC5l1kAEIeS05O+zeMcPNV5dj6G57YXZdX5PPBrR8SW6fx0 r/R3pVbaGUZd0nH35aNyduhT6jw3SiQ7H4EvKkMbmiFFtnjZFwU5ZX1JscYCsoEm5/hIq9Jc8YJD xHEVHoG1v161Q8qEVOJisANTY83fojOflp789dSg2DJo3ZGgDgHCuMhDvVYcLuCRc953YviFmAkb V9UCEP4QuDTRFyjBLCuG2IGpp44zuXsp4f1i2eiYmtzbgu3VBkDJ4VtS4cb41ph+LoxpHpiK1g8n zH/nrCtRE1e5t3Yulxpz7aLHBcBwz6bvgMWFhnN0RYpwjTzWqJ/OkvvclWALLNPtVUNur3Ko/Gnw vL6Bsd/PLFgCEmKiNgyBfYoL6k0/B3KZEE/zZTTeyA8q+pLd1ujszFwhdUExFW8lCxqOJmq/Ky0a 7D6/Orr7Bqpzljh4tFXLzaZPHg1bkCoYAP7YCWwdfQ9Hny+8yvlg3sGJVHl9gmXiQkwo3yM764jF WrElxo+o7adSY/4KjjwUF/EZZcmyDVTO9mnXIRuUix1a8fXRU0J2hovmo/XmXwihU6Ajlbks+T6m gZyh+XwihUlt+eiCxzBn0kUrU3zRiP/RJkyX5ojFfWSvwHDWGCw0H7qRNrruC5HIBUjn1vVlRDSC Ll+3uehtICV9Vf0o45tYrlW4MECybtS9kEWHQiTfH2qpe6VgkmxpxhrI8V4cHpl+H1egxMOXWEm2 ZUB/fAXPoqVOHhVoGJjADPcrGK3RBGkK2F441VHimJst4Tp7sEy5OISPJxNwVR0xRHdWqhZk8xzm bsoT8AUkSPS3jtikVs+G7X3yJHCl73V3VNBkr9/tEp4iZyJww+aJX5Y2CS2ZEhe0mq7WfbQVh4PE vk/ghQRFJSQ+cSvYpBGum7LCUgyhYgcHK436xgtZbEhLK5uc/8vKDHdwMgu7jwBNPtWoQKKqVqDJ YzWuZu3C2XPdO2q1NkzbSRxWp+WwiBA2LyZAdCJK/UkNng8Y8fli0dAscsY1PWn0IrM29g8eYJPc wzjzzLYf0nd4xsoP1urf1yJJ1lb4gUjFmbhRA7vP9CMqxjcZoj3Rn0ePoHhf38uPIQnQP9338qD6 wu/cCw4+c/wdZD05wulhBUlRKRv1g163fJtW3Zjeaa0osbWb1BtB5NmxlxDa5i8XpRXw2ZVghglS XHNZOZ9ZtBnuMQoPrSYSU4tJiCvG35PUZFzWIyjEdhGHZUHN0zh3CA41wTJsdltdmdL2QtETQbaJ yL2qprxYQ5fqg4mZL/4bI/yEQLN4NnlFp87cPO524D6r65bR/V1RZq+BhT3SKAQ1Zdqdk79vvESd nPABRyZglSiU5/S5vux9q29ze0A3Au8pFKfSzdn4jw8o6+aiOIxu7cB2x7nT75+Fl0boXYXxngoA CXAKnlef3jM915Pw7XP0lq+OdKtbgLXZrvfNp7ZAUiTCyAwBO4e5r2uyBE/FDGqldggvnYVWH/hu T0AlNRaMMPQc+g6YspiGqyjExlnxHSvUIKEEdrzGjfzWhs/Qo6DsVVYCnwgXVu0ikrUwAWml7tIZ r5OuEN45uph8AwYsO1bPB0phdsmDzOV85bosWT7VLMTdgoRJM5fAI4KahNSj6wiuLql6/tz13LF8 P2rUMwqBcvjOUK8NoCCd8jfDeTpX/II52orEB2chUkkObJ1QJLi/Smj/8uu0Ejj04rQ1qRYzJc2g XyP2QOM5uOQnn/BVJII8qEaxnCFux5LNfqLLsweEyRRoxEHWCbcgPNYp5wFCrbPdv9sqP0e/cuBl jjvGp2fjaPrN+BSsGNwhlzIJf+aeg+F9b0UMTXR5ZL/kuSux2vLJJb3wtbWF74TQOzJg7uSHYffP dEqdjEJR975Cf58j+JQTuOK7RFh5O/lMPVD/wOsQZ0iPu7fwlOpo1+FeuL4sf0h7f/TWxdH7jGqB kTuRbgEZB8De1w6r+CJsMu0xWYFw16IAdCsgsdh/z9ReVUId2HVcsmUta8cxeOvXNN4tNF7A7AQH +JjHWCkrtIm2Dx1Y9y9+JvFS91E0tEgXY7cluybhJBxqcVtCsCvc4AoRfUG41K7s6xhLRE6PHoAW sIUdxkagakyLB8t/sNTS5Bfh5f3vSI/VGxljxJFR0UsNXH8Cl6yZlIyfLMPyQ7sn78XrTTgOgppx y0xo/FOvgG260ytN1C5OLztnkr1gcOCvTEyFAAb1kzKU1VjkbeRJABZJ4hZ1A7x6/4SUNwZYrCFq 8RvQmA3kbaP2hz74Ay4O603u3G7Wdz9JmwLzDHhpVbeKGUFvyiyicd9JXkjmeernVg/3xPOndvTx KKJU4E0xPZ9QKjqhAk0SAWW1SJ51V2oyPrJgQ4R2dFcTOa1D1sFvLO7VOs2ijBwT6w/zB4/pzRae lw0L2DiwRIMCQJwusKrD+nfafNq5EsfyYVhsxhdXpNlxItGY1mvHYAxzQsMUi6uo2FwON1aw7CRR GIQAK/vhzdOX0XZF0c91lRxYTWKdCwY1cOnJrb1cMb8No/7Ksc8RZQuCKvFVM9mf3kgLCkIvOJGN xxvlQA5lCM1JAFIxvKMVA2/mN4VzdqkKyFV1QMAFQKiBmH1W1x8byhw7xgKTDE6mYDjai+HW06u8 zwmwJ2AXn4LT3OHd7s5Aps0vtGCgGI2Iz6BqKRreY2V6Hz8Xll/lEG3QxPNsV6xUuTPKSKCO5bXP wgAwqtV29ejmXyUMF7BVjc7Lj99SwszGi6ch76KKlv0mPsz1Li5Vvgi7wjYJ7npccwgaeqlbJc1N 3SMWGyeUJmhAwIkSCrUsc7/0k0PwkM4ck0TPvKWnvEL94CpeTtlHJ1yQgR1XqEOW52gRuQqwrJ4I 5R0//4iC/KQ8BrqwZOzQ3j3pCdRuqNhYBgH5wIywh71hUSZcp4ARZbO102e+6athovzIozrjTlxO h15F5XrAK0Psi4vu7GcLAc3eJUs+vTPkK2PMKBQWjGmwwteNtKpcwC8kU72ehZK6/qDxfjtPlA/y 3oKABEieNPDhrLwuAAxd8FzELOfMbE00OauDSTnCHTuDiPLPHf0YDe/HcEc62Gi/6Xl7PQq+Xd0H V2zj436VowpYeHlVOflV8/6sYqeEZfYLxXDEgk5MuGJ6DvnkQXTgRc/s2CFaHOEzz33BVyUppswJ 9gm6f5pTSlXsOwPi8YYDb0lp5PJH/0Vuw4+cM5PmCvq24mVVHmSl22Q8DMlte+E95bLGpYxN9Zag uFZPcdA52iowibP6mYsyNQm+At9caRiQWt2d/Bp1pMjcyyfYF+DJJ0RRRMkDGUDjNUbYssaRlxmV l6oZPFGWgVyLb+zgHHt/bDetpaAyQOBrtW1zQze7qgzMFor23oOhSU1c8T39ADjIRH3hqFYiF2yX I+Y3F99ghsWiEOcW0h1nRu/mUsNdECYqT57pcTK4FpKnEG7tby6S2FW+ewzPAGUIBh/P/j5vNfvH gNHY63FM089stm331P4fXsSSXHkGMMMlk8BBqKpN62uYBRuo454u0AUGNbYnZJ/8IIIPgS/h7hmi RoXvAozJsZdZR6AaDm3t129tbSPSZy2YYNZOE+E0gh6OzSxlV379phBwEVwCP3Q9SSkRLKcYDCcr FHazX/assMVfJLp8Ehm4vZ7FKsZ9ec+1oX3cAkeWWaG0ft/8x/+W18eio/4g1B3zQrW3erQ7eIuZ hJLLtP3FjstN30RoQnQ0BlnTBHlWwt0DVxbHsY3sLf4LsKITwijprlpCii1sBlC5fkGyjPodWOGd hl79taAgp8vuc+c1lPyNoXKp11oy8esBWBVA6EM2YibM7rOBuhkHl0rvdY64umLntHi3zkJEy3iG 30IdUSS8kPZnFzkVqOOnzGpKF5CWU+vy8UYQyNsEl9o20igOv8JalHSrti5rbbvKv+a56yIdYaF7 LKgXxflBWg7qJWQVQt3bMQLsKFSVCW6vs4ffzWcL6oVDW4zX53m9O++tzafk78ghXs8cSj/9J/dz mj8w84D0x0NOPnsNHlpHEwXb6Yy33o92RqyxL8RG+xXiVU3IbOUFBzN0+EByqTlPSUg8SyhP8TJc 0moVI/+3fLFVt/gtOUIp7tca1qSQFlvlQ3rtpZL/X+WwnIGFQW5ANgbmJWlxsEi89AM78uxWI2tg jAuoLqlZ5lyc5giOfnODKc3d7FJenbGgG0x0wD/zyp1LTC9PuPiVoFZALDMbjyBbLG9EY7xCNW0C bMqqceBFG94JlrSUeW66OZ2xmazbD1ixJpf9pFFD6gkHg7p9zdhT3W4ANk75MPs+Iwp1rgpUrcOV wbCKIrUvXQVJnwmVnoj41+OW8BvFplccT1NM7nPllRHF2sLHolco0D3nu2STiyhAGCoARtRnzd49 uLCkbohy4kCeqen8Wtng1mwW8qdIBurJdcS6aLpRI+e6DzyqODK+wuDafLQW6ISiLHytPULKh+oY Bw4b51PFAprDxOJsnLs8v92Qd14H9/uZT+W2vLeEtc8JBgIgnViGVjz9iPxjFXItOCYeQ4alLhdb sYTnG/qYUsFgwHkHUeTAbOgVuVrMsxv4Va6ggbJJJojAa7Silk4KmUA3aU+3mQoc2uPgl5A06mct rBdcA6Wa5ec7K9tswUdhCTXMgdbue4VWs6ME01PYtK/E7RjE/76Ymu3sR6KDcaPfxMHJjIYDvMLw TOGZWmtxL1NE0EVrMtB0HAsjHVmwxYWCBxoCoIjo+x+H4726HmunGJgJGU0Vc4RGmAlM7nZ1jd8y YfRV7Z/sXMByggPqbfQuGO/6li1OOqmV/hh3ti3n5yIeDIYA1eH9fT9hmBVzRfPyTO+9J1YveqA2 cT41KRZm8dm66/B9AXHKd3ql45eZ+qoQ3/vekb1Xt5eefmeTNllzItvjJGHfdqDjsR3j/5/D0Rs/ dmocQwVD1Ra4IpbzwE6xYwzDjRCNj9d4VA4X5DdDt/oovQ9mNXhvgcRbvSnm659O5KvtA8Orqm+G zNvfCYHbnHKwjkBds3t9mgOPdHlR0AIACYbjqbYyy2sqmEHl1LewXeY7UM9azjhsM8M7LxQ0eI5K 2pqnpa39nU/fFxpVJLH+5QtANGGlYJV3X8jbnIM0VY7yELjpL7Hp0AcuRWNa2D8xcvmxGVZagvNl MY+92P09igCYuoXEXN8zbujwlY0JYyZXXUKW6203tacjxZw12+i22zHYr6RHUqQc7kFFlJD6sN36 l4ifWx2DUpoVXnqMPkBjoSq1XIf8GlhZMUy0lxOMsdDovTBZ97wYWxEAWDjSXg3lX0JjQ4netlOO TrEffqiKHUonlqI/EGHa9eAAjkcOBsLLS+Y9emHqS40utEg5bb1plWbZkJQJq9RxdD44AUKqiW1I Bc7MdfeVTVguuTcfM7rqPSOwowoSqr7S5Lky3204+28Toq5xrXHbSs1crDmmZTk0Txjnqi/lyQ1a pgXMZv9JgjRsWutS+IkGKAK6boRPSfaaqcWI4BdXVUwMq2R08kFRxD02qSuSYXERsSUhvIWfuB6I UlZb87kjunrCNlj9FWrpyDpEmDeaXutBeDIb88bt+Zb/64cJzpba52M2iq/92DHj41TD9YvZzYlN G+tMHRILlYMYh76lMFGHB7Yt8fjF9iZ5TqiCkbsJxSe8FzKbTNJTNMR2eyFOGtSmosTXKMhgZL7W vqo4nLiClATexm+nfqtwqTjY0enA/BETGIx9P3kRY9Uaym7J70etCQre3efYRp6v0ocrqFB+LaNI wh98ziywRdrwHy9chEtHHq3T79h9L2rWRJH5RwEs6ldQ5A/hSdkbWSdSZD5+m4fAYU37nWmiYak+ KNrxpHTqd4KPTQrf4lxx5MbInCJ+gaVRAQDRHFgNR8A+OMFVWvEVPSqIhb04sPeOlkagwQlz+OIs V+TqWJByIdvhfwltrRrmC7tltt3qvBEzEk0A37u9g40766Upc0ZWlbKqf4E1ZPHlO/iO+GTdev7k uPOG9TZUAI34JGZn/hJ0HjPzmeVkJEMJFF/LtkjquFIEjfBX8ve/fuDUYxsfd2zcsrfguWHT81rY lT86vG6yeW9PD+4FB9IkGZiSSBeL+cdO/mdTaMU5YLC1wVrzAvFPL05a7Mol2LjKByBA68CIXBS3 0OJ5mcuapUeFKZTZepZC06p02TzWGqOqVwPk6p2jjDBcUFMa2o2riPQk8xpO0NNKd/O52qcDRh43 202sSWtuAmOjN9aklUh2NfNGmFWHr5vo2tBY3pDj0B9VmFehEa+W/CAYerYkp04Rx8TQXVbVES4l wiPx1DqO3t2osNiJdZ9+FKdVDlbXKPq+5SPb668DyXdOJ0+MXpPuwufR0SuC7RbY1KCWFW9tyzYX BmLYdNqUXpwMechwVz7hpoC50lu6eV8yhvHCoKrl2TnjBCuaO8qbXxwQ1w76/jwvNXVJVJz5lz59 VN16SNgLn258fJ1Jxw2upgvqPC4bMpr0jXqpks6VooC4549eyRcs3ugo2TcynEk1mE16YKdGdJy5 pYVnrk/evg7tb2IiRmQ5XP8YzlvIpkhboArvZTrtpKGuBUxhBbwUF7tNeVwGmdMgmqMqnYOr4X0z nFu6cvYq3nXBus0N7k3mUHBSBOxHH3nhqj2+re7XGFnR6Yti1y9HCgZEojcFZL2lHvVqKhUD/s82 2EZOwUcl0kdHYIiXpEXAFj8tLx+qJ+RjLjU1zXvGllkOVwCCx1MCiLY0H5idgS2H84RmflPDx6cS 9Di/ywyyXkw/2Wf8Ot+5kzzXErETqNFvHYDtJPqR79ypF1uYL7gyg2rBRjWPqoNiRruc8IRF8/mE Cz1t9veRzCX2kaoUdoCLjsHDxmndNB2lI1SPW3FOcElJYWUv7u//nLHWj58SFO7agppRZJNHGsVG xRQB8towqVH+5M4cqguielxzKtMSunYW8Y5vSffu6zPwoZJBP9iCQOBGaaWhMXVj78Ev7Y2Xzdu2 twpkAAGtdk/VQxp30XaZKYNo94+kGA5syphgSGXQaCB+TldyDig61eQMWNd4YmnG9PIp2pSqi8EI YdrcTqXPc8v1Cc3+tYHim66fbMMxUN1MXX8x99Sk3T/NRPKx8H38RbKDS1sjITcAm83Gf9DbK+iM 51vP+/9ADJIhw1fc/Vr3X0NymrPrTZG8Akcp0ngZ7PgqAGosQYekRe6CMRzVwxtfJrwCMXsXMO85 ZF+RPY2H96NWL+htaLvfsvb5M7i/PYL6JAiNJKF6SGOSshDc+Il0QpANRT5BckqrA4JEHUjpJ1Hc D8SKBbHDmfwLt64olVRXfqIImSelyaVBAhg6JyxrNzzNLY2D+6moQRtNBNtdtoD45YN3XB3d8WUe NDkDRFp14sqSNa3gujiy4LeKnDhcZDYxfReEiPMb+XK7lAVBJvzBVpAciydXfrqGdSgCVYISeJ+u horV4Gce0vtoIkJTUqaGfA9B2aqxdFBFzrq3C95idSg1is778t97Z1ezkvEY48SyB7jlvSPOVcGp W+fkOUv89RGEUm921lNIdb5u5+KomjyOHx3sDr8aELXWCHe9DJh6CDWFslEXl+CBhKLTCQPluzvx EE8Z3ghd2xtnUYKuz1eCbDxErzN4X51BK6VkapCQPDH1X7TNYyjAJ+2A6fWowVubOa+Ji6FE6/U9 qqQs73Qi9HmvHHyPk12dYqxBAsid5fzuoRQJRDbtJBXAW8a6ANHLMdXEkBlTk94eKbYS++8h5AhK qLZgCaVRbFxoguovDYKlg3MXPSvu0WF/2nqR1e0YAqr1SS35rMW/n0XhYLOznide3cyygdgfWGwf 4kFWwznWAcGXd2Cg43CJ8CcFZYWsw+Vdi7LJDVzbL4Rd8NxqUUmuKVrjVpDkdI2Jae9UUl1V20I3 v7Pjum8o868P6jW2EQr6A6seBJSYs3FPa7M6K3feJMp0bOaV1YjWKvLt2giooOcns/47Kv7/7J+0 NWyUcx/TaxaV3trCUrF6cGNqE/l6/hb9lwLNbiCG5PkGcxzi+ZAI/+oUzWBLArEIe48l2BW+iObh 0tTWASxNAQJa0hqlm/Cpwch9bn9S3BBHBplsRRWsG8R/hjRvczbBml7auYfHY6cktRY7xocu2aaS e4wALw9VQ5h2G9VTSOAHI/YbA3TIZN4+WpZtC57AjDfjG6HQ3zKJ7pSbQXv0jXVTgmcqx8YNHNv2 xb29yOK30PijbWEDKz5kklRzWZO660A7FxQFPYxJFfDnonQOzWSRUjaoH+NBH/HSzohXr7G8ij2C 7wWJrMav16YTdsetzmHpdAUuEq4C9UKQA87hgxwtxl7vHU7/GdNDArokOwAcYaPlGjdrx/qjnEAq UYdRh4m6TIpob5XGjmxAyqH2sZWttfgc+iZM8vgLRbuyIyGppaiTwJIHMNH60wUNZsb+4d+04cG9 qV7rzaNWTwwPV2b/IQHhoxMH69QYiZKG83TS5IewMfiJBfkPJXouXNgZ0l2MXwVUHC8DfU1vrZNx fvnGQpAeQ5CnOa0VHYfI5J+rT/nIw5XEKDDVrbo5x2a3wKMFAzVc7gUUVGSK5/ruRIvPrAjzRO5T 3jms1x4exRuvuhZp+RGz2IWVQ5kpEaHLQDltDkYHp7uq74l7Xd632p034FtGsG4xe8E9gtZVskKf F+B1U0NaSeq5oEM3kkMrnRnwBhl7N33sS3tPkNwpqztospoEMjikEQeMRFXfZWevkbbRUo0spsx5 cMDshYxS6K6fONbdIFKx90d2tWCa+yD3PYanhjS/BPXKGqhNVbcbivtDvo9yv0HsG73pUjv0FK44 G+Ah5/wg53NvyoyOaire1k9IJDHvqu/DFR0zmx2YvXi006z69pgKkGPAzl8DIqQ9HWu9czDHqyOC okh3n4tATyfkYb0v+5alW1FuzFDkIs0mTz8lk+f7imR9nIW9CK/wPgbTdh5tJhrVTG/x/iSEp6l2 3UzTk6NEjMxwRn4tEJdWOfcyXUSYg6jnZJc7OnN0a/GY6K3BWA4+uG+it+Rbv2PWO+keoASiuSWc vMoTYjOeufJs6Rf/NJQytVBhdxZw4aXwYV98J0N1FKU3XnyHK8N4KGjqUIx0Nf4NlPa9YGZ/Xdjg vd2u5+0746NK5YAtBu37fW4B1SEah/FcqCLTXxrJWOUDwtKF889E9TBTtZ3f/Hjvqm1iqKktj/p6 EDGM5iDSBmojbvVe6rsvn+p2ruEzU6xNZlTIZXe4ZBOmyTcTIPI2e/H17AJ99OUCZ8VRX1ykKx9k gG6XvsC6wHtro6OlhnJXThPVciuCUYtncPnmW6TFLJNHsk/0EwyhpAKXf6bp91+ErcPREaDfjlcX JxG2eB9nLWdV7p+AXvDtsByeTNeZsPBe31tCZLikAKVMgLMh43EdPFvuGputAbaTH9Py0FxwAvXf Y/2zh72KLL/Gk6jNG5pb4sDPqT+RonV5m4bKTHqa/Yf4Lg8AynvbGBqD8L+quLYRn5wWnUowclTQ gFDQXQvjXya+4lbXFjrKrI/hZ2++Vj0a9pq4WE3Bzf9VL8pbn1l3ShHKwMQbP0pBTUKjXmGFrRiQ Uicv7buZdF/vgelRAXNWtZnUjOU7vhXUL7tudot+JNWPyyELWYNS4GoNlkv9IWHZFGBID6+5gDNo MRQx2Up8XLCJHcJ+jIxz/RaTYQQLfE6kZgGZ/NHqbL7w+T57mNwtky47zqXPRTu9qQwtrDgau3ZU pmUVcTZHY9uSRm4mU+l1u8WG7bLguKaYealB0c4vBmPeFObk1Wb8UtqGJn+Y+6/g9Zlw5nOLmlmm //jGBihndEpo24L7pnCOCbwmv+C4uNu9CVesWYz9rFdUvw890LWenj3UVuw6K4TX2tq5/kdq0m13 5asoE6fzVPIptNqkOzNfBffUbvre50MPWzyisBCq7vb+54EXHk86owlc1633W9qdqAt6MDpZDSMz WbGPx+C5IJeUYtLN0WPcLb2x2QVrHSHgYgqXPWGd6AX4s2BMolzmBs/L3lTm0t0YGcPpr+9izbDY RJLvWLds8s2qauzKctthmcq8iadwT6TVw5E0a5Lsz6m5F0XxUN2Y2EU6P5dU0fVujTBw7RjFZrdv VR75Nrc/5MPask7CHtHxtrsxKtZq8UFmUDEtzJIti6pByF4JYcYd+7BR67j5Ji1KNwjR5VIDpAb4 cAUdFwLZEaw+A2MXa+JoeaXbSPhsxiI+D/oq1rvAlFGpYcxUG2MDD6X5tAA0tOG4M46cNcMKIEao wfg1F6+AKeB1iSGEnu5hTOv406+EDOPRr5pduyOgqqErbaE8ZWBym7BllCB4DfCHxGAEsqxWa6dg pCMOI8ghhq4Pysmyni4jp3AbGYDVhN16X2Xd69+NjvIZAU62WoEy7G8kRQlcAscO28bYUubxRiQD A2v2Py3bZCDKUMUrWsL0bWhIEYrDO9msc9UJqfal0gHmrBa+Imt2qh0ZegOEQvepHw28lrZNG9p4 ocAnVTHCQnlgOQVZwN7upVivPh/zxiCKFt+aNKze+bpYbWUVtw3ClW0RU1kCBdIKQB81YhvbdnYu 6POfFb+gpAwXfl5tUacuCLcablFjFCNW/q+ti0n+KAHqhcTnh8YE3NcoeZpW3qoaefdSSQJPrw4I ehmeQ//hYoRsYaqZHwz9PFKMfgls+pBqlJQrA+3DZfccncAK69yURfZnqZrFCwCBU46dvPYVa/97 BPdqfzhI6scKzgktXHL45scTASlLt3sGyokYXcv3WO6YVylMRLWw4En1vK30AzJWJSOkDAIKTYUp 6ultr9mZepXrYCYdNunKcM7ztwXpvLRWi1MU6JdqS3tfpyY2drbtooUqKePF1wu4lushvcJ+VRP0 xflHSRCVQw25bsVWF2nQUa+g3miSpfQsNsx3xO/BIWgV+oYZvYCFoUtP2Hvnc3PUCaA/+YxDdK5S 7/BWlRaYQLcQ4zE65Fn9/RYexVTP77u2i0yRn4e0jjPigDeCg//a6lDFfUWWdyci34BpFm+Wsh0c dHM/FkvmBbLIdJV0ib1vgho0/8BKynLkvSAVKE3DbLlF6vPmiBy9c1V2JOWRHVIzh8SMXNXRsIs+ sUdMS8gjrZlJ1JNBeS/bYKoiDpn30HtU5gxt3/LS9eenC8ThsfUBlDNUUwakxi3MRyfXFBgEXhPl rhrtwVSzvjJUSVpnHgl2ZExxiNe+oFEgJWk= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b7+wqSpu+Vxsu52LHJeQnZpUhR4RZdYT0luDYOlwuch6xt02nBGL0Gs5IhQ8FyDA2tj9H+LLQwAe 4Bd9/LNhCg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RUCi3kNH4kKCap6NTRfnAayhe2fBs0itMHRfJsaBHyhlzak7MggjUpD+VP4BH8qm63qa3ns0ndGq GFxl1FMkhxD9fwjcJe1UdEn0/vWFZNo2Yao6PRHD4KsbJwv0HTiPKmvwStR76qsct7mdcx57tMFo Hloda9dTnIZx7RLD0dE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VWVP8oKyRWRr+bUoHaK/+Z7c238ZK82LOgf6oMc887fsHzly/qvgy0fEOx44O+SQOkuuaTAOksw5 rP6U+peuU+RWL9GQ7GX9NWXwAbTDC370dZ1B3dCNvEToKY+IUGxR7ZDpnkoCremIZ6Z9sm+R4JUE kPeL9NFek3J7vV4oS0xy0feaFTenovGBsJwsva4ThxOZ6mRlEm2ZGTKJobFk8uqh5HTfPlzfhmYW woNiMcQU+6pDmY2vjzBiO8wsdOKc39Bi3s5O5Ym1h5cN9cZI632Coc4fbzXLwMt839HIMGqStmYB /95Wkec/Ct0HPZ7Rvg9aOmZOYOEyPnTg5Eq/kw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HHztzh+HyYr8MV10OFh9Sg/07bA1vQG1+b5dPfcuM8Fc3HC9WpVAisbjKFpr0HIn3tkiXQOACcJl tx2UTR9ggn/oqFCDkVWRer8s3kaZyjrEuN8Nhr2t/Ep0/h23jrvCyWMFQFZdvr1SZFbZlQc8ErrE kQs7CUHrNyyRnqr8p5U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n8WXokamr8oQPswwWS0WvyofRcG+4hS3s7aBePL/+idcMx9aXb3CHE+pgBB/eAcGwjWyB9OXka+y guHgsV59XfQ05Xtebp7biLslnN0OS/+iNrdRVBRI04Fpq3J2EP6vSW+eyL+lsUjTIw01/Oo7Pt6f 5mDPYwK2jpEd8RouIa+Qe3Q6jXIwh/+3jeg8boaoQrCVsKlDTfHl20GWomcVbEJbU++rlHlDZpjU lqvTOd7sWXufcrWT9aO5NhuA2a+S3K8a/MDBKpunktM/IKDsgJwyE98tEQbGbyQG3+JDbOynwrrO eYgz7saXMaTYWkbUugAVrJFZYr/uCl+FbER2ug== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40496) `protect data_block WFCynJRJJJgrECfwNzmn09LvS0HtlyqUIyl3ZObqWjk1KfhsWSG3nSCuAbls1ngc0WvU58PZnwXr NTiSwqn7Ha37c7EZI3N5gwn5pfKs/Km0/+OTFdlpSgxaTNJcoQy8govqGfTMGg+WVv+c7EgCXvPH QPw+THxzvUB9P07aT2AaVjyOYYowMCzsp2C6BXUUdoX2tmqBSNHra7gw0YMMp+UqeIvIlFZB4Tgv 9kvTDnZDhA4hDF88jJws5Zo4sBbY+HjpbuKELX8XK9xa0krH7vtNRtqV5c27utO5Bidh4SnRYzWu 86csKhXqAkTe921TEV/P8yebYe+oeu1sRGrGe+BH1COPSlqxuGpaoxz9wwDARWsodNWSoLKakRZ8 ITceKUS001rEyKX5xzcM0jUuOy7cikA+B1CwTGB5myynOhqcukjgjZxW7HztJeuawjXVKu24ZPDf 8IcoKEeqPgerNU0oTivEs5c+BY6xCfBtkM8JbD8a+F4qMqjx53vFKPTfBNlyn86ztUh/shGDXw4L 22bUkRBndPXYtXxiyGZbnQK3HgdxRmb8Mdnmw0akxZhEc8+I1SI65Rrg4dXBl8caRKn91OX7wz9j akUgyofEG2QI1WPQVYvcj/eXPCLzIHUqtbzvN1mfOmh34tz7iSc7JhowmB4zO54LdsWrs7mCy+2E 07rA43QzCE/dCr2PY0pl/Eid91hba8Bv8YscYZFxwneg92meKoDBqyg6WixTdoHlW1NjiNohCfxF hJVKgsnjlDJj9zrayQrRzjv0nRG11yleNvev28Y2jqjYrb0n9RMoGfpwF99RC1H0vG33JFIX8bPK s9RIkAinovtmtILp3S404KXBAEQuioXIETFPkmdP21DK9962oC/N7889slNdr1N4JzDKtgy6jIZc cWkG4PsZrWuOlHb+wWi35pvnm4dcPCa+lArDZ5+gjQf8Nazf2Ko0GQxkA6iCjLvHmK3HVtMFmxTD iJPfFCamdUm27JYAhqLfIfDak7/SrHQ6Q6N9syOkS9s9eD7tF2sRd6Q1NInREjRKggPFl5EDxkxu k2Qge9oHpYSgAXafalHogR/xqjpXn9358P4DKIBVUtrf4BhUmP8nqpfs5nTmm4UnjWnxWdG/h40l L7UOHk5ePRKiF0EWNTEmEj1j4wupDp6OYlBPAda8ynJZXSJjhFnz5LsZQZ7nPyuC8lQIxzGas8Sw 0SUqVh0tcSpZ1Rf8GPH6Tky9AT8ZeF3sP0w2scWtbaxpIihVbMoUx/+KuUEZ+3mnrc1ytM3tYuwl NCCKV8L+acO4osoI3AmCbO5IJ1bW9g955j/vc3DfuEfHZILr5HoUiyNl52MytrxUzeaYl+XJzr+W YLdgYQh3CpPjuD2wUlAQF8uNCggDQTIs3+vT8ovMLbP9wwTelb762W+UgvfPCvP+G8vj82Fe3U2s 1K9O21nWX5xD7lZkusQY1XyH28rYvFXD2F63jnwGQTEFnXW5EWyvZ1em9mQyKPegML5f6RpFbbfN FSz6Mtv2iPtE10ZE4l1B/UlzXva9ebC01y+dsOCOjMuAoIdNajVy3pHhr+ZfUPy12YLpD8EU7zu+ n3rSSAM9Oi/6VhOhSsM5yuo3rk/bfMpo7lpPOWnQOzUsHe9qnGcHRjSCpsZAAdXSLU8g3H038qas J3R9ZmTdeIrTXn2qzDyqy+Jxdx9LZoq7kkKb/TSgRN7Bf/0brMGY6FOfwoxiXn1PW8ixOUkMuQE/ wmsprujcsRPasLnUWrvRz0zmFrDSavJExNImdbYTQgVESTWYG/vuINdmtfB0IlDll1D6kCFqToK8 hHOY87Gn8OTmOQqJzW/uQjbgwrCqoFlsufrpEjVTnpQzHnYkg+6rKgwBRDCV/YUCmtvQk4N6X2bf P8Go2+g0CjwCRjvBrjGsykVZcqBjeR4bFcwNm8kzQ4lnRnuU6fxgcSgVLIUShL3m9Z2gUIJ31C44 lVyLhy8MONDKSQiQ7Kz/sNpgoo2ms8lEipDeFrG1MjNjeMgU7T+AEaCvwruZVqr8TM4++beCGSoT MDB8cgjHBJ8vUGZ3lDncHCY5iF93nZGWxmhvMEgXBJvmglRV+KvFgC1ize3JHNIOSwZqWXr9t8Gt M7qjCkv573lP3MyAjZSjlHWbV3902PtpXWKughJF82eqv9I1/1il44xL/+b61uOi/WAcNxsL5LDk ogDxTRUu8HPvdts1pX6E77kAxo5Lw/NVU/6bo1yaU4EnqrNorYfube0feDEu5FnUi2m/BjWCEiC6 bm4fZ+aN+0o388Q2vdZCmrldqXquuMoqEcHYRFDC6Nhd0Yzi6YSnL6sSAsHTMPciLw4OnYcY68Ll fklVs3OwB7Q5Zfqc/FsHH6pbLqQhZREv7WYuHZM+GJu3ZVxWZemjNRgf4Xwmm/sjE67DpfrFawns /tcC+h1rPUN8YVDK0sm5ygp8DnoF8nLstxI6tMsdSSjb2Ko5liKPrWTWIBnaERppImifBfRxtyeE gsVvR90QVnlwFPJ1ciJ3NiG3YbEdsW8QK1GGDrCzHoPXFVLrwqbHDWYDmWs6PVLET8tB9SHo8YV8 7CyYQKcAJ24mcRe6nUzIFc8DhU7QjhWRLDB86bHGoXaquUD6H56hQdDbNjcsuWzPR+cpq+CDZawU Qt59n19Bi2xwgjoSsU4WjflLOUPI6OQ0P+p78f5/DooRAeS5Z4HrCboe4s+CujIhwG7ImnvoS+2N umncZ1UzPxffRtsvBcTWqYKL5rfz2NYDTUGEUlE8BdxwdEoN3DAuN0tJHtwtLLUkLgHLMyEHfAcH D9S2SIZEnsCxdxd+uYaYufpPtOUqNDEkpXMTd9kCwV7orMDuWDPYRFI66rOTbgusCp4s/e3QjfiC CubdERuh87d2UYEaE6DlFIbJN6vZh9k9S05ZJDbWYNhwNgqoOTWwF5ixAidrW2Xhz7umKmVgrKG2 qsT2BV9F8yt9MheZ1YVxDmJ6lk65y0okwahqAikAdcUNkHsIQpHX9noj5xZE2k2C3DSC80bQwm9v r3ASIiGWJxpHzqigwbOyA3WVOrdC10xu3x4l/WkOn5M4dZlI/H54mv3zwVYGPcsjEAims2Wdpqd0 M+u4JfDOwyNZtOzYpm36EF8gur52ZPTrdAUGevSYt0wfCJg1v3Lk47wHpd/rxln1/3kna0ai4UZH piiOsfgpQL+QR0uWjdND55RelcLesurwjH8ZFy2d86uIsRRgjD7AQ83SOCfws70l07uXLKa9vwzh YC3xs9W2Liim96G6Og2uoSmX2lBGoA/EMof9wm4tK77A1t1Zl+Z/t77EybOhg8kojj70wQpLBSgC IoTlJ3Z0ZR0VV/vv6SHNAXDnHpbmpVI5J7ZojXc4rnLUD2ngIH8V710i09lXhY/DNH9c3NTteWUR vJ3FoGXo5rNfNbfpNZhW38Fcm4SWnBll1FpJcAMH1CUMLnIaxs993CWkInRY99mAeESVJrD7ODES mdXy4vm4eK9xRM2vp2LEhnB/6+eWhfX9gLxrGZ51byucAy9oomCJQucR1fQFnAhp1Yrpgc6lwgLA bNHHMCTVFgxYOY1t4ikEcDU9EL/MLSEjj1qzmUoky1qekBVioGkoXXrtnMUzZq9x/531M41ZMXZc O7zItLJ/x+L45R9xl0ENec/HL1Omg0qXp8JcNP4P4JRWbSjcT4WrJ5T3uy9IKgof+YjTohhyTVoW A/kSYEVsSG99S6olIA36Fvm7SC9nKxYl/gLSloSVWsVGCM3ZUw1FF4kYfVJFSRUsPpUiz6g0GgNe aMo9eO8zrNJBMi6Vb4pIP6nKUropYfId/YvSk3voej0g8Q0i12Zd+ebaPzr7GnPaOCr5KW+vyMV7 EiIdPSEMFrPKlzTJ+Vg3/CMzFKV/UT2YnDRlOX+JS9Oe3UDtx11eGpJhWtHQPMiitfcP4M1UAGzF ej5KDwvLuNt+y/zLkCea3XzU8iKVtsWm4g3XzbebPJVZiTVuRe0WOauaQXis40YofUm1RQRR9oIu tJ0ccg5UHXd4x+aWw5zB7X0oUXyygDmQaU85jRQcw+/+NisFBDAolBkAY6W9PKUelfxmuun8TgtJ FRDfZDkRU6tAKTfGe05V/txkoWNOd+oHFgo3tOqDnrUuSEfIushtXWNeYM1NcRTtkSbMPr+vkIX7 wmvheuke6ei2VjcySZhBwU4Utx9sMgQ77pOk/WepR3bjDN8rAgmc7ezOjHzxlSGbuSGrwUillY91 ftSSlqZ6/HXx1WDO/xuMZQWxWU1P9m9Aos9ZlNQHjNZfzAO4xwaSWIAgJmDAgXF53zE4f+lq2b5C +qTRPOUXrVfg+eEr/LmP2FwfYHpX4aIxAvMznF6y8zuivKG42aNhd3Prd6HwjKxNj8DipMdrMucp 1QJ+Fpwekh5VQeiBiGgocKEl8b1JLdBFsDbWJzJJd9xKxZLNQUNV0NFvgLwUIdediOh9bd+nX//0 pT/a0gy+dOKxGjkjSiPdB4J8aVof9VxR/ZKcHU6CL+TQolW5ybmzcKJ5aQ1Z63cuKCU4z8zx/bPZ QnULz5ZCG3JqQFva/8d67pSGt8NQctvwOwYQiEBuTJF4ysEoD+xBBUfaF0LBb5AgcR9AfXhDnqzK igzLHTFR8T1kZxNlUQacOjX7x1fh9bF2MR+obiqZqKrLVlnhINQmBNEtsO9XEBjfpICOZW97sB7x 0acNdgxqaPYhKaDP9u40csgU+/HhzvdB7ffB2/+uLEpVNuT3vWWkKJTcOYlLJygKQ9AcaT+F2WdR aJXPrmI35j/mHUfi721+kVQj2ElL2BUlqmtB7z7UM+/5lEOHl9cwbpiHaJUc6LWqTkb94G6AkqeD I00oK6yIJ5TffnEdGS+ImnEyS8Sw+7IGc5GwdPOFaBZ6iaNJ38ClMFRiwxa797wq5IuZOGvKeuTN y9xQ1TGZLG5uE4xOSntDOqaTYzntrIPcpxna8l4EGKyzD5nO/wx091hTPiY2lzuy/4Ho1tsvCxuX AHEG2CqWnoClHtF4Iy0zQXXUfnEeyuz/JF3pvCOl+nVZsbHiJaO+v3NzVJiLT5uTh/bZ+ZR6uwmx pTjw+HGSmrtMoPhfRg0Lay1MPKPkpAjYtWrndiyjIU1oQuOnS3AXqKfmPhALeeVMwfoyRMLL+mzR sG0SOdTsn5PK8dYZ79cf1lD4i7ka7rZ708ELDdofoiBQF3f2r+CxeUEyzPnI2aAJ0MKGE6IN0psX wJhqoZ83RzBN+d5G7/VJDl8cIZXGdoPl5bbY7wu9l0E5+EQBQQTzqZIhpckhp4jbhDsKuSwl5Ra8 hgtQc2Z5GaPrdT3kH5v5Dou1vyBVdtyUjem8CyJFmUfW8rG8/rfHmfuzxx1R+NCyRm88/QfcTjOw CEXj+HR4lCIHPWHEnqdw3ZpMR6zvI5OaJRd8DlVaA09ILfz2LNtyERvM49n/IWLaRIFoJixBLJqw JEbUZfoo7tliJQICn7OpSrT4FdIO/jOepDdoTHLXyRE1WBmvjPTWn0wNefy8OjvHwUl8nNK3gaRX xnXhIh8XawexL9EArGRNwuV6pi3Tho9+mfqj9uXPpgjlU87haLKMTvBdwswHJs00AcBOWh9/HF9U Dw38sV7o9yljEcTSINC8y6Z+uu3tf8luDQFuzC7M/iFrQI+ms3EHbwFKKcrzyAgrxFS2fB1vxzcn DfBNxzV6uCjn0nVIUcsdvinggctqvT0q3xqs9f2T9gN31MUeWQXzsxoHLWPuLmU0fOQkmWNEAhRY XLzsyGUjZoPmj294cwAX+ZDzsdQvT6Eo/bpNw96G04h8XLOvC1OkY0q4HZ1aQsqq8tXxzGR9bm3R Cn6Io8dndFW7f4AUc/9m2GSFniQkO5/oNXydiW0Et2kbssvAEDZ0TcJryrpYNu4X78vd6tn0CZzv uwEfFHAjkuPrCaN2IeYrI0qYnJ5bkICFjqHtdittMhexb66LiDLGV1lp+usUyqzsLkp1ppZmur3l Qutg6lBCBEuDmVTS8wSF5i4hfxWqgYnOzF1Qikuk92MYWEVoi8lDlrsEfFB+nVagINDGxgWd4Qui FiuGqVBAxFrhdomxnn8icgdmYcBWHtwTm7sknMDIYxnSEoU01u0Jp9V8RgugL/qV8xdE55Pnlcpg dV31jsLOVrbxVFuLWCqoWCkRwmOHaJicNcAcQxfMyqs8Al069yLHR6jpO01PT+wOSbMT0kYjiYlX m7Nv7QieeCXLpkCXKiRXdLaYkvfFvr9pyUJVcAF8v9jaNEomyIJ71kdbppOoAalQau6jj0B7pMz7 I2qP39n6GUUjpFodXov98ayk1E4MnjBNEXNQm0TG0+XFvNr76I8XcjvuWi6b0+h2lpKRbswLjIB8 FsKIlPIR37qfH8CcU9NOixGic0AEzwsB7BDOKDeK14fEka4osFX5PBIwJ7rmbckYg85cpyKloAFI xhDqexkK4Pf8S+PbxbQWsOx0k+WBami/1oJ0VnmXrVvIZHYKkvw9OEYJgVh4c8A6oOMo3O+1+kqc aA3l/bpjDFi9X6uXpCwBW6URkSxPiuE2kj8cYyTE/yKbXAwuqnHioX8p9cKi1/Ycwe8g3ZwTwNSQ 2E+02BkpsmuxeerE3X8rpY739V4WIOgtZ4LNJn+YkrqG8ygpoGZ3wGU1uhEo8OxnYUshX/f4A3SS XmvN5cKAND0CFeQClh6jY6zf7hY1VyivVi+Ge28D/17Cs/jD1l7XpbGlXAAiSOq0rdocjhXJ0dtl DXiVaoPQ7Pe/zr1jDmDanVZqinw9qfNM86EbFCz4082hEc+fXxP3DjU5vXySUX8v0ioYQAiPP6Ak oHq4Q1fE4MENm39QbQPYoysbVO0AXMGowApzsPykX6Wlc+UzXg/RemF05j0lwuKZ+TdndR8vwPGW fqKWlh2/Mr2pzTmi+OS+zLwZwiCQPJ7mJE09Jpi9ddAW88wsDKiF8ZdlbBxroX/Vi+isPB+blplg lvaEEqYri1/JSxZeAmP7TqPeZBpNAs8is1HXDfzum7ua+tkhSV+SeIheMACZF/UJIZaBfF9L8CnP Wz461peXhOhJrzfCfcofSzRqwdPT8FEpg7N9XX/eCJ3u2FPzBvte7ROP4Vaa+uoahsK5r04jEr9h 7WcZpfVazZq5L1O84mam5lg7mFiYMR1wxkk3/M+8F9yg/c/owTbuhRj9wuXKh/l1udyk9KJO2d9w 7f0J+q9XxUD6z3KLl+raT8q+XnZAd7jLfCYu1SSy6NNWGfiCEN5+Xf3FIrV3tPHDmawtqXEV+Be3 kr3dBAioyojODulPDk6W1beBxG0Vk07u2aLPq59C6zpaExnb3qWVtBs/WURKd0F0rcPkxp68unEx aFTtmLdUA76hYAII596ohKuK4ols6E99A53zDuFK/7Z+Lor+gyBy2bMHWur6w1gusamadVVGTz6j UbxU25l2d1LQiaID7zH54PJRuvdlNY53RF/LR0OX4qN+h3Ydn0F8P6+jmBHmvLa8kX042QkTFzFx GAuUb5ErTfcXPzQe2CJCo/CmzpCH1EmJMph49MP67OFfX1S5et+yMaTjY8bhM+mjw0ckF7/2TNDK lTpUzBC/37Hr8uI3KZkFkgqTRzEdVq1kIY2ZnpMW5lQwaNu62KhgAzEnoxhXMrK2U3U/AiHkqgMK 94WIW1SOziHb9ldsoQW0aBcf7P+jC3cl9eKo9cVbC+Ti3pXWEnNuN7xceEbUxGv6wBuwWIAcV1nK ARsBKYtm0ZDPz9WBFezR7vnCXCwCIHDtOAcUfZKks3sCGboF300vHeM5bsU9GJ34oVVdg2mzHGWN 8RAU0KUJFj9BWkUrY3taMlKSCdK05yZDs5XO4Bq0K3SQoRLw81aV+IZzNoYDlsW8SXe/K46TUEkt nlklA1h/0nlOJkyG7TSvp5NVxEy4+0bHArzf0q0v8OizmAXef0xdN3QrkKnioon/SpYCLJdbzYw1 jcevjzSdhQv8fQ6UYEJ8IZtUNkj714kdACqX7aTqgz/2Cald67BUVQTJzUaXvmnCZIgCPjUAmrQl pWk8qW6LOUj1J0JL4kp+qMDMFJcrcrboR1SVeUpikf1VGJeN1Mu42PO0XbNhulN60kcCbDf4vwzx tSR5PKWmLAJL8JWP7b3Fie89nEIVfDrwDudqov2Z7Spa3AwvZXzZlQZvjY7mL5C70iLShwH5MNCV AEsB+WZeUham+jczvC0qOLfLK2l4eSiEIBB5AKBuv1r4KfJvNnuMAlF1XyrQEI7NfRhNh6b4imlo 6xK4PzLdkaBCc+cfzoXXSV9EXHYA4reXDJogu0VGIoN6BLLYUg1sgjCMzYiUjk/8Hl1nyz2Z1WNa taBh6qo/eqmjEcxYQ8PRIJWrOyZUaN2dkW3Q+R4eYxMCclXIXl/n3UiV2Oi8HN+Dz1JeAsN1XNWC XiABGWZ0H1C/kVczLE3qYayOZAVEYh/rjED2JZWxjNbyz3I6W9lnH9ePcu90VQahznVZgqBmp0An VtO0+b5KEgY+6xkBJuQO8Z3+dTKt/7tx7tpdiZrx1Msq5NsJojwOG+DMAdUvZNfKFzcvy2Xs+pc0 y+L2DI6q3JOALbKzrAtWyTNqLA7570nxYuLk7GQQEFnJKfrfZLXhsiztzXVUSK8cHjYuq5m2REEV kgIcybwsEyeMDB+Ne8s9NUQ9lVP+VF1dR9HRgabtnL2VaTiQXwZwndOVR9FZSABuWHhDbskNmsQ1 ZiX9YtTmU2rYQU9I+ftO/26gZ3oaGz4xO0m/o9kYSKZS7iUZbcjTMUBlJcHFcE74XY2rObkggMf8 39HAJK8h6nsfaF8kf0H+epvHthaLO4VgdKXCO/wcDAUPz8Yt1Zy3YTJ4VEfdFpgmii1h7zlzDq0g xeue8Jc5jBjAybLZlk/aYGYdzjY/VAG1XkCH86GDaADIwGsQCn7WZVyFTuwQpD5HwUSigoREOnp4 9B4qApuGCyqEPtjvfajMDX1LTWke4xw/OFrm2UuLtxk8TxDCQhKOzID71R+zAQrqk2drqGCrUwv1 BzE4dxSVdhPEqZHx0troaMlogcs2NlfzWnQRb7RWrVmSqtejol4J5zNuvA9NRq24ENv9YtHQvFUE 0QOOKHbILYMMScxLGMgce8lunf1YZ1DmoQeXmkhGY4yiewhhgr4evjKdimgdUIcKCyBOSuXMjVOo 63UZK/lFogcde+UdbYT8DCDTNU+hlQ8EOznYV+AhuB19Syb7LRSWrSGaK5CQSuxwVI+Ft6EjlM84 O4AO6DkWlf9rYZwqyRLjh/4AZ5f881Gmmj1itR1Xo2CHlcYnGDJgiTXwPr+B02ZhCx9aDNqRuPRk nrOj4dqimbFIB1Ei7+wrrqNTy/V1xx8LdpSB/PVo3koAaJ+G5tVy1LzJggaTbdN/e40xSIYkgOFQ jxIplz+t5NzG/njJYBZ4uA/Mv1NKUOu0+FD5F0t2rKA0fU0gcJA8gM4EUMuZbJIyVU8KCv6+WjXG XK9jDLnsQxzJFlezqGhK83dslRPBL+E+F5rvwHo0ExDwkYWyyaX3yiXYzw7OGexmgb5e8onjearP eLgYKSNYLRMRVcO6oPGNsHJiR4z4+8bgduMT5uKps2itTDFmgZXVpCv8bpp08rFIPa2UtnMR0z3q GllTA2Cl3ZS/98xsL2bY0MXbrphAM25vvy1jADwAolEDsP1ignU0mVGEsmH4jLDoCM243NiTiLKY +Ri2bLIoja6p4Cv8XpbUgLgwFnWS/Yld7O7NGQc7q58QzUUQWAFrR5YBYtvf55qxKKfatTDu4pEH +zxUviLyTzqv/3g65owZOd1NTE0rQAFYCp59SyoSmyt5r+2+B0CNzHcTAGmnAWE3VDPR+XkYJRlU 6HPAQnciEPdQILRoyiBQnMVD85PtAJxrpofTAtPNo4vdEGThhquzYdAurLcgubINqy6e0bUtNCWj wrjDyCyuXQkRVkG1f2zsKwBde82r7Vg+Fo/uBVJL+d3kfu6Ak6inobDxq0UZLkRVz0qKAMYaagG5 27+wKG4dVHWjnuttUu2Ja1rHxJqN2SBpsAuk7mKqTnlbxleUMhPNRqUVlJCIM9ITLpRrqLYAE1OL QsPeMN+kIF4zxbUX14ePvgfJHPfvFWWo0QKXsUn1CNBuyJuoCNZOhqVjmWeWKraA5CkWfmd6Hl60 qFN0Lj7vH1MC5oPNP+PPKyekuOC8Yx4QAgbBDZ9ywMI87q1jfW0y1PFamwWkBNwZ78mKJtbTTPpS cR7WgQTz8DLwrOV+Xu/ZNU2otoOQ80BWG4Yif7R2t+UktGDmkV8IjZMkAy3erc5WuW0JfhiqVMkz Qa8HNTu2Wv6NBTB3i1daUUaL42IBYL5WzhB/nyaFp/RzVXdmkA9KPCwNgbRq20OWIf2meZtEdqa/ M+zPVQbtucuqZs4VG4Us2yRMpH7NqvGn+fZ4QKd+HT2Cr3B8BQn8LI7Hc+MzjvNm2d1SoicjmCLS SK9iKSMRCabvP/Qd8yDhrEn1Jsc6qptoXo7FoDPbA+EZWzvmprAPMrVmvhSSrcz1UMnD7iRPoexN JK2i2t0TWKRUy4Zulx3HydEzBg4GRdGfmRAnRxKKQ/Sw8dE4WGqcCi2gmJyhETYr0ubhPNc3ompy D6DIkCTOBrPohYEadfDYmhMACu7hr4+N4U9Y4SGoFyA7BPeFQt/XDIxXtnz90yBhB+Xegcv7AVeG PlefRAIOVgWY/Z3ap9GSgAMI7cgkbaSfy8cosAGiQmztEFWiwsI/l/p9g0Ync/2PnlvwDRBq1dQ1 c5ZPehv9rCMyGNzefZgzgvjeNWAj1HyVSCKhKODQG96BqOj9aYVdUr6I/g4Jq345bsckZfls5FIw TvoDWl2L2Ih1RWAJuPgKO8UHj5MWAdDlClNroIXe6JJpeC3Zk5mb8GfBUDxQT4gzmft37CWCe/zf wJPX0wpKV8cgzLDIQe9ifsUMgT+61uop10aAocDmuDBhCCQEOQi9Yne09nqa9967fbNd783a+nRg uvuysMXPsXCp9hRaWGvnnuW/7kLUtQJfAE8R6lxxIFFZ8jrEHCvhWKHvI4EEhLDCmW7OxhxgvcwQ OEFa/yloBdwn2JO6+nxbEwITQ/Ox0hAONYfIObzdQrevp82sLlmQCaprx1DhgbI16oFOjOUALet5 6ztYYA0JgOp0kj9K4jkweyloUvieJVnC+sxdvAtC+f3MPmziI0AR3Nr7v7xf9RVljZdCBw7htjj/ Rh0UAn5p8Apb8Ku2Z/R75++wrev5lS7U9Mrq6uesxA6s3LYDu5pmPine+CFW9vOLsEq50F9OLoPo UHRVQdByCeiUNR+lAUIZzZtuZiJjNGkfIdgPk4BYThZ4htzGF+dLT50+j+0Ngo+apkhyfuSeXgVI /1NQ0YcLSh9MTQwjp67A9XwzlIf+gU1G2ISw8ejAQ3wdHN7n0uxpOwAaBqA7UO8XfsnvrELXK67y L2yah4VLktPjLb1fexW/1gMa0asQ7ShOgaZBdzIOtxUv02RBV7z9HJUaoXIDUennGdOXuJoWZ+T8 tVZbGGOayioGm+8607qDyrBhkzel3iDpNp5tRhw0Qj8gVfeR7goltNNB0Mn+ekf7nsvrKkbFlgIx gDlUYeGMOtZFrOrP6Knl42zlKhnrrChTKMCWFQg5XVzasibDvMbPuROMpn0LQPmZ+J4oHaD3b2L8 kqSx68/nNRE+NbQ7lmKV97ITFwmKH+nqszNDrcZtBzdHqv8HlBT4I/OG/4qMQ1Bcu5gboK2DTl4A dp+I0KEhjqJIelRf9ZN56wSjqYILD9FV6OK9aXW11VUlX3zFuC0ciq306ha7uw+FWywlcbOW+Eo5 aWkppTC6QqoupN3VKx8aBBy6sMM7+ZGVkxtYFiW1EB2ADCXQZD5eoa0zVc9xrhf8IH2BfScCcT4v 50Od0D3lYYQ0dpVvsqV4b7WJmTn/FGcSsuXxyUaZlYaE9pnTOeu0nqOr+aGUfnPQE8DmVKWWr15G K3Aze7W3vKHHxuItK+t8MN4Mf6NN3EZGJFXnFawcbgl1foX5xm9+2ttPZ/oUUJpwAbesBgpU3MR8 F2iYEELLrQm6DcB1J0qeIqtrf+d14uDrSBHoI5nVQ3c8lqqroOhnCpP0ltlOeiBjz7pg4I+bCzwq 5rCol25iUZe1sZnneArLRDfSpfCf3WVjnQpLq9c8jMRQiiJ47kANOfCX0cuHiIJSp6Mo4BrMr7Bt +yScyKiP+fHYD3PGXBIZhmxjs2QZSKVQKDGTzPRrUTcLkqoNJOFVaa2+7pAXwCxAF9bNV5nVblPI CeZ1xb2hWCkJIubpdgWmSEiXbHXKpILalmpLszCL35aLVKQvdBjP426o+lDwQExoeRTEhrkUnDQf rdqQqz5KZFFxKatXvqdK4YEVzjXN7HAHvNkxmkTjnsgQT52HT8LknClau6gZ87EZom32K7p/SGXr U0SadG9qZrWmWr772zfd6H8tZO27efs3xmjDN4bDtY3gWcF9IF37ClpjeQYKONQJC3GuoV/vrErM mOhZ2nVSfQhqtxRNkUQ2CIrPNOfoCBjnDba/xQriJTcEIe+LYV56MVvblspYivPHm9Dp1nOCjY9J vfJr+olbV5AVWbodoG+twBTOa316VCC2Z1OaoLVetnVEAdQMwyvhn9jnOTOQAo4KuleGh5JWEO0V BFSnP8GfIbz26bKJ5BQRLDXS0Omk0K3oebN1jd+NyCmWpCd6P/ZUxoXZy/b4hnjrgIrKRuc3pyna GhK/asGTadp5nOOmKso0HuNNGZDKcYsLC2ObjoDXi3o46B+I1NtsW/a4IVauEBVr5uD5f3auMENh OxWjPaiVgcqfbIOgfQn3XUu2BiFbca4Cd7om+YNkMkAIYZWP/wrTYjcIZgaOuTvRsSkcOgr8H+3J 0OiWum7SHRx5WBGVFvFR2m1EosMQRM6gpKUgsYEOGHIJAvkgrLQvCPwbEc8LrNSeIWsqaE1bjiHK BKJKSgAOdzQBrfR++RPKWff9+LcJ/EF1ZwZKR3TlI1dP7NxfIda+RGh9mencz78Hfv50lKplfN/N M+i8HEndv8KIwHi9pTNUF2Kox9wSEQ2h24UkeJhgLOGDqVn+jLv+Ok62xCbrNuZkgigjuPFIhkis p9Bec/idpgV4FEbtlA7cvicsXqLj9cZft7oALbvYU0El8OXADDgA+t/zRzORJah/hFXB4YTxLn2X poh7FhdnfidHH8ZezaVuyYzkKsktWODA71BeztCM/pAXULiW89D7xmBP1eySAKcjFUHWk38XfJMG xize5vROXaYN7yApFY3p4SnXxjnR+qn1CPGRu+x//OxDbGN/6zoSWpnzX/EezfAsgqrQ+Us6/TxO 9ChiBXKYRY88TOGebivYfngEJBTHrmLZRJD0825bv5WykT2zG6vgbniwH8svKhGxaOBYgEyRqSJd T/DDiGdD0F304anlI0TnT79kF+WYr93ZJ6C4W6ZPCi7zz9/LDPPSnyDOfsJsJX9PpLWVMwBafM+b V/Wpzk4GN3DsmMbudT3hR8/nR9cDW4xiLnPyu6qvCgV57Vl0r4bIk5ibvDhD/zsH9bfYyt6cLVp3 W7vKP1kB2Im7bHxBZffx/5EIs5VeJJmtL57MrLSbI0s6DK7tb9QmSMAowLBqZwlFOdvqhE0XqmHw LuYqcwMpdLqTbKlXcdR503gQoAifGbjbLLw5jzoJjuQ3fUt+2/2yQuoCSAepwszuYSvP2G4OPf1x sZA5uGjQRbYqi881AwPz/18V+jKtROT/TXsUSzmrKcU+97GKLc8jIZJhU0pQbxFU5VSxCfjDZDOc waFuIhYbrCrjZJksbzNNXjw9wJF/MoGZ3cTA9hA5aEy2k7VOjipFHFtKs9VFz7dY70xsi9slmiDC YuRt24TfJdaIrD/0SROwdUkW5Ipn2ZjopFv+99n+Kt0wRtakQqBnQV5pc8GD/wmcmxGixBYYfLQb WZlT/f3e3Tmk6BAWTEUsZnDgYfTWm0Zdd+oXHJWqq/ISJN9WATCzdtz5qyAwKxOxs0zcjbQ6vQRm 6o6SzN4ZG4wxEQAlQqdh/X6rMzDjrtqItumBDQeqOqhu0FCfZssRGLfTEKl5KWuearfImvAe0LU4 Bqx8ib2oHfG4LzASWU0DqulQhnU3mw8dj3KmhdPyLBz94dzOVInFp0ZGrObKhjqTI4A1wMHC61+y UjA7gcZrh38E5SbcqcdI7tsPyXpvHWRkCBESjO1i8930Pxe3gDMYAjJB3vYEQSdtK2DXb3Cxrhav CiIa75xXvktUIPul4dAy8Jmw3mIyLMC01FxXq4da8mpIyNk6IuDXijDezD+6KgxmE0AUbuuI9X39 F//1a2hp6bxFXQP18WrEFHxAVmzJpkN0dY4dWWhwM9b/Z3gMkc4nt6WY2XWKTgfRIVvO8PiuAFUk 9i2DghmGIfIIzZsR9UJh6i5lwt6loN6nPWzjfC9+bleH2N7MlEgXK2BVvX4mAVQs3ID2X/HPwnUh ywnPk3sYJuL8cbVHC1l2bjx193dyLCbJUeOeC/FT1tX+Ny23LzX3zQksG9sHsyZk6HI8Nb+FF47U NkDlXBrgx+9aguAhiJ7gWbtTuHGWv/A2Eru94hZiWvh8wjfT+93tI710hbu0/99f80qRONrHVMuO 3yseq8FuIClFKSn64VoWMAVhZ5IuV+kvUjTcBzLE1cPlNWkrw6RAc+D18gON1cH2NjVb4yDQZY4m yaKv4WP5AlwNi8GYRLBZGJugSx18gd4hwPG4gZFS3TX07vupgeT0DnrbNfxAf25LgUolYO/FZaaw GLGaM7vj0IS6f6NGjG5C9AajabU1MdZK80RaZazGNiKTF0Upj2L3KqFohYAr0PZdZ5buR4rciNph JLNkkXlIGKNhu9CGYCX4nitSBFbHXhdLkSRcl2YKhEj8jp/mnWac9PH8F9d5cZd1c+jMbCDB3wEh HwyBh+IS2GvRILBrn+cbqex4/r02X5skt5EIEfzoM5vKQFCJ7fKFS8wrmn99pHHfJa+HeBkYX3UY QUEDOY8QMG0Czm9pvj7ZPBhgXn/Cxe4Dlh/56NC3//MXE99xpzxR352vYA8nRrWWyYqp0RliulDb LR55B0zKSvJ1nIF3EogJWSvKOXsmZ1ari9efomr0nhg6FriHAC3LAfPe9tkkmAIx3YwUiuRYJaKw 1i9ArUpYmRUpb7FNyjUYoJ/uGw0OKiVlSxUg6Egoqt5KXdCruTV5kEztmn9kSfeyu9IOY/Y7s6UU XQtdJX57sXXYr1GYcWOOaK6/vsdzLa5xCMg+uDdx791n2oR3fACRxpHfN8633Vc6BdQb6Q0kKkoU EGmhNvNlMyXuz2TKMLxmqi08h1Rw0rgI9QEXGPJmoM4c/olqF+LRtmFS39NfvfEuW7clulHvP0sr 9+IL/nIezPYV6xvRna0uIrH//CFpktbIv3bAjNvQ0ktfZx6jCXVI5rCwIzmjbDf2fa/3cGyrpAEH yva5lNx+3ZjoozjgYIi0rMb/+anAT93P8RHma9YsbRn+nGyQmYuxtj4Ifmct3verJwcigSbKFFB1 vW3mfVDU6nNBquUohmCpcO5PzZ2OcRVZgACBsriUnGR/4UM6hZBMEiRSdgLjcWDhlpZr0V0fALfA ny/lwJsXJ+VqL/QOPHikHB4pgXHoz2hUMYetA/2ztGpe6PJcZTwxn/0fMaUeLNcJYYmdoegeD3YU rr9hixegE2/xfaEdWD3X9URVE0+nVt9aejjbqs7BwlgrvFzIXE30kvJg5aEMPnDuMVZVfhI6oBYR 1pvmrkbdEJgtBYhxfw24e8CuOO29EqwIf7n12QOiWj0yX81Rw3gVwktBm0s9ZiOYvQ28Gi8TZdlO aQdXMXKKrujiF8cNvZIoockuR2pq1KfoSXAspQHKWK6B+wLoNKw8ILisiDcjDXRkh3I0qeJKNSIG pK9g91TntPwsDDTcCOTUNhbiNmNp56Teu09aWD3Jz3Irtzi6jJjmGrHnQGRNw/KmyfZ7ADSiPcZR cyruuGoHmuMasiY396VDn+XN42Ykf+m1Nx1rvwtFPnFDwQoDmpPaXXPyEv8/+toGLK80DqieBjoO s4GEjSfNLupAckL9IUN097FHOFdJISJebLCU+2I9dHv2ACXGrT8x1gG23wVU6k9BW6V4P1pbW6kP lJJAAMqOkOc2EeUBLy8qth94IbGVi+c3wPjy51iGBytayGrqk8bBqG2Gu5KuD8J5yLsIuo2CcoAJ tVmCCQ9QS6Fz+LWxMkcq/k3VvZ/WYbYpxHIVmAtS7UZDa0cI8lZLpxLdwe46MmRYTL5OKTSeda4e DpRcCbLkdChK29iSjueY2gh8UHOQRiLZvYtFUjj/MG9Pmv4sF0kdi1Y1QAMfQnO5uT4Rrgs9QB83 jMuF9QTadlAOIeMBjTVkHx3g5weqDYLVccCWWwnGehrUzFNDrcpapgv1Nc3NuBVf3QrjynBo+dXp 8taLpv84ArnHgF2Axl8m82NOjszw6Czu/lEcRRp4FmeviI3C8ZBnG5aIO2LCGb3IOgV7jurzYaIf YZwUeZIWfEQKebvfZcEQBXikv0NjrC2+QzH06+CPsspZcD3I6l5/I28BkibC0XI482ltfr4xD24F 11zb9gDMAbQzgaL9fLeEt9Hgb2Y3/K/9cMfeME0cuCt7vAn8xyJh72X86kyXFdUSKTjYSE0MNf6K hIN4b6Mv6uNMf4zE5J0q0R+8GkERyg+ZOXUSDOrNCGigZrJvb3WSEsz5VlTHfv9nykDR9vPpaj/9 F3sTTQ+1rOESzslhVqqbSbuWUSFWK+lefAJMI3GfQabS4W5eH9bAOMPaktX5V5PgTgZ0Xs1i5Klb Q7cuvmT3rQHkuRoZ4sx65ldAOYsNmUALj1s2YPtKnY/t4tuf6uYzPp3iMYZWD/VMt2OMtaBtOEPq rFuVjkwuE6d5Ndr9hrEXGgSPRv68cSyUmtyOKH7Br7I2Hcsg3Xhvp3aH69nvaRO+4cHJRuIP/LOa 1g++iecE8NtPXliWt+tsaWAcvyHgQ0eZpujuI0aP4b7p8SiXNSdOl9K7Bhxx/UdFJz/06wmA2I51 sqXTFxHcZF3dnYawFE1wKc57ZLKS7hN49vDJR8mChBSk0+yJCGAxmW/tuw8ycWsm2ljs7QybPS7k G9my7mPn+LmMCbVOvRzrZDZSIQg6UMZ7Uul4yB6rjTT2ffaE+eBoRWEUA8Uv800F6jzdm/kUtF0P mU9rSMWoKTvG4Do6RWouuX78FkIy0RZQn5xTw7LDxzhN8G0UbW26OrhZpWWApelH4oaqMplDQ3fa tmmtnb2KVpnKpHnsd/Xk8oQhuWa26XI6ujZ9cKg7/eOWELKjKjYBh/kt5QvbV+rC001hq9an034s UVfqwfX1IYD33j4yF94o7vFR+6BCqUelDMHTiWmshMlknqg01IC0iIvvRVBZJHQIk/g/t4las4EY 7Y5hzPu2oMLFTEfC8D2MVS/GoXx9561FpijYIZSzXtthUrLY6vx9qLRx+77xFAeMqk3uR/opDBup ddR0uBZCcXTLSfH7aNDpkOeEuKIMAaDtr2EUNovmVb4mDwKTkGyukTqqcbn0dF6OG2QVvLMQ5jzc NhX7qBfa87B9p86GiAy3uLEsIHQDGgz2PsTxP/vYZ0hldlIY4N4qHgh1iMp/On5pXBVtaXuoSBwn /Yud5Te9TVG1OogjFgRhtS8X82FXtoUQnf/PVQIa1xeg/YgjiADK+k2fkW04fuKNiok3GQq8OJOz u/NCTzijb9k7t6w5lbIRc2ByIZ/C1DcxO/4NZOkmPdVLthHhcBtCCSxBBVGhMrZZft4XYcYo8ZRR C1IC2doFNKno5NjGvdi31is9jCXoHh7GBXMBLnVfSMYbUJLa+g7iEi5//TAekUigLU0gPLXyP9cd +pQHZjXMC9BAxR9ps0c8H33qI0LhcLhzw35/APg777bQQ5g0UKkwKDln6xsswQ5bBMGlL37hqRRu KUf7sqDNbnyZUCy5L+JeuZo0+GmHtBLlxcpV+97JY4VfUAkQzvCvoOkOsMuSvVyY6hFyoeAg2f9u tnCDxD02uTQSc+ERFp3e9/l4ZJBb2U2p1zLbVkxw2bC6tu7MMfzqMkq8YO52PPFk1J3R0qfasISy 4T5ZFoZvaMIIpaRd+Zi6yIEKA86/Xi+H8N6L8zY7qmjiPY0rqVtNt3Ce/IrAtEeoTrGaMll/eyf9 qXAH9KrburJrLMZNZNTEwH3vNLDy1rDQJVwx1+E9JdmEXl3KoP76/9ZP3PBi7wvCL9AXYZfZSPyZ TeudqxpbFnkzVWJgzWSiw2SEDYnPLZcn8hjn4hAMnWdkEKiXAExR381nEJKe6fbeRyVHSD3Ftk+B 9zc4VV6giYk91jbrNVkA4dz7AQ8qT+77Oa2R287cMjVmSfOU2fNhpbc+/NMKu/D8G/25sGLyO+wz +EwgnAd4yAMJnRJKp+PDttWvQsgaNygzOMuRcvVk0qkrIHhdXcN6EZ0MtStdHa+Hbux1uAOy8+PO Yk7ozegu28abiXWbQs2qPTnZ93dmlto/wfVvksTEWKcK5VvmuX4vfykR9BV0zGn5pJJ5oYJHTFGW sK2WOlRP89+VI45m4DheD/p44yL9xjNn4tXFEyVtNwJal4r69ibCqbVAblsAsU60QZGoE4eW4vMq jcwBROHvl8/SxARljUToMywoJmsYcx3zRwL1b88yRk4M+BkfKFolgylb+WZA5LG/Dz6XG66KmdL3 9Z5HcRVNR5ErhT0XBJZ57rOrcu08bDI7Yne+nuWFN0fG+K5Sz9GTo4/4dlRpxhNMm+SlXUcwZABU 37SLNPe2wdxIPIEnK6h8jGTKw+OCxltBm2U8EEeVoa1/KO3Ml9GSQ6uEI1+MTVLWJ0HTmRk9TIdC 6k4MNjnAP2axT7kHoXxLrUGBRm1dcBZVVgQFqGGHuSCvFQokEwPZp7j43+vO0HnW6FCtJequ+g/P 76zSRNkTGFd7ECpBGxOuL0lx+no+p0xI1Oz2t2hHIM16hH4ARA+mW8RD9fRxoJ609t/ECHQsLbY7 r15k6bk1be4UvOJSj5mn9GUuPLqIDMNLsx0Si2CsV4XvTUsZ/9mpiOByoW6U+aFSWSH3Y6R2cvOr OgffBvMpcnhwC2oVEakqvz0YkPkmJFdD2OJ4x0HTVWSRE95PZgdfuVsvoggzaFL3JgX35VpM1bpj OuBck5FHrNEa+5voXd7kdbKpWz5y+qc3UAtHaBp2mnu/UScEdtbVwdFa/3ZBt55bNuDq/gZQdAsc ioPZuERPHmcLbV3C6HuvDx5uhBLz54/OQHkSqIY3coI6F8MSPs589W4RafDm5Rdep0+mWib7Y80m Uk5uBsYSQIDggu7uPaMdGXYptQykxkcXSyhyyja+7Qy6hacRtRNRq2GQtC7T42mK2h4celxdutIa XzSyjt3SpQEDF6y470xG9OmP2a4H95aHfxSG53/rWp3dSp7xO+pjxMp3HAUVBk2+FKRAf+uLkKgL 6qrPrx4MW1ab6ufNrtsiyYd6Qq+vYKtoN68m16o5eNf4E8uxjyEkyoaAk7lrD5sWYnPLWdOcv6Bv iViGjkS7EPQ27Je5xx5QhHXh9SPnFa/55fno5NbnS2JyH2TSSUz7wJRjANYXY18cBHqqsbUiT0dD g287AXbxyKvx+gsuT4ZPEeGxBFaSOAmkR+9b0St8DJ+jxy7kN9S3/Ehm8pPK52RjbU33H5MRS8Py YRZwED5u3yvX8i9C6K51G/8u8pD7sJ+Riwha/vIMjkkUD1H7O1rxRBmX5flIyR3x1iXrbeSL/QEd DB+QhI0ITQrgg5xZVUX68m2HG24brsuBj8UdGMie1hWcLXH+Qof3qXl90FBNte+WNd+ATFLZDD4K 8EOtM2tjNsnewVpcuFLxuIpiS6i2VIXvMeOe7ALu2LlK+l6EgPpfzTbwBYZal2gTgyAKUTUclqK7 Y4YcS50WZRB7YEpNCqFssBSrks40Mg83X6nha/sQ6MWVN+YB+8E9Ux2AzbAVazFTUy00KXZL0tjh vnq3YYmz87i+Ol+bSxdmHrlOuNCiJ+P6VkkCpEN2popgBA6PYL4Lbws4uClvhYWbCPuLo9tdUeDM tOWjEgU+SC7JT58y65gTScdkjc7IZMWZItzIaQThK+hXXJ1bMqoq8mBSDdQSEGJxyYgIIEtrDhrr v74Eql76o9cwz5L92TJE3+EjdhDU2Xuyo+m6FDRz3rOGjaS1kZm0F+XKIypBadIxQweoxhu3s/J7 nORgaRNH9HVHHyjGi3gVJhBrO4rBV1RLqWR7TOtIMgqRhBB/7uRAOAoOCh2r8NlStL4J/tcmaI4m ZAFsIC3Qy8xCz9gkCYghEazMH2SLLxvmi7lt0KENCMSblr6mSbdn+88OdFIqAEEqwI5HvlT9RESf U5ofdzAtKMATxlS1hu7XMMF8LgULeAVwlYtuHvZhHhSpGOLRKfbO63F9NmWlvmqFPlCiEKSMxQE5 jcGvYGOhBSVoWFp9OJMQscKPskOf/hPmbyIg2aCOStggwbYV3zIREUDQomSE4cBOTzVAODfxqbkt i47Z2rdYGr46p0qR/5NlGlBAsXStPu2fP2OTFlPVJaet4KoytJzh0FVjegBrwmhoMPy5lcNuVp1L j26ScNnfdHpokJNJ9YDfwNq4+nc0fY5pP01u9qkVDkNfkWbBMSIGL2RVMwCkrucI5PQj+RTvuO8R zdXGhR8hx9+QQNj5jxGGc5POgRIYCofS3M7gdvpDL+qOniEGQs+ggX+BTyufX6s+Xwk/1yWALX0I nm2tT4ZAXwgYLN4jsmi15zDsjdCUE/tIFgLdrvlOQu4t+HyF3FNnSrs3EKPzFwPrDti8snxXIQa3 UgVd9g29MlKFW9yrqPoyEG+EAsdD35//EHiOVZangZ8O2OPwHiUREeMovt/IQy9Zcc9Q/7MyO69Z kb62Qmh7szcvMYJr3Drl3GPeN1WnsUMjT1v2c7NTBWbBO9MU0mVZOU/UYHA5Ioo3jWU0r70OxTe2 obkw6hRbs6O8IZGfIUJdN4LIyICJHxM9p+R7VrW1vWfmu3IzoWYS5uquUZI+tou9uYbtY9DcuaYI SDHaaFwEWHW7y0mLL5RRU5bMziykmMsS8DrQSyPvFOElVX4itT0S4ZbsDi504F3GemBzjD1I4+Pf qxKxFi9R3QxJZ2i5Y0jW4kdCx3C8mcyWf/eBDnDQaoik+XSeZbCRfm3mv55tqIcMQyLpKkficr1c fXlxvMhwIEKwbT6t1bOVUW3Eqz8H0q2M26Wxi7rPho/OibKI+WCIt/w5NgUTuxNs+Hd1nzJAJB/K CT3w52mQSzbE+vZr/WgGkElhZYWnO+PxOPlt0NJnzctMSXeybE/zW0gTVVUk+EBMwUAVuttLXNgb AsDaFj/xkRS2JfJuOTkVyRVKR58apRP8WAGL/KyrecA8TR1OsQzoX6fNEktcV9Gc4ZavJznsjHxJ byz+av0202hLMGHvwURu7NHOS9tjX+68gwHwmeWILRwhJ9sYJDQMgfeDreUOhIOySR6VKdp0Kr5x mJVJIorjOt8H9YACigdcP9VMLYGZgeYND+CBi77ixozRZctrQxJBFUS+2m1YNcnJa/zrvL4I3ugS VPryAmT5lVjaEiepeh3wmaV7o7oBYCJLH5vftDTUt/Hq0jFKkObtNflDfsP83HiCRNxw0rtdNumV 8+eS+rnRJyOvP9fn21C7WlMiYoLHKTcbN02U10aXKJ98ltqgLUc6NVamJtzx42ONazX61ZerzWqD fpiINqcL1kMniK9GNrjld7mjSzp8btBpCKfgpi2VH+iVseDq4ESow1b25VKv0v89tzwYtXce7UmH E+/Aaj1WzLPs9GRZm/xkQ7ni0hXPHubYK5FfVaVsD0U8es6MRNNjfzfp+wqo8/GkCM0qHLzxrz+v l5mMZMPLG6GI1ucf+TfQTtQUuxLTUpRicToLHQCgXRqvt1Txhs0QDsf+lrMTgUVW9yFN1qazyyc8 nY5YWl6khdZWvhL9q1GEG/L541+qw2ZJkzQ2B7vN7bSdiu8IfxEZyMa6jDOee9PM1EjBGlHfLq/a WAPOg7asHW3Ym8akCukNkMKZ/aNMuRQOJ/qgaq7wcYT0tVKYYcmtJVpcxGA+QFIpTmL8qndB6xNH 7FXkyJAOrdnQTN7agtWJvb6tyeJAc2HBLUt+E4x7TromWs0K/hJuEJ4yTqNiPOlwUSDmGqi/krxf lDdbXTIxRCWi3CKeXnh8nsiWrPZFpMpafPdGi72sbCbxy0fvtxExcRjQlZwkn5qQVX572vv0Npap emnZcMqo9ru59t1rPoK8HauAFDx8agZUKkcHz1KmxL5zIIV0i3TxrTLBDyXp1xsiGpJADsUa1txt t6agLo/HcC/XtxWlydVDb0e/xYp+Iqe7Im6UzJZyLM2a89RNhm9G0SjkQqDUZoRZGhCztHGPu/fl gY+WC7WI65eyQKOYnZca2gDFzCDGf78uNlgGzEjawMNUiKB6X1OWxHniNV1XTNuYIuRH3favhA9h 7Lil2+UcZbdpW5h+qg6hd+FXJwTe530WJzotSmVNwwDE1S92wJiycNsF1vu8NOAwFs9162bk5UX2 qanl53yruswGF4n4zsuK8bPL/tIf+PghjszOWPsdwKJqNqwMhx7WgG1w/3oWebZVpPaIkqLP5oo5 15ijV7qjdmLCEWz46AzrzY8Wln8niJmQVN9GRAb1+kODC+8R6y4qrThumLdvMzr6Fe2lkefyx0Dg 26Fjb/6XeGbGVoZTrJGkGHeYrEbijsENOe2Wa5+plWuzqthuDYfBgiO3yfTSTnn8wFe+QLbwpQCE ajKgkQXk09cVCNQFopDFIM+AM2Tb3U9E5lfqJ4mGRxotuGxNXf6hbYFR6Wkb0+WOTrVe7jYwauyM 0K3/bgvafBJB7FURbkbkxDcLL9kCU14jM5Zpc8ZWNqqO/VQjbHLg41hEzxhZt1GTgxmNDq64U0jv vtj/RiUlTAnMRjiTfkLsDrPU4zpCz9I55FOlKrPxYUEh8tpxpKLOkeALDBI90rjR0DbR5Df2Fm6S vf+EmIVoqB7kREGGdFmuPx4sxiq1rmTBIbbzSKWDhN96YdZ3YqQ26f9auQ8sCE16gnGVnDh/69kX RpQD0xU21U6rqU/VK7dF1jUWmrfnkn2aY8eIBuNm1w2G+jt3ZbDS8eN1pemzPrgmzlyQ4wTecscg 7NPkI9FI5/FNQOz75QaRjclnYL8sHkPwDZtLECtDTSE+b/GGB6DmCl0Mbwgg1RvMrGP5jS3Eduue 8jNRBib61KK+oaTtrkANpmBuM94WS7ySxp/4RSIBI1ft6u2jRXhUBKu91Ie2aPLauH9DIHj94AG4 ANfLV3X2wUTH00BzCUTEnKLpjs/OHNQFPk63jLPO17xY0LrHZmSZWPdkJio75myNrXAhV9aDoTcQ sKx9WKktxY3lmTB7msL5Eaa98aloYe+HgQKhLbQjggs4yplykyCGAN2OfGKdN0na+Z4NIwy3oq8m ngyzbHanP37y44zxQ7T28SHjc2vdV8uD9fDIkR36rS8j1oh/TkU2zhPWzPwocvVkE947Uw3mGzDf Bd2ndWcG0TMxr538xjtiV+9LiKJl2emjHzg+Oi0DQc9xYI5k4dvYkYWGC0NoMpHhkQ/EEtPdZu0d MjcTN+cCe9rI0LQwsRSFGBMt3PDBhz+0KymA/LIRaZszRHrJ7DkfixsZzxd1k+Jz93/Spe9Y6gAT fn8QPuQn5Bywb4z/awNz1rPccM+1C1fute6U/PaybmuvsaQfpIa6zlq3/FYy3pVfwL6GBgwfR/rS FrmSGEfFX62lyPoJvVa7wHfbDvSYm4Z9Alkk2xwInT1Fss8+k5cy0ogh85sWcBXPNWFkjy4Z1Oxy A3TFMZ5FURxlST+2hEhD2Ii2jre4AhpdzxTtt0wRdc7kGm9uJPfGFfe1Sq+inqAJ+YvPF4RTASDg zrmibDubymDFKMcB7kmBgulFNqc4zxc7qpmBbpk1HVUS/BDU9AQ/Tr2F6ZWpDaHgdlj6aJRtKryg B3mo/CNhmpXtWuzL2aMHNwv9fgoB18JmYPFDcs0yHpFiGXnQGFKNiRJAagGR49FwKXU+qo4RrMF4 NX25SfdyZgeNaRQZYgTKgb3yl4do4ejzxHKBtReAWw1zcmz3HdcSuBN2u7G4nv3rge8OlZDI5uUg AYJwDjSAl46gAhg9+BVu20j4PpPRDPMBacgxr/Is55FcBiFqtZZKRMqmhW6ZuJ8aHbUokhSs6NNl ZWybSwr/ZGOXSPWJZGEY9NLQDiS3mQmhwCV8pN1G8KEgqWsL3a7u7rDXa9FugDixjAWCCQM1MLKi TgUwi4CogM9QFC8rU2E+5PXiLs9Cf3JIPqJY/m5VrVxBUBeeiC9SJQDfFNN4Ta+hmYXi5ENN9Syp hfe6dX2lGYQnvoC1oRurZh0MwsyRWeVRWO/g9rCwMsOPduMaDM7oaJjcOrbGQGSWbPgKELQELtaj rJAdoJJiVA3QskZ0nlK/Nc9qKAPyLRRRxQ84RjtN5j1TneuEzoQ1ca/fTB4JQVMr41bVRN08ztyV D4STlHfP5pMdUsKERlSqoT7B7eTmJ69QRYbQnFDdxrMCmF7AtMUPq4BCJUn3g9CLcyrTA0UfwVXh 6CS7uniPfi84G7v/jwCScp5rKJE+Zl7s9y1RV2KoACIlTtNHNPl3QJfYP7uOSTWqT98iLViPyBU/ y42/Gy/6VPIOEttn3ckG8ps8sDdXozAzFx6uIjitkih2Q+Y6E6ciklDIjAhgxqjyLVk0U3LIVXym +Od0KXYxm3bY5d5UAyUNt7mVYA8wx5sXt5IOVTF2v/4Y2EHPTPvO+kULBaOz+UEfFl+r76lT5DXn F47cH13cfxBwwu9FLHxwqWKHTQWFD1u/7BiHaRAB1YRDfES8kv/+AbA1NWWEEHu0huEvPwHuSsIw KgYPsAJtYPlIKJbEVNQGtu4LpSGW0DUlP8f8OpUf2H0t76jLOLRAw1r+TCU3Dnxu29X2rCTeEXsv GMq/c41/BB00cuYUejoPvZ4HfNkXjCFeq5QfMJzzXtmxwbTiNjSYAG7kKQ0e2RDzrUe29Yp0iIPQ ZA9BIG8oLH7K5ByUeBWt/TkIrFKef2djXswY0obM4uRA5wOWO53bDGTzE2cG0NQkGbjnwwOzk8I2 5FBMh1TrMM0depnaoRF2l1rMqIvsr2/Xvncm9qZWSC/xcvyKb68E9NcM6y5CC9qUy/NBbhmi3MMg 0ZYA6NoFlRBlxDnJCVGtzxN4DznpofclIpFXd0piNYkFFSGVEoNHOldihEP3ikeenagzSPhAEZEu alwk65xuv3gjiE/7UkEFYrIeTRnfKcdfuOW0UMuMTgpXPsc5/ueLWP/d0VpwBB/1OwmgxgEyGD6G pFnjXi5MGJZA9MBapMtpRuMyUzEnd32LcedT9lpTAAKYJwY2I5HTZJA16skPjfnMiMbBrNVHDTfr ekx+NdZ4P7I8JWep6BZ/ii6Oua6CcL+IiTtvRdGnT9LoqLF43UA2InRpy2xca3LcfeXP/wtNQmVG x3SdDcC+LhqI5iayVdzFzan9+CKVpInx6AJtQwKPqvuwsEm84p/+bYXeX/tk14ESwv1n/F1BfCmk G85S+oYQAYyRFAM6ez1POOqqr/y4BXTag/KRsiqKwt5dRy+G4rucKuCkyhfEYCJ71aO1WhzC03xW 2Awdz8yNvLm7UMDA/NiCzEy9HzU/MshC29NBt/nKDO2Rh1FQ9O1WKOAYQzPb/9SIPnbswhuHr1ea npcadnv3o/uc2+8xpPb+4MRz/0XJ7w2fG9ujacUbO6p3hGFh4CwDVpQbb7w9C2U3aOjizg/5fk1e ilna8OMi6ljYSGO2rU8jNuDIY5EsB+ls8Z+ONKphmfBJffluYugs1jTh0pnDJI6fh4cQ6B+wRk8g sihhFnRymnxzrb7Sfd2AIc4MWqC02nkorX2F3LH4UY7CodC15FHyvuBJWxZKj7fyBQgvHxfRSYKk 7JB6ubdIpCGwk+NC3u2wGmH6pnFuJ4BYYFrHhFI8e1B1DxI+Yv+aVwsBBIfvlg0U44frzU28igQM xM4pHYPHBiEmKqRI3347ae0EKe1mE4g/hBO/MwM3FxwhrkAPlNfKqjWbXCzkq1jhdJXRYyPDD7D1 dlyM2A1MyWEc/UKvMxJy9ece6+RP6uLOwN9BEjmwBvjQDVZkw+hw9qSBSOdzULuBNMcPqiAhxts7 oCpFJDOD6/QCqUmsOfnrI6BmyFZT4u6qC5N9Ze5CVdFH+q9QV/+eVH+PyKE0QkgvHTDRAaomBDGI t5uNn/WdbNl43pzXHLJJ7+kX7sPUqO89wB1mlepYIiXxb2HDcDCYaC69Zb1CYZaxH/bhuX+d3h8b DELuHkOOOlqYrWw4XSxUaM4zK7a6Oh/M6dMWFZuhqgF0eSpAs/owql9VJ5sX4ee0QTaeSGk8NIL0 SInRQodCF3JTAncWmkJSSaeArqsRwYUBtdj7SEGbaUHsf7CtztqPviHmc/Neb5wlUgqTznyCSAx6 eFueyoEjql466FNSxHa1q7hom4Q1+Tl//L6gvzOTQWIVHrvU2fmtIsvd01tBDqnQrp0ugC2RUAy0 bb0ygfwmgL4u0gZw7/9yxBsYP+BVdZoW6ruWMdCHftP2HRuPRG8ahYkDevSElW6QK3BvEwOr54Tn gWJgxTmCct1V1wmN31VqKEfou45vkvsRa/L9hkXzox2ag9CH5JdAA44DfI+ug98sUXE1ibNwFB79 mZeuhcwJzk1UYXoSe4ZUShcuFmLhQtVfV8fARbdsf2nCdl7rCdfuxwKMmQYPBR8QPCVerpbNXmME KzOLY6CUq1KHz7R3l0JFcJUfX+67e/QrDgCPeFkyWvYwPdZsYnFsV29QQeToCAVWtybszg8zqiYH BQF0brwuMmgErYSELsvNkdHUh9yn+CiAszS0ZOXkQy7CHxDJ3Z6/C2zf1iMtOh0zbfrbzeKCM5/t DMXsO3Jm/3EapxomzYFRpIxeSbDhu59jYpISgtpWFq0B+XrPVBB1mSIAr/Tg3WpEnnTznQKvJZU4 n3bmSkzdMa+FZRIZLJhFp+8VApQ8Y3L4AMcdrOLUjanDVZu/Fph8FlotB83afz0DMAccZ+mKIcAf QeO/4omk/SZnu1UzvFYx1WcFlIrLIA9FOp6575yHCK7PH6+/YWyWflxVMR4JGmLs7okBHROOzcx5 mDJRAWCzLlxx9jS36ESxge+CvDH9oadfv5Yr+g64a9cYec75a5zAHxEXjepnA9TlN9n982uUx3Ns CU/wUconPGJw4xRfyPgrovOUIUw6O5L1RNfPTBqxsHzmhXmcv6zBP+xgXXcFCEfFgeNDKqTf2alr bORE0RbZTtCSyu5V1jKxDSpM/mYn0WZgzaib+XiEdAE+nqOYNQpLK5c77dy6JigmYjcEVMcIodCf MXBSbwibdx9V9o3HrLQKvxml+QoxG9sMOQyPN4sJSgevHLTolxjaNzlQKOF5pDb0h8EIKqC4l1il 0Gm0YJIGvCcjTI+hhyfPxwQior4vuou1AcPyU3rJUZFGkhQs+JyjGANkNLRoRbkRzWmJ22u+A4+D L15ck11jkCP990Vad9E9s244js5rLm2wl89jcc00/jEfXtYwrJ45xwdu9j7ktxL5fQmgJULa0Sl9 iSglzRTX57kx1B4JFcuQGTlZlKh8XHJjvM5uTclvxTnim507hgBRsjEUVqINigMpkqzfaH5kyXkm hs57WcjfhttXXCFxTNE3/nucwum+uZ+9QNOqqNzXUrTYoKhwF+xE43QOOZQg5SfAxEfAosEXchVB KXRUurLldY6eOPjuv9mmeH6KBcMTx3GQ/e6VTYivZZySY/5+6UydVmOuY9MQ+B+XT56fWvEbqitD ms3XscTm3l/uXPrjbX9lh9rcvA7UeKPBfvr6capEd9RRZjYnDUTm+7FWclTdfoJICE1ldKrW/bdm 1oNLYNEroT9Q2aqE+LH/nYAB9eNDpUvSZmgtAgu8YimcOE53L6fZ0KcrafpNMx35N9r8aJ+7eFDp BkPfvcezvD64whAZq7I6JkikAUe0tPHOSpvxBH1/2Y4r4fXQEwyr8ORH6UJH3r7gkkvW5rLKCSFn OsJQWDUyRpPobWPU2hIlOZz6cuutykWDsIUW6ZW9RKMB/VZPK66WhTN+NPDLDd/tD5HY2jmc79Lr GE5XGOxHttDlbaX/PkcTtG40vTNEG565Hfnk8wljWeoCOiCBkqV5aNy5huQocdg4ndmuhxHdWhp0 AzMyRWdN0fq1LquLbRb8hSfepLQe1+YHJIfNFXMY1XSfCO+2rqgYXGXxjpNTBcf6T/ICPIhhVVAd +UcG4K1AOc1QM0ZNL5FNK+HtTHoZK9N1S/4nf/FnjjFXMveQzefl8pXNStKzHuNmRzZtQHCcbsbc NIfFGp/JPf7nw5CmFxclicJrBLgKufdgxGNvwZf3ZKpXAYcxGvOwFYfpdm7RnDvDqVwixro4mX2d QCPkZypwDqr6QxE4fdVl8tUEsHLO/+fcOOhEqsuzx8WZPIraAZQIQM+KQ4VnPRiP1VvWv04Tm9x1 teWFlEBSEunK2neiDFjXC1X0HwaXj1/1CjHNTHcdMnKmtdZbusQoA61uiuNBzueQ7TQEQqWRYDXj HDU9ChGBW7WYp7k/Nz+ZTfy/DPah5TKJZrOMC59CD9i8YF1z9jpAG9X/A1yU7BLMuCv2pQFQ/ysG LhJj6P7BzdHWaEwWtL2MDm3EWY8GdsD8mb02gmeN/pBz18WDT2w45dErUnym4JITBuGA1qUj9Tao Y1AnkEbmvX3Wjwqb1E2IEoHncuzx0P4ItaerDWZBId5eFTsYjHrvZ+GbU0jBMPf0bCxe+lBuEwze iTugF0n13WV02BhgNvWM2IJtf8TiBfG2J6+QziC++Ej6fwbb+HfOHsrwyhnnkayt/lxAehz5J/iT wHXNduILwV7HyJuoVqwTqiR7piL2+ZhVYuBxclI9kfAsR4hnkenZY80qC09mW0gS2SI9bWCfmM4N pa1tnnSuuO29vt4KuQor28m/rO5Jwhbd+FEYw5rriqn5dXwRwNST0oUa1zvT74PKJkRz3RE3XwrA ACwHRtjYJbvvtb6Qdtf0nYsNBU3Vn6jDaGMVMG8xe6Gce0V3ZTjxt4v4wEFEBfmteCRsUFMce46W 3qIS076mqpl3raPQFgWgJqWG5Nbkceai5/qovaYjKe0Q+k3DN1xAY3fSIdMTQPUfQYq0q8/pLkdz rJSAOqrV/shEwDQpiVwplQ0v7ZoU0B2d9R6LxkD1OhT2pSFbToZHYrKo8XonITT3W0NyW2JnYq1C g13elb2iShlZgyibnKbDV3uKWi+5QToBhAYNlBnzSumzsamx+w/IvWG0nd6PjxUjq3jSFeTiZPdl fyJRZcVIbbCfi5YMPXReTI64N3I+PAE7kii/glVQo11WhWuOVDqGD08PUFIeH5XVGqe+9JHz8U51 pT0PQih8ttOkfMBlau1nFE2IHWbsJJDHSCXJQbou7DJ7zhINHIjGg/Q4KCqICkDyqsZkglShXjh2 nzNvwSqc2oJ7Et9P83gdjIC9Ch2zRbU9HjF9vCFam3SHSGvVrixAGQxt/1xYMMsx+SzTBJzh32gD ij83L9ik34rrc1WY0+qULUGA3wvKOJ2yybSRIqy1AD+arkyiqm8+IPo27jimMmhg99hjrGm+0h+p QVsTBV2TkvePbz17UiXMCbLNXQlSF+hXA3xmKIx6CBOdwOrXE7jh/l9pPCD23TEtsoIsOZqVB7gG laitzmfIfrjcqOaqDq+I4MUAN0fEtEKz+CYHz0to79IYnfnQShtOvWEblqG+icIrAMZC/U2eiyxZ DhH+LkO+D15IsRoTAxTSBjTwW1ru7t9iZrz+FIAex0LZiNliyInGS4OuWKYFQHlUsntx8QAal5MG zke9kw+alc2D+G5UWRxlElE4gLhfJSeUGT/kwl7tntxIJF8SQP+KKjkkV0kgIf2suoVytS26VFju X7+Sw21X0jP3BRjkNnfg04B5enNw3P7LuFREAJEtouMo/pAabuVJ54XZ4Ncuvl6BY8FgD3ut5c+R NzD7GOL3Au+QQcwVYRu1N2NgQwIz/0Z7hLQ64WRc+0PH7aCD3wA7vL8yqW1+faUg5BC3uElhOO8Q GPWlKFk7qF/Yj09Qh0hUw8gH9n78kdBP3BTZc3KrFwwncshH+aL3KqFetBmXPjVU4EYKBgS6u9YY jLBZhotMFExqPo8wfdwN9S1JhCK2wVbDUwZ82oMJ7yEXkutfKsPhNC9Rs4zbgQ/Q51YlrxtWhhcJ lRBrlNu5ZIXQst3s8G+KvXnp1qFdlmBloGaygJWesGFq7QPoJavVKgQe7hW7Nvifiw9QzyEBLfV1 v5RluXmDuJlEgRNo9a+gqnNYbyJ0neRWfx90aS1W56crqyCV47+Q3tup0XZj7VK2lmyhJJPxYihC PzFDTZSTPmpK8M407zO704UmCr0thN018VYh1dBEunQPBQPVFPKmtzhb6oowaPXfzUeO/u13lA8r vkkcqCYUjBRLOsTPsM3rDOoMDU60GzzeZRpWa9121cmArt/nn8EQpLQaMuBtYP0Z5+31FukyAeSW uPGKdrDRiGkSiey9O7DGLkG6SvebU6XGqz6jbqAzf5/us3sgKBMgUd0WxaOc6eBD2IyUaORmAysn +GurzTETJz1Q825zrUQe7V0WbwSfdHcMUy8z5DqrRvlDOx8FbEumBwEeW3dtz1MVUytHyZLCZ1MY TZ881LJVa1QzX6hj4MZ5ORAnPHUC7liFjuGmcJKe+CsEbaN9DWUtsbd6wAsIhZu14H2PbA8iVt3I VtM6OOFpNWuVxwriyr3kov5pWYGPqZZpBV3+uQObou7i9r9q5HsdBJ21rq9zNttTwqWa1So/62q0 vCh769hliOv3yprCENCq4x1tnFbheHzwsOR6EmqU9pWzzuyLgYxEo03/XeEexozlVQbG8sf64ZNV xpIwAddssJL561vi6b/mNWNWr15A+nscsFToBy/7c+fY3FkQzK89xaGC2SqSb3mnVl1NO2MfwtYP f+o9XnNEpCIgB9RYxWc7hRaSjmnzqb9VvHC8JMkevazzuoA+csXtPhddJ82QXFYA1XT+0hMtmIzR XR4/KFmw5j1HsvW2UokhZ4y+m0YcaAfpbfgKOTekDX5ZezFF1FTtJsRYBXUGgJH3yl+oEb1ySrl0 EL9ug/yOGE5jef5X0TBaHi+FwXJdJyG9dgJ934qG2JBg2ayERZsJzTWS3aIK8/3i9qLdH1rNOW5P mhfwVa/40fwIjV3Z48pCZL4426ctJ95kEinrXd23aBt7TuOjBMItopPhMeg0CKc151nGTsgBIsC/ eN7d6b4wfGiJMwQVbGFUJ+BctE3UKnkdINCE+60MbE4S7wqwXqF+1jY9vs4uoTluKAUQ+14CRyYA lTeplENcf0oS1VTv/RHyWl3McRb6QYib8q+L1Djw0h0+zw+y//QbUYODxHJ8P6sAhrQG03b5Pkf7 K16wa+oGeTI+XBmFC5uj6phoffsvO++blT9CfzJdp2B/SnvaB1vkgp7Yfidlekg9EJ1s+LaybynO wQpDeE10T9QLDxSg1hwvog4I02H+0XsonBO4qzxnZQZwMWBXc6G/D/pGiSa9SIlxFzs4kmq0VpTe mUdI/QS7g525qSyzkpolDL+PrpLapW6z3aAiDDhrYKAL89ZrVVWmKBpzImwXvBGIyXaUXziu+ti+ 2UmlxF8nWd1FXCp8uP2Qz/nvyBMbo2xfk1mCbyrrjzans7e15m2RoqANqMe1sQ0LnSUjPkQ/I9hF Kbjp82xYPj9lDstHeiVDTtTWSAe4jrtKFbHvtZQSdqGcnVA3t/6vBlu/GMqls90CI5u5mALhIJd3 n+9+6J+cp4jbrJVEBm65MmNEytg8bVaOcXCrGagzSCjpVuV97qMg7k52LbLvWnPdcPSNJc605Ms+ A38Qnx4Mep9/kLDjG5JWsjtxuE5xNxHafVyYgxdP7GMYdAVvKTlRuTbRBrlt7EfooV93sr/dYYbd v1DLX5WtUNRLo6b/PGWhOAJtSF/c+wM2VzTRBHwMK7V1kODuyYHlVgGT1/dl7Pp3akpHqIcw4E5C O/8Ykvy94KB0nG5kGENygzh5Lbl+zNDoHjKVcboTfPMmzt+6V/7Td7rYIYM3CSBMJKUVIyWsGGTr wmYB7NFXXatazSK2wxqv/1aXVpSKaGfozbDUNuQclfESLcFXUttaq45FoqC3JVnC8jHd/04F82Hj FELFJDnyNIa7XEZKkBkjk/Lr+iORt7Cnq9FMrxwXh7TkPKr+zSIPOeH3ROY6Sk8Votze8JIoB876 6cuuWWtMGRcmmKH2rOlev12yenTp5g1lBvccjjxKBUyu7zN6PEWoInXBfAV43bdvdVqL0RiVV3z5 tnUkdY0HyW8hguSHLRvAXUqmvptlkfr+7GklGQUYO7/NsMIuAivVk0GWeEY9MfZ37sdcoHfGRAEs z1RP7NRYJNGP+rILNZYOr3hMYYBMcnuiL13bkegUJVFGBGgWQb4reXypvNqK+L4XWWXrJE//jVWi 5YsPxfGjz/S/0qNiRP8hRft4c1mr7rv6WfXxWVVj/Gac9P2FmXyjVcYAYbK9cIZmzd+m9QVggPIJ xP+KA72eSIEhpS5Gn90R9Wrz44K3tM9ZQi1V5uBFzNchFjaYlOqRLNMngqAsd9itYXCmW653B3fN eY47tR3zrZm/e38z+Q6gCIGxWXhrpC2eddcr7+n2l0PaULmmja34DJ5m6PC71+WuWtNXy37K1pQ/ PwvRlDhxBPQx5JvgusVai3Nxt3ge3ExI5BzPAdUxve+Zm3Sl7w46FgtBMm68EETX/KAWhl+OoaBz a11lTd9nv8TzWqRPQ9h39aktn7nS5nGKXGWMeDcQ27HnUgofpgPl+YFntLPJnGwyyJMZJ+JfNag3 o5ZFU6XCySltXWGMOuDbZ8CmHobe6s6doEEZcfXq/C+sqDhZz4W3fgk4EA1M4qt/qJLW8GPA/Ymv 8fKH2cY8MS8VKIfIah/AVdbwgxxR54tJFqN3h0ZLMWVkWt1faMM/UuyV0ExspQQBf0camykifWHd W6ND3IQ0h+BZI/exLo0oYUEfi9jqoIYQx6sXC4fQT9rl3vagu/cAWYijbWM36XMslomdlac9Iqj7 dEEqdlKcwEo7oV8zSyed/pNv7FM43iX1jotiLHsaeEFTGiHpwMObT/MrnvfgvBFG53ZcFSRf3nUH I+3zPgOVPyqbOra+RCMR9lBUJBkCN2Y9dDaQXlc2gqsmKGuN6unxNzcdmgLnCoru2uZZMeD6pojU oJYDACFgSUrLESR7K7CSyYinScpCgO8jvM5vm6MN0DtvTLwJHBH6AIgaX7F0f4osQRhK2QG9dHuY GerpLEyG0kHvQjF8huiY0vzsChNb4TYzYi65zMMfZuRUOJ9eCpvw2525XpipQ2KJ2AhQ8mJMlAOV 02QpH9TAoy5NNvhh/Oxw4/yO3w7RZ82v0axB6BNSnKNgVwl4uuovugqSbL0JSw35B8flBecIAdO2 aKHVMDYpP2dvIKg1HxrfNjaMubFl7mzUz45PhnUd9EvkTbh0tYWkMCWF7C3t0eNdEvjSogwd58E7 TV7Y9jgdqbcn+BjiT2Gv4C7dCA1/1VppVnZXoyfbi3uLG4gR/hwfMIu78vWtrlXyeGgaReeh4m9H tESuAeZwjLssgBlYBeO3Dnrt5eARHYJ8fnRo5j2H8T66klYVPgUze4slA0Yk+feQ44oNOIMYXqp/ xsBjf99LEU+G1hTyRmecTSJHQsV2Abwtl5vM2xGCJSVABuKHzyscJlAhS6I0BxIZbLJiNNKiGH+2 qYsOTkVOBq4fhFbOHRzPoi7y474AEi0ANQWzPKcybqAcjRSINCI4aCtabSGXY1Wd6jouMLIUpHmN S5L1vO3rbHo4nX7dDnhB2Gf2kyI+GbuZWNMP7SXKH3JnEHsEGXYvS9Rr8agDNwOZnCpO0oA34Kl2 2o/QQmP02nWso2Eb34i9zFBWh6o0itOXuijXKQuutUfO2WL+EapoN8HA/DdzPJ9AfZU3nruJjsRP LkBhkn+JH+vtDPWcAXUFoQrZl5whqTXQcNvzgdcPe9hG8zggWYPdMZmXZXiv2w4qlBtIzDmyO2EJ B1lSlf4sYI5Qsj3K1yasDgzTEcwvsK/dcEKQ8HE2ADn/PDfgVRj+5yckkXKt+XrtTG1TkpOsMpJR 6oaI7l4UE/ca2+yBhcSRVxgCxUeTLDLNTjnlQrtUdLIWbJHlA6lmzvk2f+tMVn+RuvPNlHBosXFO bMe3e7iai6l612HLvvx+LT8veUXKhwVNtKe8QFrzZ+V8pwsGmHSK98SoX6UITFQTL4XT0/DXdyxC 9QHJ3GsXTYQSvDYVBPkNWk9XZd0CdvaF89ynC9ZDno8k3a0McAVcYKRZ0vTFtka77IemW/R1UZ2x a8OdWwi+Dy9UzvwPFzj8EAx6VWAl26CL58TSIN/EvIsXMwxLfkMy1hcIxGb/o2pIk60144uBDmaV ftx0df1cf1Uqqgn+FlUW5V3kF0Zx0AOotQ23H/CuPfoi6ayTH4oMsraTIJU9EHLU5/c2RcN/1wQ5 6+Xis17Kiph2Q4PBjugaIOH/dEfEtWncCW3+iFHjFt4EIZN5UPZV7vsNYIGtcvqorLcwnnYIiT6I 1YlRe8HCVlNyuK597LGZ5l+TeMyhsUsGi5/TJGpIIBfDMQsXg/q1wxxXMYaMX1WpFDXmFWrtd61R iGHyDoywyQZmlc9A6otfnR+y+QU6Bm90zujuZ6xtaxiIbayPLzT8Gzr0DFZmuAxmlhr38yuDNYOn +GbI2/FOZmirbBHqvZq1Rn/MsgwrVVTg/KeSmSB4nIscVmkJw4NwWSzHmTU3Zg3Tsyxksr5fyPP+ tu0VC0kLd4RfISQ5k01Qr8irEG7ri0cW4++S9/8jVl2+EUavW4Sd0b5OGfjcYr/FIxoUSxfmqERq dEPHzLayF1xCVLATK/7KJyfdSKGchH6CYGbIfnCTiXlxjGPbJsgGyXnKxNkOKwFnEi4LbQTJeN9b tS32QcyNkGNGdCfKWfCs8bxEFZQljFjAGIBWz2WlkrFQBmTKCkGwGiZ+DISzGq9z6DV2qEX+kjIf bfbryi66vXTpElV/D79460HhqjdOoQsUGXdZIaeKCZIs2WfhrS3l9loQPDD1yFDu4R3E9CL+OX75 FR5fN/KPJ4GtHsnIzxGNTXc8Ts+XcchFKU5eapStgOLPakM3UpOgWSli2ABVnbUO5Waja5XsgUH9 E++OPOO1TURMKgu8CRbbpZ+W49MBE0dakFwEuxMXrxt+iW9FozfmjH8znBb+Jsf9CmzuqJP00vTu HEMxou1b+Db3Xk7XF+ZqCVGbSEeQ/OLFBLybh90VRcqxfeV033P/J9mtn9pk0K39iNH9kG3sQyEh Iez8H/avDg0XUtJZnqb//6WIaD2gzME5vQzhy3qBvY6HXh54EuYynIPPK1j3YYamw74y7SeUf83l PHbfdn4yAxrVjKaFebsh45oAg449Hi8EhRVhGFEus50/SzccsFVv0fhLRaO6u11P0qjKBUQPl3uT SiXIZIVbiqp2ff4UC1IXtKZMe096CowbE8ihnSm0rOrB3miU+0KzjpDUja9ezvHPX/Z4Ylpz6wcu DR+mBBvRq6y5VUCnxtGP0phzX4DokZzSCzqQN+CSCvtrBkoZ1F/PC1O43NfyOStUihMk3Fe3eSQB vCvsWbG+3gE5meprC/aiEpkbqOF9qWyAusdsBknmlaiZyv2Ih4FDRJUGGtWV89XSj/uHnISGw9R5 RsgfV4UYkC4GEA/POIlvgVG20Zny8NqSiaf3J7FkjmKaUm64iJaH4lYWc78NBhlPDCRg8YAxt5XW Y0nFKekzbLFTbWUrlbstExrW36jUt7Mp9E1saJxjkyfso8tYK2vZ9OF8M7zWVav0bMfGZPCT8zDO myBkbgrdDAUiZK7S0blM/7m91VngrlvwkvayI84812o/mDig9eibfOpdZPpA+O1sb1T/HVfb+MJa n5TthSmReGr5bQhunvZFXxCVMuIOXGURjZPAUovKqV8vQegQvjggvhmVvIW58AunDjgDfQByPQEr 6vd20iYJgHluo01KeK4BjNKnfnalcp08cxkX/t0RUrXUOSUQcc1MdbAcFC6bwZtyMncu9hnSKZPE zybHk/SCMrWQOZFcvrM+3MwoVIOeFEYfsJTIyXOPHHAOVYHyuZ2DhIWGEiN2AS92gTBlTUsqbO7u Cou9J22BsXBay8OVIRSEYD04ZW/H+xi3ItucT6G6kSnkPnaQ+wFhPk8QLuLPXMI8B5jAs2mdYecl Wl9gltemssEi+iUD1h+5geaS6Bfyb34LpU70obIGK2c1ltHP/+4pF0AGGAX+nblxOUl+GLilPw6r EMCqc5s/888DGnqQo95WARnSZBOAmjHL/n10yNcUBuAyabjg7qe12xt5yF3W18PGBB7Brx42JsH6 1TWs9y87VyV1svALP3RnPl3pmzA0JCB2l4IBeHBI7VgK0ucZUWExCyGb7RxsB90ig5uvRdkSf6VE r/wYWb/6vfvcc9OZYG2S96V2rByKruUosBJ60G4RgF6RrpjKOpbcfN8LEQvF5fxoi7CtsLNB5X69 ob33ef+3ep03mESxVmvQvyfYpi8VW4i6q4p+v594D+rbxg5TcuNoOckWI5UD4u9n71d4ntSZgJwq rNSJUhse2GUwCedzQKeZG1dno3ejE43h9nN5EInEZayUkxDSp3HKf7O3K3rqBUDU2vUaytdJNLhD Q+FNSGte6n+KxDDpjBTmJ1O6Tx6AuWR0n675gL6xis0s5uAiEPF/Cb5WzKnCVE5yobxELRr2ZMTS pYDMfIAr0ZO5tU1UAHc+vq7P42V/g7oBzJFO+39P0CeO7gLNBa49YL/8DZrLSRZYRwmyhO/brn10 YJ/viUQRtO5E2UaCZ2LS917V8atSx2hO7WSuB9pM0+z+eIQsxxpdjsMeHM9f6kEz6WVIdyAONblL /Eksf51oe7APskTNGvQgxPxfRW+EDX8tCfL4WpFlB+/VV2qzxx1IjGsCs6GAJfTL0DLPFCvjfduM CMwYMxRGxO7x3oAL6uw9WPOcwb9Gs6wlfkDp1kq2e6IVb6RAJRt4O6xFD+SQLlVDvYQslSP9+MCz Ns/wRAfD90OQZyDxcLt4x1j+aYaI84cjvGdSbcrf4BtAOXvBmp3t5Z3Es1RGsQdifpuw58kWoQpE Fu9oQBFtBTAnFW0nWQvwG9H1GOo4x8oDgsXjh87MbhbZAiQqAGC7HFzd17AuvCATapeRROj876MV RAYn4XA6ioa9rrRcQj/OF/3w5Aex9NaEg5cBmU6qTQCc3Z9ev5wTdxaJzM6nh0+gHBl5txjsv/z3 PNlVxwM+GrswKGXYAci88HG2rsT2Se/8GJUfl+lfOit+1bekgAb+oYc7MgkQmAk/yygn904eMlnM wvUCeW1G+AZBOL8RCaugV8XOmY9EgNh5geIQLPJwBUxDZtLqR5b5DxqNKzLX9kiO50pL+H/vJCzW cdHTdL53x9ygb8L4AHTxiG0ztbFvb3LaHTrcjZEGHB/lRhzXCbtDfDlSOuuebEv8iyHqQIsG4iti rAzRtmhnQXTx584npmC5A8rSn6nnjwR3U1tZ3uqz6MF0jNDXUx/0ZFQOQ5blkNLppuDDZEMnbKZc mvGTsowHrQ6P7vsU/RODOcBvTAJljP280oJoFGa+XWasDJ+NX64Y1nCTF/KxLGIj7w1948ZjPMon O1oWdtCotcZPzbGtNA58AbT4DgqYxoEpo0iMVFiUpk69wWeGXJE3iVygpOiT0nKn/NW7bmDxzqqc /S3B7SV3vmmHeOpBJSzzUKPeSh1L8rfg+bzSxmhLkxwvBTEktoGimg1ADYSNjElBDz4tlMp/KiBt vWQVx3Ta20Tz0njh5g6Mt8bWxF3X3B5b3XjHumTtq8/K4qn85JzPzWA8LsO+VXuQc2HahbdsHs00 uh/NHARQQbpVzzYCh7qZ2lJaBWKFbsZ27hHig35V2Ah8Mbekb52/hSp4aN7nb0ftIi3sRZB5bRW7 SK/GRm8wufPnRs1Wbc7fmC1bwNR1hSMzCLqPNsc/1sl4i2OYn5IS+s7AUKqeZBkdeYzwkA9N/ybp 8iF2BagJrjonu6h3RCxqgfMUANJGEbTS5q4ZZ+U55Hzs1EEO3yyYANscJNcnqnXTrV5CJznMUY78 G2b6F/+WxRrP+JkwlAVsSC5+A0vTizyJZ0LwM9bE13sEAxlGOVNvqAcOuZTNJQe8wptSGoWU+Zge 6GAbSabtfu/tLI9/rPGbgTo3k2a+jFknOXlql4b2+QVVNM9LDliSYqoRyWKvb8Zxjlwqp4wcDYQS 01eTAx7VaNV1bHuRVZOPCfeKqsre74F6fOv8nffJCEAPIc6Rgg4dKzi2ir9UEHcIq5/jbzDdGwTj qMwp5FkARtxy9Imn8bjQlzKIIaOBsm0hgQ8ybow26wt1LaxeuU6iTxsjTrgFKuqUI3xdl9u1P4LI NblVQlzGzcjrL9TjFBSQg/XDrieODJRfbHnq6YvHXIvTpfK1vj/d5y/K04CjkVvm+AuMTUr+UnJY LEHoor5u2Y6cMI9deBg5scuDLOZorULqFb6rN9kkH2piF+VUWuWID5hn2D7KainJCw6Wv7XbKxfj OIjhakcC1MywrspRIw4PLUKhi5QAiwq5gxVMxt23x9+0bOs11oF0MoShBqIO8mqk/mQx5p2EPFK0 QMx8wZUkvOUIiUzk8NjgnV1rNGmaBqQFj4vYlKy1wNCIZMYVUsFCbJ5XDRx/7bZVkrmpe+ojR6Pz lsJ5VZuuyZyGXxlQHtC5NWlVzCfbaAJIOx+y9QIrfCXobUsU3GgogVpJrssLFlS77EgYtx4kux71 Ps/kiv0spuejYieyDy5GhsOwqjSU/L6majk6ieiWv/Rh90UZ5NgeH7PT77fQ7aUiVB5KEUO/3ATm EokyPrQaVFeE14/snvEboKh2Dx3u6NZHXfKWDI2vVF5Dxc0pzPsZ+FHSmwcmTPG1u8xxLjYR/2jw DWqeN50TuaA/vB/RaSkYwVwQcdBqdxEeyh0pG4BQ4pBGYLBk94JV5yC7Gh797Odbe9QK4NRHce0N PALbhjQJN6DN9pwv9LuM2Nzbb736vGrkW9zoM2yisQWKChKP+SF6zHMWM7TQRHNR3FKZXYH8EZ+7 cLtTZLWz/lD37e40oeuKo/ZH2DEpHBbs2WedJw5MdmSGX70NJECHCPcRsUNYgneZvN91Cyo/QgJB TBpHj6E+hLHZMgE9sUUhtjufOVMvHHk5H8VnBA8l5N9NdwEFjRN2+sbC0vFu4ROuAGmPQikpQMNx YLws/TYmJZwfWbL4bqzs1H/MKVK/ez12V1HklyFBzbBk9ZuZEufx4UcM3AWJa2ltuUjXR5NIhEgL 0m6Rq3Rw5xAVaWE9uxQSbnrhbQCWlGlif11V5zklO5hlNL+LSjfg+22r8rVmqhQ9FngsCHAkIwOD PI0nwj3jd98KIhVR+CXNlNmznE4/iTCgN3OpFmJmvMMkoL/q224EPfIyPUjQTEuSU5loZZvtViaN 41VrHZ53p/9q59adHPQJYIY9EyNGLhAK9sm+TAyySvBBN15rzjc+0KE/zXakQLcL7iG6V2CX0cuX A3yb/7HHNPnLXu1YyUxCta+eInjuR0+RxulTQKRK4KybSVVkolJz312AzLMSJliim2cBdLNyOp/l J7bzh659q3uzKCKMjvYQ/vL7eXX0wnlizs5TpycRj5gqQruJ9wlSnhNdfOhAbNExWRtduRwdQdTe NeQJjAR9Jmjxd4TExQwCQelp5gjLL4yey0kRZ9SejsL6d4DPz6BEJ7ONzbORvx/teG8Nfm6ZOAwz k/RcEzcnH6TtHjoGQJN6110q8Fab+qtSfIVX5p/Z4HKYHJlpThg6TtacFDY1GVfdFIupovuPSWTe CFELW0je+G0oyOZFmInskoS2R3NjAcN2Nk0WhgBDs4gLUoMRmnnmkP0LOTnBlf3GbcrDG5/ar5v5 ewftPYNPSiHekZ8mLQ2z1NhenOQ3Yh40/oMqMtuyyXD8LZSVonN/76DlN4kVkCAx4isly8qVN+Xc 6rV5emB2LjJZWHVewq61nfd9NY0FpX5NLJx8oV7lyRIUYL8d6mbxqTYZ0c7f+jltljSPQQlcmtwB Yj2FiFeqAWaNteqrMzkKKVKT7doxO6fN7yH+dtRUTY/aoRYfDPwFz1jtFaYYScAV2BX8blDLYkp2 Bsi6vnd3vwedIsWZiHzqXZNX68vYryxg0QDVVDOCTvSzWcs0LL95dqeZwyOv3DCu9v6ItbRhKXhQ mfNdtV7/3HdVJSiAXxTDZcEXEaU1z3i7A/EvnCOnkdbP8cvkdDsOGOqJpiH/IHv78JNgXyAScHi0 0zg6X+Bx7dPRHi0ZbByiGDI4l1H+CTfiUZxpBGnswSlMHvkdbu6hJCDi1m5tj0AVulJ8WXzBA5j8 3ukDNFLLIJzD7CgEfYEiXkAwwmFuWzE4jnYuxngsSnU2qfkyMtvYeQfwSwtq6ojAhqRi2S4XRQUL zi6Hv/mrM56z4QsgYXrrPT7vlkn+DhUhpBkonf/mXpmiiW8o2s0lE8Z88o7hILZx55HSN4Irzf3P pYjJUbf01UECWLKCHUUe6d4lbMkoxeUV9tUUTZm2tPEuV//Gon7ATLJ9+3IQ0wA9XRFxDOkSUj+k gzaoG6Tr5je/tym/pRw9it8YQSTVR0s9W3HNhlc1fJHlKeKerdOuLQuUZ/+L8bv3tQECbL9ucxNX c0j5M/mKCwlbDxA9H4FJGEuL7lusMHf2i0zz8U1XZt/FCuGDWZFGHGbzKz96jkAEYVpjrH3OqTgq 6Lxz4ZQNZbv6DcC/n5bPDGBR20lrl8l94/w+9aF9kFH51G4oMQFb/pGEYXqaMpuetWinQgyEm6Oc ZB+FBPes2RjLnhNbe5sby5yiU8p91l4kolD6p2lQtgT4f9jHHLR//U7oeHFjVeNS94Z9CThRub54 xxMuNsXahJ08OGW3opbT4HR3zRQHPZHFw6P7tVYQ5UNRMpn3/Q28RsLHZRMC8hxz1Spu0QTlfWiV PL5qkwcorLJn3OeRksNaLWHtT9g4sJmDIpbcR1J+2Q1Fve5CFH5tIkQMcUFexY9DP6Xtfqg97udz 7UwZqcA+XrF4PwFtPBUZoNa/bgvJEENkjmWU77nUuNkqwNNr573yx3w4VdChq+lMamadWQ/0SI3V DZW2Y32VpAt32CXxr6teurPaHtNuaPpyWGcCrx0w9aDr+BaY7QyEiBJjjj6ZkdFyqlXSv9QBneOY aFnV/Z8azZLKNwZrs/aVdPpHgz4mjPd8eX19oM5zJnaHomfsHe7b7jDq/G6/nIrNHLYeNL9Jpxtd 8A3Oc4/L7TZHlxWWuqG6JM+kXh5gkAKs1zq6YIDXw39WvXLhJiDbGqqR42S1VkBaeeQgp7jqHW3j L0eEyI2S+C9vgDK8WhzFxeKCadUJ7z/ttLi8c/rG+IG5kYPO00QbixQnXRpOXUsCwwt95uHrCrfV CiW94gpE9ysp4X2Ew7JlUGBx/mRW4rD9ku3gDuyauTAlY6PbqnfGJPr5e+DrYvsdkCLCANR1BE3x ROgg6xQTMm4CqCvqJXqVTQpmXv4mCGHbx9QO0d/M3ZJFFo1AD2fz1xxALM6Li4HsIy+qTpgd9/St XK3a4mqctfuc1AeFdHWYwNz78QhBDZZ9UsbQmXyeFHtIFHkUTnSZ0hN8vUgNmUE0PoVHqO2JZw2F HxfFVYXLRyX7Z/VnVINChsRcZrb910npvTlfRf4etKNn/d5ecLKFStF9zyHB2+mF+r4ZsKP5AaPa o5sK7Np9/4K/PyJVj6m+m6d01+1CHiBQbr87gSTFqbhSQx/9fB7eANV9gI1HAmPE95WAPove9iBt CfyqdTO/VuOzWhGOiEQE3Ho/vEmISvluQRGu82lqjFEDbiJo9omn7jpw536lQR+cc7IMqQIdudNS N/HcFWFFUiq5GgiqQIOti6B4i+UxgIhuqC/CQBFX32G2CgwWvPrSWMzl/ww7Je08NOrgK+9fR6U3 DMEE/bwrL/ePMnzJbAObGZ1iOSWY6FYuO75caCJFbCl387FoSQ0TDgADcF+LH639QCTsMIvu1d/w HuXyWL7doEXaAXbHXTuvtWRZmMEUkUUnVtQc86I8oPbm78z6WWreKw+Js5ZTuBgKbJkR+OZjnE02 TWHSd5fs3Gb7p9bPGroaVSZxEgfrxGHTsQes71NB6yEo8qGKgFV6G7/k8quyDhCfVzsOKshqCGcp 0GzVpt/B/zOI/WhwIlrkDvqqVKWigLM412haomvlChqbImzNGX+4NvTK2eZpTCkOhGtdMvlu+jX/ WmGFnalG5krbwFoXRwSpTqzWbCTD3qff61Gxhjmf0LiGM3IAPwNA7SURQjLhlKadc6OtFRjZ86G0 +02qZO5wqQhZquqIrvSDehKF27fJbYyvupMzMsNRhGtDYMAL+wsyU2+aVU+8VqgkvvkrR30D/cQQ 98nyzk69Vg65CZkkHOoPxEQ4H8wJ/gHnmMk4B8WXKPefJsQji6IfmpQIbxJim+E3ykYeWwvNTdU0 1s+wLK673NTOjvnjk5nrpXqQrGwREDC5l1kAEIeS05O+zeMcPNV5dj6G57YXZdX5PPBrR8SW6fx0 r/R3pVbaGUZd0nH35aNyduhT6jw3SiQ7H4EvKkMbmiFFtnjZFwU5ZX1JscYCsoEm5/hIq9Jc8YJD xHEVHoG1v161Q8qEVOJisANTY83fojOflp789dSg2DJo3ZGgDgHCuMhDvVYcLuCRc953YviFmAkb V9UCEP4QuDTRFyjBLCuG2IGpp44zuXsp4f1i2eiYmtzbgu3VBkDJ4VtS4cb41ph+LoxpHpiK1g8n zH/nrCtRE1e5t3Yulxpz7aLHBcBwz6bvgMWFhnN0RYpwjTzWqJ/OkvvclWALLNPtVUNur3Ko/Gnw vL6Bsd/PLFgCEmKiNgyBfYoL6k0/B3KZEE/zZTTeyA8q+pLd1ujszFwhdUExFW8lCxqOJmq/Ky0a 7D6/Orr7Bqpzljh4tFXLzaZPHg1bkCoYAP7YCWwdfQ9Hny+8yvlg3sGJVHl9gmXiQkwo3yM764jF WrElxo+o7adSY/4KjjwUF/EZZcmyDVTO9mnXIRuUix1a8fXRU0J2hovmo/XmXwihU6Ajlbks+T6m gZyh+XwihUlt+eiCxzBn0kUrU3zRiP/RJkyX5ojFfWSvwHDWGCw0H7qRNrruC5HIBUjn1vVlRDSC Ll+3uehtICV9Vf0o45tYrlW4MECybtS9kEWHQiTfH2qpe6VgkmxpxhrI8V4cHpl+H1egxMOXWEm2 ZUB/fAXPoqVOHhVoGJjADPcrGK3RBGkK2F441VHimJst4Tp7sEy5OISPJxNwVR0xRHdWqhZk8xzm bsoT8AUkSPS3jtikVs+G7X3yJHCl73V3VNBkr9/tEp4iZyJww+aJX5Y2CS2ZEhe0mq7WfbQVh4PE vk/ghQRFJSQ+cSvYpBGum7LCUgyhYgcHK436xgtZbEhLK5uc/8vKDHdwMgu7jwBNPtWoQKKqVqDJ YzWuZu3C2XPdO2q1NkzbSRxWp+WwiBA2LyZAdCJK/UkNng8Y8fli0dAscsY1PWn0IrM29g8eYJPc wzjzzLYf0nd4xsoP1urf1yJJ1lb4gUjFmbhRA7vP9CMqxjcZoj3Rn0ePoHhf38uPIQnQP9338qD6 wu/cCw4+c/wdZD05wulhBUlRKRv1g163fJtW3Zjeaa0osbWb1BtB5NmxlxDa5i8XpRXw2ZVghglS XHNZOZ9ZtBnuMQoPrSYSU4tJiCvG35PUZFzWIyjEdhGHZUHN0zh3CA41wTJsdltdmdL2QtETQbaJ yL2qprxYQ5fqg4mZL/4bI/yEQLN4NnlFp87cPO524D6r65bR/V1RZq+BhT3SKAQ1Zdqdk79vvESd nPABRyZglSiU5/S5vux9q29ze0A3Au8pFKfSzdn4jw8o6+aiOIxu7cB2x7nT75+Fl0boXYXxngoA CXAKnlef3jM915Pw7XP0lq+OdKtbgLXZrvfNp7ZAUiTCyAwBO4e5r2uyBE/FDGqldggvnYVWH/hu T0AlNRaMMPQc+g6YspiGqyjExlnxHSvUIKEEdrzGjfzWhs/Qo6DsVVYCnwgXVu0ikrUwAWml7tIZ r5OuEN45uph8AwYsO1bPB0phdsmDzOV85bosWT7VLMTdgoRJM5fAI4KahNSj6wiuLql6/tz13LF8 P2rUMwqBcvjOUK8NoCCd8jfDeTpX/II52orEB2chUkkObJ1QJLi/Smj/8uu0Ejj04rQ1qRYzJc2g XyP2QOM5uOQnn/BVJII8qEaxnCFux5LNfqLLsweEyRRoxEHWCbcgPNYp5wFCrbPdv9sqP0e/cuBl jjvGp2fjaPrN+BSsGNwhlzIJf+aeg+F9b0UMTXR5ZL/kuSux2vLJJb3wtbWF74TQOzJg7uSHYffP dEqdjEJR975Cf58j+JQTuOK7RFh5O/lMPVD/wOsQZ0iPu7fwlOpo1+FeuL4sf0h7f/TWxdH7jGqB kTuRbgEZB8De1w6r+CJsMu0xWYFw16IAdCsgsdh/z9ReVUId2HVcsmUta8cxeOvXNN4tNF7A7AQH +JjHWCkrtIm2Dx1Y9y9+JvFS91E0tEgXY7cluybhJBxqcVtCsCvc4AoRfUG41K7s6xhLRE6PHoAW sIUdxkagakyLB8t/sNTS5Bfh5f3vSI/VGxljxJFR0UsNXH8Cl6yZlIyfLMPyQ7sn78XrTTgOgppx y0xo/FOvgG260ytN1C5OLztnkr1gcOCvTEyFAAb1kzKU1VjkbeRJABZJ4hZ1A7x6/4SUNwZYrCFq 8RvQmA3kbaP2hz74Ay4O603u3G7Wdz9JmwLzDHhpVbeKGUFvyiyicd9JXkjmeernVg/3xPOndvTx KKJU4E0xPZ9QKjqhAk0SAWW1SJ51V2oyPrJgQ4R2dFcTOa1D1sFvLO7VOs2ijBwT6w/zB4/pzRae lw0L2DiwRIMCQJwusKrD+nfafNq5EsfyYVhsxhdXpNlxItGY1mvHYAxzQsMUi6uo2FwON1aw7CRR GIQAK/vhzdOX0XZF0c91lRxYTWKdCwY1cOnJrb1cMb8No/7Ksc8RZQuCKvFVM9mf3kgLCkIvOJGN xxvlQA5lCM1JAFIxvKMVA2/mN4VzdqkKyFV1QMAFQKiBmH1W1x8byhw7xgKTDE6mYDjai+HW06u8 zwmwJ2AXn4LT3OHd7s5Aps0vtGCgGI2Iz6BqKRreY2V6Hz8Xll/lEG3QxPNsV6xUuTPKSKCO5bXP wgAwqtV29ejmXyUMF7BVjc7Lj99SwszGi6ch76KKlv0mPsz1Li5Vvgi7wjYJ7npccwgaeqlbJc1N 3SMWGyeUJmhAwIkSCrUsc7/0k0PwkM4ck0TPvKWnvEL94CpeTtlHJ1yQgR1XqEOW52gRuQqwrJ4I 5R0//4iC/KQ8BrqwZOzQ3j3pCdRuqNhYBgH5wIywh71hUSZcp4ARZbO102e+6athovzIozrjTlxO h15F5XrAK0Psi4vu7GcLAc3eJUs+vTPkK2PMKBQWjGmwwteNtKpcwC8kU72ehZK6/qDxfjtPlA/y 3oKABEieNPDhrLwuAAxd8FzELOfMbE00OauDSTnCHTuDiPLPHf0YDe/HcEc62Gi/6Xl7PQq+Xd0H V2zj436VowpYeHlVOflV8/6sYqeEZfYLxXDEgk5MuGJ6DvnkQXTgRc/s2CFaHOEzz33BVyUppswJ 9gm6f5pTSlXsOwPi8YYDb0lp5PJH/0Vuw4+cM5PmCvq24mVVHmSl22Q8DMlte+E95bLGpYxN9Zag uFZPcdA52iowibP6mYsyNQm+At9caRiQWt2d/Bp1pMjcyyfYF+DJJ0RRRMkDGUDjNUbYssaRlxmV l6oZPFGWgVyLb+zgHHt/bDetpaAyQOBrtW1zQze7qgzMFor23oOhSU1c8T39ADjIRH3hqFYiF2yX I+Y3F99ghsWiEOcW0h1nRu/mUsNdECYqT57pcTK4FpKnEG7tby6S2FW+ewzPAGUIBh/P/j5vNfvH gNHY63FM089stm331P4fXsSSXHkGMMMlk8BBqKpN62uYBRuo454u0AUGNbYnZJ/8IIIPgS/h7hmi RoXvAozJsZdZR6AaDm3t129tbSPSZy2YYNZOE+E0gh6OzSxlV379phBwEVwCP3Q9SSkRLKcYDCcr FHazX/assMVfJLp8Ehm4vZ7FKsZ9ec+1oX3cAkeWWaG0ft/8x/+W18eio/4g1B3zQrW3erQ7eIuZ hJLLtP3FjstN30RoQnQ0BlnTBHlWwt0DVxbHsY3sLf4LsKITwijprlpCii1sBlC5fkGyjPodWOGd hl79taAgp8vuc+c1lPyNoXKp11oy8esBWBVA6EM2YibM7rOBuhkHl0rvdY64umLntHi3zkJEy3iG 30IdUSS8kPZnFzkVqOOnzGpKF5CWU+vy8UYQyNsEl9o20igOv8JalHSrti5rbbvKv+a56yIdYaF7 LKgXxflBWg7qJWQVQt3bMQLsKFSVCW6vs4ffzWcL6oVDW4zX53m9O++tzafk78ghXs8cSj/9J/dz mj8w84D0x0NOPnsNHlpHEwXb6Yy33o92RqyxL8RG+xXiVU3IbOUFBzN0+EByqTlPSUg8SyhP8TJc 0moVI/+3fLFVt/gtOUIp7tca1qSQFlvlQ3rtpZL/X+WwnIGFQW5ANgbmJWlxsEi89AM78uxWI2tg jAuoLqlZ5lyc5giOfnODKc3d7FJenbGgG0x0wD/zyp1LTC9PuPiVoFZALDMbjyBbLG9EY7xCNW0C bMqqceBFG94JlrSUeW66OZ2xmazbD1ixJpf9pFFD6gkHg7p9zdhT3W4ANk75MPs+Iwp1rgpUrcOV wbCKIrUvXQVJnwmVnoj41+OW8BvFplccT1NM7nPllRHF2sLHolco0D3nu2STiyhAGCoARtRnzd49 uLCkbohy4kCeqen8Wtng1mwW8qdIBurJdcS6aLpRI+e6DzyqODK+wuDafLQW6ISiLHytPULKh+oY Bw4b51PFAprDxOJsnLs8v92Qd14H9/uZT+W2vLeEtc8JBgIgnViGVjz9iPxjFXItOCYeQ4alLhdb sYTnG/qYUsFgwHkHUeTAbOgVuVrMsxv4Va6ggbJJJojAa7Silk4KmUA3aU+3mQoc2uPgl5A06mct rBdcA6Wa5ec7K9tswUdhCTXMgdbue4VWs6ME01PYtK/E7RjE/76Ymu3sR6KDcaPfxMHJjIYDvMLw TOGZWmtxL1NE0EVrMtB0HAsjHVmwxYWCBxoCoIjo+x+H4726HmunGJgJGU0Vc4RGmAlM7nZ1jd8y YfRV7Z/sXMByggPqbfQuGO/6li1OOqmV/hh3ti3n5yIeDIYA1eH9fT9hmBVzRfPyTO+9J1YveqA2 cT41KRZm8dm66/B9AXHKd3ql45eZ+qoQ3/vekb1Xt5eefmeTNllzItvjJGHfdqDjsR3j/5/D0Rs/ dmocQwVD1Ra4IpbzwE6xYwzDjRCNj9d4VA4X5DdDt/oovQ9mNXhvgcRbvSnm659O5KvtA8Orqm+G zNvfCYHbnHKwjkBds3t9mgOPdHlR0AIACYbjqbYyy2sqmEHl1LewXeY7UM9azjhsM8M7LxQ0eI5K 2pqnpa39nU/fFxpVJLH+5QtANGGlYJV3X8jbnIM0VY7yELjpL7Hp0AcuRWNa2D8xcvmxGVZagvNl MY+92P09igCYuoXEXN8zbujwlY0JYyZXXUKW6203tacjxZw12+i22zHYr6RHUqQc7kFFlJD6sN36 l4ifWx2DUpoVXnqMPkBjoSq1XIf8GlhZMUy0lxOMsdDovTBZ97wYWxEAWDjSXg3lX0JjQ4netlOO TrEffqiKHUonlqI/EGHa9eAAjkcOBsLLS+Y9emHqS40utEg5bb1plWbZkJQJq9RxdD44AUKqiW1I Bc7MdfeVTVguuTcfM7rqPSOwowoSqr7S5Lky3204+28Toq5xrXHbSs1crDmmZTk0Txjnqi/lyQ1a pgXMZv9JgjRsWutS+IkGKAK6boRPSfaaqcWI4BdXVUwMq2R08kFRxD02qSuSYXERsSUhvIWfuB6I UlZb87kjunrCNlj9FWrpyDpEmDeaXutBeDIb88bt+Zb/64cJzpba52M2iq/92DHj41TD9YvZzYlN G+tMHRILlYMYh76lMFGHB7Yt8fjF9iZ5TqiCkbsJxSe8FzKbTNJTNMR2eyFOGtSmosTXKMhgZL7W vqo4nLiClATexm+nfqtwqTjY0enA/BETGIx9P3kRY9Uaym7J70etCQre3efYRp6v0ocrqFB+LaNI wh98ziywRdrwHy9chEtHHq3T79h9L2rWRJH5RwEs6ldQ5A/hSdkbWSdSZD5+m4fAYU37nWmiYak+ KNrxpHTqd4KPTQrf4lxx5MbInCJ+gaVRAQDRHFgNR8A+OMFVWvEVPSqIhb04sPeOlkagwQlz+OIs V+TqWJByIdvhfwltrRrmC7tltt3qvBEzEk0A37u9g40766Upc0ZWlbKqf4E1ZPHlO/iO+GTdev7k uPOG9TZUAI34JGZn/hJ0HjPzmeVkJEMJFF/LtkjquFIEjfBX8ve/fuDUYxsfd2zcsrfguWHT81rY lT86vG6yeW9PD+4FB9IkGZiSSBeL+cdO/mdTaMU5YLC1wVrzAvFPL05a7Mol2LjKByBA68CIXBS3 0OJ5mcuapUeFKZTZepZC06p02TzWGqOqVwPk6p2jjDBcUFMa2o2riPQk8xpO0NNKd/O52qcDRh43 202sSWtuAmOjN9aklUh2NfNGmFWHr5vo2tBY3pDj0B9VmFehEa+W/CAYerYkp04Rx8TQXVbVES4l wiPx1DqO3t2osNiJdZ9+FKdVDlbXKPq+5SPb668DyXdOJ0+MXpPuwufR0SuC7RbY1KCWFW9tyzYX BmLYdNqUXpwMechwVz7hpoC50lu6eV8yhvHCoKrl2TnjBCuaO8qbXxwQ1w76/jwvNXVJVJz5lz59 VN16SNgLn258fJ1Jxw2upgvqPC4bMpr0jXqpks6VooC4549eyRcs3ugo2TcynEk1mE16YKdGdJy5 pYVnrk/evg7tb2IiRmQ5XP8YzlvIpkhboArvZTrtpKGuBUxhBbwUF7tNeVwGmdMgmqMqnYOr4X0z nFu6cvYq3nXBus0N7k3mUHBSBOxHH3nhqj2+re7XGFnR6Yti1y9HCgZEojcFZL2lHvVqKhUD/s82 2EZOwUcl0kdHYIiXpEXAFj8tLx+qJ+RjLjU1zXvGllkOVwCCx1MCiLY0H5idgS2H84RmflPDx6cS 9Di/ywyyXkw/2Wf8Ot+5kzzXErETqNFvHYDtJPqR79ypF1uYL7gyg2rBRjWPqoNiRruc8IRF8/mE Cz1t9veRzCX2kaoUdoCLjsHDxmndNB2lI1SPW3FOcElJYWUv7u//nLHWj58SFO7agppRZJNHGsVG xRQB8towqVH+5M4cqguielxzKtMSunYW8Y5vSffu6zPwoZJBP9iCQOBGaaWhMXVj78Ev7Y2Xzdu2 twpkAAGtdk/VQxp30XaZKYNo94+kGA5syphgSGXQaCB+TldyDig61eQMWNd4YmnG9PIp2pSqi8EI YdrcTqXPc8v1Cc3+tYHim66fbMMxUN1MXX8x99Sk3T/NRPKx8H38RbKDS1sjITcAm83Gf9DbK+iM 51vP+/9ADJIhw1fc/Vr3X0NymrPrTZG8Akcp0ngZ7PgqAGosQYekRe6CMRzVwxtfJrwCMXsXMO85 ZF+RPY2H96NWL+htaLvfsvb5M7i/PYL6JAiNJKF6SGOSshDc+Il0QpANRT5BckqrA4JEHUjpJ1Hc D8SKBbHDmfwLt64olVRXfqIImSelyaVBAhg6JyxrNzzNLY2D+6moQRtNBNtdtoD45YN3XB3d8WUe NDkDRFp14sqSNa3gujiy4LeKnDhcZDYxfReEiPMb+XK7lAVBJvzBVpAciydXfrqGdSgCVYISeJ+u horV4Gce0vtoIkJTUqaGfA9B2aqxdFBFzrq3C95idSg1is778t97Z1ezkvEY48SyB7jlvSPOVcGp W+fkOUv89RGEUm921lNIdb5u5+KomjyOHx3sDr8aELXWCHe9DJh6CDWFslEXl+CBhKLTCQPluzvx EE8Z3ghd2xtnUYKuz1eCbDxErzN4X51BK6VkapCQPDH1X7TNYyjAJ+2A6fWowVubOa+Ji6FE6/U9 qqQs73Qi9HmvHHyPk12dYqxBAsid5fzuoRQJRDbtJBXAW8a6ANHLMdXEkBlTk94eKbYS++8h5AhK qLZgCaVRbFxoguovDYKlg3MXPSvu0WF/2nqR1e0YAqr1SS35rMW/n0XhYLOznide3cyygdgfWGwf 4kFWwznWAcGXd2Cg43CJ8CcFZYWsw+Vdi7LJDVzbL4Rd8NxqUUmuKVrjVpDkdI2Jae9UUl1V20I3 v7Pjum8o868P6jW2EQr6A6seBJSYs3FPa7M6K3feJMp0bOaV1YjWKvLt2giooOcns/47Kv7/7J+0 NWyUcx/TaxaV3trCUrF6cGNqE/l6/hb9lwLNbiCG5PkGcxzi+ZAI/+oUzWBLArEIe48l2BW+iObh 0tTWASxNAQJa0hqlm/Cpwch9bn9S3BBHBplsRRWsG8R/hjRvczbBml7auYfHY6cktRY7xocu2aaS e4wALw9VQ5h2G9VTSOAHI/YbA3TIZN4+WpZtC57AjDfjG6HQ3zKJ7pSbQXv0jXVTgmcqx8YNHNv2 xb29yOK30PijbWEDKz5kklRzWZO660A7FxQFPYxJFfDnonQOzWSRUjaoH+NBH/HSzohXr7G8ij2C 7wWJrMav16YTdsetzmHpdAUuEq4C9UKQA87hgxwtxl7vHU7/GdNDArokOwAcYaPlGjdrx/qjnEAq UYdRh4m6TIpob5XGjmxAyqH2sZWttfgc+iZM8vgLRbuyIyGppaiTwJIHMNH60wUNZsb+4d+04cG9 qV7rzaNWTwwPV2b/IQHhoxMH69QYiZKG83TS5IewMfiJBfkPJXouXNgZ0l2MXwVUHC8DfU1vrZNx fvnGQpAeQ5CnOa0VHYfI5J+rT/nIw5XEKDDVrbo5x2a3wKMFAzVc7gUUVGSK5/ruRIvPrAjzRO5T 3jms1x4exRuvuhZp+RGz2IWVQ5kpEaHLQDltDkYHp7uq74l7Xd632p034FtGsG4xe8E9gtZVskKf F+B1U0NaSeq5oEM3kkMrnRnwBhl7N33sS3tPkNwpqztospoEMjikEQeMRFXfZWevkbbRUo0spsx5 cMDshYxS6K6fONbdIFKx90d2tWCa+yD3PYanhjS/BPXKGqhNVbcbivtDvo9yv0HsG73pUjv0FK44 G+Ah5/wg53NvyoyOaire1k9IJDHvqu/DFR0zmx2YvXi006z69pgKkGPAzl8DIqQ9HWu9czDHqyOC okh3n4tATyfkYb0v+5alW1FuzFDkIs0mTz8lk+f7imR9nIW9CK/wPgbTdh5tJhrVTG/x/iSEp6l2 3UzTk6NEjMxwRn4tEJdWOfcyXUSYg6jnZJc7OnN0a/GY6K3BWA4+uG+it+Rbv2PWO+keoASiuSWc vMoTYjOeufJs6Rf/NJQytVBhdxZw4aXwYV98J0N1FKU3XnyHK8N4KGjqUIx0Nf4NlPa9YGZ/Xdjg vd2u5+0746NK5YAtBu37fW4B1SEah/FcqCLTXxrJWOUDwtKF889E9TBTtZ3f/Hjvqm1iqKktj/p6 EDGM5iDSBmojbvVe6rsvn+p2ruEzU6xNZlTIZXe4ZBOmyTcTIPI2e/H17AJ99OUCZ8VRX1ykKx9k gG6XvsC6wHtro6OlhnJXThPVciuCUYtncPnmW6TFLJNHsk/0EwyhpAKXf6bp91+ErcPREaDfjlcX JxG2eB9nLWdV7p+AXvDtsByeTNeZsPBe31tCZLikAKVMgLMh43EdPFvuGputAbaTH9Py0FxwAvXf Y/2zh72KLL/Gk6jNG5pb4sDPqT+RonV5m4bKTHqa/Yf4Lg8AynvbGBqD8L+quLYRn5wWnUowclTQ gFDQXQvjXya+4lbXFjrKrI/hZ2++Vj0a9pq4WE3Bzf9VL8pbn1l3ShHKwMQbP0pBTUKjXmGFrRiQ Uicv7buZdF/vgelRAXNWtZnUjOU7vhXUL7tudot+JNWPyyELWYNS4GoNlkv9IWHZFGBID6+5gDNo MRQx2Up8XLCJHcJ+jIxz/RaTYQQLfE6kZgGZ/NHqbL7w+T57mNwtky47zqXPRTu9qQwtrDgau3ZU pmUVcTZHY9uSRm4mU+l1u8WG7bLguKaYealB0c4vBmPeFObk1Wb8UtqGJn+Y+6/g9Zlw5nOLmlmm //jGBihndEpo24L7pnCOCbwmv+C4uNu9CVesWYz9rFdUvw890LWenj3UVuw6K4TX2tq5/kdq0m13 5asoE6fzVPIptNqkOzNfBffUbvre50MPWzyisBCq7vb+54EXHk86owlc1633W9qdqAt6MDpZDSMz WbGPx+C5IJeUYtLN0WPcLb2x2QVrHSHgYgqXPWGd6AX4s2BMolzmBs/L3lTm0t0YGcPpr+9izbDY RJLvWLds8s2qauzKctthmcq8iadwT6TVw5E0a5Lsz6m5F0XxUN2Y2EU6P5dU0fVujTBw7RjFZrdv VR75Nrc/5MPask7CHtHxtrsxKtZq8UFmUDEtzJIti6pByF4JYcYd+7BR67j5Ji1KNwjR5VIDpAb4 cAUdFwLZEaw+A2MXa+JoeaXbSPhsxiI+D/oq1rvAlFGpYcxUG2MDD6X5tAA0tOG4M46cNcMKIEao wfg1F6+AKeB1iSGEnu5hTOv406+EDOPRr5pduyOgqqErbaE8ZWBym7BllCB4DfCHxGAEsqxWa6dg pCMOI8ghhq4Pysmyni4jp3AbGYDVhN16X2Xd69+NjvIZAU62WoEy7G8kRQlcAscO28bYUubxRiQD A2v2Py3bZCDKUMUrWsL0bWhIEYrDO9msc9UJqfal0gHmrBa+Imt2qh0ZegOEQvepHw28lrZNG9p4 ocAnVTHCQnlgOQVZwN7upVivPh/zxiCKFt+aNKze+bpYbWUVtw3ClW0RU1kCBdIKQB81YhvbdnYu 6POfFb+gpAwXfl5tUacuCLcablFjFCNW/q+ti0n+KAHqhcTnh8YE3NcoeZpW3qoaefdSSQJPrw4I ehmeQ//hYoRsYaqZHwz9PFKMfgls+pBqlJQrA+3DZfccncAK69yURfZnqZrFCwCBU46dvPYVa/97 BPdqfzhI6scKzgktXHL45scTASlLt3sGyokYXcv3WO6YVylMRLWw4En1vK30AzJWJSOkDAIKTYUp 6ultr9mZepXrYCYdNunKcM7ztwXpvLRWi1MU6JdqS3tfpyY2drbtooUqKePF1wu4lushvcJ+VRP0 xflHSRCVQw25bsVWF2nQUa+g3miSpfQsNsx3xO/BIWgV+oYZvYCFoUtP2Hvnc3PUCaA/+YxDdK5S 7/BWlRaYQLcQ4zE65Fn9/RYexVTP77u2i0yRn4e0jjPigDeCg//a6lDFfUWWdyci34BpFm+Wsh0c dHM/FkvmBbLIdJV0ib1vgho0/8BKynLkvSAVKE3DbLlF6vPmiBy9c1V2JOWRHVIzh8SMXNXRsIs+ sUdMS8gjrZlJ1JNBeS/bYKoiDpn30HtU5gxt3/LS9eenC8ThsfUBlDNUUwakxi3MRyfXFBgEXhPl rhrtwVSzvjJUSVpnHgl2ZExxiNe+oFEgJWk= `protect end_protected
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY gtia IS PORT ( CLK : IN STD_LOGIC; ADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0); CPU_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WR_EN : IN STD_LOGIC; MEMORY_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ANTIC_FETCH : in std_logic; CPU_ENABLE_ORIGINAL : in std_logic; -- on cycle data is ready RESET_N : IN STD_LOGIC; PAL : IN STD_LOGIC; -- ANTIC interface COLOUR_CLOCK_ORIGINAL : in std_logic; COLOUR_CLOCK : in std_logic; COLOUR_CLOCK_HIGHRES : in std_logic; AN : IN STD_LOGIC_VECTOR(2 downto 0); -- keyboard interface CONSOL_START : IN STD_LOGIC; CONSOL_SELECT : IN STD_LOGIC; CONSOL_OPTION : IN STD_LOGIC; -- keyboard interface TRIG0 : IN STD_LOGIC; TRIG1 : IN STD_LOGIC; TRIG2 : IN STD_LOGIC; TRIG3 : IN STD_LOGIC; -- CPU interface DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- TO scandoubler... COLOUR_out : out std_logic_vector(7 downto 0); VSYNC : out std_logic; HSYNC : out std_logic; BLANK : out std_logic; BURST : out std_logic; START_OF_FIELD : out std_logic; ODD_LINE : out std_logic; -- To speaker sound : out std_logic ); END gtia; ARCHITECTURE vhdl OF gtia IS COMPONENT complete_address_decoder IS generic (width : natural := 1); PORT ( addr_in : in std_logic_vector(width-1 downto 0); addr_decoded : out std_logic_vector((2**width)-1 downto 0) ); END component; component simple_counter IS generic ( COUNT_WIDTH : natural := 1 ); PORT ( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; increment : in std_logic; load : IN STD_LOGIC; load_value : in std_logic_vector(COUNT_WIDTH-1 downto 0); current_value : out std_logic_vector(COUNT_WIDTH-1 downto 0) ); END component; component delay_line IS generic(COUNT : natural := 1); PORT ( CLK : IN STD_LOGIC; SYNC_RESET : IN STD_LOGIC; DATA_IN : IN STD_LOGIC; ENABLE : IN STD_LOGIC; -- i.e. shift on this clock RESET_N : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC ); END component; component wide_delay_line IS generic(COUNT : natural := 1; WIDTH : natural :=1); PORT ( CLK : IN STD_LOGIC; SYNC_RESET : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(WIDTH-1 downto 0); ENABLE : IN STD_LOGIC; -- i.e. shift on this clock RESET_N : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR(WIDTH-1 downto 0) ); END component; component gtia_player IS PORT ( CLK : IN STD_LOGIC; RESET_N : in std_logic; COLOUR_ENABLE : IN STD_LOGIC; LIVE_POSITION : in std_logic_vector(7 downto 0); -- counter ticks as display is drawn PLAYER_POSITION : in std_logic_vector(7 downto 0); -- requested position SIZE : in std_logic_vector(1 downto 0); bitmap : in std_logic_vector(7 downto 0); output : out std_logic ); END component; component gtia_priority IS PORT ( CLK : in std_logic; colour_enable : in std_logic; PRIOR : in std_logic_vector(7 downto 0); P0 : in std_logic; P1 : in std_logic; P2 : in std_logic; P3 : in std_logic; PF0 : in std_logic; PF1 : in std_logic; PF2 : in std_logic; PF3 : in std_logic; BK : in std_logic; P0_OUT : out std_logic; P1_OUT : out std_logic; P2_OUT : out std_logic; P3_OUT : out std_logic; PF0_OUT : out std_logic; PF1_OUT : out std_logic; PF2_OUT : out std_logic; PF3_OUT : out std_logic; BK_OUT : out std_logic ); END component; signal addr_decoded : std_logic_vector(31 downto 0); signal hposp0_raw_next : std_logic_vector(7 downto 0); signal hposp0_raw_reg : std_logic_vector(7 downto 0); signal hposp1_raw_next : std_logic_vector(7 downto 0); signal hposp1_raw_reg : std_logic_vector(7 downto 0); signal hposp2_raw_next : std_logic_vector(7 downto 0); signal hposp2_raw_reg : std_logic_vector(7 downto 0); signal hposp3_raw_next : std_logic_vector(7 downto 0); signal hposp3_raw_reg : std_logic_vector(7 downto 0); signal hposp0_delayed_reg : std_logic_vector(7 downto 0); signal hposp1_delayed_reg : std_logic_vector(7 downto 0); signal hposp2_delayed_reg : std_logic_vector(7 downto 0); signal hposp3_delayed_reg : std_logic_vector(7 downto 0); signal hposm0_raw_next : std_logic_vector(7 downto 0); signal hposm0_raw_reg : std_logic_vector(7 downto 0); signal hposm1_raw_next : std_logic_vector(7 downto 0); signal hposm1_raw_reg : std_logic_vector(7 downto 0); signal hposm2_raw_next : std_logic_vector(7 downto 0); signal hposm2_raw_reg : std_logic_vector(7 downto 0); signal hposm3_raw_next : std_logic_vector(7 downto 0); signal hposm3_raw_reg : std_logic_vector(7 downto 0); signal hposm0_delayed_reg : std_logic_vector(7 downto 0); signal hposm1_delayed_reg : std_logic_vector(7 downto 0); signal hposm2_delayed_reg : std_logic_vector(7 downto 0); signal hposm3_delayed_reg : std_logic_vector(7 downto 0); signal sizep0_raw_next : std_logic_vector(1 downto 0); signal sizep0_raw_reg : std_logic_vector(1 downto 0); signal sizep1_raw_next : std_logic_vector(1 downto 0); signal sizep1_raw_reg : std_logic_vector(1 downto 0); signal sizep2_raw_next : std_logic_vector(1 downto 0); signal sizep2_raw_reg : std_logic_vector(1 downto 0); signal sizep3_raw_next : std_logic_vector(1 downto 0); signal sizep3_raw_reg : std_logic_vector(1 downto 0); signal sizem_raw_next : std_logic_vector(7 downto 0); signal sizem_raw_reg : std_logic_vector(7 downto 0); signal sizep0_delayed_reg : std_logic_vector(1 downto 0); signal sizep1_delayed_reg : std_logic_vector(1 downto 0); signal sizep2_delayed_reg : std_logic_vector(1 downto 0); signal sizep3_delayed_reg : std_logic_vector(1 downto 0); signal sizem_delayed_reg : std_logic_vector(7 downto 0); signal grafp0_next : std_logic_vector(7 downto 0); signal grafp0_reg : std_logic_vector(7 downto 0); signal grafp1_next : std_logic_vector(7 downto 0); signal grafp1_reg : std_logic_vector(7 downto 0); signal grafp2_next : std_logic_vector(7 downto 0); signal grafp2_reg : std_logic_vector(7 downto 0); signal grafp3_next : std_logic_vector(7 downto 0); signal grafp3_reg : std_logic_vector(7 downto 0); signal grafm_next : std_logic_vector(7 downto 0); signal grafm_reg : std_logic_vector(7 downto 0); signal grafm_reg10_extended : std_logic_vector(7 downto 0); signal grafm_reg32_extended : std_logic_vector(7 downto 0); signal grafm_reg54_extended : std_logic_vector(7 downto 0); signal grafm_reg76_extended : std_logic_vector(7 downto 0); signal colpm0_raw_next : std_logic_vector(7 downto 1); signal colpm0_raw_reg : std_logic_vector(7 downto 1); signal colpm1_raw_next : std_logic_vector(7 downto 1); signal colpm1_raw_reg : std_logic_vector(7 downto 1); signal colpm2_raw_next : std_logic_vector(7 downto 1); signal colpm2_raw_reg : std_logic_vector(7 downto 1); signal colpm3_raw_next : std_logic_vector(7 downto 1); signal colpm3_raw_reg : std_logic_vector(7 downto 1); signal colpm0_delayed_reg : std_logic_vector(7 downto 1); signal colpm1_delayed_reg : std_logic_vector(7 downto 1); signal colpm2_delayed_reg : std_logic_vector(7 downto 1); signal colpm3_delayed_reg : std_logic_vector(7 downto 1); signal colpf0_raw_next : std_logic_vector(7 downto 1); signal colpf0_raw_reg : std_logic_vector(7 downto 1); signal colpf1_raw_next : std_logic_vector(7 downto 1); signal colpf1_raw_reg : std_logic_vector(7 downto 1); signal colpf2_raw_next : std_logic_vector(7 downto 1); signal colpf2_raw_reg : std_logic_vector(7 downto 1); signal colpf3_raw_next : std_logic_vector(7 downto 1); signal colpf3_raw_reg : std_logic_vector(7 downto 1); signal colpf0_delayed_reg : std_logic_vector(7 downto 1); signal colpf1_delayed_reg : std_logic_vector(7 downto 1); signal colpf2_delayed_reg : std_logic_vector(7 downto 1); signal colpf3_delayed_reg : std_logic_vector(7 downto 1); signal colbk_raw_next : std_logic_vector(7 downto 1); signal colbk_raw_reg : std_logic_vector(7 downto 1); signal colbk_delayed_reg : std_logic_vector(7 downto 1); signal prior_raw_next : std_logic_vector(7 downto 0); signal prior_raw_reg : std_logic_vector(7 downto 0); signal prior_delayed_reg : std_logic_vector(7 downto 0); signal prior_delayed2_reg : std_logic_vector(7 downto 6); signal vdelay_next : std_logic_vector(7 downto 0); signal vdelay_reg : std_logic_vector(7 downto 0); signal gractl_next : std_logic_vector(2 downto 0); signal gractl_reg : std_logic_vector(2 downto 0); signal consol_output_next : std_logic_vector(3 downto 0); signal consol_output_reg : std_logic_vector(3 downto 0); signal trig0_next : std_logic; signal trig0_reg : std_logic; signal trig1_next : std_logic; signal trig1_reg : std_logic; signal trig2_next : std_logic; signal trig2_reg : std_logic; signal trig3_next : std_logic; signal trig3_reg : std_logic; -- collisions signal hitclr_write : std_logic; signal m0pf_next : std_logic_vector(3 downto 0); signal m0pf_reg : std_logic_vector(3 downto 0); signal m1pf_next : std_logic_vector(3 downto 0); signal m1pf_reg : std_logic_vector(3 downto 0); signal m2pf_next : std_logic_vector(3 downto 0); signal m2pf_reg : std_logic_vector(3 downto 0); signal m3pf_next : std_logic_vector(3 downto 0); signal m3pf_reg : std_logic_vector(3 downto 0); signal m0pl_next : std_logic_vector(3 downto 0); signal m0pl_reg : std_logic_vector(3 downto 0); signal m1pl_next : std_logic_vector(3 downto 0); signal m1pl_reg : std_logic_vector(3 downto 0); signal m2pl_next : std_logic_vector(3 downto 0); signal m2pl_reg : std_logic_vector(3 downto 0); signal m3pl_next : std_logic_vector(3 downto 0); signal m3pl_reg : std_logic_vector(3 downto 0); signal p0pf_next : std_logic_vector(3 downto 0); signal p0pf_reg : std_logic_vector(3 downto 0); signal p1pf_next : std_logic_vector(3 downto 0); signal p1pf_reg : std_logic_vector(3 downto 0); signal p2pf_next : std_logic_vector(3 downto 0); signal p2pf_reg : std_logic_vector(3 downto 0); signal p3pf_next : std_logic_vector(3 downto 0); signal p3pf_reg : std_logic_vector(3 downto 0); signal p0pl_next : std_logic_vector(3 downto 0); signal p0pl_reg : std_logic_vector(3 downto 0); signal p1pl_next : std_logic_vector(3 downto 0); signal p1pl_reg : std_logic_vector(3 downto 0); signal p2pl_next : std_logic_vector(3 downto 0); signal p2pl_reg : std_logic_vector(3 downto 0); signal p3pl_next : std_logic_vector(3 downto 0); signal p3pl_reg : std_logic_vector(3 downto 0); -- priority signal set_p0 : std_logic; signal set_p1 : std_logic; signal set_p2 : std_logic; signal set_p3 : std_logic; signal set_pf0 : std_logic; signal set_pf1 : std_logic; signal set_pf2 : std_logic; signal set_pf3 : std_logic; signal set_bk : std_logic; -- ouput/sync signal COLOUR_NEXT : std_logic_vector(7 downto 0); signal COLOUR_REG : std_logic_vector(7 downto 0); signal HRCOLOUR_NEXT : std_logic_vector(7 downto 0); signal HRCOLOUR_REG : std_logic_vector(7 downto 0); signal vsync_next : std_logic; signal vsync_reg : std_logic; signal hsync_next : std_logic; signal hsync_reg : std_logic; signal hsync_start : std_logic; signal hsync_end : std_logic; signal burst_next : std_logic; signal burst_reg : std_logic; signal burst_start : std_logic; signal burst_end : std_logic; signal hblank_next : std_logic; signal hblank_reg : std_logic; -- visible region (no collision detection outside this) signal visible_live : std_logic; -- antic input decode signal an_prev3_next : std_logic_vector(2 downto 0); signal an_prev3_reg : std_logic_vector(2 downto 0); signal an_prev2_next : std_logic_vector(2 downto 0); signal an_prev2_reg : std_logic_vector(2 downto 0); signal an_prev_next : std_logic_vector(2 downto 0); signal an_prev_reg : std_logic_vector(2 downto 0); signal active_bk_modify_next : std_logic_vector(7 downto 0); signal active_bk_modify_reg : std_logic_vector(7 downto 0); signal active_bk_valid_next : std_logic_vector(7 downto 0); signal active_bk_valid_reg : std_logic_vector(7 downto 0); signal active_bk_live : std_logic; signal active_pf0_live : std_logic; signal active_pf1_live : std_logic; signal active_pf2_live : std_logic; signal active_pf2_collision_live : std_logic; signal active_pf3_live : std_logic; signal active_pf3_collision_live : std_logic; signal active_pm0_live : std_logic; signal active_pm1_live : std_logic; signal active_pm2_live : std_logic; signal active_pm3_live : std_logic; signal active_p0_live : std_logic; signal active_p1_live : std_logic; signal active_p2_live : std_logic; signal active_p3_live : std_logic; signal active_m0_live : std_logic; signal active_m1_live : std_logic; signal active_m2_live : std_logic; signal active_m3_live : std_logic; signal active_hr_next : std_logic_vector(1 downto 0); signal active_hr_reg : std_logic_vector(1 downto 0); signal highres_next : std_logic; signal highres_reg : std_logic; -- horizontal position counter signal hpos_reg : std_logic_vector(7 downto 0); signal reset_counter : std_logic; signal counter_load_value : std_logic_vector(7 downto 0); -- sub colour clock highres mode signal trigger_secondhalf : std_logic; -- pmg dma signal grafm_dma_load : std_logic; signal grafm_dma_next : std_logic_vector(7 downto 0); signal grafp0_dma_load : std_logic; signal grafp0_dma_next : std_logic_vector(7 downto 0); signal grafp1_dma_load : std_logic; signal grafp1_dma_next : std_logic_vector(7 downto 0); signal grafp2_dma_load : std_logic; signal grafp2_dma_next : std_logic_vector(7 downto 0); signal grafp3_dma_load : std_logic; signal grafp3_dma_next : std_logic_vector(7 downto 0); signal odd_scanline_next : std_logic; signal odd_scanline_reg : std_logic; signal pmg_dma_state_next : std_logic_vector(2 downto 0); signal pmg_dma_state_reg : std_logic_vector(2 downto 0); constant pmg_dma_missile : std_logic_vector(2 downto 0) := "000"; constant pmg_dma_player0 : std_logic_vector(2 downto 0) := "001"; constant pmg_dma_player1 : std_logic_vector(2 downto 0) := "010"; constant pmg_dma_player2 : std_logic_vector(2 downto 0) := "011"; constant pmg_dma_player3 : std_logic_vector(2 downto 0) := "100"; constant pmg_dma_done : std_logic_vector(2 downto 0) := "101"; constant pmg_dma_instruction : std_logic_vector(2 downto 0) := "110"; begin -- register process(clk,reset_n) begin if (reset_n = '0') then hposp0_raw_reg <= (others=>'0'); hposp1_raw_reg <= (others=>'0'); hposp2_raw_reg <= (others=>'0'); hposp3_raw_reg <= (others=>'0'); hposm0_raw_reg <= (others=>'0'); hposm1_raw_reg <= (others=>'0'); hposm2_raw_reg <= (others=>'0'); hposm3_raw_reg <= (others=>'0'); sizep0_raw_reg <= (others=>'0'); sizep1_raw_reg <= (others=>'0'); sizep2_raw_reg <= (others=>'0'); sizep3_raw_reg <= (others=>'0'); sizem_raw_reg <= (others=>'0'); grafp0_reg <= (others=>'0'); grafp1_reg <= (others=>'0'); grafp2_reg <= (others=>'0'); grafp3_reg <= (others=>'0'); grafm_reg <= (others=>'0'); colpm0_raw_reg <= (others=>'0'); colpm1_raw_reg <= (others=>'0'); colpm2_raw_reg <= (others=>'0'); colpm3_raw_reg <= (others=>'0'); colpf0_raw_reg <= (others=>'0'); colpf1_raw_reg <= (others=>'0'); colpf2_raw_reg <= (others=>'0'); colpf3_raw_reg <= (others=>'0'); colbk_raw_reg <= (others=>'0'); prior_raw_reg <= (others=>'0'); vdelay_reg <= (others=>'0'); gractl_reg <= (others=>'0'); consol_output_reg <= (others=>'1'); COLOUR_REG <= (OTHERS=>'0'); HRCOLOUR_REG <= (OTHERS=>'0'); vsync_reg <= '0'; hsync_reg <= '0'; burst_reg <= '0'; hblank_reg <= '0'; an_prev_reg <= (others=>'0'); an_prev2_reg <= (others=>'0'); an_prev3_reg <= (others=>'0'); highres_reg <= '0'; active_hr_reg <= (others=>'0'); trig0_reg <= '0'; trig1_reg <= '0'; trig2_reg <= '0'; trig3_reg <= '0'; odd_scanline_reg <= '0'; pmg_dma_state_reg <= pmg_dma_done; m0pf_reg <= (others=>'0'); m1pf_reg <= (others=>'0'); m2pf_reg <= (others=>'0'); m3pf_reg <= (others=>'0'); m0pl_reg <= (others=>'0'); m1pl_reg <= (others=>'0'); m2pl_reg <= (others=>'0'); m3pl_reg <= (others=>'0'); p0pf_reg <= (others=>'0'); p1pf_reg <= (others=>'0'); p2pf_reg <= (others=>'0'); p3pf_reg <= (others=>'0'); p0pl_reg <= (others=>'0'); p1pl_reg <= (others=>'0'); p2pl_reg <= (others=>'0'); p3pl_reg <= (others=>'0'); active_bk_modify_reg <= (others=>'0'); active_bk_valid_reg <= (others=>'0'); elsif (clk'event and clk='1') then hposp0_raw_reg <= hposp0_raw_next; hposp1_raw_reg <= hposp1_raw_next; hposp2_raw_reg <= hposp2_raw_next; hposp3_raw_reg <= hposp3_raw_next; hposm0_raw_reg <= hposm0_raw_next; hposm1_raw_reg <= hposm1_raw_next; hposm2_raw_reg <= hposm2_raw_next; hposm3_raw_reg <= hposm3_raw_next; sizep0_raw_reg <= sizep0_raw_next; sizep1_raw_reg <= sizep1_raw_next; sizep2_raw_reg <= sizep2_raw_next; sizep3_raw_reg <= sizep3_raw_next; sizem_raw_reg <= sizem_raw_next; grafp0_reg <= grafp0_next; grafp1_reg <= grafp1_next; grafp2_reg <= grafp2_next; grafp3_reg <= grafp3_next; grafm_reg <= grafm_next; colpm0_raw_reg <= colpm0_raw_next; colpm1_raw_reg <= colpm1_raw_next; colpm2_raw_reg <= colpm2_raw_next; colpm3_raw_reg <= colpm3_raw_next; colpf0_raw_reg <= colpf0_raw_next; colpf1_raw_reg <= colpf1_raw_next; colpf2_raw_reg <= colpf2_raw_next; colpf3_raw_reg <= colpf3_raw_next; colbk_raw_reg <= colbk_raw_next; prior_raw_reg <= prior_raw_next; vdelay_reg <= vdelay_next; gractl_reg <= gractl_next; consol_output_reg <= consol_output_next; COLOUR_REG <= colour_next; HRCOLOUR_REG <= hrcolour_next; vsync_reg <= vsync_next; hsync_reg <= hsync_next; burst_reg <= burst_next; hblank_reg <= hblank_next; an_prev_reg <= an_prev_next; an_prev2_reg <= an_prev2_next; an_prev3_reg <= an_prev3_next; highres_reg <= highres_next; active_hr_reg <= active_hr_next; trig0_reg <= trig0_next; trig1_reg <= trig1_next; trig2_reg <= trig2_next; trig3_reg <= trig3_next; odd_scanline_reg <= odd_scanline_next; pmg_dma_state_reg <= pmg_dma_state_next; m0pf_reg <= m0pf_next; m1pf_reg <= m1pf_next; m2pf_reg <= m2pf_next; m3pf_reg <= m3pf_next; m0pl_reg <= m0pl_next; m1pl_reg <= m1pl_next; m2pl_reg <= m2pl_next; m3pl_reg <= m3pl_next; p0pf_reg <= p0pf_next; p1pf_reg <= p1pf_next; p2pf_reg <= p2pf_next; p3pf_reg <= p3pf_next; p0pl_reg <= p0pl_next; p1pl_reg <= p1pl_next; p2pl_reg <= p2pl_next; p3pl_reg <= p3pl_next; active_bk_modify_reg <= active_bk_modify_next; active_bk_valid_reg <= active_bk_valid_next; end if; end process; -- decode address decode_addr1 : complete_address_decoder generic map(width=>5) port map (addr_in=>addr, addr_decoded=>addr_decoded); -- decode antic input process (AN, COLOUR_CLOCK, COLOUR_CLOCK_ORIGINAL, an_prev_reg, an_prev2_reg, an_prev3_reg, hblank_reg, vsync_reg, highres_reg, odd_scanline_reg, prior_delayed_reg, prior_delayed2_reg, hpos_reg, active_p0_live, active_p1_live, active_p2_live, active_p3_live, active_m0_live, active_m1_live, active_m2_live, active_m3_live, active_pf3_collision_live, active_bk_modify_reg, active_bk_modify_next, active_bk_valid_reg, active_hr_reg, visible_live) begin hblank_next <= hblank_reg; reset_counter <= '0'; counter_load_value <= (others=>'0'); vsync_next <= vsync_reg; odd_scanline_next <= odd_scanline_reg; start_of_field <= '0'; -- NB high res mode gives pf2 - which is or of the two pixels highres_next <= highres_reg; -- for gtia modes an_prev_next <= an_prev_reg; an_prev2_next <= an_prev2_reg; an_prev3_next <= an_prev3_reg; -- decoded AN visible_live <= '0'; active_hr_next <= active_hr_reg; active_bk_modify_next <= active_bk_modify_reg; active_bk_valid_next <= active_bk_valid_reg; active_bk_live <= '0'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_live <= '0'; active_pf3_collision_live <= '0'; active_pm0_live <= '0'; active_pm1_live <= '0'; active_pm2_live <= '0'; active_pm3_live <= '0'; if (COLOUR_CLOCK = '1') then visible_live <= '1'; vsync_next <= '0'; hblank_next <= '0'; an_prev_next <= an; an_prev2_next <= an_prev_reg; an_prev3_next <= an_prev2_reg; active_pm0_live <= active_p0_live or (active_m0_live and not(prior_delayed_reg(4))); active_pm1_live <= active_p1_live or (active_m1_live and not(prior_delayed_reg(4))); active_pm2_live <= active_p2_live or (active_m2_live and not(prior_delayed_reg(4))); active_pm3_live <= active_p3_live or (active_m3_live and not(prior_delayed_reg(4))); active_bk_modify_next <= (others=>'0'); active_bk_valid_next <= (others=>'1'); active_hr_next <= (others=>'0'); -- 000 background colour -- 001 vsync -- 01X hsync (low bit is high res mode - i.e. 2 pixels per colour clock) -- 1XX colour 0 to colour 3 -- in gtia modes then we listen for 2 colour clocks to get one pixels -- 1ZY (giving signal ZYXV for 4 bit colour reg/luminance - unfortunately we only have 9 colour regs!) -- 1XV if (highres_reg = '1') then if (an(2) = '1') then active_hr_next <= AN(1 downto 0); end if; active_bk_live <= not(an(2)) and not(an(1)) and not(an(0)); active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= an(2); active_pf2_collision_live <= an(2) and (an(1) or an(0)); active_pf3_collision_live <= '0'; else -- gtia modes case prior_delayed_reg(7 downto 6) is when "00" => -- normal mode active_bk_live <= not(an(2)) and not(an(1)) and not(an(0)); active_pf0_live <= an(2) and not(an(1)) and not(an(0)); active_pf1_live <= an(2) and not(an(1)) and an(0); active_pf2_live <= an(2) and an(1) and not(an(0)); active_pf2_collision_live <= an(2) and an(1) and not(an(0)); active_pf3_collision_live <= an(2) and an(1) and an(0); when "01" => -- 1 colour/16 luminance -- no playfield collisions -- 5th player gets my luminance active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_collision_live <= '0'; active_bk_live <= '1'; if (hpos_reg(0) = '1') then active_bk_modify_next(3 downto 0) <= an_prev_reg(1 downto 0)&an(1 downto 0); else active_bk_modify_next(3 downto 0) <= active_bk_modify_reg(3 downto 0); end if; when "10" => -- 9 colour -- playfield collisions -- no missile/player collisions from 'playfield' data though -- offset by 1 colour clock... if (hpos_reg(0) = '1') then active_bk_live <= '0'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_collision_live <= '0'; case an_prev_reg(1 downto 0)&an(1 downto 0) is when "0000" => active_pm0_live <= '1'; when "0001" => active_pm1_live <= '1'; when "0010" => active_pm2_live <= '1'; when "0011" => active_pm3_live <= '1'; when "0100"|"1100" => active_pf0_live <= an(2); active_bk_live <= not(an(2)); when "0101"|"1101" => active_pf1_live <= an(2); active_bk_live <= not(an(2)); when "0110"|"1110" => active_pf2_live <= an(2); active_pf2_collision_live <= an(2); active_bk_live <= not(an(2)); when "0111"|"1111" => active_pf3_collision_live <= an(2); active_bk_live <= not(an(2)); when others => active_bk_live <= '1'; end case; else active_bk_live <= '0'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_collision_live <= '0'; case an_prev2_reg(1 downto 0)&an_prev_reg(1 downto 0) is when "0000" => active_pm0_live <= '1'; when "0001" => active_pm1_live <= '1'; when "0010" => active_pm2_live <= '1'; when "0011" => active_pm3_live <= '1'; when "0100"|"1100" => active_pf0_live <= an_prev_reg(2); active_bk_live <= not(an_prev_reg(2)); when "0101"|"1101" => active_pf1_live <= an_prev_reg(2); active_bk_live <= not(an_prev_reg(2)); when "0110"|"1110" => active_pf2_live <= an_prev_reg(2); active_pf2_collision_live <= an_prev_reg(2); active_bk_live <= not(an_prev_reg(2)); when "0111"|"1111" => active_pf3_collision_live <= an_prev_reg(2); active_bk_live <= not(an_prev_reg(2)); when others => active_bk_live <= '1'; end case; end if; when "11" => -- 16 colour/1 luminance -- no playfield collisions -- 5th player gets our luminance active_bk_live <= '1'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_collision_live <= '0'; if (hpos_reg(0) = '1') then active_bk_modify_next(7 downto 4) <= an_prev_reg(1 downto 0)&an(1 downto 0); else active_bk_modify_next(7 downto 4) <= active_bk_modify_reg(7 downto 4); end if; if (active_bk_modify_next(7 downto 4) = "0000") then active_bk_valid_next(3 downto 0) <= "0000"; end if; when others => -- nop end case; end if; if (prior_delayed_reg(4) = '1') then active_pf3_live <= active_pf3_collision_live or active_m0_live or active_m1_live or active_m2_live or active_m3_live; else active_pf3_live <= active_pf3_collision_live; end if; if (not (prior_delayed2_reg(7 downto 6) = "00")) then -- force off flip flop when in gtia mode highres_next <= '0'; end if; -- hblank if (an_prev_reg(2 downto 1) = "01") then hblank_next <= '1'; active_bk_live <= '0'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_live <= '0'; active_pf3_collision_live <= '0'; highres_next <= an_prev_reg(0); if (COLOUR_CLOCK_ORIGINAL='1') then if (hblank_reg = '0' and vsync_reg = '0') then reset_counter <= '1'; counter_load_value <= X"E0"; -- 2 lower than antic odd_scanline_next <= not(odd_scanline_reg); end if; end if; end if; if (an(2 downto 1) = "01") then visible_live <= '0'; end if; -- vsync if (an_prev_reg = "001") then active_bk_live <= '0'; active_pf0_live <= '0'; active_pf1_live <= '0'; active_pf2_live <= '0'; active_pf2_collision_live <= '0'; active_pf3_live <= '0'; active_pf3_collision_live <= '0'; vsync_next <= '1'; odd_scanline_next <= '0'; visible_live <= '0'; start_of_field <= not(vsync_reg); end if; -- during vblank we reset our own counter - since Antic does not clear hblank_reg if (hpos_reg = X"E3" and COLOUR_CLOCK_ORIGINAL='1') then reset_counter <= '1'; counter_load_value <= X"00"; end if; end if; end process; -- hpos counter_hpos : simple_counter generic map (COUNT_WIDTH=>8) port map (clk=>clk, reset_n=>reset_n, increment=>COLOUR_CLOCK_ORIGINAL, load=>reset_counter, load_value=>counter_load_value, current_value=>hpos_reg); -- visible region -- process(hpos_reg,vpos_reg) -- begin -- visible_live <= '1'; -- ---- if (unsigned(vpos_reg) < to_unsigned(8,9)) then ---- visible_live <= '0'; ---- end if; ---- ---- if (unsigned(vpos_reg) > to_unsigned(247,9)) then ---- visible_live <= '0'; ---- end if; ---- ---- if (unsigned(hpos_reg) <= to_unsigned(34,8)) then ---- visible_live <= '0'; ---- end if; ---- ---- if (unsigned(hpos_reg) > to_unsigned(221,8)) then ---- visible_live <= '0'; ---- end if; -- end process; -- generate hsync process(hpos_reg, hsync_reg, hsync_end, burst_reg, burst_end, vsync_reg, vsync_next) begin hsync_start <= '0'; hsync_next <= hsync_reg; burst_start <= '0'; burst_next <= burst_reg; if (unsigned(hpos_reg) = X"D4" and vsync_reg = '1') then hsync_start <= '1'; hsync_next <= '1'; end if; if (unsigned(hpos_reg) = X"0" and vsync_reg = '0' ) then hsync_start <= '1'; hsync_next <= '1'; end if; if (unsigned(hpos_reg) = X"14" and vsync_reg = '0' ) then burst_start <= '1'; burst_next <= '1'; end if; if (hsync_end = '1') then hsync_next <= '0'; end if; if (burst_end = '1') then burst_next <= '0'; end if; if (vsync_next = '0' and vsync_reg = '1') then hsync_next <= '0'; end if; end process; hsync_delay : delay_line generic map (COUNT=>15) port map(clk=>clk,sync_reset=>'0',data_in=>hsync_start,enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hsync_end); burst_delay : delay_line generic map (COUNT=>8) port map(clk=>clk,sync_reset=>'0',data_in=>burst_start,enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>burst_end); -- pmg dma process(CPU_ENABLE_ORIGINAL,antic_fetch,memory_data_in,hsync_start,pmg_dma_state_reg,gractl_reg,odd_scanline_reg,vdelay_reg,grafm_reg, visible_live,hpos_reg, hblank_reg) begin pmg_dma_state_next <= pmg_dma_state_reg; grafm_dma_load <= '0'; grafm_dma_next <= grafm_reg; grafp0_dma_load <= '0'; grafp0_dma_next <= (others=>'0'); grafp1_dma_load <= '0'; grafp1_dma_next <= (others=>'0'); grafp2_dma_load <= '0'; grafp2_dma_next <= (others=>'0'); grafp3_dma_load <= '0'; grafp3_dma_next <= (others=>'0'); -- pull pmg data from bus if (hpos_reg = X"E1") then pmg_dma_state_next <= pmg_dma_missile; end if; -- we start from the first antic fetch -- TODO - CPU enable does not identify next 'antic' cycle in turbo mode... case pmg_dma_state_reg is when pmg_dma_missile => if (antic_fetch = '1' and cpu_enable_original = '1' and hblank_reg = '1' and visible_live = '0' and hpos_reg(7 downto 4) = "0000") then -- here we have the missile0 grafm_dma_load <= gractl_reg(0); if ((odd_scanline_reg or not(vdelay_reg(0))) = '1') then grafm_dma_next(1 downto 0) <= memory_data_in(1 downto 0); end if; if ((odd_scanline_reg or not(vdelay_reg(1))) = '1') then grafm_dma_next(3 downto 2) <= memory_data_in(3 downto 2); end if; if ((odd_scanline_reg or not(vdelay_reg(2))) = '1') then grafm_dma_next(5 downto 4) <= memory_data_in(5 downto 4); end if; if ((odd_scanline_reg or not(vdelay_reg(3))) = '1') then grafm_dma_next(7 downto 6) <= memory_data_in(7 downto 6); end if; pmg_dma_state_next <= pmg_dma_instruction; end if; when pmg_dma_instruction => if (CPU_ENABLE_ORIGINAL = '1') then pmg_dma_state_next <= pmg_dma_player0; end if; when pmg_dma_player0 => if (CPU_ENABLE_ORIGINAL = '1') then -- here we have player0 grafp0_dma_next <= memory_data_in; grafp0_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(4))); pmg_dma_state_next <= pmg_dma_player1; end if; when pmg_dma_player1 => if (CPU_ENABLE_ORIGINAL = '1') then -- here we have player1 grafp1_dma_next <= memory_data_in; grafp1_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(5))); pmg_dma_state_next <= pmg_dma_player2; end if; when pmg_dma_player2 => if (CPU_ENABLE_ORIGINAL = '1') then -- here we have player1 grafp2_dma_next <= memory_data_in; grafp2_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(6))); pmg_dma_state_next <= pmg_dma_player3; end if; when pmg_dma_player3 => if (CPU_ENABLE_ORIGINAL = '1') then -- here we have player1 grafp3_dma_next <= memory_data_in; grafp3_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(7))); pmg_dma_state_next <= pmg_dma_done; end if; when others => -- nop end case; end process; -- pmg display - same for all pmgs -- TODO: priority player0 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp0_delayed_reg,size=>sizep0_delayed_reg(1 downto 0),bitmap=>grafp0_reg, output=>active_p0_live); player1 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp1_delayed_reg,size=>sizep1_delayed_reg(1 downto 0),bitmap=>grafp1_reg, output=>active_p1_live); player2 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp2_delayed_reg,size=>sizep2_delayed_reg(1 downto 0),bitmap=>grafp2_reg, output=>active_p2_live); player3 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp3_delayed_reg,size=>sizep3_delayed_reg(1 downto 0),bitmap=>grafp3_reg, output=>active_p3_live); grafm_reg10_extended <= grafm_reg(1 downto 0)&"000000"; grafm_reg32_extended <= grafm_reg(3 downto 2)&"000000"; grafm_reg54_extended <= grafm_reg(5 downto 4)&"000000"; grafm_reg76_extended <= grafm_reg(7 downto 6)&"000000"; missile0 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm0_delayed_reg,size=>sizem_delayed_reg(1 downto 0),bitmap=>grafm_reg10_extended, output=>active_m0_live); missile1 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm1_delayed_reg,size=>sizem_delayed_reg(3 downto 2),bitmap=>grafm_reg32_extended, output=>active_m1_live); missile2 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm2_delayed_reg,size=>sizem_delayed_reg(5 downto 4),bitmap=>grafm_reg54_extended, output=>active_m2_live); missile3 : gtia_player port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm3_delayed_reg,size=>sizem_delayed_reg(7 downto 6),bitmap=>grafm_reg76_extended, output=>active_m3_live); -- calculate atari colour priority_rules : gtia_priority port map(clk=>clk, colour_enable=>colour_clock, prior=>prior_delayed_reg,p0=>active_pm0_live,p1=>active_pm1_live,p2=>active_pm2_live,p3=>active_pm3_live,pf0=>active_pf0_live,pf1=>active_pf1_live,pf2=>active_pf2_live,pf3=>active_pf3_live,bk=>active_bk_live,p0_out=>set_p0,p1_out=>set_p1,p2_out=>set_p2,p3_out=>set_p3,pf0_out=>set_pf0,pf1_out=>set_pf1,pf2_out=>set_pf2,pf3_out=>set_pf3,bk_out=>set_bk); trigger_secondhalf <= colour_clock_HIGHRES and not colour_clock; process(set_p0,set_p1,set_p2,set_p3,set_pf0,set_pf1,set_pf2,set_pf3,set_bk,highres_reg, active_hr_reg, colbk_delayed_reg, colpf0_delayed_reg, colpf1_delayed_reg, colpf2_delayed_reg, colpf3_delayed_reg, colpm0_delayed_reg, colpm1_delayed_reg, colpm2_delayed_reg, colpm3_delayed_reg, trigger_secondhalf, colour_clock, COLOUR_REG, hrcolour_reg, visible_live, active_bk_modify_next, active_bk_valid_next) begin colour_next <= colour_reg; hrcolour_next <= hrcolour_reg; if (trigger_secondhalf = '1') then if (highres_reg = '1') then colour_next <= hrcolour_reg; end if; end if; if (colour_clock = '1') then colour_next <= ( ((colbk_delayed_reg&'0' or active_bk_modify_next) and active_bk_valid_next and (set_bk &set_bk &set_bk &set_bk &set_bk &set_bk &set_bk& set_bk)) or (colpf0_delayed_reg&'0' and (set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0) ) or (colpf1_delayed_reg&'0' and (set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1) ) or (colpf2_delayed_reg&'0' and (set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2) ) or ((colpf3_delayed_reg&'0' or active_bk_modify_next) and (set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3) ) or (colpm0_delayed_reg&'0' and (set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0& set_p0)) or (colpm1_delayed_reg&'0' and (set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1& set_p1)) or (colpm2_delayed_reg&'0' and (set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2& set_p2)) or (colpm3_delayed_reg&'0' and (set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3& set_p3)) ); hrcolour_next <= -- SAME FIXME ( ((colbk_delayed_reg&'0' or active_bk_modify_next) and active_bk_valid_next and (set_bk &set_bk &set_bk &set_bk &set_bk &set_bk &set_bk& set_bk)) or (colpf0_delayed_reg&'0' and (set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0) ) or (colpf1_delayed_reg&'0' and (set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1) ) or (colpf2_delayed_reg&'0' and (set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2) ) or ((colpf3_delayed_reg&'0' or active_bk_modify_next) and (set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3) ) or (colpm0_delayed_reg&'0' and (set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0& set_p0)) or (colpm1_delayed_reg&'0' and (set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1& set_p1)) or (colpm2_delayed_reg&'0' and (set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2& set_p2)) or (colpm3_delayed_reg&'0' and (set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3& set_p3)) ); -- finally high-res mode overrides the luma if (set_bk = '0' and highres_reg = '1') then if (active_hr_reg(1) = '1') then colour_next(3 downto 0) <= colpf1_delayed_reg(3 downto 1)&'0'; end if; if (active_hr_reg(0) = '1') then hrcolour_next(3 downto 0) <= colpf1_delayed_reg(3 downto 1)&'0'; end if; end if; if (visible_live = '0') then colour_next <= X"00"; hrcolour_next <= X"00"; end if; end if; end process; -- collision detection process (colour_clock, m0pf_reg,m1pf_reg,m2pf_reg,m3pf_reg,m0pl_reg,m1pl_reg,m2pl_reg,m3pl_reg,p0pf_reg,p1pf_reg,p2pf_reg,p3pf_reg,p0pl_reg,p1pl_reg,p2pl_reg,p3pl_reg,hitclr_write,active_pf0_live,active_pf1_live,active_pf2_collision_live,active_pf3_collision_live,active_p0_live,active_p1_live,active_p2_live,active_p3_live,active_m0_live,active_m1_live,active_m2_live,active_m3_live, visible_live) begin m0pf_next <= m0pf_reg; m1pf_next <= m1pf_reg; m2pf_next <= m2pf_reg; m3pf_next <= m3pf_reg; m0pl_next <= m0pl_reg; m1pl_next <= m1pl_reg; m2pl_next <= m2pl_reg; m3pl_next <= m3pl_reg; p0pf_next <= p0pf_reg; p1pf_next <= p1pf_reg; p2pf_next <= p2pf_reg; p3pf_next <= p3pf_reg; p0pl_next <= p0pl_reg; p1pl_next <= p1pl_reg; p2pl_next <= p2pl_reg; p3pl_next <= p3pl_reg; if (hitclr_write = '1') then m0pf_next <= (others=>'0'); m1pf_next <= (others=>'0'); m2pf_next <= (others=>'0'); m3pf_next <= (others=>'0'); m0pl_next <= (others=>'0'); m1pl_next <= (others=>'0'); m2pl_next <= (others=>'0'); m3pl_next <= (others=>'0'); p0pf_next <= (others=>'0'); p1pf_next <= (others=>'0'); p2pf_next <= (others=>'0'); p3pf_next <= (others=>'0'); p0pl_next <= (others=>'0'); p1pl_next <= (others=>'0'); p2pl_next <= (others=>'0'); p3pl_next <= (others=>'0'); else if (visible_live = '1' and colour_clock = '1') then m0pl_next <= m0pl_reg or (active_m0_live&active_m0_live&active_m0_live&active_m0_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); m1pl_next <= m1pl_reg or (active_m1_live&active_m1_live&active_m1_live&active_m1_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); m2pl_next <= m2pl_reg or (active_m2_live&active_m2_live&active_m2_live&active_m2_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); m3pl_next <= m3pl_reg or (active_m3_live&active_m3_live&active_m3_live&active_m3_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); m0pf_next <= m0pf_reg or (active_m0_live&active_m0_live&active_m0_live&active_m0_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); m1pf_next <= m1pf_reg or (active_m1_live&active_m1_live&active_m1_live&active_m1_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); m2pf_next <= m2pf_reg or (active_m2_live&active_m2_live&active_m2_live&active_m2_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); m3pf_next <= m3pf_reg or (active_m3_live&active_m3_live&active_m3_live&active_m3_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); p0pl_next <= p0pl_reg or (active_p0_live&active_p0_live&active_p0_live&'0' and active_p3_live&active_p2_live&active_p1_live&active_p0_live); p1pl_next <= p1pl_reg or (active_p1_live&active_p1_live&'0' &active_p1_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); p2pl_next <= p2pl_reg or (active_p2_live&'0' &active_p2_live&active_p2_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); p3pl_next <= p3pl_reg or ('0' &active_p3_live&active_p3_live&active_p3_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live); p0pf_next <= p0pf_reg or (active_p0_live&active_p0_live&active_p0_live&active_p0_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); p1pf_next <= p1pf_reg or (active_p1_live&active_p1_live&active_p1_live&active_p1_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); p2pf_next <= p2pf_reg or (active_p2_live&active_p2_live&active_p2_live&active_p2_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); p3pf_next <= p3pf_reg or (active_p3_live&active_p3_live&active_p3_live&active_p3_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live); end if; end if; end process; -- Writes to registers process(cpu_data_in,wr_en,addr_decoded,hposp0_raw_reg,hposp1_raw_reg,hposp2_raw_reg,hposp3_raw_reg,hposm0_raw_reg,hposm1_raw_reg,hposm2_raw_reg,hposm3_raw_reg,sizep0_raw_reg,sizep1_raw_reg,sizep2_raw_reg,sizep3_raw_reg,sizem_raw_reg,grafp0_reg,grafp1_reg,grafp2_reg,grafp3_reg, grafm_reg, colpm0_raw_reg, colpm1_raw_reg, colpm2_raw_reg, colpm3_raw_reg, colpf0_raw_reg, colpf1_raw_reg,colpf2_raw_reg, colpf3_raw_reg, colbk_raw_reg, prior_raw_reg, vdelay_reg, gractl_reg, consol_output_reg, grafm_dma_load, grafm_dma_next, grafp0_dma_load, grafp0_dma_next, grafp1_dma_load, grafp1_dma_next, grafp2_dma_load, grafp2_dma_next, grafp3_dma_load, grafp3_dma_next) begin hposp0_raw_next <= hposp0_raw_reg; hposp1_raw_next <= hposp1_raw_reg; hposp2_raw_next <= hposp2_raw_reg; hposp3_raw_next <= hposp3_raw_reg; hposm0_raw_next <= hposm0_raw_reg; hposm1_raw_next <= hposm1_raw_reg; hposm2_raw_next <= hposm2_raw_reg; hposm3_raw_next <= hposm3_raw_reg; sizep0_raw_next <= sizep0_raw_reg; sizep1_raw_next <= sizep1_raw_reg; sizep2_raw_next <= sizep2_raw_reg; sizep3_raw_next <= sizep3_raw_reg; sizem_raw_next <= sizem_raw_reg; grafp0_next <= grafp0_reg; grafp1_next <= grafp1_reg; grafp2_next <= grafp2_reg; grafp3_next <= grafp3_reg; grafm_next <= grafm_reg; colpm0_raw_next <= colpm0_raw_reg; colpm1_raw_next <= colpm1_raw_reg; colpm2_raw_next <= colpm2_raw_reg; colpm3_raw_next <= colpm3_raw_reg; colpf0_raw_next <= colpf0_raw_reg; colpf1_raw_next <= colpf1_raw_reg; colpf2_raw_next <= colpf2_raw_reg; colpf3_raw_next <= colpf3_raw_reg; colbk_raw_next <= colbk_raw_reg; prior_raw_next <= prior_raw_reg; vdelay_next <= vdelay_reg; gractl_next <= gractl_reg; consol_output_next <= consol_output_reg; hitclr_write <= '0'; if (grafm_dma_load = '1') then grafm_next <= grafm_dma_next; end if; if (grafp0_dma_load = '1') then grafp0_next <= grafp0_dma_next; end if; if (grafp1_dma_load = '1') then grafp1_next <= grafp1_dma_next; end if; if (grafp2_dma_load = '1') then grafp2_next <= grafp2_dma_next; end if; if (grafp3_dma_load = '1') then grafp3_next <= grafp3_dma_next; end if; if (wr_en = '1') then if(addr_decoded(0) = '1') then hposp0_raw_next <= cpu_data_in; end if; if(addr_decoded(1) = '1') then hposp1_raw_next <= cpu_data_in; end if; if(addr_decoded(2) = '1') then hposp2_raw_next <= cpu_data_in; end if; if(addr_decoded(3) = '1') then hposp3_raw_next <= cpu_data_in; end if; if(addr_decoded(4) = '1') then hposm0_raw_next <= cpu_data_in; end if; if(addr_decoded(5) = '1') then hposm1_raw_next <= cpu_data_in; end if; if(addr_decoded(6) = '1') then hposm2_raw_next <= cpu_data_in; end if; if(addr_decoded(7) = '1') then hposm3_raw_next <= cpu_data_in; end if; if(addr_decoded(8) = '1') then sizep0_raw_next <= cpu_data_in(1 downto 0); end if; if(addr_decoded(9) = '1') then sizep1_raw_next <= cpu_data_in(1 downto 0); end if; if(addr_decoded(10) = '1') then sizep2_raw_next <= cpu_data_in(1 downto 0); end if; if(addr_decoded(11) = '1') then sizep3_raw_next <= cpu_data_in(1 downto 0); end if; if(addr_decoded(12) = '1') then sizem_raw_next <= cpu_data_in; end if; if(addr_decoded(13) = '1') then grafp0_next <= cpu_data_in; end if; if(addr_decoded(14) = '1') then grafp1_next <= cpu_data_in; end if; if(addr_decoded(15) = '1') then grafp2_next <= cpu_data_in; end if; if(addr_decoded(16) = '1') then grafp3_next <= cpu_data_in; end if; if(addr_decoded(17) = '1') then grafm_next <= cpu_data_in; end if; if(addr_decoded(18) = '1') then colpm0_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(19) = '1') then colpm1_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(20) = '1') then colpm2_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(21) = '1') then colpm3_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(22) = '1') then colpf0_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(23) = '1') then colpf1_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(24) = '1') then colpf2_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(25) = '1') then colpf3_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(26) = '1') then colbk_raw_next <= cpu_data_in(7 downto 1); end if; if(addr_decoded(27) = '1') then prior_raw_next <= cpu_data_in; end if; if(addr_decoded(28) = '1') then vdelay_next <= cpu_data_in; end if; if(addr_decoded(29) = '1') then gractl_next <= cpu_data_in(2 downto 0); end if; if(addr_decoded(30) = '1') then -- clear the collision regs hitclr_write <= '1'; end if; if(addr_decoded(31) = '1') then consol_output_next <= cpu_data_in(3 downto 0); end if; end if; end process; -- delays... -- TODO - needs more attention ... -- The prior behaviour here in real hardware is all over the place... -- THESE CAN TAKE MUCH LESS SPACE - only need to store per CPU cycle, not per colour clock original prior_short_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>6) port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(5 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed_reg(5 downto 0)); prior_long_delay : wide_delay_line generic map (COUNT=>3, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(7 downto 6),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed_reg(7 downto 6)); prior_longer_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(7 downto 6),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed2_reg(7 downto 6)); colbk_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colbk_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colbk_delayed_reg(7 downto 1)); colpm0_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpm0_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm0_delayed_reg(7 downto 1)); colpm1_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpm1_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm1_delayed_reg(7 downto 1)); colpm2_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpm2_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm2_delayed_reg(7 downto 1)); colpm3_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpm3_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm3_delayed_reg(7 downto 1)); colpf0_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpf0_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf0_delayed_reg(7 downto 1)); colpf1_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpf1_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf1_delayed_reg(7 downto 1)); colpf2_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpf2_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf2_delayed_reg(7 downto 1)); colpf3_delay : wide_delay_line generic map (COUNT=>2, WIDTH=>7) port map(clk=>clk,sync_reset=>'0',data_in=>colpf3_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf3_delayed_reg(7 downto 1)); hposp0_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposp0_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp0_delayed_reg(7 downto 0)); hposp1_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposp1_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp1_delayed_reg(7 downto 0)); hposp2_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposp2_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp2_delayed_reg(7 downto 0)); hposp3_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposp3_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp3_delayed_reg(7 downto 0)); hposm0_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposm0_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm0_delayed_reg(7 downto 0)); hposm1_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposm1_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm1_delayed_reg(7 downto 0)); hposm2_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposm2_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm2_delayed_reg(7 downto 0)); hposm3_delay : wide_delay_line generic map (COUNT=>5, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>hposm3_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm3_delayed_reg(7 downto 0)); sizep0_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>sizep0_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep0_delayed_reg(1 downto 0)); sizep1_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>sizep1_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep1_delayed_reg(1 downto 0)); sizep2_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>sizep2_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep2_delayed_reg(1 downto 0)); sizep3_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>2) port map(clk=>clk,sync_reset=>'0',data_in=>sizep3_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep3_delayed_reg(1 downto 0)); sizem_delay : wide_delay_line generic map (COUNT=>4, WIDTH=>8) port map(clk=>clk,sync_reset=>'0',data_in=>sizem_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizem_delayed_reg(7 downto 0)); -- joystick process(trig0_reg, trig1_reg, trig2_reg, trig3_reg, trig0, trig1, trig2, trig3, gractl_reg) begin trig0_next <= trig0; trig1_next <= trig1; trig2_next <= trig2; trig3_next <= trig3; if (gractl_reg(2) = '1') then trig0_next <= trig0_reg and trig0; trig1_next <= trig1_reg and trig1; trig2_next <= trig2_reg and trig2; trig3_next <= trig3_reg and trig3; end if; end process; -- Read from registers process(addr_decoded, CONSOL_OPTION, CONSOL_SELECT, CONSOL_START, consol_output_reg, trig0_reg, trig1_reg, trig2_reg, trig3_reg, m0pf_reg,m1pf_reg,m2pf_reg,m3pf_reg,m0pl_reg,m1pl_reg,m2pl_reg,m3pl_reg,p0pf_reg,p1pf_reg,p2pf_reg,p3pf_reg,p0pl_reg,p1pl_reg,p2pl_reg,p3pl_reg, pal) begin data_out <= X"0F"; if (addr_decoded(0) = '1') then data_out <= "0000"&m0pf_reg; end if; if (addr_decoded(1) = '1') then data_out <= "0000"&m1pf_reg; end if; if (addr_decoded(2) = '1') then data_out <= "0000"&m2pf_reg; end if; if (addr_decoded(3) = '1') then data_out <= "0000"&m3pf_reg; end if; if (addr_decoded(4) = '1') then data_out <= "0000"&p0pf_reg; end if; if (addr_decoded(5) = '1') then data_out <= "0000"&p1pf_reg; end if; if (addr_decoded(6) = '1') then data_out <= "0000"&p2pf_reg; end if; if (addr_decoded(7) = '1') then data_out <= "0000"&p3pf_reg; end if; if (addr_decoded(8) = '1') then data_out <= "0000"&m0pl_reg; end if; if (addr_decoded(9) = '1') then data_out <= "0000"&m1pl_reg; end if; if (addr_decoded(10) = '1') then data_out <= "0000"&m2pl_reg; end if; if (addr_decoded(11) = '1') then data_out <= "0000"&m3pl_reg; end if; if (addr_decoded(12) = '1') then data_out <= "0000"&p0pl_reg; end if; if (addr_decoded(13) = '1') then data_out <= "0000"&p1pl_reg; end if; if (addr_decoded(14) = '1') then data_out <= "0000"&p2pl_reg; end if; if (addr_decoded(15) = '1') then data_out <= "0000"&p3pl_reg; end if; if (addr_decoded(16) = '1') then data_out <= "0000000"&trig0_reg; end if; if (addr_decoded(17) = '1') then data_out <= "0000000"&trig1_reg; end if; if (addr_decoded(18) = '1') then data_out <= "0000000"&trig2_reg; end if; if (addr_decoded(19) = '1') then data_out <= "0000000"&trig3_reg; end if; if (addr_decoded(20) = '1') then data_out <= "0000"&not(pal&pal&pal)&'1'; end if; if (addr_decoded(31) = '1') then data_out <= "0000"&('0'&not(CONSOL_OPTION)&not(CONSOL_SELECT)&not(CONSOL_START) and (not consol_output_reg)); end if; end process; -- output colour_out <= colour_reg; vsync<=vsync_reg; hsync<=hsync_reg; blank<=hblank_reg or vsync_reg; burst<=burst_reg; odd_line<=odd_scanline_reg; sound <= consol_output_reg(3); end vhdl;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxi-b.vhd,v 1.3 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- library bv_utilities; package body dlx_instr is use bv_utilities.bv_arithmetic.all; constant opcode_names : opcode_name_array := ( "SPECIAL ", "FPARITH ", "J ", "JAL ", "BEQZ ", "BNEZ ", "BFPT ", "BFPF ", "ADDI ", "ADDUI ", "SUBI ", "SUBUI ", "ANDI ", "ORI ", "XORI ", "LHI ", "RFE ", "TRAP ", "JR ", "JALR ", "SLLI ", "UNDEF_15", "SRLI ", "SRAI ", "SEQI ", "SNEI ", "SLTI ", "SGTI ", "SLEI ", "SGEI ", "UNDEF_1E", "UNDEF_1F", "LB ", "LH ", "UNDEF_22", "LW ", "LBU ", "LHU ", "LF ", "LD ", "SB ", "SH ", "UNDEF_2A", "SW ", "UNDEF_2C", "UNDEF_2D", "SF ", "SD ", "SEQUI ", "SNEUI ", "SLTUI ", "SGTUI ", "SLEUI ", "SGEUI ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant sp_func_names : sp_func_name_array := ( "NOP ", "UNDEF_01", "UNDEF_02", "UNDEF_03", "SLL ", "UNDEF_05", "SRL ", "SRA ", "UNDEF_08", "UNDEF_09", "UNDEF_0A", "UNDEF_0B", "UNDEF_0C", "UNDEF_0D", "UNDEF_0E", "UNDEF_0F", "SEQU ", "SNEU ", "SLTU ", "SGTU ", "SLEU ", "SGEU ", "UNDEF_16", "UNDEF_17", "UNDEF_18", "UNDEF_19", "UNDEF_1A", "UNDEF_1B", "UNDEF_1C", "UNDEF_1D", "UNDEF_1E", "UNDEF_1F", "ADD ", "ADDU ", "SUB ", "SUBU ", "AND ", "OR ", "XOR ", "UNDEF_27", "SEQ ", "SNE ", "SLT ", "SGT ", "SLE ", "SGE ", "UNDEF_2E", "UNDEF_2F", "MOVI2S ", "MOVS2I ", "MOVF ", "MOVD ", "MOVFP2I ", "MOVI2FP ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant fp_func_names : fp_func_name_array := ( "ADDF ", "SUBF ", "MULTF ", "DIVF ", "ADDD ", "SUBD ", "MULTD ", "DIVD ", "CVTF2D ", "CVTF2I ", "CVTD2F ", "CVTD2I ", "CVTI2F ", "CVTI2D ", "MULT ", "DIV ", "EQF ", "NEF ", "LTF ", "GTF ", "LEF ", "GEF ", "MULTU ", "DIVU ", "EQD ", "NED ", "LTD ", "GTD ", "LED ", "GED ", "UNDEF_1E", "UNDEF_1F" ); procedure disassemble ( instr : dlx_bv_word; disassembled_instr : out string; len : out positive ) is alias norm_disassembled_instr : string(1 to disassembled_instr'length) is disassembled_instr; alias instr_opcode : dlx_opcode is instr(0 to 5); alias instr_sp_func : dlx_sp_func is instr(26 to 31); alias instr_fp_func : dlx_fp_func is instr(27 to 31); alias instr_rs1 : dlx_reg_addr is instr(6 to 10); alias instr_rs2 : dlx_reg_addr is instr(11 to 15); alias instr_Itype_rd : dlx_reg_addr is instr(11 to 15); alias instr_Rtype_rd : dlx_reg_addr is instr(16 to 20); alias instr_immed16 : dlx_immed16 is instr(16 to 31); alias instr_immed26 : dlx_immed26 is instr(6 to 31); variable instr_opcode_num : dlx_opcode_num; variable instr_sp_func_num : dlx_sp_func_num; variable instr_fp_func_num : dlx_fp_func_num; variable rs1 : reg_index; variable rs2 : reg_index; variable Itype_rd : reg_index; variable Rtype_rd : reg_index; variable result : string(1 to 40) -- long enough for longest instruction := (others => ' '); variable index : positive range 1 to 41 := 1; -- position for next char in result procedure disassemble_reg ( reg : reg_index; reg_prefix : character ) is begin result(index) := reg_prefix; index := index + 1; if reg < 10 then result(index to index) := integer'image(reg); index := index + 1; else result(index to index + 1) := integer'image(reg); index := index + 2; end if; end procedure disassemble_reg; procedure disassemble_special_reg ( reg : reg_index ) is begin case reg is when 0 => result(index to index + 2) := "IAR"; index := index + 3; when 1 => result(index to index + 2) := "FSR"; index := index + 3; when others => disassemble_reg(reg, 'S'); end case; end procedure disassemble_special_reg; procedure disassemble_integer ( int : integer ) is constant int_image_length : natural := integer'image(int)'length; begin result(index to index + int_image_length - 1) := integer'image(int); index := index + int_image_length; end procedure disassemble_integer; begin instr_opcode_num := bv_to_natural(instr_opcode); instr_sp_func_num := bv_to_natural(instr_sp_func); instr_fp_func_num := bv_to_natural(instr_fp_func); rs1 := bv_to_natural(instr_rs1); rs2 := bv_to_natural(instr_rs2); Itype_rd := bv_to_natural(instr_Itype_rd); Rtype_rd := bv_to_natural(instr_Rtype_rd); if (instr_opcode /= op_special) and (instr_opcode /= op_fparith) then result(index to index + instr_name'length - 1) := opcode_names(instr_opcode_num); index := index + instr_name'length + 1; -- include space after opcode name end if; case instr_opcode is when op_special => result(index to index + instr_name'length - 1) := sp_func_names(instr_sp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_sp_func is when sp_func_nop => null; when sp_func_sll | sp_func_srl | sp_func_sra | sp_func_sequ | sp_func_sneu | sp_func_sltu | sp_func_sgtu | sp_func_sleu | sp_func_sgeu | sp_func_add | sp_func_addu | sp_func_sub | sp_func_subu | sp_func_and | sp_func_or | sp_func_xor | sp_func_seq | sp_func_sne | sp_func_slt | sp_func_sgt | sp_func_sle | sp_func_sge => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'R'); when sp_func_movi2s => disassemble_special_reg(Rtype_rd); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when sp_func_movs2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_special_reg(rs1); when sp_func_movf | sp_func_movd => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movfp2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movi2fp => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when others => null; end case; when op_fparith => result(index to index + instr_name'length - 1) := fp_func_names(instr_fp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_fp_func is when fp_func_addf | fp_func_subf | fp_func_multf | fp_func_divf | fp_func_addd | fp_func_subd | fp_func_multd | fp_func_divd | fp_func_mult | fp_func_div | fp_func_multu | fp_func_divu => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when fp_func_cvtf2d | fp_func_cvtd2f => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvtf2i | fp_func_cvtd2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvti2f | fp_func_cvti2d => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when fp_func_eqf | fp_func_nef | fp_func_ltf | fp_func_gtf | fp_func_lef | fp_func_gef | fp_func_eqd | fp_func_ned | fp_func_ltd | fp_func_gtd | fp_func_led | fp_func_ged => disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when others => null; end case; when op_j | op_jal => disassemble_integer(bv_to_integer(instr_immed26)); when op_beqz | op_bnez => disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_bfpt | op_bfpf => disassemble_integer(bv_to_integer(instr_immed16)); when op_slli | op_srli | op_srai => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16(11 to 15))); when op_addi | op_subi | op_seqi | op_snei | op_slti | op_sgti | op_slei | op_sgei => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_addui | op_subui | op_andi | op_ori | op_xori | op_sequi | op_sneui | op_sltui | op_sgtui | op_sleui | op_sgeui => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_lhi => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_rfe => null; when op_trap => disassemble_integer(bv_to_natural(instr_immed26)); when op_jr | op_jalr => disassemble_reg(rs1, 'R'); when op_lb | op_lh | op_lw | op_lbu | op_lhu | op_lf | op_ld => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; when op_sb | op_sh | op_sw | op_sf | op_sd => disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(Itype_rd, 'R'); when others => null; -- remaining opcodes have no operands to disassemble end case; if index > norm_disassembled_instr'length then index := norm_disassembled_instr'length; -- limit to out parameter length else index := index - 1; -- index points to last result character end if; norm_disassembled_instr(1 to index) := result(1 to index); len := index; end procedure disassemble; end package body dlx_instr;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxi-b.vhd,v 1.3 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- library bv_utilities; package body dlx_instr is use bv_utilities.bv_arithmetic.all; constant opcode_names : opcode_name_array := ( "SPECIAL ", "FPARITH ", "J ", "JAL ", "BEQZ ", "BNEZ ", "BFPT ", "BFPF ", "ADDI ", "ADDUI ", "SUBI ", "SUBUI ", "ANDI ", "ORI ", "XORI ", "LHI ", "RFE ", "TRAP ", "JR ", "JALR ", "SLLI ", "UNDEF_15", "SRLI ", "SRAI ", "SEQI ", "SNEI ", "SLTI ", "SGTI ", "SLEI ", "SGEI ", "UNDEF_1E", "UNDEF_1F", "LB ", "LH ", "UNDEF_22", "LW ", "LBU ", "LHU ", "LF ", "LD ", "SB ", "SH ", "UNDEF_2A", "SW ", "UNDEF_2C", "UNDEF_2D", "SF ", "SD ", "SEQUI ", "SNEUI ", "SLTUI ", "SGTUI ", "SLEUI ", "SGEUI ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant sp_func_names : sp_func_name_array := ( "NOP ", "UNDEF_01", "UNDEF_02", "UNDEF_03", "SLL ", "UNDEF_05", "SRL ", "SRA ", "UNDEF_08", "UNDEF_09", "UNDEF_0A", "UNDEF_0B", "UNDEF_0C", "UNDEF_0D", "UNDEF_0E", "UNDEF_0F", "SEQU ", "SNEU ", "SLTU ", "SGTU ", "SLEU ", "SGEU ", "UNDEF_16", "UNDEF_17", "UNDEF_18", "UNDEF_19", "UNDEF_1A", "UNDEF_1B", "UNDEF_1C", "UNDEF_1D", "UNDEF_1E", "UNDEF_1F", "ADD ", "ADDU ", "SUB ", "SUBU ", "AND ", "OR ", "XOR ", "UNDEF_27", "SEQ ", "SNE ", "SLT ", "SGT ", "SLE ", "SGE ", "UNDEF_2E", "UNDEF_2F", "MOVI2S ", "MOVS2I ", "MOVF ", "MOVD ", "MOVFP2I ", "MOVI2FP ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant fp_func_names : fp_func_name_array := ( "ADDF ", "SUBF ", "MULTF ", "DIVF ", "ADDD ", "SUBD ", "MULTD ", "DIVD ", "CVTF2D ", "CVTF2I ", "CVTD2F ", "CVTD2I ", "CVTI2F ", "CVTI2D ", "MULT ", "DIV ", "EQF ", "NEF ", "LTF ", "GTF ", "LEF ", "GEF ", "MULTU ", "DIVU ", "EQD ", "NED ", "LTD ", "GTD ", "LED ", "GED ", "UNDEF_1E", "UNDEF_1F" ); procedure disassemble ( instr : dlx_bv_word; disassembled_instr : out string; len : out positive ) is alias norm_disassembled_instr : string(1 to disassembled_instr'length) is disassembled_instr; alias instr_opcode : dlx_opcode is instr(0 to 5); alias instr_sp_func : dlx_sp_func is instr(26 to 31); alias instr_fp_func : dlx_fp_func is instr(27 to 31); alias instr_rs1 : dlx_reg_addr is instr(6 to 10); alias instr_rs2 : dlx_reg_addr is instr(11 to 15); alias instr_Itype_rd : dlx_reg_addr is instr(11 to 15); alias instr_Rtype_rd : dlx_reg_addr is instr(16 to 20); alias instr_immed16 : dlx_immed16 is instr(16 to 31); alias instr_immed26 : dlx_immed26 is instr(6 to 31); variable instr_opcode_num : dlx_opcode_num; variable instr_sp_func_num : dlx_sp_func_num; variable instr_fp_func_num : dlx_fp_func_num; variable rs1 : reg_index; variable rs2 : reg_index; variable Itype_rd : reg_index; variable Rtype_rd : reg_index; variable result : string(1 to 40) -- long enough for longest instruction := (others => ' '); variable index : positive range 1 to 41 := 1; -- position for next char in result procedure disassemble_reg ( reg : reg_index; reg_prefix : character ) is begin result(index) := reg_prefix; index := index + 1; if reg < 10 then result(index to index) := integer'image(reg); index := index + 1; else result(index to index + 1) := integer'image(reg); index := index + 2; end if; end procedure disassemble_reg; procedure disassemble_special_reg ( reg : reg_index ) is begin case reg is when 0 => result(index to index + 2) := "IAR"; index := index + 3; when 1 => result(index to index + 2) := "FSR"; index := index + 3; when others => disassemble_reg(reg, 'S'); end case; end procedure disassemble_special_reg; procedure disassemble_integer ( int : integer ) is constant int_image_length : natural := integer'image(int)'length; begin result(index to index + int_image_length - 1) := integer'image(int); index := index + int_image_length; end procedure disassemble_integer; begin instr_opcode_num := bv_to_natural(instr_opcode); instr_sp_func_num := bv_to_natural(instr_sp_func); instr_fp_func_num := bv_to_natural(instr_fp_func); rs1 := bv_to_natural(instr_rs1); rs2 := bv_to_natural(instr_rs2); Itype_rd := bv_to_natural(instr_Itype_rd); Rtype_rd := bv_to_natural(instr_Rtype_rd); if (instr_opcode /= op_special) and (instr_opcode /= op_fparith) then result(index to index + instr_name'length - 1) := opcode_names(instr_opcode_num); index := index + instr_name'length + 1; -- include space after opcode name end if; case instr_opcode is when op_special => result(index to index + instr_name'length - 1) := sp_func_names(instr_sp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_sp_func is when sp_func_nop => null; when sp_func_sll | sp_func_srl | sp_func_sra | sp_func_sequ | sp_func_sneu | sp_func_sltu | sp_func_sgtu | sp_func_sleu | sp_func_sgeu | sp_func_add | sp_func_addu | sp_func_sub | sp_func_subu | sp_func_and | sp_func_or | sp_func_xor | sp_func_seq | sp_func_sne | sp_func_slt | sp_func_sgt | sp_func_sle | sp_func_sge => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'R'); when sp_func_movi2s => disassemble_special_reg(Rtype_rd); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when sp_func_movs2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_special_reg(rs1); when sp_func_movf | sp_func_movd => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movfp2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movi2fp => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when others => null; end case; when op_fparith => result(index to index + instr_name'length - 1) := fp_func_names(instr_fp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_fp_func is when fp_func_addf | fp_func_subf | fp_func_multf | fp_func_divf | fp_func_addd | fp_func_subd | fp_func_multd | fp_func_divd | fp_func_mult | fp_func_div | fp_func_multu | fp_func_divu => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when fp_func_cvtf2d | fp_func_cvtd2f => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvtf2i | fp_func_cvtd2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvti2f | fp_func_cvti2d => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when fp_func_eqf | fp_func_nef | fp_func_ltf | fp_func_gtf | fp_func_lef | fp_func_gef | fp_func_eqd | fp_func_ned | fp_func_ltd | fp_func_gtd | fp_func_led | fp_func_ged => disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when others => null; end case; when op_j | op_jal => disassemble_integer(bv_to_integer(instr_immed26)); when op_beqz | op_bnez => disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_bfpt | op_bfpf => disassemble_integer(bv_to_integer(instr_immed16)); when op_slli | op_srli | op_srai => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16(11 to 15))); when op_addi | op_subi | op_seqi | op_snei | op_slti | op_sgti | op_slei | op_sgei => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_addui | op_subui | op_andi | op_ori | op_xori | op_sequi | op_sneui | op_sltui | op_sgtui | op_sleui | op_sgeui => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_lhi => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_rfe => null; when op_trap => disassemble_integer(bv_to_natural(instr_immed26)); when op_jr | op_jalr => disassemble_reg(rs1, 'R'); when op_lb | op_lh | op_lw | op_lbu | op_lhu | op_lf | op_ld => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; when op_sb | op_sh | op_sw | op_sf | op_sd => disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(Itype_rd, 'R'); when others => null; -- remaining opcodes have no operands to disassemble end case; if index > norm_disassembled_instr'length then index := norm_disassembled_instr'length; -- limit to out parameter length else index := index - 1; -- index points to last result character end if; norm_disassembled_instr(1 to index) := result(1 to index); len := index; end procedure disassemble; end package body dlx_instr;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxi-b.vhd,v 1.3 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- library bv_utilities; package body dlx_instr is use bv_utilities.bv_arithmetic.all; constant opcode_names : opcode_name_array := ( "SPECIAL ", "FPARITH ", "J ", "JAL ", "BEQZ ", "BNEZ ", "BFPT ", "BFPF ", "ADDI ", "ADDUI ", "SUBI ", "SUBUI ", "ANDI ", "ORI ", "XORI ", "LHI ", "RFE ", "TRAP ", "JR ", "JALR ", "SLLI ", "UNDEF_15", "SRLI ", "SRAI ", "SEQI ", "SNEI ", "SLTI ", "SGTI ", "SLEI ", "SGEI ", "UNDEF_1E", "UNDEF_1F", "LB ", "LH ", "UNDEF_22", "LW ", "LBU ", "LHU ", "LF ", "LD ", "SB ", "SH ", "UNDEF_2A", "SW ", "UNDEF_2C", "UNDEF_2D", "SF ", "SD ", "SEQUI ", "SNEUI ", "SLTUI ", "SGTUI ", "SLEUI ", "SGEUI ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant sp_func_names : sp_func_name_array := ( "NOP ", "UNDEF_01", "UNDEF_02", "UNDEF_03", "SLL ", "UNDEF_05", "SRL ", "SRA ", "UNDEF_08", "UNDEF_09", "UNDEF_0A", "UNDEF_0B", "UNDEF_0C", "UNDEF_0D", "UNDEF_0E", "UNDEF_0F", "SEQU ", "SNEU ", "SLTU ", "SGTU ", "SLEU ", "SGEU ", "UNDEF_16", "UNDEF_17", "UNDEF_18", "UNDEF_19", "UNDEF_1A", "UNDEF_1B", "UNDEF_1C", "UNDEF_1D", "UNDEF_1E", "UNDEF_1F", "ADD ", "ADDU ", "SUB ", "SUBU ", "AND ", "OR ", "XOR ", "UNDEF_27", "SEQ ", "SNE ", "SLT ", "SGT ", "SLE ", "SGE ", "UNDEF_2E", "UNDEF_2F", "MOVI2S ", "MOVS2I ", "MOVF ", "MOVD ", "MOVFP2I ", "MOVI2FP ", "UNDEF_36", "UNDEF_37", "UNDEF_38", "UNDEF_39", "UNDEF_3A", "UNDEF_3B", "UNDEF_3C", "UNDEF_3D", "UNDEF_3E", "UNDEF_3F" ); constant fp_func_names : fp_func_name_array := ( "ADDF ", "SUBF ", "MULTF ", "DIVF ", "ADDD ", "SUBD ", "MULTD ", "DIVD ", "CVTF2D ", "CVTF2I ", "CVTD2F ", "CVTD2I ", "CVTI2F ", "CVTI2D ", "MULT ", "DIV ", "EQF ", "NEF ", "LTF ", "GTF ", "LEF ", "GEF ", "MULTU ", "DIVU ", "EQD ", "NED ", "LTD ", "GTD ", "LED ", "GED ", "UNDEF_1E", "UNDEF_1F" ); procedure disassemble ( instr : dlx_bv_word; disassembled_instr : out string; len : out positive ) is alias norm_disassembled_instr : string(1 to disassembled_instr'length) is disassembled_instr; alias instr_opcode : dlx_opcode is instr(0 to 5); alias instr_sp_func : dlx_sp_func is instr(26 to 31); alias instr_fp_func : dlx_fp_func is instr(27 to 31); alias instr_rs1 : dlx_reg_addr is instr(6 to 10); alias instr_rs2 : dlx_reg_addr is instr(11 to 15); alias instr_Itype_rd : dlx_reg_addr is instr(11 to 15); alias instr_Rtype_rd : dlx_reg_addr is instr(16 to 20); alias instr_immed16 : dlx_immed16 is instr(16 to 31); alias instr_immed26 : dlx_immed26 is instr(6 to 31); variable instr_opcode_num : dlx_opcode_num; variable instr_sp_func_num : dlx_sp_func_num; variable instr_fp_func_num : dlx_fp_func_num; variable rs1 : reg_index; variable rs2 : reg_index; variable Itype_rd : reg_index; variable Rtype_rd : reg_index; variable result : string(1 to 40) -- long enough for longest instruction := (others => ' '); variable index : positive range 1 to 41 := 1; -- position for next char in result procedure disassemble_reg ( reg : reg_index; reg_prefix : character ) is begin result(index) := reg_prefix; index := index + 1; if reg < 10 then result(index to index) := integer'image(reg); index := index + 1; else result(index to index + 1) := integer'image(reg); index := index + 2; end if; end procedure disassemble_reg; procedure disassemble_special_reg ( reg : reg_index ) is begin case reg is when 0 => result(index to index + 2) := "IAR"; index := index + 3; when 1 => result(index to index + 2) := "FSR"; index := index + 3; when others => disassemble_reg(reg, 'S'); end case; end procedure disassemble_special_reg; procedure disassemble_integer ( int : integer ) is constant int_image_length : natural := integer'image(int)'length; begin result(index to index + int_image_length - 1) := integer'image(int); index := index + int_image_length; end procedure disassemble_integer; begin instr_opcode_num := bv_to_natural(instr_opcode); instr_sp_func_num := bv_to_natural(instr_sp_func); instr_fp_func_num := bv_to_natural(instr_fp_func); rs1 := bv_to_natural(instr_rs1); rs2 := bv_to_natural(instr_rs2); Itype_rd := bv_to_natural(instr_Itype_rd); Rtype_rd := bv_to_natural(instr_Rtype_rd); if (instr_opcode /= op_special) and (instr_opcode /= op_fparith) then result(index to index + instr_name'length - 1) := opcode_names(instr_opcode_num); index := index + instr_name'length + 1; -- include space after opcode name end if; case instr_opcode is when op_special => result(index to index + instr_name'length - 1) := sp_func_names(instr_sp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_sp_func is when sp_func_nop => null; when sp_func_sll | sp_func_srl | sp_func_sra | sp_func_sequ | sp_func_sneu | sp_func_sltu | sp_func_sgtu | sp_func_sleu | sp_func_sgeu | sp_func_add | sp_func_addu | sp_func_sub | sp_func_subu | sp_func_and | sp_func_or | sp_func_xor | sp_func_seq | sp_func_sne | sp_func_slt | sp_func_sgt | sp_func_sle | sp_func_sge => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'R'); when sp_func_movi2s => disassemble_special_reg(Rtype_rd); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when sp_func_movs2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_special_reg(rs1); when sp_func_movf | sp_func_movd => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movfp2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when sp_func_movi2fp => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when others => null; end case; when op_fparith => result(index to index + instr_name'length - 1) := fp_func_names(instr_fp_func_num); index := index + instr_name'length + 1; -- include space after function name case instr_fp_func is when fp_func_addf | fp_func_subf | fp_func_multf | fp_func_divf | fp_func_addd | fp_func_subd | fp_func_multd | fp_func_divd | fp_func_mult | fp_func_div | fp_func_multu | fp_func_divu => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when fp_func_cvtf2d | fp_func_cvtd2f => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvtf2i | fp_func_cvtd2i => disassemble_reg(Rtype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'F'); when fp_func_cvti2f | fp_func_cvti2d => disassemble_reg(Rtype_rd, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); when fp_func_eqf | fp_func_nef | fp_func_ltf | fp_func_gtf | fp_func_lef | fp_func_gef | fp_func_eqd | fp_func_ned | fp_func_ltd | fp_func_gtd | fp_func_led | fp_func_ged => disassemble_reg(rs1, 'F'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs2, 'F'); when others => null; end case; when op_j | op_jal => disassemble_integer(bv_to_integer(instr_immed26)); when op_beqz | op_bnez => disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_bfpt | op_bfpf => disassemble_integer(bv_to_integer(instr_immed16)); when op_slli | op_srli | op_srai => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16(11 to 15))); when op_addi | op_subi | op_seqi | op_snei | op_slti | op_sgti | op_slei | op_sgei => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); when op_addui | op_subui | op_andi | op_ori | op_xori | op_sequi | op_sneui | op_sltui | op_sgtui | op_sleui | op_sgeui => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(rs1, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_lhi => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_natural(instr_immed16)); when op_rfe => null; when op_trap => disassemble_integer(bv_to_natural(instr_immed26)); when op_jr | op_jalr => disassemble_reg(rs1, 'R'); when op_lb | op_lh | op_lw | op_lbu | op_lhu | op_lf | op_ld => disassemble_reg(Itype_rd, 'R'); result(index) := ','; index := index + 2; -- include space after comma disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; when op_sb | op_sh | op_sw | op_sf | op_sd => disassemble_integer(bv_to_integer(instr_immed16)); result(index) := '('; index := index + 1; disassemble_reg(rs1, 'R'); result(index) := ')'; index := index + 1; result(index) := ','; index := index + 2; -- include space after comma disassemble_reg(Itype_rd, 'R'); when others => null; -- remaining opcodes have no operands to disassemble end case; if index > norm_disassembled_instr'length then index := norm_disassembled_instr'length; -- limit to out parameter length else index := index - 1; -- index points to last result character end if; norm_disassembled_instr(1 to index) := result(1 to index); len := index; end procedure disassemble; end package body dlx_instr;
------------------------------------------------------------------------------- --! @file registerFileRtl.vhd -- --! @brief Register table file implementation -- --! @details This implementation is a simple dual ported memory implemented in --! using register resources. ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.global.all; entity registerFile is generic ( gRegCount : natural := 8 ); port ( iClk : in std_logic; iRst : in std_logic; iWriteA : in std_logic; iWriteB : in std_logic; iByteenableA: in std_logic_vector; iByteenableB: in std_logic_vector; iAddrA : in std_logic_vector(LogDualis(gRegCount)-1 downto 0); iAddrB : in std_logic_vector(LogDualis(gRegCount)-1 downto 0); iWritedataA : in std_logic_vector; oReaddataA : out std_logic_vector; iWritedataB : in std_logic_vector; oReaddataB : out std_logic_vector ); end registerFile; architecture Rtl of registerFile is constant cByte : natural := 8; type tRegSet is array (natural range <>) of std_logic_vector(iWritedataA'range); signal regFile, regFile_next : tRegSet(gRegCount-1 downto 0); begin --register set reg : process(iClk) begin if rising_edge(iClk) then if iRst = cActivated then --clear register file regFile <= (others => (others => '0')); else regFile <= regFile_next; end if; end if; end process; --write data into Register File with respect to address --note: a overrules b regFileWrite : process( iWriteA, iWriteB, iAddrA, iAddrB, iByteenableA, iByteenableB, iWritedataA, iWritedataB, regFile) variable vWritedata : std_logic_vector(iWritedataA'range); begin --default regFile_next <= regFile; vWritedata := (others => cInactivated); if iWriteB = cActivated then --read out register content first vWritedata := regFile(to_integer(unsigned(iAddrB))); --then consider byteenable for i in iWritedataB'range loop if iByteenableB(i/cByte) = cActivated then --if byte is enabled assign it vWritedata(i) := iWritedataB(i); end if; end loop; --write to address the masked writedata regFile_next(to_integer(unsigned(iAddrB))) <= vWritedata; end if; if iWriteA = cActivated then --read out register content first vWritedata := regFile(to_integer(unsigned(iAddrA))); --then consider byteenable for i in iWritedataA'range loop if iByteenableA(i/cByte) = cActivated then --if byte is enabled assign it vWritedata(i) := iWritedataA(i); end if; end loop; --write to address the masked writedata regFile_next(to_integer(unsigned(iAddrA))) <= vWritedata; end if; end process; --read data from Register File with respect to iAddrRead regFileRead : process(iAddrA, iAddrB, regFile) begin --read from address oReaddataA <= regFile(to_integer(unsigned(iAddrA))); oReaddataB <= regFile(to_integer(unsigned(iAddrB))); end process; end Rtl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:38:12 03/08/2017 -- Design Name: -- Module Name: vga_init - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity vga_init is Port ( CLK : in STD_LOGIC; VGA_COLOR : in STD_LOGIC_VECTOR(2 downto 0); POS : out STD_LOGIC_VECTOR(18 downto 0); -- ADC_DOA : in STD_LOGIC_VECTOR(13 downto 0); -- ADC_DOB : in STD_LOGIC_VECTOR(13 downto 0); -- ADC_Busy : in STD_LOGIC; VGA_R : out STD_LOGIC; VGA_G : out STD_LOGIC; VGA_B : out STD_LOGIC; VGA_HS : out STD_LOGIC; VGA_VS : out STD_LOGIC -- AMP_WE : out STD_LOGIC; -- AMP_DI : out STD_LOGIC_VECTOR(7 downto 0); -- ADC_Start : out STD_LOGIC; -- -- Line : out STD_LOGIC_VECTOR(63 downto 0); -- Blank : out STD_LOGIC_VECTOR(15 downto 0) ); end vga_init; architecture Behavioral of vga_init is -- x = HPOS, y = VPOS constant HPOS_MAX : integer := 1039; constant VPOS_MAX : integer := 665; -- constant HT_S : integer := 1040; constant HT_DISP : integer := 800; constant HT_PW : integer := 120; constant HT_FP : integer := 64; constant HT_BP : integer := 56; -- constant VT_S : integer := 666; constant VT_DISP : integer := 600; constant VT_PW : integer := 6; constant VT_FP : integer := 37; constant VT_BP : integer := 23; signal HPOS : integer range 0 to HPOS_MAX := 0; signal VPOS : integer range 0 to VPOS_MAX := 0; -- constant BLUE : STD_LOGIC_VECTOR(0 to 2) := "001"; -- constant YELLOW : STD_LOGIC_VECTOR(0 to 2) := "110"; -- constant SIDE : integer := 50; -- signal BOX_HPOS : integer range -100 to HT_DISP := 400; -- signal BOX_VPOS : integer range -100 to VT_DISP := 300; -- signal BOX_HPOS_INT : integer range -100 to HT_DISP := 400; -- signal BOX_VPOS_INT : integer range -100 to VT_DISP := 300; begin HPOS_CNT: process (CLK) begin if rising_edge(CLK) then if HPOS = HPOS_MAX then HPOS <= 0; else HPOS <= HPOS + 1; end if; end if; end process HPOS_CNT; VPOS_CNT: process (CLK) begin if rising_edge(CLK) and HPOS = HPOS_MAX then if VPOS = VPOS_MAX then VPOS <= 0; else VPOS <= VPOS + 1; end if; end if; end process VPOS_CNT; VGA_HS <= '1' when HPOS >= HT_DISP + HT_FP and HPOS < HPOS_MAX - HT_BP else '0'; VGA_VS <= '1' when VPOS >= VT_DISP + VT_FP and VPOS < VPOS_MAX - VT_BP else '0'; POS <= STD_LOGIC_VECTOR(to_unsigned(HPOS + VPOS * HPOS_MAX, 19)); -- VGA_R <= '1' when HPOS < HT_DISP and VPOS < VT_DISP else '0'; -- VGA_G <= '1' when HPOS > BOX_HPOS and HPOS < BOX_HPOS + SIDE and VPOS > BOX_VPOS and VPOS < BOX_VPOS + SIDE else '0'; -- AMP_WE <= '1' when HPOS = 0 and VPOS = 0 else '0'; -- AMP_DI <= X"11"; -- ADC_Start <= '1' when HPOS = HT_DISP and VPOS = VT_DISP else '0'; -- -- Blank <= X"0F0F"; -- Line <= "00" & ADC_DOA & X"0000" & "00" & ADC_DOB & X"0000"; -- -- BOX: process (CLK, HPOS, VPOS) -- begin -- if rising_edge(CLK) then -- if HPOS = 0 and VPOS = 0 then -- BOX_HPOS_INT <= BOX_HPOS - to_integer(signed(ADC_DOA(13 downto 11))); -- BOX_VPOS_INT <= BOX_VPOS + to_integer(signed(ADC_DOB(13 downto 11))); -- end if; -- -- if BOX_HPOS_INT < 0 then -- BOX_HPOS_INT <= 0; -- elsif BOX_HPOS_INT > HT_DISP - SIDE then -- BOX_HPOS_INT <= HT_DISP - SIDE; -- end if; -- -- if BOX_VPOS_INT < 0 then -- BOX_VPOS_INT <= 0; -- elsif BOX_VPOS_INT > VT_DISP - SIDE then -- BOX_VPOS_INT <= VT_DISP - SIDE; -- end if; -- -- BOX_HPOS <= BOX_HPOS_INT; -- BOX_VPOS <= BOX_VPOS_INT; -- end if; -- end process BOX; ---- BOX_HPOS <= BOX_HPOS + to_integer(signed(ADC_DOA(13 downto 12))); end Behavioral;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: digilentinc.com:ip:rgb2vga:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_rgb2vga_0_0 IS PORT ( rgb_pData : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_pVDE : IN STD_LOGIC; rgb_pHSync : IN STD_LOGIC; rgb_pVSync : IN STD_LOGIC; PixelClk : IN STD_LOGIC; vga_pRed : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); vga_pGreen : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); vga_pBlue : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); vga_pHSync : OUT STD_LOGIC; vga_pVSync : OUT STD_LOGIC ); END design_1_rgb2vga_0_0; ARCHITECTURE design_1_rgb2vga_0_0_arch OF design_1_rgb2vga_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_rgb2vga_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT rgb2vga IS GENERIC ( VID_IN_DATA_WIDTH : INTEGER; kRedDepth : INTEGER; kGreenDepth : INTEGER; kBlueDepth : INTEGER ); PORT ( rgb_pData : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_pVDE : IN STD_LOGIC; rgb_pHSync : IN STD_LOGIC; rgb_pVSync : IN STD_LOGIC; PixelClk : IN STD_LOGIC; vga_pRed : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); vga_pGreen : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); vga_pBlue : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); vga_pHSync : OUT STD_LOGIC; vga_pVSync : OUT STD_LOGIC ); END COMPONENT rgb2vga; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_rgb2vga_0_0_arch: ARCHITECTURE IS "rgb2vga,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_rgb2vga_0_0_arch : ARCHITECTURE IS "design_1_rgb2vga_0_0,rgb2vga,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF rgb_pData: SIGNAL IS "xilinx.com:interface:vid_io:1.0 vid_in DATA"; ATTRIBUTE X_INTERFACE_INFO OF rgb_pVDE: SIGNAL IS "xilinx.com:interface:vid_io:1.0 vid_in ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF rgb_pHSync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 vid_in HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF rgb_pVSync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 vid_in VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF PixelClk: SIGNAL IS "xilinx.com:signal:clock:1.0 signal_clock CLK"; BEGIN U0 : rgb2vga GENERIC MAP ( VID_IN_DATA_WIDTH => 24, kRedDepth => 5, kGreenDepth => 6, kBlueDepth => 5 ) PORT MAP ( rgb_pData => rgb_pData, rgb_pVDE => rgb_pVDE, rgb_pHSync => rgb_pHSync, rgb_pVSync => rgb_pVSync, PixelClk => PixelClk, vga_pRed => vga_pRed, vga_pGreen => vga_pGreen, vga_pBlue => vga_pBlue, vga_pHSync => vga_pHSync, vga_pVSync => vga_pVSync ); END design_1_rgb2vga_0_0_arch;
-- Accellera Standard V2.3 Open Verification Library (OVL). -- Accellera Copyright (c) 2008. All rights reserved. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.std_ovl.all; use work.std_ovl_procs.all; architecture rtl of ovl_range is constant assert_name : string := "OVL_RANGE"; constant path : string := rtl'path_name; constant coverage_level_ctrl : ovl_coverage_level := ovl_get_ctrl_val(coverage_level, controls.coverage_level_default); constant cover_sanity : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_SANITY); constant cover_corner : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_CORNER); signal reset_n : std_logic; signal clk : std_logic; signal fatal_sig : std_logic; signal test_expr_x01 : std_logic_vector(width - 1 downto 0); signal prev_test_expr : std_logic_vector(width - 1 downto 0); shared variable error_count : natural; shared variable cover_count : natural; begin test_expr_x01 <= to_x01(test_expr); ------------------------------------------------------------------------------ -- Gating logic -- ------------------------------------------------------------------------------ reset_gating : entity work.std_ovl_reset_gating generic map (reset_polarity => reset_polarity, gating_type => gating_type, controls => controls) port map (reset => reset, enable => enable, reset_n => reset_n); clock_gating : entity work.std_ovl_clock_gating generic map (clock_edge => clock_edge, gating_type => gating_type, controls => controls) port map (clock => clock, enable => enable, clk => clk); ------------------------------------------------------------------------------ -- Initialization message -- ------------------------------------------------------------------------------ ovl_init_msg_gen : if (controls.init_msg_ctrl = OVL_ON) generate ovl_init_msg_proc(severity_level, property_type, assert_name, msg, path, controls); end generate ovl_init_msg_gen; ------------------------------------------------------------------------------ -- Assertion - 2-STATE -- ------------------------------------------------------------------------------ ovl_assert_on_gen : if (ovl_2state_is_on(controls, property_type)) generate ovl_assert_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(0) <= '0'; elsif (not ovl_is_x(test_expr_x01)) then if((unsigned(test_expr_x01) < min) or (unsigned(test_expr_x01) > max)) then fire(0) <= '1'; ovl_error_proc("Test expression evaluates to a value outside the range specified by parameters min and max", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); else fire(0) <= '0'; end if; else fire(0) <= '0'; end if; end if; end process ovl_assert_p; ovl_finish_proc(assert_name, path, controls.runtime_after_fatal, fatal_sig); end generate ovl_assert_on_gen; ovl_assert_off_gen : if (not ovl_2state_is_on(controls, property_type)) generate fire(0) <= '0'; end generate ovl_assert_off_gen; ------------------------------------------------------------------------------ -- Assertion - X-CHECK -- ------------------------------------------------------------------------------ ovl_xcheck_on_gen : if (ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate ovl_xcheck_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(1) <= '0'; elsif (ovl_is_x(test_expr_x01)) then fire(1) <= '1'; ovl_error_proc("test_expr contains X, Z, U, W or -", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); else fire(1) <= '0'; end if; end if; end process ovl_xcheck_p; end generate ovl_xcheck_on_gen; ovl_xcheck_off_gen : if (not ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate fire(1) <= '0'; end generate ovl_xcheck_off_gen; ------------------------------------------------------------------------------ -- Coverage -- ------------------------------------------------------------------------------ ovl_cover_on_gen : if ((controls.cover_ctrl = OVL_ON) and (cover_sanity or cover_corner)) generate ovl_cover_p : process (clk) begin if (rising_edge(clk)) then prev_test_expr <= test_expr_x01; if (reset_n = '0') then fire(2) <= '0'; else fire(2) <= '0'; if (cover_sanity and (test_expr_x01 /= prev_test_expr) and not ovl_is_x(test_expr_x01) and not ovl_is_x(prev_test_expr)) then ovl_cover_proc("test_expr_change covered", assert_name, path, controls, cover_count); fire(2) <= '1'; end if; if (cover_corner and (unsigned(test_expr_x01) = min) and not ovl_is_x(test_expr_x01)) then ovl_cover_proc("test_expr_at_min covered", assert_name, path, controls, cover_count); fire(2) <= '1'; end if; if (cover_corner and (unsigned(test_expr_x01) = max) and not ovl_is_x(test_expr_x01)) then ovl_cover_proc("test_expr_at_max covered", assert_name, path, controls, cover_count); fire(2) <= '1'; end if; end if; end if; end process ovl_cover_p; end generate ovl_cover_on_gen; ovl_cover_off_gen : if ((controls.cover_ctrl = OVL_OFF) or (not(cover_sanity) and not(cover_corner))) generate fire(2) <= '0'; end generate ovl_cover_off_gen; end architecture rtl;
------------------------------------------------------------------------------- -- -- Module : ll_fifo_BRAM.vhd -- -- Version : 1.2 -- -- Last Update : 2005-06-29 -- -- Project : Parameterizable LocalLink FIFO -- -- Description : Top Level of LocalLink FIFO in BRAM implementation -- -- Designer : Wen Ying Wei, Davy Huang -- -- Company : Xilinx, Inc. -- -- Disclaimer : XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2005 Xilinx, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; library work; use work.BRAM_fifo_pkg.all; entity ll_fifo_BRAM is generic ( BRAM_MACRO_NUM : integer:=1; --Number of BRAMs. Values Allowed: 1, 2, 4, 8, 16 WR_DWIDTH : integer:= 8; --FIFO write data width, allowable values are --8, 16, 32, 64, 128. RD_DWIDTH : integer:= 8; --FIFO read data width, allowable values are --8, 16, 32, 64, 128. WR_REM_WIDTH : integer:= 1; --Width of remaining data to transmitting side RD_REM_WIDTH : integer:= 1; --Width of remaining data to receiving side USE_LENGTH: boolean :=true; glbtm : time:= 1 ns); port ( -- Reset reset: in std_logic; -- clocks write_clock_in: in std_logic; read_clock_in: in std_logic; -- signals tranceiving from User Application using standardized specification -- for FIFO interface data_in: in std_logic_vector(WR_DWIDTH-1 downto 0); rem_in: in std_logic_vector(WR_REM_WIDTH-1 downto 0); sof_in_n: in std_logic; eof_in_n: in std_logic; src_rdy_in_n: in std_logic; dst_rdy_out_n: out std_logic; -- signals trasceiving from Aurora data_out: out std_logic_vector(RD_DWIDTH-1 downto 0); rem_out: out std_logic_vector(RD_REM_WIDTH-1 downto 0); sof_out_n: out std_logic; eof_out_n: out std_logic; src_rdy_out_n: out std_logic; dst_rdy_in_n: in std_logic; -- FIFO status signals fifostatus_out: out std_logic_vector(3 downto 0); -- Length Status len_rdy_out: out std_logic; len_out: out std_logic_vector(15 downto 0); len_err_out: out std_logic); end ll_fifo_BRAM; architecture ll_fifo_BRAM_rtl of ll_fifo_BRAM is signal gsr: std_logic; signal gnd: std_logic := '0'; signal pwr: std_logic := '1'; signal rd_clk: std_logic; signal wr_clk: std_logic; signal rd_data: std_logic_vector(RD_DWIDTH-1 downto 0) := (others => '0'); signal wr_data: std_logic_vector(WR_DWIDTH-1 downto 0) := (others => '0'); signal rd_rem: std_logic_vector(RD_REM_WIDTH-1 downto 0) := (others => '0'); signal wr_rem: std_logic_vector(WR_REM_WIDTH-1 downto 0) := (others => '0'); signal rd_sof_n: std_logic; signal rd_eof_n: std_logic; signal wr_sof_n: std_logic; signal wr_eof_n: std_logic; signal src_rdy_i: std_logic; signal full: std_logic; signal empty: std_logic; signal dst_rdy_i: std_logic; signal empty_p: std_logic; signal prefetch: std_logic; signal fifostatus: std_logic_vector(3 downto 0); signal data_valid: std_logic; signal len: std_logic_vector(15 downto 0); signal len_rdy: std_logic; signal len_err: std_logic; signal empty_falling_edge: std_logic; signal prefetch_allow: std_logic; begin gsr <= reset; rd_clk <= read_clock_in; wr_clk <= write_clock_in; --------------------------------------- wr_data <= data_in; wr_rem <= rem_in; wr_sof_n <= sof_in_n; wr_eof_n <= eof_in_n; src_rdy_i <= not src_rdy_in_n; dst_rdy_out_n <= full; ----- From User --------------------- data_out <= rd_data; rem_out <= rd_rem; sof_out_n <= rd_sof_n; eof_out_n <= rd_eof_n; dst_rdy_i <= (not dst_rdy_in_n) or prefetch; src_rdy_out_n <= not data_valid; ----- Flow control signals ----------- fifostatus_out <= fifostatus; len_rdy_out <= len_rdy; len_out <= len; len_err_out <= len_err; ----------------------------------------------------------------------------- B_RAM_FIFO: BRAM_fifo generic map ( BRAM_MACRO_NUM => BRAM_MACRO_NUM, WR_DWIDTH => WR_DWIDTH, RD_DWIDTH => RD_DWIDTH, RD_REM_WIDTH => RD_REM_WIDTH, WR_REM_WIDTH => WR_REM_WIDTH, USE_LENGTH => USE_LENGTH, glbtm => glbtm) port map ( fifo_gsr_in => gsr, write_clock_in => wr_clk, read_clock_in => rd_clk, read_data_out => rd_data, read_rem_out => rd_rem, read_sof_out_n => rd_sof_n, read_eof_out_n => rd_eof_n, read_enable_in => dst_rdy_i, write_data_in => wr_data, write_rem_in => wr_rem, write_sof_in_n => wr_sof_n, write_eof_in_n => wr_eof_n, write_enable_in => src_rdy_i, fifostatus_out => fifostatus, full_out => full, empty_out => empty, data_valid_out => data_valid, len_out => len, len_rdy_out => len_rdy, len_err_out => len_err); -------------------------------------------------------------------- -- Generate PREFETCH -------------------------------------------------------------------- prefetch_proc: process (gsr, rd_clk) begin if (gsr = '1') then prefetch_allow <= '1' after glbtm; elsif (rd_clk'EVENT and rd_clk = '1') then if dst_rdy_in_n = '0' and empty = '1' then prefetch_allow <= '1' after glbtm; elsif dst_rdy_in_n = '1' and empty_falling_edge = '1' then prefetch_allow <= '0' after glbtm; elsif dst_rdy_in_n = '0' and empty = '0' then prefetch_allow <= '0' after glbtm; end if; end if; end process prefetch_proc; empty_falling_edge <= (empty_p and (not empty)); prefetch <= empty_falling_edge and prefetch_allow; empty_p_proc: process (gsr, rd_clk) -- Delayed empty signal begin if (gsr = '1') then empty_p <= '1'; elsif (rd_clk'EVENT and rd_clk ='1') then empty_p <= empty after glbtm; end if; end process empty_p_proc; end ll_fifo_BRAM_rtl;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity dk15_hot is port( clock: in std_logic; input: in std_logic_vector(2 downto 0); output: out std_logic_vector(4 downto 0) ); end dk15_hot; architecture behaviour of dk15_hot is constant state1: std_logic_vector(3 downto 0) := "1000"; constant state2: std_logic_vector(3 downto 0) := "0100"; constant state3: std_logic_vector(3 downto 0) := "0010"; constant state4: std_logic_vector(3 downto 0) := "0001"; signal current_state, next_state: std_logic_vector(3 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "----"; output <= "-----"; case current_state is when state1 => if std_match(input, "000") then next_state <= state1; output <= "00101"; elsif std_match(input, "001") then next_state <= state2; output <= "00010"; elsif std_match(input, "010") then next_state <= state3; output <= "00010"; elsif std_match(input, "011") then next_state <= state2; output <= "10001"; elsif std_match(input, "111") then next_state <= state3; output <= "10101"; elsif std_match(input, "100") then next_state <= state1; output <= "01001"; elsif std_match(input, "101") then next_state <= state2; output <= "01010"; elsif std_match(input, "110") then next_state <= state3; output <= "01010"; end if; when state2 => if std_match(input, "000") then next_state <= state2; output <= "10010"; elsif std_match(input, "001") then next_state <= state2; output <= "10100"; elsif std_match(input, "010") then next_state <= state3; output <= "10010"; elsif std_match(input, "011") then next_state <= state2; output <= "10001"; elsif std_match(input, "111") then next_state <= state3; output <= "10101"; elsif std_match(input, "100") then next_state <= state3; output <= "01001"; elsif std_match(input, "101") then next_state <= state2; output <= "01010"; elsif std_match(input, "110") then next_state <= state3; output <= "01010"; end if; when state3 => if std_match(input, "000") then next_state <= state1; output <= "00101"; elsif std_match(input, "001") then next_state <= state2; output <= "00010"; elsif std_match(input, "010") then next_state <= state3; output <= "00010"; elsif std_match(input, "011") then next_state <= state1; output <= "00100"; elsif std_match(input, "111") then next_state <= state1; output <= "00100"; elsif std_match(input, "100") then next_state <= state1; output <= "10100"; elsif std_match(input, "101") then next_state <= state2; output <= "01000"; elsif std_match(input, "110") then next_state <= state4; output <= "01010"; end if; when state4 => if std_match(input, "000") then next_state <= state2; output <= "10010"; elsif std_match(input, "001") then next_state <= state2; output <= "10100"; elsif std_match(input, "010") then next_state <= state3; output <= "10010"; elsif std_match(input, "011") then next_state <= state1; output <= "00100"; elsif std_match(input, "111") then next_state <= state1; output <= "00100"; elsif std_match(input, "100") then next_state <= state1; output <= "01001"; elsif std_match(input, "101") then next_state <= state2; output <= "01010"; elsif std_match(input, "110") then next_state <= state3; output <= "10000"; end if; when others => next_state <= "----"; output <= "-----"; end case; end process; end behaviour;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3025.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025p; use work.c11s04b00x00p07n03i03025pkg_p.all; package c11s04b00x00p07n03i03025pkg_pp is end c11s04b00x00p07n03i03025pkg_pp; use work.c11s04b00x00p07n03i03025pkg_pp.all; package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025pkg_p; use work.c11s04b00x00p07n03i03025pkg_pp.all; -- Failure_here package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; ENTITY c11s04b00x00p07n03i03025ent IS END c11s04b00x00p07n03i03025ent; ARCHITECTURE c11s04b00x00p07n03i03025arch OF c11s04b00x00p07n03i03025ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c11s04b00x00p07n03i03025 - Package ch1104_p00703_01_pkg_pp has been changed since last analysis." severity ERROR; wait; END PROCESS TESTING; END c11s04b00x00p07n03i03025arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3025.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025p; use work.c11s04b00x00p07n03i03025pkg_p.all; package c11s04b00x00p07n03i03025pkg_pp is end c11s04b00x00p07n03i03025pkg_pp; use work.c11s04b00x00p07n03i03025pkg_pp.all; package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025pkg_p; use work.c11s04b00x00p07n03i03025pkg_pp.all; -- Failure_here package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; ENTITY c11s04b00x00p07n03i03025ent IS END c11s04b00x00p07n03i03025ent; ARCHITECTURE c11s04b00x00p07n03i03025arch OF c11s04b00x00p07n03i03025ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c11s04b00x00p07n03i03025 - Package ch1104_p00703_01_pkg_pp has been changed since last analysis." severity ERROR; wait; END PROCESS TESTING; END c11s04b00x00p07n03i03025arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3025.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025p; use work.c11s04b00x00p07n03i03025pkg_p.all; package c11s04b00x00p07n03i03025pkg_pp is end c11s04b00x00p07n03i03025pkg_pp; use work.c11s04b00x00p07n03i03025pkg_pp.all; package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; package c11s04b00x00p07n03i03025pkg_p is end c11s04b00x00p07n03i03025pkg_p; use work.c11s04b00x00p07n03i03025pkg_pp.all; -- Failure_here package c11s04b00x00p07n03i03025pkg_ppp is end c11s04b00x00p07n03i03025pkg_ppp; ENTITY c11s04b00x00p07n03i03025ent IS END c11s04b00x00p07n03i03025ent; ARCHITECTURE c11s04b00x00p07n03i03025arch OF c11s04b00x00p07n03i03025ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c11s04b00x00p07n03i03025 - Package ch1104_p00703_01_pkg_pp has been changed since last analysis." severity ERROR; wait; END PROCESS TESTING; END c11s04b00x00p07n03i03025arch;
-- Copyright (c) 2014 CERN -- Maciej Suminski <[email protected]> -- -- This source code is free software; you can redistribute it -- and/or modify it in source code form under the terms of the GNU -- General Public License as published by the Free Software -- Foundation; either version 2 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -- Test for to_integer() function. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity to_int is port ( unsign : in unsigned(7 downto 0); sign : in signed(7 downto 0) ); end to_int; architecture test of to_int is signal s_natural : natural; signal s_integer : integer; begin process (unsign) begin s_natural <= (unsign); end process; process (sign) begin s_integer <= (sign); end process; end test;
-- Copyright (c) 2014 CERN -- Maciej Suminski <[email protected]> -- -- This source code is free software; you can redistribute it -- and/or modify it in source code form under the terms of the GNU -- General Public License as published by the Free Software -- Foundation; either version 2 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -- Test for to_integer() function. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity to_int is port ( unsign : in unsigned(7 downto 0); sign : in signed(7 downto 0) ); end to_int; architecture test of to_int is signal s_natural : natural; signal s_integer : integer; begin process (unsign) begin s_natural <= (unsign); end process; process (sign) begin s_integer <= (sign); end process; end test;
-- Copyright (c) 2014 CERN -- Maciej Suminski <[email protected]> -- -- This source code is free software; you can redistribute it -- and/or modify it in source code form under the terms of the GNU -- General Public License as published by the Free Software -- Foundation; either version 2 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA -- Test for to_integer() function. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity to_int is port ( unsign : in unsigned(7 downto 0); sign : in signed(7 downto 0) ); end to_int; architecture test of to_int is signal s_natural : natural; signal s_integer : integer; begin process (unsign) begin s_natural <= (unsign); end process; process (sign) begin s_integer <= (sign); end process; end test;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2099.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02099ent IS END c07s02b04x00p20n01i02099ent; ARCHITECTURE c07s02b04x00p20n01i02099arch OF c07s02b04x00p20n01i02099ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_8 is record_v (1 to 8); SUBTYPE record_4 is record_v (1 to 4); BEGIN TESTING : PROCESS variable result : record_4; variable l_operand : record_4 := ( (12,34) , (56,78) , (12,34) , (56,78) ); variable r_operand : record_4 := ( (56,78) , (56,78) , (12,34) , (12,34) ); alias l_alias : record_v (1 to 2) is l_operand (2 to 3); alias r_alias : record_v (1 to 2) is r_operand (3 to 4); BEGIN result := l_alias & r_alias; wait for 20 ns; assert NOT(result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***PASSED TEST: c07s02b04x00p20n01i02099" severity NOTE; assert (result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***FAILED TEST: c07s02b04x00p20n01i02099 - Concatenation of two RECORD aliases failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02099arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2099.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02099ent IS END c07s02b04x00p20n01i02099ent; ARCHITECTURE c07s02b04x00p20n01i02099arch OF c07s02b04x00p20n01i02099ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_8 is record_v (1 to 8); SUBTYPE record_4 is record_v (1 to 4); BEGIN TESTING : PROCESS variable result : record_4; variable l_operand : record_4 := ( (12,34) , (56,78) , (12,34) , (56,78) ); variable r_operand : record_4 := ( (56,78) , (56,78) , (12,34) , (12,34) ); alias l_alias : record_v (1 to 2) is l_operand (2 to 3); alias r_alias : record_v (1 to 2) is r_operand (3 to 4); BEGIN result := l_alias & r_alias; wait for 20 ns; assert NOT(result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***PASSED TEST: c07s02b04x00p20n01i02099" severity NOTE; assert (result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***FAILED TEST: c07s02b04x00p20n01i02099 - Concatenation of two RECORD aliases failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02099arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2099.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02099ent IS END c07s02b04x00p20n01i02099ent; ARCHITECTURE c07s02b04x00p20n01i02099arch OF c07s02b04x00p20n01i02099ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_8 is record_v (1 to 8); SUBTYPE record_4 is record_v (1 to 4); BEGIN TESTING : PROCESS variable result : record_4; variable l_operand : record_4 := ( (12,34) , (56,78) , (12,34) , (56,78) ); variable r_operand : record_4 := ( (56,78) , (56,78) , (12,34) , (12,34) ); alias l_alias : record_v (1 to 2) is l_operand (2 to 3); alias r_alias : record_v (1 to 2) is r_operand (3 to 4); BEGIN result := l_alias & r_alias; wait for 20 ns; assert NOT(result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***PASSED TEST: c07s02b04x00p20n01i02099" severity NOTE; assert (result = ( (56,78) , (12,34) , (12,34) , (12,34) )) report "***FAILED TEST: c07s02b04x00p20n01i02099 - Concatenation of two RECORD aliases failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02099arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l70dmYNWXlkMGIWCvotRYr8m/vB8Va+EPBTtQKWwBCv+XPlN4pyt/LI9K8Cqc79JXvyc5s/TsavT IQhi6nMGQA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jwygAHNy51QACdh1TlU2sb30S/x1DqVUzv8X9UZeW/ABJkqnRYoqG6ebiDy8+iMEwxylI+qiSyGI LjnOJarxY8qgMBKWOiVViWqaDuxEGGDl/hWe/x5FnKUHfav+/k9BqV/BD3ytMOYYG1uCxWPh/lYa cr1Gw5t4moYpoAQ/a5Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q7TTQOGEfT12npTNxXdfI+K+TPPTwcWb4tQb2gNM444eHiF/IMtfAsM3VS1Al48dlj0cC+6fJO3J 7ED5RxWVXuw9YsE+2u52I2jcwKTbAbE6YmMaVFGV7Ha00noIV/+NcfGY4uOgzGwIFP1YuGSrOArZ LrfX0wJnSaKB+Iemny4CUlmp4uHLg0gTyx2iZ0FUTaue7f65zzA94dxFBgOxxqeIe83Zc4mz8WFp fvuQGClAqqEyPP/wLuO0J01hqBXgMFOUwpxgxhuLB6goncBxutJyKgpIcDtj7FdAHDRC12wTQIb8 MzBtn/b5pCdru9O9UT0HJK+1P9mlGsQUUirWkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1GjGdioVWf1aSslSFyyEfsDHtnuwfwYxIYYpXvezgQh5pzYcVDP83XVjgFtWHK+G0h+1ZunVJZSS Bwo4LkoGL6QJJ0FKoFZpXvjfkNOMSj86+fsrQCxI2Pe7F07R0PCVmj/3exMkS49HJBs1YgjaFbp6 9PooDSNcb/uEmuwrdLY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eU03vZsNu7bpiykj8w0MAPm78NIaxH+cuD1P//+4NX54M/oT85ERaB7hLPv70jAke2kBsiw4cP62 NxX2CtnCXawYJ9u2RWHT+p+kIIfkvZSnQp7GVnyHM+eeBavDy/D/Az0JfSEQUMPBQ4VX67Xe1ZvC lePEFvqnMZhYpF5fPNTMwF/qKXFA4EJyyA+YFolhTOhnb9gitMqJ1kTgsUUup648qRCbLkvVDCVR U22SkMtcU++TQdJ4NJKl/sHpLzLeYp3mLWgvsPWtBs9ct/sr/cTClrjv/CUB2UOBfe7g8FUAVbO2 CIbNvAs2bjg0pEKZxOk5urMtHPadC8wl2w+jVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4176) `protect data_block GtC+1GqTo5m0A5fgQQq+MxK5mENMqrp+3dsj+CaQ89fQmPyXjqbwmFk8eiNuRy73h7UyYdBOs2nD uLuA/ysVCxZXO3E77nIFltNXO7ZAPyXhSkifK2cYWCDK6DeCeKoqU8tAYQ54wlzJWVg+vgcifPjR EP/n62Rl+cHGDmiC+eraLJS2TM44JrfmldyroSzFDBxdsgLBIi6/Ckk9vEbRx8SB6kFXjIuJMU8C ECmYmVIG1KcUZD/UXTkNOvFmK/EsYk6RCTeVe2MaQPvYWapnzzT/grfHIzkD4QrrALjkMjRsfh0s yHcyFa3zimWv7RqmFO4sM2T2EXTQ43u/hlZHRM9l9urWOrCy1jdknAgiqwZs8HgcdT9fNX33k//N r48ALGCwIi+9DzwT2WvQ6QhUty4Vb9UkDX/KPurERazzsqGpLFQhNpPkKVJsvVRKqK8p3El3R+DU 2df0C129nJ7G5S1V9IalWwckgmWMebtqu9rzH79yWUb0MRyeZe6SoaJL36RpSHrIVvUiYSpDSf81 pxPIy1JrnS1mg6O1bKpaqkJIbm9q8K0r2PwsU5KYstMY4mQuBsQOglXbZ3O5C52NL8jO4sf8DNAU my+WrPsV9wdNQyMQ9TrV1/5F7+APu/8J7VyR96dYsQej65RfNhjVzmZ3TffAedGGlRDPqXYm7CE9 ka5KXC1jpxANENb6uZlfF996puHRmwWvDmJDtVcPm/iFfeM70QHK7T5x+YNQrccGbo7QG7Le8/xP ZH5RkNHhJEg/blmS95IVfYAiYwJvpUtpDsks868R3z/BMhGDhQy4l+/ydSjv9X7+groDjRlAD4AO nvReBQgLR3Zb+3lr/GVEn0gU6kNmVx9jRKkEtef1y792waoVVrOjlUqcO2PurRVLdDfaaWidY8by 87yXlLXTMbyFt2hwR6LyYiAljhA22ynskMtRsmBLhKlef7mBFs7uuj/qmkSQkzw3C9inU3Xj3Pfz 0JNMs0n8kfiBjzapjzk/3xjGAGR2NT/nIsGf9DkgBpk4xoGUWm7VZivY53SSnxESGc6wV7tjBwlO 3d4TZyKdGppRyuyMTf6I4tjbeABFZHTbUd0ohDpflGQ3x/jbSKofFLa5GHeaBL1f+UBhhEjSiJ/+ hpC/6XIXlqsK80Qz66k59Ae4h9PfNdr/1lLSxYNaVguwcjA66GZoBV0TXqcuFQQzNVBdSqM4pOhy 4h/zlAfVXhrryNWaM8Ju58D4kiUShCPuhT6ZhuzU3gPNWG0Av+/nn8jWMRSFvi+5CAcZiNaLSNvs dgV0hAR5Zh7MxKRGQvFQ5ywrbguk6B35QB8HsDON7s77yIsU6IL9KLmQPuQFRORtPPCuAn7WMMpD Wg9NU8apYu/pZvUNWJ0iKqVRmtcpBUh/3FJ5Jj5gaq/DZM0hCU7AkOFlLkOIOTeTEOuukgIvfXUk geeOTGatBRdJVD42zDBMywRek2xembiBEoqAQdLgHSG9VHFjfuTjO1DoDdy6clF+DYl2cWVhGLhK zRfLM4vYrNXLx2YL8Sta4+Xs/wHPFepL4rJ3taSl9VzgrUL651WsZkHiGfgOpkk/fGglurgR5ZQ2 aKV1foe+J0dr6MjaQBP25pDsASlP9IiJcvAxJifaSsb0B5x5wOC5fFdDbaT0mo/rNEevybdJEwyI gy4z4HJLwpwb0nubCjkslVcoyyI3ARDuirNOW95f6F34yY4X2M3S63s1vIve35npJ1maxJWQPyTK zEe6fsuF010h6uOr2nzISPLq+Qy1tKbghBcUVwz2VTn7MkBpvdkMAU+wSd9NFMQ0tMSktU9Zch9L F3CDK5u9YBLNS5Lx5dG7E9rxfJ5xoVCShTsAcHuOlSMR+d6Uip244JvSMeBffXIDXOJU9cwY/3wk iw6PlQoClel0HC19uGIfbnpIvvDO6IpH7RWpouGUhDCdHYxadOzySiMkACXCTYK0L3zbb1PEALEw MjIzoT12gxtJCx9DjjY30UmoQDtFuAW8aZAHDOcS7rImwjy5PsnN69yyTTs5kKRbg2KR8NQlBNul Y22y/k8ZipVzbxzfC+oMVmSI9+YhH7VNx7zlFtHk6v0lXajPgN3mAgDssky7SNTe4C/37YydqOao r34HyDSDxL2nCTTDOxKnF7B1AEReeRc6GjTiJh6IhavWE/zfYk1ZjWC8c9RZKoglB1E/flQlBrUs tF6uh/nlm9/5n9pmMKO+1u+6mun9hTrcArIZDdcgJq71K4gp3M28TTJI1R1mMQUR7sSQzPn9sSSf dsmSL8jYNVhzq9VFMHdSGnfP7kTBrKagZyYuXBjOG87zkdqW7Buqr1fSnkHpIIYccYkgEhgFl7QG 89XkzZGkNvaxD0oDJAfHITdoXEnqZN7158ppSA95LeCRHLfm/2W07Yp/Ic9sBQHbVQqZFmrRnn// zijYIdSuOP74a2KR/OujRQNpmPj+4E7BuJSZkHjM2Yww1vlDbvcEXqJrh05azw4QLfVJKTWH5QT1 0tOCIXWF2E9Ba2yjQp2E15Mg4gKWD5H4ICCJjD9BIS25BTVTop/Id1LCN/nfWFj+ZjmYm/XHk/fp DEuR63H18Y1bFDCszQgfrGCjSRDtBBglSeRGM4k3EqPEVwUgTqYSi+JvF8EzaLfHqiintLj8KSVa 7CuKOyOvPUmT3gKL+lwtuOS6vaXV7rTzTS8+Ic6kE+OzHe7EHrfTDdXSqMNGWc6k8kuefyyR9p88 yXhoGJCVVSyODlDTEcLsNS5ImwREvhFO5m+n/syuTy/FPKEu2M8cMhf4SirCVkITdnNR7Z+Ekc7W lhl5/Bau78OQ7dJg+p+ZN73u57U+mFt5m2HT5moMwkNwWf1MblySFVwPvm4SReoZ2Etsk3X8U5Xu +clWQG56KwgTTGrz/MaCJOuLCyn2elLOLppmhFCuWBhXUiUL+EtksXblrWcfsFxGp1MRo27m7ZI1 bNXnfl0ZDitpM8rRHSyO5qxI9NMnVdqS6/jNh52+kJ+D/xQ+mjezy1EV2U1PP+meUMSJaFCl742h kgTZ45GPEXvBA7etYK8rmLQatmf0mA+AQ9DMVLSKnedGCTgYsuTqR9txzO/hPxT3zChHND+RC6Hb e6alTttfNBNon221Dt+kj5RPEBTF/ZCu7ib4tz3Mr8ZOzCRH5lSsgX8BYNYwkw0nBO3APliopTUo t3wFSwyziosy/3S+1GUnREbFPLrrMBr7foj9a9m6Y3tWLf4SPEJNJsLJ/rA9sCKEv14ak7aLQ+v2 fRMOivhzBrgJxC+91gDBzl+mXV8iJ5H26jJIqnhbn1aeRzoKxTNYJ13zt+hOX6HBq0okNZv2cgsd nTB9YE3nOSvkFEbDksyro2hhs9sjjsblNJxsK8SoD5dq+hbL3jf0HhzWkrFp7mtWCVNHzTR7ZGH6 3BKbild2sco7jbR6rYj2n/XojFrsBzE+zwQoS84pqOdpxXhcLiJWnJq1jOhXMAIgZhvwxWqG4kG2 Olbdmnd2dhE/+lsBqxuPDsRtfA9kgaTWr7Txr/dau1lxFpe8mgZUZjn0ET5Kh/GGrLs2z9nHn2Ur Ae1qbOiqjZy0TjJqbZRAZVwrHYArIl4EiQuXlSjsib173yrnb3tE6u/b1kYrKZVYZz614pYtmIlg z5iDJw8gyhCH6n4QICKi1uBnWz1eRGuYxCPv+8I7bHi4gg2JIj6Bcpr9wt2tYkZDB62DA5ImqorQ iC+EHe9RzgtkToMqAucYkU5BtJ5TXB4d9zlkWeapLOzZXs5eSRYeE7AFTz+Xzb+ZgcZFVmj0u3kL yfble9/LqKx2G5Hr6MyQ8JsCpLy1ikfg1KJDSBePSRNvrbEUgZkzjy7GSW3HsX0BFjG4kpBBzZBj LoSR7JCcQiKjkWif7xvl/U6YdkJ5xYL7/4mrbZSlmMdysjUEPWdeI29G/V5b3bQywU75ZyB8GWAx TIF1gRyv4QcjS6aiUHcLIdgm+D8UJRZkUKVCuFydkIPrY7S8zBHmTBEDqfjg8qnyrtCLvFkb3Vgr qmV17mPrcAK9EYkG57UP2341fR3cwFB80vStjdtu5TjcyN5Ri0ZtN7VIlYBYgOtH957yIujQOOUL 99Lr1cDA4DAmzqatEny1m8Pvn/CGihpxhV42sHFoHflJRud/NOljy4+z8uj1XQoWsQCSmRIk+qrI 8jdMqnzzO+LefFTTsWhK8fIRd2qDsqtf1dqSdTskxf/vf5+qKESqSFzs5oVwQoWexPVAzQjETp4C M6osgiuL8Zku1KBNF1StE5vkBCe6UcebDCFnaGTDlY8UUOqcXwgz0BEYE13m6rki2xk2KsVyng/W S8lLqMOtfBrblGaYAZMw36J/IvPX6XldXU8hfjPL/NRR7SdlF+JF/RTDWBuplpo1gTDicVlfNlnD FSfxveB4hnBAkyybhrEH20l6xj0JUanpb6WuNpQB1r9IY53RjroKbZFylXEDzeqhW+RkpfsRwWm+ mHD44cNMX/CpsuluViBUyJ8OsutXQgN1Hl11M76dg6t1HaqCKZY0LD0/NwPUsg7JKdueDm/JAEhu 7f55XE7iYXa2uR3GhuK6oBaH54igD0E7zbcNOFDALiynCXRMorEE8XESVIlnKis8PEkqtaK+sE4X ILEd/BOvbRAyasn6ITrY+nvgA8yg21Joof9tay5tYyDXIJA5xJ3X1dHWsTDchBpvSH7DYuBFyTii YURxi+b1PjdyYRcSQu2FC259Sn1OwoDp7nChbChfN2PqvpBMEmvSYo/ICyEgm9dN1rk67Dq0Vtqg ZlYO2FAaLusipc64yb07DNZJIkbV+FDHbyxgVhGVEF9N7v6qpamKBN/oq9cyaPBF1iMkcaACJvFo dbSPjPCrsJ2Imjz5ubkHmlcEhuaA8CJtMAY0iEZxDMRRW37PFHxwQHpkCBUG1/XfojapI2tT3VpC BI/1r5ewdrKvCZFD1PPSFKHT+LxUONQcGgcyn+XuNHIPwksBowLSzqZdG4eBapNsK5ak0kfy4JcV DvkTEBB9zMKKor12kiAa3fcH+UaoiYD0Igb1DjWKMBzJmZKLwmsnWV2su222zWHikn60LPuIDGoO od1Kq5gvcCFPo4B4LSzOUi3jDjbd4DEuAk3Q3ObKjj8Vxt7zZ/gZoFK5FWIoXGRJBNWopXIVyGkH FvLU20Swt410obmRP+0sg6fzTv5EGAHe/FRaX1Uo+FZhRtLecP0dA4Q9rDSwFbyDUg8ZA0pNMjFf YDd5/8aH1y49Dl1SqorQMhZHZLQnZxsRMmAJmjCoFoQFcradPBzKQgWu4rV8Zb0U1JyBpZV6FksQ FdS8OVlh1qjvQwh6eENlSSa8g1hIWskjeRFaKEAUyF2WzfQS8Bb7rqJWSg0+KCnHJuh+sfAuuGhj kW0eyWOARv809ruKZ813eFbrc5P07aTDIXgCgJ8WoeJnT+eks4ZNBu6HI7l9KrjCD+85m7QowaNe KPLlQSlNZ67HKhwH7co7F9SYQPCymVQJPelX1CjovRlWQhR3uR+yX2RLeuNTXiaTiXkkZ7kH29AC f2tRfV2lhCCgwkkKBiMs `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l70dmYNWXlkMGIWCvotRYr8m/vB8Va+EPBTtQKWwBCv+XPlN4pyt/LI9K8Cqc79JXvyc5s/TsavT IQhi6nMGQA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jwygAHNy51QACdh1TlU2sb30S/x1DqVUzv8X9UZeW/ABJkqnRYoqG6ebiDy8+iMEwxylI+qiSyGI LjnOJarxY8qgMBKWOiVViWqaDuxEGGDl/hWe/x5FnKUHfav+/k9BqV/BD3ytMOYYG1uCxWPh/lYa cr1Gw5t4moYpoAQ/a5Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q7TTQOGEfT12npTNxXdfI+K+TPPTwcWb4tQb2gNM444eHiF/IMtfAsM3VS1Al48dlj0cC+6fJO3J 7ED5RxWVXuw9YsE+2u52I2jcwKTbAbE6YmMaVFGV7Ha00noIV/+NcfGY4uOgzGwIFP1YuGSrOArZ LrfX0wJnSaKB+Iemny4CUlmp4uHLg0gTyx2iZ0FUTaue7f65zzA94dxFBgOxxqeIe83Zc4mz8WFp fvuQGClAqqEyPP/wLuO0J01hqBXgMFOUwpxgxhuLB6goncBxutJyKgpIcDtj7FdAHDRC12wTQIb8 MzBtn/b5pCdru9O9UT0HJK+1P9mlGsQUUirWkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1GjGdioVWf1aSslSFyyEfsDHtnuwfwYxIYYpXvezgQh5pzYcVDP83XVjgFtWHK+G0h+1ZunVJZSS Bwo4LkoGL6QJJ0FKoFZpXvjfkNOMSj86+fsrQCxI2Pe7F07R0PCVmj/3exMkS49HJBs1YgjaFbp6 9PooDSNcb/uEmuwrdLY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eU03vZsNu7bpiykj8w0MAPm78NIaxH+cuD1P//+4NX54M/oT85ERaB7hLPv70jAke2kBsiw4cP62 NxX2CtnCXawYJ9u2RWHT+p+kIIfkvZSnQp7GVnyHM+eeBavDy/D/Az0JfSEQUMPBQ4VX67Xe1ZvC lePEFvqnMZhYpF5fPNTMwF/qKXFA4EJyyA+YFolhTOhnb9gitMqJ1kTgsUUup648qRCbLkvVDCVR U22SkMtcU++TQdJ4NJKl/sHpLzLeYp3mLWgvsPWtBs9ct/sr/cTClrjv/CUB2UOBfe7g8FUAVbO2 CIbNvAs2bjg0pEKZxOk5urMtHPadC8wl2w+jVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4176) `protect data_block GtC+1GqTo5m0A5fgQQq+MxK5mENMqrp+3dsj+CaQ89fQmPyXjqbwmFk8eiNuRy73h7UyYdBOs2nD uLuA/ysVCxZXO3E77nIFltNXO7ZAPyXhSkifK2cYWCDK6DeCeKoqU8tAYQ54wlzJWVg+vgcifPjR EP/n62Rl+cHGDmiC+eraLJS2TM44JrfmldyroSzFDBxdsgLBIi6/Ckk9vEbRx8SB6kFXjIuJMU8C ECmYmVIG1KcUZD/UXTkNOvFmK/EsYk6RCTeVe2MaQPvYWapnzzT/grfHIzkD4QrrALjkMjRsfh0s yHcyFa3zimWv7RqmFO4sM2T2EXTQ43u/hlZHRM9l9urWOrCy1jdknAgiqwZs8HgcdT9fNX33k//N r48ALGCwIi+9DzwT2WvQ6QhUty4Vb9UkDX/KPurERazzsqGpLFQhNpPkKVJsvVRKqK8p3El3R+DU 2df0C129nJ7G5S1V9IalWwckgmWMebtqu9rzH79yWUb0MRyeZe6SoaJL36RpSHrIVvUiYSpDSf81 pxPIy1JrnS1mg6O1bKpaqkJIbm9q8K0r2PwsU5KYstMY4mQuBsQOglXbZ3O5C52NL8jO4sf8DNAU my+WrPsV9wdNQyMQ9TrV1/5F7+APu/8J7VyR96dYsQej65RfNhjVzmZ3TffAedGGlRDPqXYm7CE9 ka5KXC1jpxANENb6uZlfF996puHRmwWvDmJDtVcPm/iFfeM70QHK7T5x+YNQrccGbo7QG7Le8/xP ZH5RkNHhJEg/blmS95IVfYAiYwJvpUtpDsks868R3z/BMhGDhQy4l+/ydSjv9X7+groDjRlAD4AO nvReBQgLR3Zb+3lr/GVEn0gU6kNmVx9jRKkEtef1y792waoVVrOjlUqcO2PurRVLdDfaaWidY8by 87yXlLXTMbyFt2hwR6LyYiAljhA22ynskMtRsmBLhKlef7mBFs7uuj/qmkSQkzw3C9inU3Xj3Pfz 0JNMs0n8kfiBjzapjzk/3xjGAGR2NT/nIsGf9DkgBpk4xoGUWm7VZivY53SSnxESGc6wV7tjBwlO 3d4TZyKdGppRyuyMTf6I4tjbeABFZHTbUd0ohDpflGQ3x/jbSKofFLa5GHeaBL1f+UBhhEjSiJ/+ hpC/6XIXlqsK80Qz66k59Ae4h9PfNdr/1lLSxYNaVguwcjA66GZoBV0TXqcuFQQzNVBdSqM4pOhy 4h/zlAfVXhrryNWaM8Ju58D4kiUShCPuhT6ZhuzU3gPNWG0Av+/nn8jWMRSFvi+5CAcZiNaLSNvs dgV0hAR5Zh7MxKRGQvFQ5ywrbguk6B35QB8HsDON7s77yIsU6IL9KLmQPuQFRORtPPCuAn7WMMpD Wg9NU8apYu/pZvUNWJ0iKqVRmtcpBUh/3FJ5Jj5gaq/DZM0hCU7AkOFlLkOIOTeTEOuukgIvfXUk geeOTGatBRdJVD42zDBMywRek2xembiBEoqAQdLgHSG9VHFjfuTjO1DoDdy6clF+DYl2cWVhGLhK zRfLM4vYrNXLx2YL8Sta4+Xs/wHPFepL4rJ3taSl9VzgrUL651WsZkHiGfgOpkk/fGglurgR5ZQ2 aKV1foe+J0dr6MjaQBP25pDsASlP9IiJcvAxJifaSsb0B5x5wOC5fFdDbaT0mo/rNEevybdJEwyI gy4z4HJLwpwb0nubCjkslVcoyyI3ARDuirNOW95f6F34yY4X2M3S63s1vIve35npJ1maxJWQPyTK zEe6fsuF010h6uOr2nzISPLq+Qy1tKbghBcUVwz2VTn7MkBpvdkMAU+wSd9NFMQ0tMSktU9Zch9L F3CDK5u9YBLNS5Lx5dG7E9rxfJ5xoVCShTsAcHuOlSMR+d6Uip244JvSMeBffXIDXOJU9cwY/3wk iw6PlQoClel0HC19uGIfbnpIvvDO6IpH7RWpouGUhDCdHYxadOzySiMkACXCTYK0L3zbb1PEALEw MjIzoT12gxtJCx9DjjY30UmoQDtFuAW8aZAHDOcS7rImwjy5PsnN69yyTTs5kKRbg2KR8NQlBNul Y22y/k8ZipVzbxzfC+oMVmSI9+YhH7VNx7zlFtHk6v0lXajPgN3mAgDssky7SNTe4C/37YydqOao r34HyDSDxL2nCTTDOxKnF7B1AEReeRc6GjTiJh6IhavWE/zfYk1ZjWC8c9RZKoglB1E/flQlBrUs tF6uh/nlm9/5n9pmMKO+1u+6mun9hTrcArIZDdcgJq71K4gp3M28TTJI1R1mMQUR7sSQzPn9sSSf dsmSL8jYNVhzq9VFMHdSGnfP7kTBrKagZyYuXBjOG87zkdqW7Buqr1fSnkHpIIYccYkgEhgFl7QG 89XkzZGkNvaxD0oDJAfHITdoXEnqZN7158ppSA95LeCRHLfm/2W07Yp/Ic9sBQHbVQqZFmrRnn// zijYIdSuOP74a2KR/OujRQNpmPj+4E7BuJSZkHjM2Yww1vlDbvcEXqJrh05azw4QLfVJKTWH5QT1 0tOCIXWF2E9Ba2yjQp2E15Mg4gKWD5H4ICCJjD9BIS25BTVTop/Id1LCN/nfWFj+ZjmYm/XHk/fp DEuR63H18Y1bFDCszQgfrGCjSRDtBBglSeRGM4k3EqPEVwUgTqYSi+JvF8EzaLfHqiintLj8KSVa 7CuKOyOvPUmT3gKL+lwtuOS6vaXV7rTzTS8+Ic6kE+OzHe7EHrfTDdXSqMNGWc6k8kuefyyR9p88 yXhoGJCVVSyODlDTEcLsNS5ImwREvhFO5m+n/syuTy/FPKEu2M8cMhf4SirCVkITdnNR7Z+Ekc7W lhl5/Bau78OQ7dJg+p+ZN73u57U+mFt5m2HT5moMwkNwWf1MblySFVwPvm4SReoZ2Etsk3X8U5Xu +clWQG56KwgTTGrz/MaCJOuLCyn2elLOLppmhFCuWBhXUiUL+EtksXblrWcfsFxGp1MRo27m7ZI1 bNXnfl0ZDitpM8rRHSyO5qxI9NMnVdqS6/jNh52+kJ+D/xQ+mjezy1EV2U1PP+meUMSJaFCl742h kgTZ45GPEXvBA7etYK8rmLQatmf0mA+AQ9DMVLSKnedGCTgYsuTqR9txzO/hPxT3zChHND+RC6Hb e6alTttfNBNon221Dt+kj5RPEBTF/ZCu7ib4tz3Mr8ZOzCRH5lSsgX8BYNYwkw0nBO3APliopTUo t3wFSwyziosy/3S+1GUnREbFPLrrMBr7foj9a9m6Y3tWLf4SPEJNJsLJ/rA9sCKEv14ak7aLQ+v2 fRMOivhzBrgJxC+91gDBzl+mXV8iJ5H26jJIqnhbn1aeRzoKxTNYJ13zt+hOX6HBq0okNZv2cgsd nTB9YE3nOSvkFEbDksyro2hhs9sjjsblNJxsK8SoD5dq+hbL3jf0HhzWkrFp7mtWCVNHzTR7ZGH6 3BKbild2sco7jbR6rYj2n/XojFrsBzE+zwQoS84pqOdpxXhcLiJWnJq1jOhXMAIgZhvwxWqG4kG2 Olbdmnd2dhE/+lsBqxuPDsRtfA9kgaTWr7Txr/dau1lxFpe8mgZUZjn0ET5Kh/GGrLs2z9nHn2Ur Ae1qbOiqjZy0TjJqbZRAZVwrHYArIl4EiQuXlSjsib173yrnb3tE6u/b1kYrKZVYZz614pYtmIlg z5iDJw8gyhCH6n4QICKi1uBnWz1eRGuYxCPv+8I7bHi4gg2JIj6Bcpr9wt2tYkZDB62DA5ImqorQ iC+EHe9RzgtkToMqAucYkU5BtJ5TXB4d9zlkWeapLOzZXs5eSRYeE7AFTz+Xzb+ZgcZFVmj0u3kL yfble9/LqKx2G5Hr6MyQ8JsCpLy1ikfg1KJDSBePSRNvrbEUgZkzjy7GSW3HsX0BFjG4kpBBzZBj LoSR7JCcQiKjkWif7xvl/U6YdkJ5xYL7/4mrbZSlmMdysjUEPWdeI29G/V5b3bQywU75ZyB8GWAx TIF1gRyv4QcjS6aiUHcLIdgm+D8UJRZkUKVCuFydkIPrY7S8zBHmTBEDqfjg8qnyrtCLvFkb3Vgr qmV17mPrcAK9EYkG57UP2341fR3cwFB80vStjdtu5TjcyN5Ri0ZtN7VIlYBYgOtH957yIujQOOUL 99Lr1cDA4DAmzqatEny1m8Pvn/CGihpxhV42sHFoHflJRud/NOljy4+z8uj1XQoWsQCSmRIk+qrI 8jdMqnzzO+LefFTTsWhK8fIRd2qDsqtf1dqSdTskxf/vf5+qKESqSFzs5oVwQoWexPVAzQjETp4C M6osgiuL8Zku1KBNF1StE5vkBCe6UcebDCFnaGTDlY8UUOqcXwgz0BEYE13m6rki2xk2KsVyng/W S8lLqMOtfBrblGaYAZMw36J/IvPX6XldXU8hfjPL/NRR7SdlF+JF/RTDWBuplpo1gTDicVlfNlnD FSfxveB4hnBAkyybhrEH20l6xj0JUanpb6WuNpQB1r9IY53RjroKbZFylXEDzeqhW+RkpfsRwWm+ mHD44cNMX/CpsuluViBUyJ8OsutXQgN1Hl11M76dg6t1HaqCKZY0LD0/NwPUsg7JKdueDm/JAEhu 7f55XE7iYXa2uR3GhuK6oBaH54igD0E7zbcNOFDALiynCXRMorEE8XESVIlnKis8PEkqtaK+sE4X ILEd/BOvbRAyasn6ITrY+nvgA8yg21Joof9tay5tYyDXIJA5xJ3X1dHWsTDchBpvSH7DYuBFyTii YURxi+b1PjdyYRcSQu2FC259Sn1OwoDp7nChbChfN2PqvpBMEmvSYo/ICyEgm9dN1rk67Dq0Vtqg ZlYO2FAaLusipc64yb07DNZJIkbV+FDHbyxgVhGVEF9N7v6qpamKBN/oq9cyaPBF1iMkcaACJvFo dbSPjPCrsJ2Imjz5ubkHmlcEhuaA8CJtMAY0iEZxDMRRW37PFHxwQHpkCBUG1/XfojapI2tT3VpC BI/1r5ewdrKvCZFD1PPSFKHT+LxUONQcGgcyn+XuNHIPwksBowLSzqZdG4eBapNsK5ak0kfy4JcV DvkTEBB9zMKKor12kiAa3fcH+UaoiYD0Igb1DjWKMBzJmZKLwmsnWV2su222zWHikn60LPuIDGoO od1Kq5gvcCFPo4B4LSzOUi3jDjbd4DEuAk3Q3ObKjj8Vxt7zZ/gZoFK5FWIoXGRJBNWopXIVyGkH FvLU20Swt410obmRP+0sg6fzTv5EGAHe/FRaX1Uo+FZhRtLecP0dA4Q9rDSwFbyDUg8ZA0pNMjFf YDd5/8aH1y49Dl1SqorQMhZHZLQnZxsRMmAJmjCoFoQFcradPBzKQgWu4rV8Zb0U1JyBpZV6FksQ FdS8OVlh1qjvQwh6eENlSSa8g1hIWskjeRFaKEAUyF2WzfQS8Bb7rqJWSg0+KCnHJuh+sfAuuGhj kW0eyWOARv809ruKZ813eFbrc5P07aTDIXgCgJ8WoeJnT+eks4ZNBu6HI7l9KrjCD+85m7QowaNe KPLlQSlNZ67HKhwH7co7F9SYQPCymVQJPelX1CjovRlWQhR3uR+yX2RLeuNTXiaTiXkkZ7kH29AC f2tRfV2lhCCgwkkKBiMs `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l70dmYNWXlkMGIWCvotRYr8m/vB8Va+EPBTtQKWwBCv+XPlN4pyt/LI9K8Cqc79JXvyc5s/TsavT IQhi6nMGQA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jwygAHNy51QACdh1TlU2sb30S/x1DqVUzv8X9UZeW/ABJkqnRYoqG6ebiDy8+iMEwxylI+qiSyGI LjnOJarxY8qgMBKWOiVViWqaDuxEGGDl/hWe/x5FnKUHfav+/k9BqV/BD3ytMOYYG1uCxWPh/lYa cr1Gw5t4moYpoAQ/a5Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q7TTQOGEfT12npTNxXdfI+K+TPPTwcWb4tQb2gNM444eHiF/IMtfAsM3VS1Al48dlj0cC+6fJO3J 7ED5RxWVXuw9YsE+2u52I2jcwKTbAbE6YmMaVFGV7Ha00noIV/+NcfGY4uOgzGwIFP1YuGSrOArZ LrfX0wJnSaKB+Iemny4CUlmp4uHLg0gTyx2iZ0FUTaue7f65zzA94dxFBgOxxqeIe83Zc4mz8WFp fvuQGClAqqEyPP/wLuO0J01hqBXgMFOUwpxgxhuLB6goncBxutJyKgpIcDtj7FdAHDRC12wTQIb8 MzBtn/b5pCdru9O9UT0HJK+1P9mlGsQUUirWkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1GjGdioVWf1aSslSFyyEfsDHtnuwfwYxIYYpXvezgQh5pzYcVDP83XVjgFtWHK+G0h+1ZunVJZSS Bwo4LkoGL6QJJ0FKoFZpXvjfkNOMSj86+fsrQCxI2Pe7F07R0PCVmj/3exMkS49HJBs1YgjaFbp6 9PooDSNcb/uEmuwrdLY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eU03vZsNu7bpiykj8w0MAPm78NIaxH+cuD1P//+4NX54M/oT85ERaB7hLPv70jAke2kBsiw4cP62 NxX2CtnCXawYJ9u2RWHT+p+kIIfkvZSnQp7GVnyHM+eeBavDy/D/Az0JfSEQUMPBQ4VX67Xe1ZvC lePEFvqnMZhYpF5fPNTMwF/qKXFA4EJyyA+YFolhTOhnb9gitMqJ1kTgsUUup648qRCbLkvVDCVR U22SkMtcU++TQdJ4NJKl/sHpLzLeYp3mLWgvsPWtBs9ct/sr/cTClrjv/CUB2UOBfe7g8FUAVbO2 CIbNvAs2bjg0pEKZxOk5urMtHPadC8wl2w+jVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4176) `protect data_block GtC+1GqTo5m0A5fgQQq+MxK5mENMqrp+3dsj+CaQ89fQmPyXjqbwmFk8eiNuRy73h7UyYdBOs2nD uLuA/ysVCxZXO3E77nIFltNXO7ZAPyXhSkifK2cYWCDK6DeCeKoqU8tAYQ54wlzJWVg+vgcifPjR EP/n62Rl+cHGDmiC+eraLJS2TM44JrfmldyroSzFDBxdsgLBIi6/Ckk9vEbRx8SB6kFXjIuJMU8C ECmYmVIG1KcUZD/UXTkNOvFmK/EsYk6RCTeVe2MaQPvYWapnzzT/grfHIzkD4QrrALjkMjRsfh0s yHcyFa3zimWv7RqmFO4sM2T2EXTQ43u/hlZHRM9l9urWOrCy1jdknAgiqwZs8HgcdT9fNX33k//N r48ALGCwIi+9DzwT2WvQ6QhUty4Vb9UkDX/KPurERazzsqGpLFQhNpPkKVJsvVRKqK8p3El3R+DU 2df0C129nJ7G5S1V9IalWwckgmWMebtqu9rzH79yWUb0MRyeZe6SoaJL36RpSHrIVvUiYSpDSf81 pxPIy1JrnS1mg6O1bKpaqkJIbm9q8K0r2PwsU5KYstMY4mQuBsQOglXbZ3O5C52NL8jO4sf8DNAU my+WrPsV9wdNQyMQ9TrV1/5F7+APu/8J7VyR96dYsQej65RfNhjVzmZ3TffAedGGlRDPqXYm7CE9 ka5KXC1jpxANENb6uZlfF996puHRmwWvDmJDtVcPm/iFfeM70QHK7T5x+YNQrccGbo7QG7Le8/xP ZH5RkNHhJEg/blmS95IVfYAiYwJvpUtpDsks868R3z/BMhGDhQy4l+/ydSjv9X7+groDjRlAD4AO nvReBQgLR3Zb+3lr/GVEn0gU6kNmVx9jRKkEtef1y792waoVVrOjlUqcO2PurRVLdDfaaWidY8by 87yXlLXTMbyFt2hwR6LyYiAljhA22ynskMtRsmBLhKlef7mBFs7uuj/qmkSQkzw3C9inU3Xj3Pfz 0JNMs0n8kfiBjzapjzk/3xjGAGR2NT/nIsGf9DkgBpk4xoGUWm7VZivY53SSnxESGc6wV7tjBwlO 3d4TZyKdGppRyuyMTf6I4tjbeABFZHTbUd0ohDpflGQ3x/jbSKofFLa5GHeaBL1f+UBhhEjSiJ/+ hpC/6XIXlqsK80Qz66k59Ae4h9PfNdr/1lLSxYNaVguwcjA66GZoBV0TXqcuFQQzNVBdSqM4pOhy 4h/zlAfVXhrryNWaM8Ju58D4kiUShCPuhT6ZhuzU3gPNWG0Av+/nn8jWMRSFvi+5CAcZiNaLSNvs dgV0hAR5Zh7MxKRGQvFQ5ywrbguk6B35QB8HsDON7s77yIsU6IL9KLmQPuQFRORtPPCuAn7WMMpD Wg9NU8apYu/pZvUNWJ0iKqVRmtcpBUh/3FJ5Jj5gaq/DZM0hCU7AkOFlLkOIOTeTEOuukgIvfXUk geeOTGatBRdJVD42zDBMywRek2xembiBEoqAQdLgHSG9VHFjfuTjO1DoDdy6clF+DYl2cWVhGLhK zRfLM4vYrNXLx2YL8Sta4+Xs/wHPFepL4rJ3taSl9VzgrUL651WsZkHiGfgOpkk/fGglurgR5ZQ2 aKV1foe+J0dr6MjaQBP25pDsASlP9IiJcvAxJifaSsb0B5x5wOC5fFdDbaT0mo/rNEevybdJEwyI gy4z4HJLwpwb0nubCjkslVcoyyI3ARDuirNOW95f6F34yY4X2M3S63s1vIve35npJ1maxJWQPyTK zEe6fsuF010h6uOr2nzISPLq+Qy1tKbghBcUVwz2VTn7MkBpvdkMAU+wSd9NFMQ0tMSktU9Zch9L F3CDK5u9YBLNS5Lx5dG7E9rxfJ5xoVCShTsAcHuOlSMR+d6Uip244JvSMeBffXIDXOJU9cwY/3wk iw6PlQoClel0HC19uGIfbnpIvvDO6IpH7RWpouGUhDCdHYxadOzySiMkACXCTYK0L3zbb1PEALEw MjIzoT12gxtJCx9DjjY30UmoQDtFuAW8aZAHDOcS7rImwjy5PsnN69yyTTs5kKRbg2KR8NQlBNul Y22y/k8ZipVzbxzfC+oMVmSI9+YhH7VNx7zlFtHk6v0lXajPgN3mAgDssky7SNTe4C/37YydqOao r34HyDSDxL2nCTTDOxKnF7B1AEReeRc6GjTiJh6IhavWE/zfYk1ZjWC8c9RZKoglB1E/flQlBrUs tF6uh/nlm9/5n9pmMKO+1u+6mun9hTrcArIZDdcgJq71K4gp3M28TTJI1R1mMQUR7sSQzPn9sSSf dsmSL8jYNVhzq9VFMHdSGnfP7kTBrKagZyYuXBjOG87zkdqW7Buqr1fSnkHpIIYccYkgEhgFl7QG 89XkzZGkNvaxD0oDJAfHITdoXEnqZN7158ppSA95LeCRHLfm/2W07Yp/Ic9sBQHbVQqZFmrRnn// zijYIdSuOP74a2KR/OujRQNpmPj+4E7BuJSZkHjM2Yww1vlDbvcEXqJrh05azw4QLfVJKTWH5QT1 0tOCIXWF2E9Ba2yjQp2E15Mg4gKWD5H4ICCJjD9BIS25BTVTop/Id1LCN/nfWFj+ZjmYm/XHk/fp DEuR63H18Y1bFDCszQgfrGCjSRDtBBglSeRGM4k3EqPEVwUgTqYSi+JvF8EzaLfHqiintLj8KSVa 7CuKOyOvPUmT3gKL+lwtuOS6vaXV7rTzTS8+Ic6kE+OzHe7EHrfTDdXSqMNGWc6k8kuefyyR9p88 yXhoGJCVVSyODlDTEcLsNS5ImwREvhFO5m+n/syuTy/FPKEu2M8cMhf4SirCVkITdnNR7Z+Ekc7W lhl5/Bau78OQ7dJg+p+ZN73u57U+mFt5m2HT5moMwkNwWf1MblySFVwPvm4SReoZ2Etsk3X8U5Xu +clWQG56KwgTTGrz/MaCJOuLCyn2elLOLppmhFCuWBhXUiUL+EtksXblrWcfsFxGp1MRo27m7ZI1 bNXnfl0ZDitpM8rRHSyO5qxI9NMnVdqS6/jNh52+kJ+D/xQ+mjezy1EV2U1PP+meUMSJaFCl742h kgTZ45GPEXvBA7etYK8rmLQatmf0mA+AQ9DMVLSKnedGCTgYsuTqR9txzO/hPxT3zChHND+RC6Hb e6alTttfNBNon221Dt+kj5RPEBTF/ZCu7ib4tz3Mr8ZOzCRH5lSsgX8BYNYwkw0nBO3APliopTUo t3wFSwyziosy/3S+1GUnREbFPLrrMBr7foj9a9m6Y3tWLf4SPEJNJsLJ/rA9sCKEv14ak7aLQ+v2 fRMOivhzBrgJxC+91gDBzl+mXV8iJ5H26jJIqnhbn1aeRzoKxTNYJ13zt+hOX6HBq0okNZv2cgsd nTB9YE3nOSvkFEbDksyro2hhs9sjjsblNJxsK8SoD5dq+hbL3jf0HhzWkrFp7mtWCVNHzTR7ZGH6 3BKbild2sco7jbR6rYj2n/XojFrsBzE+zwQoS84pqOdpxXhcLiJWnJq1jOhXMAIgZhvwxWqG4kG2 Olbdmnd2dhE/+lsBqxuPDsRtfA9kgaTWr7Txr/dau1lxFpe8mgZUZjn0ET5Kh/GGrLs2z9nHn2Ur Ae1qbOiqjZy0TjJqbZRAZVwrHYArIl4EiQuXlSjsib173yrnb3tE6u/b1kYrKZVYZz614pYtmIlg z5iDJw8gyhCH6n4QICKi1uBnWz1eRGuYxCPv+8I7bHi4gg2JIj6Bcpr9wt2tYkZDB62DA5ImqorQ iC+EHe9RzgtkToMqAucYkU5BtJ5TXB4d9zlkWeapLOzZXs5eSRYeE7AFTz+Xzb+ZgcZFVmj0u3kL yfble9/LqKx2G5Hr6MyQ8JsCpLy1ikfg1KJDSBePSRNvrbEUgZkzjy7GSW3HsX0BFjG4kpBBzZBj LoSR7JCcQiKjkWif7xvl/U6YdkJ5xYL7/4mrbZSlmMdysjUEPWdeI29G/V5b3bQywU75ZyB8GWAx TIF1gRyv4QcjS6aiUHcLIdgm+D8UJRZkUKVCuFydkIPrY7S8zBHmTBEDqfjg8qnyrtCLvFkb3Vgr qmV17mPrcAK9EYkG57UP2341fR3cwFB80vStjdtu5TjcyN5Ri0ZtN7VIlYBYgOtH957yIujQOOUL 99Lr1cDA4DAmzqatEny1m8Pvn/CGihpxhV42sHFoHflJRud/NOljy4+z8uj1XQoWsQCSmRIk+qrI 8jdMqnzzO+LefFTTsWhK8fIRd2qDsqtf1dqSdTskxf/vf5+qKESqSFzs5oVwQoWexPVAzQjETp4C M6osgiuL8Zku1KBNF1StE5vkBCe6UcebDCFnaGTDlY8UUOqcXwgz0BEYE13m6rki2xk2KsVyng/W S8lLqMOtfBrblGaYAZMw36J/IvPX6XldXU8hfjPL/NRR7SdlF+JF/RTDWBuplpo1gTDicVlfNlnD FSfxveB4hnBAkyybhrEH20l6xj0JUanpb6WuNpQB1r9IY53RjroKbZFylXEDzeqhW+RkpfsRwWm+ mHD44cNMX/CpsuluViBUyJ8OsutXQgN1Hl11M76dg6t1HaqCKZY0LD0/NwPUsg7JKdueDm/JAEhu 7f55XE7iYXa2uR3GhuK6oBaH54igD0E7zbcNOFDALiynCXRMorEE8XESVIlnKis8PEkqtaK+sE4X ILEd/BOvbRAyasn6ITrY+nvgA8yg21Joof9tay5tYyDXIJA5xJ3X1dHWsTDchBpvSH7DYuBFyTii YURxi+b1PjdyYRcSQu2FC259Sn1OwoDp7nChbChfN2PqvpBMEmvSYo/ICyEgm9dN1rk67Dq0Vtqg ZlYO2FAaLusipc64yb07DNZJIkbV+FDHbyxgVhGVEF9N7v6qpamKBN/oq9cyaPBF1iMkcaACJvFo dbSPjPCrsJ2Imjz5ubkHmlcEhuaA8CJtMAY0iEZxDMRRW37PFHxwQHpkCBUG1/XfojapI2tT3VpC BI/1r5ewdrKvCZFD1PPSFKHT+LxUONQcGgcyn+XuNHIPwksBowLSzqZdG4eBapNsK5ak0kfy4JcV DvkTEBB9zMKKor12kiAa3fcH+UaoiYD0Igb1DjWKMBzJmZKLwmsnWV2su222zWHikn60LPuIDGoO od1Kq5gvcCFPo4B4LSzOUi3jDjbd4DEuAk3Q3ObKjj8Vxt7zZ/gZoFK5FWIoXGRJBNWopXIVyGkH FvLU20Swt410obmRP+0sg6fzTv5EGAHe/FRaX1Uo+FZhRtLecP0dA4Q9rDSwFbyDUg8ZA0pNMjFf YDd5/8aH1y49Dl1SqorQMhZHZLQnZxsRMmAJmjCoFoQFcradPBzKQgWu4rV8Zb0U1JyBpZV6FksQ FdS8OVlh1qjvQwh6eENlSSa8g1hIWskjeRFaKEAUyF2WzfQS8Bb7rqJWSg0+KCnHJuh+sfAuuGhj kW0eyWOARv809ruKZ813eFbrc5P07aTDIXgCgJ8WoeJnT+eks4ZNBu6HI7l9KrjCD+85m7QowaNe KPLlQSlNZ67HKhwH7co7F9SYQPCymVQJPelX1CjovRlWQhR3uR+yX2RLeuNTXiaTiXkkZ7kH29AC f2tRfV2lhCCgwkkKBiMs `protect end_protected
library IEEE; use ieee.std_logic_1164.all; entity clk_test is end entity clk_test; architecture arch_test of clk_test is signal clk : std_logic := '0'; signal counter : integer := 0; signal next_counter : integer := 0; begin clk <= not clk after 500 ns; -- clk <= not counter after 500 ns; next_counter <= counter + 1 + 3 mod (2 rem 6); main: process (clk) begin if clk'event and clk = '1' then counter <= next_counter; end if; end process; end architecture arch_test;
-- describe what this circuit does -- -- entity name: g23_Seconds_to_Days -- -- Copyright (C) 2014 cadesalaberry, grahamludwinski -- -- Version 1.0 -- -- Author: -- Charles-Antoine de Salaberry; [email protected], -- Graham Ludwinski; [email protected] -- -- Date: 21/01/2014 library ieee; -- allows use of the std_logic_vector type use ieee.std_logic_1164.all; use IEEE.numeric_std.all; entity g23_Seconds_to_Days is port ( seconds : in unsigned(16 downto 0); day_fraction : out unsigned(39 downto 0) ); end g23_Seconds_to_Days; architecture cascading of g23_Seconds_to_Days is signal adder1: unsigned(19 downto 0); signal adder2: unsigned(23 downto 0); signal adder3: unsigned(26 downto 0); signal adder4: unsigned(27 downto 0); signal adder5: unsigned(28 downto 0); signal adder6: unsigned(30 downto 0); signal adder7: unsigned(34 downto 0); signal adder8: unsigned(39 downto 0); signal adder9: unsigned(40 downto 0); begin adder1 <= seconds + (seconds & "00"); adder2 <= adder1 + (seconds & "000000"); adder3 <= adder2 + (seconds & "000000000"); adder4 <= adder3 + (seconds & "0000000000"); adder5 <= adder4 + (seconds & "00000000000"); adder6 <= adder5 + (seconds & "0000000000000"); adder7 <= adder6 + (seconds & "00000000000000000"); adder8 <= adder7 + (seconds & "0000000000000000000000"); adder9 <= adder8 + (seconds & "00000000000000000000000"); day_fraction <= adder9; end cascading;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:45:44 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_lmb_bram_0/system_lmb_bram_0_sim_netlist.vhdl -- Design : system_lmb_bram_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_prim_wrapper is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end system_lmb_bram_0_blk_mem_gen_prim_wrapper; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_prim_wrapper is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[3:0][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized0\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[7:4][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized1\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[11:8][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized2\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[15:12][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized3\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[19:16][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized4\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[23:20][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized5\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[27:24][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ : entity is "blk_mem_gen_prim_wrapper"; end \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized6\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ is signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 4 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "INDEPENDENT"; attribute bmm_info_memory_device : string; attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[31:28][0:8191]"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 2) => addra(12 downto 0), ADDRARDADDR(1 downto 0) => B"11", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 2) => addrb(12 downto 0), ADDRBWRADDR(1 downto 0) => B"11", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 4) => B"0000000000000000000000000000", DIADI(3 downto 0) => dina(3 downto 0), DIBDI(31 downto 4) => B"0000000000000000000000000000", DIBDI(3 downto 0) => dinb(3 downto 0), DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 4), DOADO(3 downto 0) => douta(3 downto 0), DOBDO(31 downto 4) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 4), DOBDO(3 downto 0) => doutb(3 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ena, ENBWREN => enb, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => rsta, RSTRAMB => rstb, RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\, WEA(3) => wea(0), WEA(2) => wea(0), WEA(1) => wea(0), WEA(0) => wea(0), WEBWE(7 downto 4) => B"0000", WEBWE(3) => web(0), WEBWE(2) => web(0), WEBWE(1) => web(0), WEBWE(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_prim_width is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end system_lmb_bram_0_blk_mem_gen_prim_width; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.system_lmb_bram_0_blk_mem_gen_prim_wrapper port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized0\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized0\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized1\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized1\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized2\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized2\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized3\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized3\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized3\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized4\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized4\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized4\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized5\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized5\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized5\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized6\ is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); web : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width"; end \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized6\; architecture STRUCTURE of \system_lmb_bram_0_blk_mem_gen_prim_width__parameterized6\ is begin \prim_noinit.ram\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_generic_cstr is port ( douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); wea : in STD_LOGIC_VECTOR ( 3 downto 0 ); web : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end system_lmb_bram_0_blk_mem_gen_generic_cstr; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.system_lmb_bram_0_blk_mem_gen_prim_width port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), dinb(3 downto 0) => dinb(3 downto 0), douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); \ramloop[1].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized0\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(7 downto 4), dinb(3 downto 0) => dinb(7 downto 4), douta(3 downto 0) => douta(7 downto 4), doutb(3 downto 0) => doutb(7 downto 4), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(0), web(0) => web(0) ); \ramloop[2].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized1\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(11 downto 8), dinb(3 downto 0) => dinb(11 downto 8), douta(3 downto 0) => douta(11 downto 8), doutb(3 downto 0) => doutb(11 downto 8), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(1), web(0) => web(1) ); \ramloop[3].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized2\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(15 downto 12), dinb(3 downto 0) => dinb(15 downto 12), douta(3 downto 0) => douta(15 downto 12), doutb(3 downto 0) => doutb(15 downto 12), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(1), web(0) => web(1) ); \ramloop[4].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized3\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(19 downto 16), dinb(3 downto 0) => dinb(19 downto 16), douta(3 downto 0) => douta(19 downto 16), doutb(3 downto 0) => doutb(19 downto 16), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(2), web(0) => web(2) ); \ramloop[5].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized4\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(23 downto 20), dinb(3 downto 0) => dinb(23 downto 20), douta(3 downto 0) => douta(23 downto 20), doutb(3 downto 0) => doutb(23 downto 20), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(2), web(0) => web(2) ); \ramloop[6].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized5\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(27 downto 24), dinb(3 downto 0) => dinb(27 downto 24), douta(3 downto 0) => douta(27 downto 24), doutb(3 downto 0) => doutb(27 downto 24), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(3), web(0) => web(3) ); \ramloop[7].ram.r\: entity work.\system_lmb_bram_0_blk_mem_gen_prim_width__parameterized6\ port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(31 downto 28), dinb(3 downto 0) => dinb(31 downto 28), douta(3 downto 0) => douta(31 downto 28), doutb(3 downto 0) => doutb(31 downto 28), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(0) => wea(3), web(0) => web(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_top is port ( douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); wea : in STD_LOGIC_VECTOR ( 3 downto 0 ); web : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_top : entity is "blk_mem_gen_top"; end system_lmb_bram_0_blk_mem_gen_top; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_top is begin \valid.cstr\: entity work.system_lmb_bram_0_blk_mem_gen_generic_cstr port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), dinb(31 downto 0) => dinb(31 downto 0), douta(31 downto 0) => douta(31 downto 0), doutb(31 downto 0) => doutb(31 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(3 downto 0) => wea(3 downto 0), web(3 downto 0) => web(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_v8_3_5_synth is port ( douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; ena : in STD_LOGIC; enb : in STD_LOGIC; rsta : in STD_LOGIC; rstb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); wea : in STD_LOGIC_VECTOR ( 3 downto 0 ); web : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_v8_3_5_synth : entity is "blk_mem_gen_v8_3_5_synth"; end system_lmb_bram_0_blk_mem_gen_v8_3_5_synth; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_v8_3_5_synth is begin \gnbram.gnative_mem_map_bmg.native_mem_map_blk_mem_gen\: entity work.system_lmb_bram_0_blk_mem_gen_top port map ( addra(12 downto 0) => addra(12 downto 0), addrb(12 downto 0) => addrb(12 downto 0), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), dinb(31 downto 0) => dinb(31 downto 0), douta(31 downto 0) => douta(31 downto 0), doutb(31 downto 0) => doutb(31 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(3 downto 0) => wea(3 downto 0), web(3 downto 0) => web(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0_blk_mem_gen_v8_3_5 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 3 downto 0 ); addra : in STD_LOGIC_VECTOR ( 31 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 3 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 31 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; rsta_busy : out STD_LOGIC; rstb_busy : out STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 8; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "8"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "Estimated Power for IP : 19.3686 mW"; attribute C_FAMILY : string; attribute C_FAMILY of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "system_lmb_bram_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 2; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 8192; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 8192; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 1; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 4; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 4; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 8192; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 8192; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is 32; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "artix7"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "blk_mem_gen_v8_3_5"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_lmb_bram_0_blk_mem_gen_v8_3_5 : entity is "yes"; end system_lmb_bram_0_blk_mem_gen_v8_3_5; architecture STRUCTURE of system_lmb_bram_0_blk_mem_gen_v8_3_5 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; rdaddrecc(31) <= \<const0>\; rdaddrecc(30) <= \<const0>\; rdaddrecc(29) <= \<const0>\; rdaddrecc(28) <= \<const0>\; rdaddrecc(27) <= \<const0>\; rdaddrecc(26) <= \<const0>\; rdaddrecc(25) <= \<const0>\; rdaddrecc(24) <= \<const0>\; rdaddrecc(23) <= \<const0>\; rdaddrecc(22) <= \<const0>\; rdaddrecc(21) <= \<const0>\; rdaddrecc(20) <= \<const0>\; rdaddrecc(19) <= \<const0>\; rdaddrecc(18) <= \<const0>\; rdaddrecc(17) <= \<const0>\; rdaddrecc(16) <= \<const0>\; rdaddrecc(15) <= \<const0>\; rdaddrecc(14) <= \<const0>\; rdaddrecc(13) <= \<const0>\; rdaddrecc(12) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; rsta_busy <= \<const0>\; rstb_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(31) <= \<const0>\; s_axi_rdaddrecc(30) <= \<const0>\; s_axi_rdaddrecc(29) <= \<const0>\; s_axi_rdaddrecc(28) <= \<const0>\; s_axi_rdaddrecc(27) <= \<const0>\; s_axi_rdaddrecc(26) <= \<const0>\; s_axi_rdaddrecc(25) <= \<const0>\; s_axi_rdaddrecc(24) <= \<const0>\; s_axi_rdaddrecc(23) <= \<const0>\; s_axi_rdaddrecc(22) <= \<const0>\; s_axi_rdaddrecc(21) <= \<const0>\; s_axi_rdaddrecc(20) <= \<const0>\; s_axi_rdaddrecc(19) <= \<const0>\; s_axi_rdaddrecc(18) <= \<const0>\; s_axi_rdaddrecc(17) <= \<const0>\; s_axi_rdaddrecc(16) <= \<const0>\; s_axi_rdaddrecc(15) <= \<const0>\; s_axi_rdaddrecc(14) <= \<const0>\; s_axi_rdaddrecc(13) <= \<const0>\; s_axi_rdaddrecc(12) <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.system_lmb_bram_0_blk_mem_gen_v8_3_5_synth port map ( addra(12 downto 0) => addra(14 downto 2), addrb(12 downto 0) => addrb(14 downto 2), clka => clka, clkb => clkb, dina(31 downto 0) => dina(31 downto 0), dinb(31 downto 0) => dinb(31 downto 0), douta(31 downto 0) => douta(31 downto 0), doutb(31 downto 0) => doutb(31 downto 0), ena => ena, enb => enb, rsta => rsta, rstb => rstb, wea(3 downto 0) => wea(3 downto 0), web(3 downto 0) => web(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_lmb_bram_0 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 3 downto 0 ); addra : in STD_LOGIC_VECTOR ( 31 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 3 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 31 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_lmb_bram_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_lmb_bram_0 : entity is "system_lmb_bram_0,blk_mem_gen_v8_3_5,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_lmb_bram_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_lmb_bram_0 : entity is "blk_mem_gen_v8_3_5,Vivado 2016.4"; end system_lmb_bram_0; architecture STRUCTURE of system_lmb_bram_0 is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 32; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 32; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 8; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "0"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "8"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 1; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 19.3686 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 1; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 1; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 1; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "system_lmb_bram_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 2; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 8192; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 8192; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 32; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 32; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 1; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 1; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 1; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 4; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 4; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 8192; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 8192; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 32; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 32; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.system_lmb_bram_0_blk_mem_gen_v8_3_5 port map ( addra(31 downto 0) => addra(31 downto 0), addrb(31 downto 0) => addrb(31 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(31 downto 0) => dina(31 downto 0), dinb(31 downto 0) => dinb(31 downto 0), douta(31 downto 0) => douta(31 downto 0), doutb(31 downto 0) => doutb(31 downto 0), eccpipece => '0', ena => ena, enb => enb, injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(31 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(31 downto 0), regcea => '0', regceb => '0', rsta => rsta, rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => rstb, rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(31 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(31 downto 0), s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(3 downto 0) => B"0000", s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(3 downto 0) => wea(3 downto 0), web(3 downto 0) => web(3 downto 0) ); end STRUCTURE;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/TWDLMULT_SDNF1_3_block2.vhd -- Created: 2018-02-27 13:25:18 -- -- Generated by MATLAB 9.3 and HDL Coder 3.11 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: TWDLMULT_SDNF1_3_block2 -- Source Path: hdl_ofdm_tx/ifft/TWDLMULT_SDNF1_3 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY TWDLMULT_SDNF1_3_block2 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; dout_6_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_6_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_8_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_8_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_2_vld : IN std_logic; twdl_3_7_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_7_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_8_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_8_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_8_vld : IN std_logic; softReset : IN std_logic; twdlXdin_7_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_7_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_8_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_8_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_7_vld : OUT std_logic ); END TWDLMULT_SDNF1_3_block2; ARCHITECTURE rtl OF TWDLMULT_SDNF1_3_block2 IS -- Component Declarations COMPONENT Complex3Multiply_block3 PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; din1_re_dly3 : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 din1_im_dly3 : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 din1_vld_dly3 : IN std_logic; twdl_3_7_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_7_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 softReset : IN std_logic; twdlXdin_7_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_7_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin1_vld : OUT std_logic ); END COMPONENT; COMPONENT Complex3Multiply_block4 PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; din2_re_dly3 : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 din2_im_dly3 : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13 di2_vld_dly3 : IN std_logic; twdl_3_8_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 twdl_3_8_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14 softReset : IN std_logic; twdlXdin_8_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin_8_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13 twdlXdin2_vld : OUT std_logic ); END COMPONENT; -- Component Configuration Statements FOR ALL : Complex3Multiply_block3 USE ENTITY work.Complex3Multiply_block3(rtl); FOR ALL : Complex3Multiply_block4 USE ENTITY work.Complex3Multiply_block4(rtl); -- Signals SIGNAL dout_6_re_signed : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL din_re : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_re_dly1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_re_dly2 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_6_im_signed : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL din_im : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_im_dly1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_im_dly2 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_re_dly3 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_im_dly3 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din1_vld_dly1 : std_logic; SIGNAL din1_vld_dly2 : std_logic; SIGNAL din1_vld_dly3 : std_logic; SIGNAL twdlXdin_7_re_tmp : std_logic_vector(18 DOWNTO 0); -- ufix19 SIGNAL twdlXdin_7_im_tmp : std_logic_vector(18 DOWNTO 0); -- ufix19 SIGNAL twdlXdin1_vld : std_logic; SIGNAL dout_8_re_signed : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL din_re_1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_re_dly1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_re_dly2 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_8_im_signed : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL din_im_1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_im_dly1 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_im_dly2 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_re_dly3 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL din2_im_dly3 : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL di2_vld_dly1 : std_logic; SIGNAL di2_vld_dly2 : std_logic; SIGNAL di2_vld_dly3 : std_logic; SIGNAL twdlXdin_8_re_tmp : std_logic_vector(18 DOWNTO 0); -- ufix19 SIGNAL twdlXdin_8_im_tmp : std_logic_vector(18 DOWNTO 0); -- ufix19 BEGIN u_MUL3_1 : Complex3Multiply_block3 PORT MAP( clk => clk, reset => reset, enb_1_16_0 => enb_1_16_0, din1_re_dly3 => std_logic_vector(din1_re_dly3), -- sfix19_En13 din1_im_dly3 => std_logic_vector(din1_im_dly3), -- sfix19_En13 din1_vld_dly3 => din1_vld_dly3, twdl_3_7_re => twdl_3_7_re, -- sfix16_En14 twdl_3_7_im => twdl_3_7_im, -- sfix16_En14 softReset => softReset, twdlXdin_7_re => twdlXdin_7_re_tmp, -- sfix19_En13 twdlXdin_7_im => twdlXdin_7_im_tmp, -- sfix19_En13 twdlXdin1_vld => twdlXdin1_vld ); u_MUL3_2 : Complex3Multiply_block4 PORT MAP( clk => clk, reset => reset, enb_1_16_0 => enb_1_16_0, din2_re_dly3 => std_logic_vector(din2_re_dly3), -- sfix19_En13 din2_im_dly3 => std_logic_vector(din2_im_dly3), -- sfix19_En13 di2_vld_dly3 => di2_vld_dly3, twdl_3_8_re => twdl_3_8_re, -- sfix16_En14 twdl_3_8_im => twdl_3_8_im, -- sfix16_En14 softReset => softReset, twdlXdin_8_re => twdlXdin_8_re_tmp, -- sfix19_En13 twdlXdin_8_im => twdlXdin_8_im_tmp, -- sfix19_En13 twdlXdin2_vld => twdlXdin_7_vld ); dout_6_re_signed <= signed(dout_6_re); din_re <= resize(dout_6_re_signed, 19); intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly1 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_re_dly1 <= din_re; END IF; END IF; END PROCESS intdelay_process; intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly2 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_re_dly2 <= din1_re_dly1; END IF; END IF; END PROCESS intdelay_1_process; dout_6_im_signed <= signed(dout_6_im); din_im <= resize(dout_6_im_signed, 19); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly1 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_im_dly1 <= din_im; END IF; END IF; END PROCESS intdelay_2_process; intdelay_3_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly2 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_im_dly2 <= din1_im_dly1; END IF; END IF; END PROCESS intdelay_3_process; intdelay_4_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly3 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_re_dly3 <= din1_re_dly2; END IF; END IF; END PROCESS intdelay_4_process; intdelay_5_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly3 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_im_dly3 <= din1_im_dly2; END IF; END IF; END PROCESS intdelay_5_process; intdelay_6_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_vld_dly1 <= dout_2_vld; END IF; END IF; END PROCESS intdelay_6_process; intdelay_7_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_vld_dly2 <= din1_vld_dly1; END IF; END IF; END PROCESS intdelay_7_process; intdelay_8_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din1_vld_dly3 <= din1_vld_dly2; END IF; END IF; END PROCESS intdelay_8_process; dout_8_re_signed <= signed(dout_8_re); din_re_1 <= resize(dout_8_re_signed, 19); intdelay_9_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly1 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_re_dly1 <= din_re_1; END IF; END IF; END PROCESS intdelay_9_process; intdelay_10_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly2 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_re_dly2 <= din2_re_dly1; END IF; END IF; END PROCESS intdelay_10_process; dout_8_im_signed <= signed(dout_8_im); din_im_1 <= resize(dout_8_im_signed, 19); intdelay_11_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly1 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_im_dly1 <= din_im_1; END IF; END IF; END PROCESS intdelay_11_process; intdelay_12_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly2 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_im_dly2 <= din2_im_dly1; END IF; END IF; END PROCESS intdelay_12_process; intdelay_13_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly3 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_re_dly3 <= din2_re_dly2; END IF; END IF; END PROCESS intdelay_13_process; intdelay_14_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly3 <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN din2_im_dly3 <= din2_im_dly2; END IF; END IF; END PROCESS intdelay_14_process; intdelay_15_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN di2_vld_dly1 <= dout_2_vld; END IF; END IF; END PROCESS intdelay_15_process; intdelay_16_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN di2_vld_dly2 <= di2_vld_dly1; END IF; END IF; END PROCESS intdelay_16_process; intdelay_17_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN di2_vld_dly3 <= di2_vld_dly2; END IF; END IF; END PROCESS intdelay_17_process; twdlXdin_7_re <= twdlXdin_7_re_tmp; twdlXdin_7_im <= twdlXdin_7_im_tmp; twdlXdin_8_re <= twdlXdin_8_re_tmp; twdlXdin_8_im <= twdlXdin_8_im_tmp; END rtl;
-- Project 2 library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; ------------------------------------ entity project2 is port ( clock : in std_logic; reset : in std_logic; valid : in std_logic; hold_me : in std_logic; data_in : in std_logic_vector (7 downto 0); data_out : out std_logic_vector (18 downto 0); hold_prev : out std_logic ); end entity project2; ------------------------------------- architecture project_2 of project2 is -------------counter address generator---------------- component counter_address_generator is port ( clock : in std_logic; reset : in std_logic; need_to_reset : in std_logic; enable : in std_logic; read_enable : in std_logic; address : out std_logic_vector (7 downto 0) ); end component; -------------ramA---------------- component ramA is port( clock : in std_logic; write_enable : in std_logic; read_enable : in std_logic; address : in std_logic_vector (2 downto 0); datain : in std_logic_vector (7 downto 0); dataout : out std_logic_vector (7 downto 0) ); end component; -------------ramR---------------- component ramR is port( clock : in std_logic; write_enable : in std_logic; read_enable : in std_logic; address : in std_logic_vector (2 downto 0); datain : in std_logic_vector (18 downto 0); dataout : out std_logic_vector (18 downto 0) ); end component; -------------rom----------------- component rom is port ( clock : in std_logic; address : in std_logic_vector (5 downto 0); rom_enable : in std_logic; data : out std_logic_vector (7 downto 0) ); end component; -------------fsm----------------- component fsm port ( clock : in std_logic; reset : in std_logic; ramA_address : in std_logic_vector (4 downto 0); ramR_address : in std_logic_vector (7 downto 0); rom_address : in std_logic_vector (7 downto 0); hold_me : in std_logic; ramR_readEnable : out std_logic; ramA_writeEnable : out std_logic; ramA_readEnable : out std_logic; ramR_writeEnable : out std_logic; rom_enable : out std_logic; counterAddressGen_H_enable : out std_logic; counterAddressGen_R_enable : out std_logic; counterAddressGen_A_restart : out std_logic; counterAddressGen_R_restart : out std_logic; counterAddressGen_H_restart : out std_logic; mac_clean : out std_logic; reset_fsm : out std_logic; hold_prev : out std_logic ); end component fsm; -------------mac----------------- component mac is port ( clock : in std_logic; ai : in std_logic_vector(7 downto 0); xi : in std_logic_vector(7 downto 0); mac_clean : in std_logic; data_out : out std_logic_vector (18 downto 0) ); end component; ------------------------------------- signal Ai : std_logic_vector (7 downto 0); signal Hi : std_logic_vector (7 downto 0); signal Ri : std_logic_vector (18 downto 0); signal addressA : std_logic_vector (7 downto 0); signal addressH : std_logic_vector (7 downto 0); signal addressR : std_logic_vector (7 downto 0); signal CAG_A_restart : std_logic; signal CAG_H_restart : std_logic; signal CAG_R_restart : std_logic; signal ramA_write_enable : std_logic; signal ramA_read_enable : std_logic; signal ramR_write_enable : std_logic; signal ramR_read_enable : std_logic; signal romH_enable : std_logic; signal clear_register : std_logic; signal CAG_H_enable : std_logic; signal CAG_R_enable : std_logic; signal reset_fsm : std_logic; begin counterAddressGenA : counter_address_generator port map ( clock => clock, reset => reset_fsm, need_to_reset => CAG_A_restart, enable => valid, read_enable => ramA_read_enable, address => addressA ); ------------------------------- RAMA_UNIT : ramA port map ( clock => clock, write_enable => ramA_write_enable, read_enable => ramA_read_enable, address => addressA(2 downto 0), datain => data_in, dataout => Ai ); ------------------------------- counterAddressGenH : counter_address_generator port map ( clock => clock, reset => reset_fsm, need_to_reset => CAG_H_restart, enable => CAG_H_enable, read_enable => CAG_H_enable, address => addressH ); -------------------------------- ROMH : rom port map ( clock => clock, address => addressH(5 downto 0), rom_enable => romH_enable, data => Hi ); -------------------------------- MAC_UNIT : mac port map ( clock => clock, ai => Ai, xi => Hi, mac_clean => clear_register, data_out => Ri ); --------------------------------- FSM_UNIT : fsm port map ( clock => clock, reset => reset, ramA_address => addressA(7 downto 3), ramR_address => addressR, rom_address => addressH, hold_me => hold_me, ramR_readEnable => ramR_read_enable, ramA_writeEnable => ramA_write_enable, ramA_readEnable => ramA_read_enable, ramR_writeEnable => ramR_write_enable, rom_enable => romH_enable, counterAddressGen_H_enable => CAG_H_enable, counterAddressGen_R_enable => CAG_R_enable, counterAddressGen_A_restart => CAG_A_restart, counterAddressGen_R_restart => CAG_R_restart, counterAddressGen_H_restart => CAG_H_restart, mac_clean => clear_register, reset_fsm => reset_fsm, hold_prev => hold_prev ); --------------------------------- counterAddressGenR : counter_address_generator port map ( clock => clock, reset => reset_fsm, need_to_reset => CAG_R_restart, enable => CAG_R_enable, read_enable => ramR_read_enable, address => addressR ); ---------------------------------- RAMR_UNIT : ramR port map ( clock => clock, write_enable => ramR_write_enable, read_enable => ramR_read_enable, address => addressR(2 downto 0), datain => Ri, dataout => data_out ); end architecture project_2;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- -- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics -- http://www.mesanet.com -- -- This program is is licensed under a disjunctive dual license giving you -- the choice of one of the two following sets of free software/open source -- licensing terms: -- -- * GNU General Public License (GPL), version 2.0 or later -- * 3-clause BSD License -- -- -- The GNU GPL License: -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -- -- -- The 3-clause BSD License: -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- * Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- * Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- * Neither the name of Mesa Electronics nor the names of its -- contributors may be used to endorse or promote products -- derived from this software without specific prior written -- permission. -- -- -- Disclaimer: -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- entity stepgen is generic ( buswidth : integer; timersize : integer; tablewidth : integer; asize : integer; rsize : integer ); Port ( clk : in std_logic; ibus : in std_logic_vector(buswidth-1 downto 0); obus : out std_logic_vector(buswidth-1 downto 0); loadsteprate : in std_logic; loadaccum : in std_logic; loadstepmode : in std_logic; loaddirsetuptime : in std_logic; loaddirholdtime : in std_logic; loadpulseactivetime : in std_logic; loadpulseidletime : in std_logic; loadtable : in std_logic; loadtablemax : in std_logic; readsteprate : in std_logic; readaccum : in std_logic; readstepmode : in std_logic; readdirsetuptime : in std_logic; readdirholdtime : in std_logic; readpulseactivetime : in std_logic; readpulseidletime : in std_logic; readtable : in std_logic; readtablemax : in std_logic; basicrate : in std_logic; hold : in std_logic; stout : out std_logic_vector(tablewidth-1 downto 0) ); end stepgen; architecture Behavioral of stepgen is -- Step Generator related signals signal stepaccum: std_logic_vector(asize-1 downto 0); signal nextaccum: std_logic_vector(asize-1 downto 0); alias stepmsbs: std_logic_vector(1 downto 0) is stepaccum(rsize-1 downto rsize -2); alias stepmsb: std_logic is stepaccum(rsize -1); alias nextmsb: std_logic is nextaccum(rsize -1); signal dstepmsb : std_logic; signal ddshold : std_logic; signal steprate: std_logic_vector(rsize -1 downto 0); alias stepdir: std_logic is steprate(rsize -1); signal dstepdir : std_logic; signal stepdirout : std_logic; signal pulsewait : std_logic; signal steppulse : std_logic := '0'; signal dpulsewait : std_logic := '0'; signal dirsetupwait : std_logic; signal dirholdwait : std_logic; signal ddirholdwait : std_logic; signal dirshwait : std_logic; signal dirhold : std_logic; signal dirshcount: std_logic_vector(timersize-1 downto 0); signal pulsewidthcount: std_logic_vector(timersize-1 downto 0); signal dirsetuptime: std_logic_vector(timersize -1 downto 0); signal dirholdtime: std_logic_vector(timersize -1 downto 0); signal pulseactivetime: std_logic_vector(timersize -1 downto 0); signal pulseidletime: std_logic_vector(timersize -1 downto 0); signal stepmode: std_logic_vector(1 downto 0); signal localout: std_logic_vector(tablewidth-1 downto 0); signal wewouldcount : std_logic; signal dirchange : std_logic; signal waitforhold : std_logic; signal waitforpulse : std_logic; signal tableptr: std_logic_vector(3 downto 0); signal tablemax: std_logic_vector(3 downto 0); signal tabledata: std_logic_vector(tablewidth-1 downto 0); component SRL16E -- generic (INIT : bit_vector); -- port (D : in std_logic; CE : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component; begin steptable: for i in 0 to tablewidth -1 generate asr16e: SRL16E generic map (x"0000") port map( D => ibus(i), CE => loadtable, CLK => clk, A0 => tableptr(0), A1 => tableptr(1), A2 => tableptr(2), A3 => tableptr(3), Q => tabledata(i) ); end generate; astepgen: process (clk,stepdirout, steprate, nextaccum, stepaccum, dirholdwait, ddirholdwait, pulsewait, dpulsewait, dirsetupwait, pulsewidthcount, dirshcount, dirhold, readaccum, tabledata, stepmode, steppulse, stepmsbs) begin if rising_edge(clk) then if basicrate = '1' and hold = '0' and ddshold = '0' then -- our basic step rate DDS stepaccum <= nextaccum; dstepdir <= stepdir; -- only updated when we add end if; if pulsewait = '1' then -- our two timers pulsewidthcount <= pulsewidthcount -1; -- we share dirshcount between setup and hold functions end if; if (pulsewait = '0') and (dpulsewait = '1') and (steppulse = '1') then pulsewidthcount <= pulseidletime; -- output pulse idle time steppulse <= '0'; -- clear our output pulse end if; if dirshwait = '1' then dirshcount <= dirshcount -1; end if; if (stepmsb = '0' and dstepmsb = '1' and dstepdir = '0') -- we counted up or (stepmsb = '1' and dstepmsb = '0' and dstepdir = '1') then -- we counted down -- the output of the DDS pulsewidthcount <= pulseactivetime; -- output pulse active time steppulse <= '1'; dirshcount <= dirholdtime; -- set pulse to dir change hold timer dirhold <= '1'; -- set our flag to indicate else if dirholdwait = '0' then -- no change during hold time if stepdirout /= stepdir then -- we changed the external direction signal dirshcount <= dirsetuptime; -- set dir change to next pulse setup time dirhold <= '0'; -- set our flag to indicate stepdirout <= stepdir; end if; -- our timer is for setup time end if; end if; -- our timer is for hold time if (stepmsb = '0' and dstepmsb = '1' and dstepdir = '0') then -- we counted up if (tableptr = tablemax) then tableptr <= x"0"; else tableptr <= tableptr +1; end if; end if; if (stepmsb = '1' and dstepmsb = '0' and dstepdir = '1') then -- we counted down if (tableptr = x"0") then tableptr <= tablemax; else tableptr <= tableptr -1; end if; end if; if loadstepmode = '1' then -- our register writes stepmode <= ibus(1 downto 0); end if; if loadsteprate = '1' then steprate <= ibus(rsize -1 downto 0); end if; if loadaccum = '1' then stepaccum(asize -1 downto asize-buswidth) <= ibus; steppulse <= '0'; end if; if loaddirsetuptime = '1' then dirsetuptime <= ibus(timersize -1 downto 0); end if; if loaddirholdtime = '1' then dirholdtime <= ibus(timersize -1 downto 0); end if; if loadpulseactivetime = '1' then pulseactivetime <= ibus(timersize -1 downto 0); end if; if loadpulseidletime = '1' then pulseidletime <= ibus(timersize -1 downto 0); end if; if loadtablemax = '1' then tablemax <= ibus(3 downto 0); tableptr <= x"0"; end if; dpulsewait <= pulsewait; dstepmsb <= stepmsb; ddirholdwait <= dirholdwait; -- ddirholdwait needed to cover case where dirhold wait has become 0 end if; -- clk -- but setup timer has not started yet (Probably more elegant to use state machine) dirchange <= stepdirout xor stepdir; if (stepmsb = '1' and nextmsb = '0' and stepdir = '0') -- we would count up or (stepmsb = '0' and nextmsb = '1' and stepdir = '1') then -- we would count down wewouldcount <= '1'; else wewouldcount <= '0'; end if; -- wewouldcount <= nextmsb xor stepmsb; waitforhold <= (dirholdwait or ddirholdwait) and dirchange; waitforpulse <= pulsewait or dpulsewait; nextaccum <= signed(stepaccum)+ signed(steprate); -- to lookahead if (wewouldcount = '1') and (((waitforhold = '1') or (dirsetupwait = '1') or (waitforpulse = '1'))) then -- need to pause ddshold <= '1'; else ddshold <= '0'; end if; if pulsewidthcount = 0 then pulsewait <= '0'; else pulsewait <= '1'; end if; if dirshcount = 0 then dirshwait <= '0'; else dirshwait <= '1'; end if; dirholdwait <= (dirhold and dirshwait); dirsetupwait <= (not dirhold) and dirshwait; obus <= (others => 'Z'); -- if readsteprate = '1' then -- obus(rsize -1 downto 0) <= steprate; -- end if; if readaccum = '1' then obus <= stepaccum(asize -1 downto asize-buswidth); end if; -- if readstepmode = '1' then -- register readbacks commented out for size -- obus(3 downto 0) <= stepmode; -- obus(31 downto 4) <= (others => '0'); -- end if; -- if readdirsetuptime = '1' then -- obus(timersize -1 downto 0) <= dirsetuptime; -- obus(31 downto timersize) <= (others => '0'); -- end if; -- if readdirholdtime = '1' then -- obus(timersize -1 downto 0) <= dirholdtime; -- obus(31 downto timersize) <= (others => '0'); -- end if; -- if readpulseactivetime = '1' then -- obus(timersize -1 downto 0) <= pulsewidth; -- obus(31 downto timersize) <= (others => '0'); -- end if; -- if readpulseidletime = '1' then -- obus(timersize -1 downto 0) <= pulseidle; -- obus(31 downto timersize) <= (others => '0'); -- end if; localout <= tabledata; -- this is the default unless: case stepmode is when "00" => localout(0) <= steppulse; -- step localOut(1) <= stepdirout; -- dir when "01" => localout(0) <= steppulse and (not stepdirout); -- count up localOut(1) <= steppulse and stepdirout; -- count down when "10" => case stepmsbs is when "00" => localout(1 downto 0) <= "00"; -- quadrature when "01" => localout(1 downto 0) <= "01"; when "10" => localout (1 downto 0)<= "11"; when "11" => localout(1 downto 0) <= "10"; when others => null; end case; when others => null; end case; stout <= localout; end process astepgen; end Behavioral;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_2_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V is component FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_2_V_shiftReg : FIFO_image_filter_img_1_data_stream_2_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_2_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V is component FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_2_V_shiftReg : FIFO_image_filter_img_1_data_stream_2_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_2_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V is component FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_2_V_shiftReg : FIFO_image_filter_img_1_data_stream_2_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.4 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end FIFO_image_filter_img_1_data_stream_2_V_shiftReg; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FIFO_image_filter_img_1_data_stream_2_V is generic ( MEM_STYLE : string := "auto"; DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of FIFO_image_filter_img_1_data_stream_2_V is component FIFO_image_filter_img_1_data_stream_2_V_shiftReg is generic ( DATA_WIDTH : integer := 8; ADDR_WIDTH : integer := 1; DEPTH : integer := 2); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr -1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr +1; internal_empty_n <= '1'; if (mOutPtr = DEPTH -2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_FIFO_image_filter_img_1_data_stream_2_V_shiftReg : FIFO_image_filter_img_1_data_stream_2_V_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
------------------------------------------------------------------------------- -- Title : Ascon Shift Register -- Project : ------------------------------------------------------------------------------- -- File : ascon_shift_register.vhdl -- Author : Hannes Gross <[email protected]> -- Company : -- Created : 2014-05-20 -- Last update: 2014-05-23 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright 2014 Graz University of Technology -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-05-20 1.0 Hannes Gross Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ascon_shift_register_w_overwrite is generic ( RESET_VALUE : std_logic_vector(63 downto 0) := x"0000000000000000"; DATA_WIDTH : integer := 64); port ( ClkxCI : in std_logic; RstxRBI : in std_logic; OverwriteENxSI : in std_logic; OverwriteDataxSI : in std_logic_vector(DATA_WIDTH-1 downto 0); ShiftEnablexSI : in std_logic; ShiftRegINxDI : in std_logic; ShiftRegOUTxDO : out std_logic_vector(DATA_WIDTH-1 downto 0)); end entity ascon_shift_register_w_overwrite; architecture structural of ascon_shift_register_w_overwrite is signal DataxDP : std_logic_vector(DATA_WIDTH-1 downto 0); begin -- architecture structural ShiftRegOUTxDO <= DataxDP; -- purpose: Left shift each cycle -- type : sequential -- inputs : ClkxCI, RstxRBI -- outputs: DataOUTxDO shift_p: process (ClkxCI, RstxRBI) is begin -- process shift_p if RstxRBI = '0' then -- asynchronous reset (active low) DataxDP <= RESET_VALUE; elsif ClkxCI'event and ClkxCI = '1' then -- rising clock edge if OverwriteENxSI = '1' then -- Overwrite register DataxDP <= OverwriteDataxSI; elsif ShiftEnablexSI = '1' then DataxDP <= DataxDP(DATA_WIDTH-2 downto 0) & ShiftRegINxDI; -- shift left end if; end if; end process shift_p; end architecture structural;
--! --! Copyright (C) 2011 - 2014 Creonic GmbH --! --! This file is part of the Creonic Viterbi Decoder, which is distributed --! under the terms of the GNU General Public License version 2. --! --! @file --! @brief Branch distance calculation unit. --! @author Markus Fehrenz --! @date 2011/08/04 --! --! @details Each branch has to be calculated only once. --! The branch calculations are configured with a generic. --! There is no limitation in branch calculations. --! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library dec_viterbi; use dec_viterbi.pkg_param.all; use dec_viterbi.pkg_param_derived.all; use dec_viterbi.pkg_types.all; entity branch_distance is generic( EDGE_WEIGHT : in std_logic_vector(0 to NUMBER_PARITY_BITS - 1) ); port( clk : in std_logic; rst : in std_logic; -- -- Input LLR values -- s_axis_input_tvalid : in std_logic; s_axis_input_tdata : in t_input_block; s_axis_input_tlast : in std_logic; s_axis_input_tready : out std_logic; -- -- Output branch metrics, going to ACS unit. -- m_axis_output_tvalid : out std_logic; m_axis_output_tdata : out std_logic_vector(BW_BRANCH_RESULT - 1 downto 0); m_axis_output_tlast : out std_logic; m_axis_output_tready : in std_logic ); end entity branch_distance; architecture rtl of branch_distance is signal m_axis_output_tvalid_int : std_logic; signal s_axis_input_tready_int : std_logic; begin -- We are ready, when we are allowed to write to the output, or the output is idle. s_axis_input_tready_int <= '1' when m_axis_output_tready = '1' else '0'; -- Connect internal versions of signal to output port. s_axis_input_tready <= s_axis_input_tready_int; m_axis_output_tvalid <= m_axis_output_tvalid_int; -- Calculation of specific branch distance with a geometric distance function. pr_branch : process(clk) is variable v_branch_result : integer; begin if rising_edge(clk) then if rst = '1' then m_axis_output_tvalid_int <= '0'; m_axis_output_tdata <= (others => '0'); m_axis_output_tlast <= '0'; else if m_axis_output_tvalid_int = '1' and m_axis_output_tready = '1' then m_axis_output_tvalid_int <= '0'; m_axis_output_tlast <= '0'; end if; if s_axis_input_tready_int = '1' and s_axis_input_tvalid = '1' then v_branch_result := 0; for i in NUMBER_PARITY_BITS - 1 downto 0 loop -- -- Either the value is added or subtracted, depending on -- the current branch metric we are computing. -- if EDGE_WEIGHT(i) = '0' then v_branch_result := v_branch_result + to_integer(s_axis_input_tdata(i)); else v_branch_result := v_branch_result - to_integer(s_axis_input_tdata(i)); end if; end loop; m_axis_output_tdata <= std_logic_vector(to_signed(v_branch_result, BW_BRANCH_RESULT)); m_axis_output_tvalid_int <= '1'; m_axis_output_tlast <= s_axis_input_tlast; end if; end if; end if; end process pr_branch; end architecture rtl;
--! --! Copyright (C) 2011 - 2014 Creonic GmbH --! --! This file is part of the Creonic Viterbi Decoder, which is distributed --! under the terms of the GNU General Public License version 2. --! --! @file --! @brief Branch distance calculation unit. --! @author Markus Fehrenz --! @date 2011/08/04 --! --! @details Each branch has to be calculated only once. --! The branch calculations are configured with a generic. --! There is no limitation in branch calculations. --! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library dec_viterbi; use dec_viterbi.pkg_param.all; use dec_viterbi.pkg_param_derived.all; use dec_viterbi.pkg_types.all; entity branch_distance is generic( EDGE_WEIGHT : in std_logic_vector(0 to NUMBER_PARITY_BITS - 1) ); port( clk : in std_logic; rst : in std_logic; -- -- Input LLR values -- s_axis_input_tvalid : in std_logic; s_axis_input_tdata : in t_input_block; s_axis_input_tlast : in std_logic; s_axis_input_tready : out std_logic; -- -- Output branch metrics, going to ACS unit. -- m_axis_output_tvalid : out std_logic; m_axis_output_tdata : out std_logic_vector(BW_BRANCH_RESULT - 1 downto 0); m_axis_output_tlast : out std_logic; m_axis_output_tready : in std_logic ); end entity branch_distance; architecture rtl of branch_distance is signal m_axis_output_tvalid_int : std_logic; signal s_axis_input_tready_int : std_logic; begin -- We are ready, when we are allowed to write to the output, or the output is idle. s_axis_input_tready_int <= '1' when m_axis_output_tready = '1' else '0'; -- Connect internal versions of signal to output port. s_axis_input_tready <= s_axis_input_tready_int; m_axis_output_tvalid <= m_axis_output_tvalid_int; -- Calculation of specific branch distance with a geometric distance function. pr_branch : process(clk) is variable v_branch_result : integer; begin if rising_edge(clk) then if rst = '1' then m_axis_output_tvalid_int <= '0'; m_axis_output_tdata <= (others => '0'); m_axis_output_tlast <= '0'; else if m_axis_output_tvalid_int = '1' and m_axis_output_tready = '1' then m_axis_output_tvalid_int <= '0'; m_axis_output_tlast <= '0'; end if; if s_axis_input_tready_int = '1' and s_axis_input_tvalid = '1' then v_branch_result := 0; for i in NUMBER_PARITY_BITS - 1 downto 0 loop -- -- Either the value is added or subtracted, depending on -- the current branch metric we are computing. -- if EDGE_WEIGHT(i) = '0' then v_branch_result := v_branch_result + to_integer(s_axis_input_tdata(i)); else v_branch_result := v_branch_result - to_integer(s_axis_input_tdata(i)); end if; end loop; m_axis_output_tdata <= std_logic_vector(to_signed(v_branch_result, BW_BRANCH_RESULT)); m_axis_output_tvalid_int <= '1'; m_axis_output_tlast <= s_axis_input_tlast; end if; end if; end if; end process pr_branch; end architecture rtl;
--------------------------------------------------------------------- -- Copyright (C) 2016 Siavoosh Payandeh Azad -- -- Network interface: Its an interrupt based memory mapped I/O for sending and recieving packets. -- the data that is sent to NI should be of the following form: -- FIRST write: 4bit source(31-28), 4 bit destination(27-14), 8bit packet length(23-16) -- Body write: 28 bit data(27-0) -- Last write: 28 bit data(27-0) --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; --use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use IEEE.NUMERIC_STD.all; use ieee.std_logic_textio.all; use std.textio.all; use ieee.std_logic_misc.all; entity NI_vc is generic(current_x : integer := 10; -- the current node's x current_y : integer := 10; -- the current node's y NI_depth : integer := 32; NI_couter_size: integer:= 5; -- should be set to log2 of NI_depth reserved_address : std_logic_vector(29 downto 0) := "000000000000000001111111111110"; -- NI's memory mapped reserved VC_0 reserved_address_vc : std_logic_vector(29 downto 0) := "000000000000000001111111111111"; -- NI's memory mapped reserved for VC_1 flag_address : std_logic_vector(29 downto 0) := "000000000000000010000000000000"; -- reserved address for the flag register counter_address : std_logic_vector(29 downto 0) := "000000000000000010000000000001"); -- packet counter register address! port(clk : in std_logic; reset : in std_logic; enable : in std_logic; write_byte_enable : in std_logic_vector(3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0); -- interrupt signal: disabled! irq_out : out std_logic; -- signals for sending packets to network credit_in : in std_logic; valid_out: out std_logic; credit_in_vc: in std_logic; valid_out_vc: out std_logic; TX: out std_logic_vector(31 downto 0); -- data sent to the NoC -- signals for reciving packets from the network credit_out : out std_logic; valid_in: in std_logic; credit_out_vc: out std_logic; valid_in_vc: in std_logic; RX: in std_logic_vector(31 downto 0) -- data recieved form the NoC ); end; --entity NI_vc architecture logic of NI_vc is -- all the following signals are for sending data from processor to NoC signal storage, storage_in : std_logic_vector(31 downto 0); signal valid_data_in, valid_data: std_logic; -- this old address is put here to make it compatible with Plasma processor! signal old_address: std_logic_vector(31 downto 2); signal P2N_FIFO_read_pointer, P2N_FIFO_read_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal P2N_FIFO_write_pointer, P2N_FIFO_write_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal P2N_write_en: std_logic; type MEM is array (0 to NI_depth-1) of std_logic_vector(31 downto 0); signal P2N_FIFO, P2N_FIFO_in : MEM; signal P2N_full, P2N_empty: std_logic; signal credit_counter_in, credit_counter_out: std_logic_vector(1 downto 0); signal credit_counter_vc_in, credit_counter_vc_out: std_logic_vector(1 downto 0); signal packet_counter_in, packet_counter_out: std_logic_vector(13 downto 0); signal packet_length_counter_in, packet_length_counter_out: std_logic_vector(13 downto 0); signal grant,grant_vc : std_logic; signal vc_select_in, vc_select_out: std_logic; type STATE_TYPE IS (IDLE, HEADER_FLIT, BODY_FLIT_1, BODY_FLIT, TAIL_FLIT); signal state, state_in : STATE_TYPE := IDLE; signal FIFO_Data_out : std_logic_vector(31 downto 0); signal flag_register, flag_register_in : std_logic_vector(31 downto 0); -- all the following signals are for sending the packets from NoC to processor signal N2P_FIFO, N2P_FIFO_in : MEM; signal N2P_FIFO_vc, N2P_FIFO_vc_in : MEM; signal N2P_Data_out : std_logic_vector(31 downto 0); signal N2P_FIFO_read_pointer, N2P_FIFO_read_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal N2P_FIFO_write_pointer, N2P_FIFO_write_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal N2P_FIFO_vc_read_pointer, N2P_FIFO_vc_read_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal N2P_FIFO_vc_write_pointer, N2P_FIFO_vc_write_pointer_in: std_logic_vector(NI_couter_size-1 downto 0); signal N2P_full, N2P_empty, N2P_full_vc, N2P_empty_vc: std_logic; signal N2P_read_en, N2P_read_en_in, N2P_read_en_vc, N2P_read_en_vc_in, N2P_write_en, N2P_write_en_vc: std_logic; signal counter_register_in, counter_register : std_logic_vector(1 downto 0); begin CLK_proc: process(clk, enable, write_byte_enable) begin if reset = '1' then storage <= (others => '0'); valid_data <= '0'; P2N_FIFO_read_pointer <= (others=>'0'); P2N_FIFO_write_pointer <= (others=>'0'); P2N_FIFO <= (others => (others=>'0')); credit_counter_out <= "11"; credit_counter_vc_out <= "11"; packet_length_counter_out <= "00000000000000"; state <= IDLE; packet_counter_out <= "00000000000000"; ------------------------------------------------ N2P_FIFO <= (others => (others=>'0')); N2P_FIFO_vc <= (others => (others=>'0')); N2P_FIFO_read_pointer <= (others=>'0'); N2P_FIFO_write_pointer <= (others=>'0'); N2P_FIFO_vc_read_pointer <= (others=>'0'); N2P_FIFO_vc_write_pointer <= (others=>'0'); credit_out <= '0'; credit_out_vc <= '0'; counter_register <= (others => '0'); N2P_read_en <= '0'; N2P_read_en_vc <= '0'; flag_register <= (others =>'0'); old_address <= (others =>'0'); vc_select_out <= '0'; elsif clk'event and clk = '1' then old_address <= address; P2N_FIFO_write_pointer <= P2N_FIFO_write_pointer_in; P2N_FIFO_read_pointer <= P2N_FIFO_read_pointer_in; credit_counter_out <= credit_counter_in; credit_counter_vc_out <= credit_counter_vc_in; packet_length_counter_out <= packet_length_counter_in; valid_data <= valid_data_in; if P2N_write_en = '1' then --write into the memory P2N_FIFO <= P2N_FIFO_in; end if; packet_counter_out <= packet_counter_in; if write_byte_enable /= "0000" then storage <= storage_in; end if; state <= state_in; ------------------------------------------------ if N2P_write_en = '1' then --write into the memory N2P_FIFO <= N2P_FIFO_in; end if; if N2P_write_en_vc = '1' then --write into the memory N2P_FIFO_vc <= N2P_FIFO_vc_in; end if; counter_register <= counter_register_in; N2P_FIFO_write_pointer <= N2P_FIFO_write_pointer_in; N2P_FIFO_read_pointer <= N2P_FIFO_read_pointer_in; N2P_FIFO_vc_write_pointer <= N2P_FIFO_vc_write_pointer_in; N2P_FIFO_vc_read_pointer <= N2P_FIFO_vc_read_pointer_in; credit_out <= '0'; credit_out_vc <= '0'; N2P_read_en <= N2P_read_en_in; N2P_read_en_vc <= N2P_read_en_vc_in; if N2P_read_en = '1' then credit_out <= '1'; end if; if N2P_read_en_vc = '1' then credit_out_vc <= '1'; end if; flag_register <= flag_register_in; vc_select_out <= vc_select_in; end if; end process; -- everything bellow this line is pure combinatorial! --------------------------------------------------------------------------------------- --below this is code for communication from PE 2 NoC P2N_wbe:process(write_byte_enable, enable, address, storage, data_write, valid_data, P2N_write_en) begin storage_in <= storage ; valid_data_in <= valid_data; -- If PE wants to send data to NoC via NI (data is valid) if enable = '1' and (address = reserved_address or address = reserved_address_vc) then if write_byte_enable /= "0000" then valid_data_in <= '1'; end if; if write_byte_enable(0) = '1' then storage_in(7 downto 0) <= data_write(7 downto 0); end if; if write_byte_enable(1) = '1' then storage_in(15 downto 8) <= data_write(15 downto 8); end if; if write_byte_enable(2) = '1' then storage_in(23 downto 16) <= data_write(23 downto 16); end if; if write_byte_enable(3) = '1' then storage_in(31 downto 24) <= data_write(31 downto 24); end if; end if; if P2N_write_en = '1' then valid_data_in <= '0'; end if; end process; ----------------------------------------------------------------------------------------------- -- P2N FIFO handler process(storage, P2N_FIFO_write_pointer, P2N_FIFO) begin P2N_FIFO_in <= P2N_FIFO; P2N_FIFO_in(to_integer(unsigned(P2N_FIFO_write_pointer))) <= storage; end process; FIFO_Data_out <= P2N_FIFO(to_integer(unsigned(P2N_FIFO_read_pointer))); ----------------------------------------------------------------------------------------------- -- Write pointer update process (after each write operation, write pointer is rotated one bit to the left) P2N_wp: process(P2N_write_en, P2N_FIFO_write_pointer)begin if P2N_write_en = '1' then P2N_FIFO_write_pointer_in <= P2N_FIFO_write_pointer +1 ; else P2N_FIFO_write_pointer_in <= P2N_FIFO_write_pointer; end if; end process; ----------------------------------------------------------------------------------------------- -- Read pointer update process (after each read operation, read pointer is rotated one bit to the left) P2N_rp: process(P2N_FIFO_read_pointer, grant, grant_vc)begin P2N_FIFO_read_pointer_in <= P2N_FIFO_read_pointer; if grant = '1' or grant_vc = '1' then P2N_FIFO_read_pointer_in <= P2N_FIFO_read_pointer +1; end if; end process; ----------------------------------------------------------------------------------------------- P2N_write_en_proc:process(P2N_full, valid_data) begin if valid_data = '1' and P2N_full ='0' then P2N_write_en <= '1'; else P2N_write_en <= '0'; end if; end process; ----------------------------------------------------------------------------------------------- -- Process for updating full and empty signals P2N_empy_full:process(P2N_FIFO_write_pointer, P2N_FIFO_read_pointer) begin P2N_empty <= '0'; P2N_full <= '0'; if P2N_FIFO_read_pointer = P2N_FIFO_write_pointer then P2N_empty <= '1'; end if; if P2N_FIFO_write_pointer = P2N_FIFO_read_pointer - 1 then P2N_full <= '1'; end if; end process; -------------------------------------------------------------------------------- VC_0_credit_counter:process (credit_in, credit_counter_out, grant)begin credit_counter_in <= credit_counter_out; if credit_in = '1' and grant = '1' then credit_counter_in <= credit_counter_out; elsif credit_in = '1' and credit_counter_out < 3 then credit_counter_in <= credit_counter_out + 1; elsif grant = '1' and credit_counter_out > 0 then credit_counter_in <= credit_counter_out - 1; end if; end process; VC_1_credit_counter:process (credit_in_vc, credit_counter_vc_out, grant_vc)begin credit_counter_vc_in <= credit_counter_vc_out; if credit_in_vc = '1' and grant_vc = '1' then credit_counter_vc_in <= credit_counter_vc_out; elsif credit_in_vc = '1' and credit_counter_vc_out < 3 then credit_counter_vc_in <= credit_counter_vc_out + 1; elsif grant_vc = '1' and credit_counter_vc_out > 0 then credit_counter_vc_in <= credit_counter_vc_out - 1; end if; end process; -------------------------------------------------------------------------------- Packet_generator: process(P2N_empty, state, credit_counter_out, credit_counter_vc_out, packet_length_counter_out, packet_counter_out, FIFO_Data_out, vc_select_out) begin -- Some initializations vc_select_in <= vc_select_out; TX <= (others => '0'); grant<= '0'; grant_vc<= '0'; packet_length_counter_in <= packet_length_counter_out; packet_counter_in <= packet_counter_out; case(state) is when IDLE => if P2N_empty = '0' then state_in <= HEADER_FLIT; else state_in <= IDLE; end if; when HEADER_FLIT => if FIFO_Data_out(14) = '1' then if credit_counter_vc_out /= "00" and P2N_empty = '0' then grant_vc<= '1'; vc_select_in <= '1'; TX <= "001" & std_logic_vector(to_unsigned(current_y, 7)) & std_logic_vector(to_unsigned(current_x, 7)) & FIFO_Data_out(13 downto 0) & XOR_REDUCE("001" & std_logic_vector(to_unsigned(current_y, 7)) & std_logic_vector(to_unsigned(current_x, 7)) & FIFO_Data_out(13 downto 0)); state_in <= BODY_FLIT_1; else state_in <= HEADER_FLIT; end if; else if credit_counter_out /= "00" and P2N_empty = '0' then grant<= '1'; vc_select_in <= '0'; TX <= "001" & std_logic_vector(to_unsigned(current_y, 7)) & std_logic_vector(to_unsigned(current_x, 7)) & FIFO_Data_out(13 downto 0) & XOR_REDUCE("001" & std_logic_vector(to_unsigned(current_y, 7)) & std_logic_vector(to_unsigned(current_x, 7)) & FIFO_Data_out(13 downto 0)); state_in <= BODY_FLIT_1; else state_in <= HEADER_FLIT; end if; end if; when BODY_FLIT_1 => if vc_select_out = '0' then if credit_counter_out /= "00" and P2N_empty = '0'then packet_length_counter_in <= (FIFO_Data_out(27 downto 14))-2; grant <= '1'; TX <= "010" &FIFO_Data_out(27 downto 14) & packet_counter_out & XOR_REDUCE( "010" &FIFO_Data_out(27 downto 14) & packet_counter_out); state_in <= BODY_FLIT; else state_in <= BODY_FLIT_1; end if; else if credit_counter_vc_out /= "00" and P2N_empty = '0'then packet_length_counter_in <= (FIFO_Data_out(27 downto 14))-2; grant_vc <= '1'; TX <= "010" &FIFO_Data_out(27 downto 14) & packet_counter_out & XOR_REDUCE( "010" &FIFO_Data_out(27 downto 14) & packet_counter_out); state_in <= BODY_FLIT; else state_in <= BODY_FLIT_1; end if; end if; when BODY_FLIT => if vc_select_out = '0' then if credit_counter_out /= "00" and P2N_empty = '0'then grant <= '1'; TX <= "010" & FIFO_Data_out(27 downto 0) & XOR_REDUCE("010" & FIFO_Data_out(27 downto 0)); packet_length_counter_in <= packet_length_counter_out - 1; if packet_length_counter_out > 2 then state_in <= BODY_FLIT; else state_in <= TAIL_FLIT; end if; else state_in <= BODY_FLIT; end if; else if credit_counter_vc_out /= "00" and P2N_empty = '0'then grant_vc<= '1'; TX <= "010" & FIFO_Data_out(27 downto 0) & XOR_REDUCE("010" & FIFO_Data_out(27 downto 0)); packet_length_counter_in <= packet_length_counter_out - 1; if packet_length_counter_out > 2 then state_in <= BODY_FLIT; else state_in <= TAIL_FLIT; end if; else state_in <= BODY_FLIT; end if; end if; when TAIL_FLIT => if vc_select_out = '0' then if credit_counter_out /= "00" and P2N_empty = '0' then grant <= '1'; packet_length_counter_in <= packet_length_counter_out - 1; TX <= "100" & FIFO_Data_out(27 downto 0) & XOR_REDUCE("100" & FIFO_Data_out(27 downto 0)); packet_counter_in <= packet_counter_out +1; state_in <= IDLE; vc_select_in <= '0'; else state_in <= TAIL_FLIT; end if; else if credit_counter_vc_out /= "00" and P2N_empty = '0' then grant_vc<= '1'; packet_length_counter_in <= packet_length_counter_out - 1; TX <= "100" & FIFO_Data_out(27 downto 0) & XOR_REDUCE("100" & FIFO_Data_out(27 downto 0)); packet_counter_in <= packet_counter_out +1; state_in <= IDLE; vc_select_in <= '0'; else state_in <= TAIL_FLIT; end if; end if; when others => state_in <= IDLE; end case ; end procesS; valid_out <= grant; valid_out_vc <= grant_vc; -------------------------------------------------------------------------------- vc0_N2P_wr_FIFO_data: process(RX, N2P_FIFO_write_pointer, N2P_FIFO) begin N2P_FIFO_in <= N2P_FIFO; N2P_FIFO_in(to_integer(unsigned(N2P_FIFO_write_pointer))) <= RX; end process; vc1_N2P_wr_FIFO_data:process(RX, N2P_FIFO_vc_write_pointer, N2P_FIFO_vc) begin N2P_FIFO_vc_in <= N2P_FIFO_vc; N2P_FIFO_vc_in(to_integer(unsigned(N2P_FIFO_vc_write_pointer))) <= RX; end process; -------------------------------------------------------------------------------- N2P_rd_FIFO_data: process(address, N2P_FIFO_read_pointer, N2P_FIFO_vc_read_pointer, N2P_FIFO, N2P_FIFO_vc) begin if address = reserved_address then N2P_Data_out <= N2P_FIFO(to_integer(unsigned(N2P_FIFO_read_pointer))); else N2P_Data_out <= N2P_FIFO_vc(to_integer(unsigned(N2P_FIFO_vc_read_pointer))); end if; end process; -------------------------------------------------------------------------------- N2P_read_enable:process(address, write_byte_enable, N2P_empty, N2P_empty_vc)begin if address = reserved_address and write_byte_enable = "0000" and N2P_empty = '0' then N2P_read_en_in <= '1'; else N2P_read_en_in <= '0'; end if; if address = reserved_address_vc and write_byte_enable = "0000" and N2P_empty_vc = '0' then N2P_read_en_vc_in <= '1'; else N2P_read_en_vc_in <= '0'; end if; end process; -------------------------------------------------------------------------------- vc0_N2P_wr_pointer:process(N2P_write_en, N2P_FIFO_write_pointer)begin if N2P_write_en = '1'then N2P_FIFO_write_pointer_in <= N2P_FIFO_write_pointer + 1; else N2P_FIFO_write_pointer_in <= N2P_FIFO_write_pointer; end if; end process; vc1_N2P_wr_pointer:process(N2P_write_en_vc, N2P_FIFO_vc_write_pointer)begin if N2P_write_en_vc= '1'then N2P_FIFO_vc_write_pointer_in <= N2P_FIFO_vc_write_pointer + 1; else N2P_FIFO_vc_write_pointer_in <= N2P_FIFO_vc_write_pointer; end if; end process; -------------------------------------------------------------------------------- vc0_N2P_rd_pointer:process(N2P_read_en, N2P_empty, N2P_FIFO_read_pointer)begin if (N2P_read_en = '1' and N2P_empty = '0') then N2P_FIFO_read_pointer_in <= N2P_FIFO_read_pointer + 1; else N2P_FIFO_read_pointer_in <= N2P_FIFO_read_pointer; end if; end process; vc1_N2P_rd_pointer:process(N2P_read_en_vc, N2P_empty_vc, N2P_FIFO_vc_read_pointer)begin if (N2P_read_en_vc = '1' and N2P_empty_vc = '0') then N2P_FIFO_vc_read_pointer_in <= N2P_FIFO_vc_read_pointer + 1; else N2P_FIFO_vc_read_pointer_in <= N2P_FIFO_vc_read_pointer; end if; end process; -------------------------------------------------------------------------------- vc0_N2P_wr_en: process(N2P_full, valid_in) begin if (valid_in = '1' and N2P_full ='0') then N2P_write_en <= '1'; else N2P_write_en <= '0'; end if; end process; vc1_N2P_wr_en: process(N2P_full_vc, valid_in_vc) begin if (valid_in_vc = '1' and N2P_full_vc ='0') then N2P_write_en_vc <= '1'; else N2P_write_en_vc <= '0'; end if; end process; -------------------------------------------------------------------------------- vc0_N2P_empty_full: process(N2P_FIFO_write_pointer, N2P_FIFO_read_pointer) begin if N2P_FIFO_read_pointer = N2P_FIFO_write_pointer then N2P_empty <= '1'; else N2P_empty <= '0'; end if; if N2P_FIFO_write_pointer = N2P_FIFO_read_pointer-1 then N2P_full <= '1'; else N2P_full <= '0'; end if; end process; vc1_N2P_empty_full: process(N2P_FIFO_vc_write_pointer, N2P_FIFO_vc_read_pointer) begin if N2P_FIFO_vc_read_pointer = N2P_FIFO_vc_write_pointer then N2P_empty_vc <= '1'; else N2P_empty_vc <= '0'; end if; if N2P_FIFO_vc_write_pointer = N2P_FIFO_vc_read_pointer-1 then N2P_full_vc <= '1'; else N2P_full_vc <= '0'; end if; end process; -------------------------------------------------------------------------------- date_rd: process(N2P_read_en, N2P_Data_out, old_address, flag_register) begin if (old_address = reserved_address and N2P_read_en = '1') or (old_address = reserved_address_vc and N2P_read_en_vc = '1') then data_read <= N2P_Data_out; data_read <= N2P_Data_out; elsif old_address = flag_address then data_read <= flag_register; elsif old_address = counter_address then data_read <= "000000000000000000000000000000" & counter_register; else data_read <= (others => 'U'); end if; end process; -- we have to double check if we need this counter process(N2P_write_en, N2P_read_en, RX, N2P_Data_out)begin counter_register_in <= counter_register; if N2P_write_en = '1' and RX(31 downto 29) = "001" and N2P_read_en = '1' and N2P_Data_out(31 downto 29) = "100" then counter_register_in <= counter_register; elsif N2P_write_en = '1' and RX(31 downto 29) = "001" then counter_register_in <= counter_register +1; elsif N2P_read_en = '1' and N2P_Data_out(31 downto 29) = "100" then counter_register_in <= counter_register -1; end if; if N2P_write_en_vc = '1' and RX(31 downto 29) = "001" and N2P_read_en_vc = '1' and N2P_Data_out(31 downto 29) = "100" then counter_register_in <= counter_register; elsif N2P_write_en_vc = '1' and RX(31 downto 29) = "001" then counter_register_in <= counter_register +1; elsif N2P_write_en_vc = '1' and N2P_Data_out(31 downto 29) = "100" then counter_register_in <= counter_register -1; end if; end process; flag_register_in <= N2P_empty & P2N_full & N2P_empty_vc & "00000000000000000000000000000"; irq_out <= '0'; end; --architecture logic
package pack is function nested(x : integer) return integer; end package; package body pack is function nested(x : integer) return integer is variable result : integer; procedure add1 is begin result := result + 1; end procedure; begin result := x; add1; add1; return result; end function; end package body; entity ffold2 is end entity; use work.pack.all; architecture test of ffold2 is begin b1: block is constant c1 : integer := nested(1); -- 3 begin end block; end architecture;
package pack is function nested(x : integer) return integer; end package; package body pack is function nested(x : integer) return integer is variable result : integer; procedure add1 is begin result := result + 1; end procedure; begin result := x; add1; add1; return result; end function; end package body; entity ffold2 is end entity; use work.pack.all; architecture test of ffold2 is begin b1: block is constant c1 : integer := nested(1); -- 3 begin end block; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3178.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c14s01b00x00p64n01i03178ent IS END c14s01b00x00p64n01i03178ent; ARCHITECTURE c14s01b00x00p64n01i03178arch OF c14s01b00x00p64n01i03178ent IS subtype fourbit is integer range 0 to 15; subtype roufbit is integer range 15 downto 0; BEGIN TESTING: PROCESS BEGIN assert NOT( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***PASSED TEST: c14s01b00x00p64n01i03178" severity NOTE; assert ( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***FAILED TEST: c14s01b00x00p64n01i03178 - Predefined attribute SUCC for integer subtype test failed." severity ERROR; wait; END PROCESS TESTING; END c14s01b00x00p64n01i03178arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3178.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c14s01b00x00p64n01i03178ent IS END c14s01b00x00p64n01i03178ent; ARCHITECTURE c14s01b00x00p64n01i03178arch OF c14s01b00x00p64n01i03178ent IS subtype fourbit is integer range 0 to 15; subtype roufbit is integer range 15 downto 0; BEGIN TESTING: PROCESS BEGIN assert NOT( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***PASSED TEST: c14s01b00x00p64n01i03178" severity NOTE; assert ( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***FAILED TEST: c14s01b00x00p64n01i03178 - Predefined attribute SUCC for integer subtype test failed." severity ERROR; wait; END PROCESS TESTING; END c14s01b00x00p64n01i03178arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3178.vhd,v 1.2 2001-10-26 16:29:52 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c14s01b00x00p64n01i03178ent IS END c14s01b00x00p64n01i03178ent; ARCHITECTURE c14s01b00x00p64n01i03178arch OF c14s01b00x00p64n01i03178ent IS subtype fourbit is integer range 0 to 15; subtype roufbit is integer range 15 downto 0; BEGIN TESTING: PROCESS BEGIN assert NOT( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***PASSED TEST: c14s01b00x00p64n01i03178" severity NOTE; assert ( fourbit'succ(0) = 1 and roufbit'succ(0) = 1 ) report "***FAILED TEST: c14s01b00x00p64n01i03178 - Predefined attribute SUCC for integer subtype test failed." severity ERROR; wait; END PROCESS TESTING; END c14s01b00x00p64n01i03178arch;
-- $Id$ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_w11a_mb -- Description: Configuration for tb_w11a_mb for tb_avmb_fusp -- -- Dependencies: sys_w11a_mb -- -- To test: sys_w11a_mb -- -- XXXVerified (with (#1) ../../tb/tb_rritba_pdp11core_stim.dat -- (#2) ../../tb/tb_pdp11_core_stim.dat): -- Date Rev Code ghdl ise Target Comment -- -- Revision History: -- Date Rev Version Comment -- 2012-02-24 ??? 1.0 Initial version ------------------------------------------------------------------------------ configuration tb_w11a_mb of tb_avmb_fusp is for sim for all : avmb_fusp_aif use entity work.sys_w11a_mb; end for; end for; end tb_w11a_mb;
library verilog; use verilog.vl_types.all; entity EX_MEM_Seg is port( Clk : in vl_logic; stall : in vl_logic; flush : in vl_logic; Branch_addr : in vl_logic_vector(31 downto 0); PC_add : in vl_logic_vector(31 downto 0); Condition : in vl_logic_vector(2 downto 0); Branch : in vl_logic; PC_write : in vl_logic_vector(2 downto 0); Mem_Byte_Write : in vl_logic_vector(3 downto 0); Rd_Write_Byte_en: in vl_logic_vector(3 downto 0); MemWBSrc : in vl_logic; OverflowEn : in vl_logic; MemData : in vl_logic_vector(31 downto 0); WBData : in vl_logic_vector(31 downto 0); Less : in vl_logic; Zero : in vl_logic; Overflow : in vl_logic; Rd : in vl_logic_vector(4 downto 0); Branch_addr_out : out vl_logic_vector(31 downto 0); PC_add_out : out vl_logic_vector(31 downto 0); Condition_out : out vl_logic_vector(2 downto 0); Branch_out : out vl_logic; PC_write_out : out vl_logic_vector(2 downto 0); Mem_Byte_Write_out: out vl_logic_vector(3 downto 0); Rd_Write_Byte_en_out: out vl_logic_vector(3 downto 0); MemWBSrc_out : out vl_logic; OverflowEn_out : out vl_logic; MemData_out : out vl_logic_vector(31 downto 0); WBData_out : out vl_logic_vector(31 downto 0); Less_out : out vl_logic; Zero_out : out vl_logic; Overflow_out : out vl_logic; Rd_out : out vl_logic_vector(4 downto 0) ); end EX_MEM_Seg;
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: MemoTableTNPCTag.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; use work.Constants.all; use work.DefTypes.all; ENTITY MemoTableTNPCTagWay IS --ENTITY TraceMemory IS PORT ( Clock : IN STD_LOGIC := '1'; WAddress : IN STD_LOGIC_VECTOR (MemoTableTWayAddressLenght-1 DOWNTO 0); WData : IN MemoTableTNPCTagEntry; --WData : IN STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0); WEnable : IN STD_LOGIC := '0'; RAddress : IN STD_LOGIC_VECTOR (MemoTableTWayAddressLenght-1 DOWNTO 0); RData : OUT MemoTableTNPCTagEntry --RData : OUT STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0) ); END MemoTableTNPCTagWay; --END TraceMemory; ARCHITECTURE SYN OF MemoTableTNPCTagWay IS --ARCHITECTURE SYN OF TraceMemory IS SIGNAL RAuxVector : STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0); SIGNAL WAuxObject : MemoTableTNPCTagEntry; SIGNAL WAuxVector : STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0); COMPONENT altsyncram GENERIC ( address_reg_b : STRING; clock_enable_input_a : STRING; clock_enable_input_b : STRING; clock_enable_output_a : STRING; clock_enable_output_b : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_b : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; read_during_write_mode_mixed_ports : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a: IN STD_LOGIC_VECTOR (MemoTableTWayAddressLenght-1 DOWNTO 0); clock0 : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (MemoTableTNPCTagEntryWidth-1 DOWNTO 0); wren_a : IN STD_LOGIC; address_b: IN STD_LOGIC_VECTOR (MemoTableTWayAddressLenght-1 DOWNTO 0) ); END COMPONENT; BEGIN --RData <= RAuxVector; RData <= StdLogicToNPCTag(RAuxVector); --WAuxVector <= WData; WAuxObject.Valid <= '1'; WAuxObject.NPC <= WData.NPC; WAuxObject.Tag <= WData.Tag; WAuxVector <= NPCTagToStdLogic(WAuxObject); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", intended_device_family => "Cyclone II", lpm_type => "altsyncram", numwords_a => MemoTableTWayLenght, numwords_b => MemoTableTWayLenght, operation_mode => "DUAL_PORT", outdata_aclr_b => "NONE", outdata_reg_b => "CLOCK0", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "DONT_CARE", widthad_a => MemoTableTWayAddressLenght, widthad_b => MemoTableTWayAddressLenght, width_a => MemoTableTNPCTagEntryWidth, width_b => MemoTableTNPCTagEntryWidth, width_byteena_a => 1 ) PORT MAP ( address_a => WAddress, clock0 => Clock, data_a => WAuxVector, wren_a => WEnable, address_b => RAddress, q_b => RAuxVector ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "0" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "4096" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "1" -- Retrieval info: PRIVATE: MIFfilename STRING "" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "1" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1" -- Retrieval info: PRIVATE: REGrren NUMERIC "1" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "64" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "64" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "64" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "64" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "64" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "64" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "6" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "6" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "64" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "64" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data 0 0 64 0 INPUT NODEFVAL "data[MemoTableTNPCTagEntryWidth-1..0]" -- Retrieval info: USED_PORT: q 0 0 64 0 OUTPUT NODEFVAL "q[MemoTableTNPCTagEntryWidth-1..0]" -- Retrieval info: USED_PORT: rdaddress 0 0 6 0 INPUT NODEFVAL "rdaddress[MemoTableTWayAddressLenght-1..0]" -- Retrieval info: USED_PORT: wraddress 0 0 6 0 INPUT NODEFVAL "wraddress[MemoTableTWayAddressLenght-1..0]" -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren" -- Retrieval info: CONNECT: @address_a 0 0 6 0 wraddress 0 0 6 0 -- Retrieval info: CONNECT: @address_b 0 0 6 0 rdaddress 0 0 6 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 64 0 data 0 0 64 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 64 0 @q_b 0 0 64 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL MemoTableTNPCTag_syn.v TRUE -- Retrieval info: LIB_FILE: altera_mf
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity dynroiBinMask is generic ( CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic; --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end dynroiBinMask; architecture rtl of dynroiBinMask is component dynroiBinMask_process generic ( CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; status_reg_bypass_bit : in std_logic; in_size_reg_in_w_reg : in std_logic_vector(11 downto 0); in_size_reg_in_h_reg : in std_logic_vector(11 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end component; component dynroiBinMask_slave generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; status_reg_bypass_bit : out std_logic; in_size_reg_in_w_reg : out std_logic_vector(11 downto 0); in_size_reg_in_h_reg : out std_logic_vector(11 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end component; signal status_reg_enable_bit : std_logic; signal status_reg_bypass_bit : std_logic; signal in_size_reg_in_w_reg : std_logic_vector (11 downto 0); signal in_size_reg_in_h_reg : std_logic_vector (11 downto 0); begin dynroiBinMask_process_inst : dynroiBinMask_process generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ, IN_SIZE => IN_SIZE, OUT_SIZE => OUT_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, status_reg_bypass_bit => status_reg_bypass_bit, in_size_reg_in_w_reg => in_size_reg_in_w_reg, in_size_reg_in_h_reg => in_size_reg_in_h_reg, in_data => in_data, in_fv => in_fv, in_dv => in_dv, out_data => out_data, out_fv => out_fv, out_dv => out_dv ); dynroiBinMask_slave_inst : dynroiBinMask_slave generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, status_reg_bypass_bit => status_reg_bypass_bit, in_size_reg_in_w_reg => in_size_reg_in_w_reg, in_size_reg_in_h_reg => in_size_reg_in_h_reg, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o ); end rtl;
-- ################################################################################################# -- # << NEO430 - Processor Package >> # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- # ********************************************************************************************* # -- # The NEO430 Processor - https://github.com/stnolting/neo430 # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package neo430_package is -- Processor Hardware Version ------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- constant hw_version_c : std_ulogic_vector(15 downto 0) := x"0408"; -- no touchy! -- Danger Zone (Advanced Hardware Configuration) ------------------------------------------ -- ------------------------------------------------------------------------------------------- constant use_dsp_mul_c : boolean := false; -- use DSP blocks for MULDIV's multiplication core (default=false) constant use_xalu_c : boolean := false; -- implement extended ALU function (default=false) constant low_power_mode_c : boolean := false; -- can reduce switching activity, but will also decrease f_max and might increase area (default=false) -- Internal Functions --------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function index_size_f(input : natural) return natural; function is_power_of_two_f(num : natural; bit_width : natural) return boolean; function bit_reversal_f(input : std_ulogic_vector) return std_ulogic_vector; function set_bits_f(input : std_ulogic_vector) return natural; function leading_zeros_f(input : std_ulogic_vector) return natural; function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural; function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector; function bool_to_ulogic_f(cond : boolean) return std_ulogic; function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector; function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector; function int_to_hexchar_f(input : integer) return character; function or_all_f(a : std_ulogic_vector) return std_ulogic; function and_all_f(a : std_ulogic_vector) return std_ulogic; function xor_all_f(a : std_ulogic_vector) return std_ulogic; -- Address Space Layout (make sure this is always sync with neo430.h) --------------------- -- ------------------------------------------------------------------------------------------- -- Main Memory: IMEM(ROM/RAM) -- constant imem_base_c : std_ulogic_vector(15 downto 0) := x"0000"; -- base address, fixed! constant imem_max_size_c : natural := 48*1024; -- bytes, fixed! -- Main Memory: DMEM(RAM) -- constant dmem_base_c : std_ulogic_vector(15 downto 0) := x"C000"; -- base address, fixed! constant dmem_max_size_c : natural := 12*1024; -- bytes, fixed! -- Boot ROM -- constant boot_base_c : std_ulogic_vector(15 downto 0) := x"F000"; -- bootloader base address, fixed! constant boot_size_c : natural := 2*1024; -- bytes, max 2048 bytes! constant boot_max_size_c : natural := 2*1024; -- bytes, fixed! -- IO: Peripheral Devices ("IO") Area -- -- Each device must use 2 bytes or a multiple of 2 bytes as address space! -- CONTROL register(s) (including the device enable) must be located at the base address of the device! constant io_base_c : std_ulogic_vector(15 downto 0) := x"FF80"; constant io_size_c : natural := 128; -- bytes, fixed! -- IO: Multiplier/Divider Unit (MULDIV) -- constant muldiv_base_c : std_ulogic_vector(15 downto 0) := x"FF80"; constant muldiv_size_c : natural := 8; -- bytes constant muldiv_opa_resx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(muldiv_base_c) + x"0000"); constant muldiv_opb_umul_resy_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(muldiv_base_c) + x"0002"); constant muldiv_opb_smul_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(muldiv_base_c) + x"0004"); constant muldiv_opb_udiv_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(muldiv_base_c) + x"0006"); -- IO: Frequency Generator (FREQ_GEN) -- constant freq_gen_base_c : std_ulogic_vector(15 downto 0) := x"FF88"; constant freq_gen_size_c : natural := 8; -- bytes constant freq_gen_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(freq_gen_base_c) + x"0000"); constant freq_gen_tw_ch0_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(freq_gen_base_c) + x"0002"); constant freq_gen_tw_ch1_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(freq_gen_base_c) + x"0004"); constant freq_gen_tw_ch2_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(freq_gen_base_c) + x"0006"); -- IO: Wishbone32 Interface (WB32) -- constant wb32_base_c : std_ulogic_vector(15 downto 0) := x"FF90"; constant wb32_size_c : natural := 16; -- bytes constant wb32_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"0000"); constant wb32_rd_adr_lo_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"0002"); constant wb32_rd_adr_hi_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"0004"); constant wb32_wr_adr_lo_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"0006"); constant wb32_wr_adr_hi_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"0008"); constant wb32_data_lo_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"000A"); constant wb32_data_hi_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"000C"); --constant wb32_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wb32_base_c) + x"000E"); -- IO: Universal asynchronous receiver and transmitter (UART) -- constant uart_base_c : std_ulogic_vector(15 downto 0) := x"FFA0"; constant uart_size_c : natural := 4; -- bytes constant uart_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(uart_base_c) + x"0000"); constant uart_rtx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(uart_base_c) + x"0002"); -- IO: Serial Peripheral Interface (SPI) -- constant spi_base_c : std_ulogic_vector(15 downto 0) := x"FFA4"; constant spi_size_c : natural := 4; -- bytes constant spi_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(spi_base_c) + x"0000"); constant spi_rtx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(spi_base_c) + x"0002"); -- IO: General purpose input/output port (GPIO) -- constant gpio_base_c : std_ulogic_vector(15 downto 0) := x"FFA8"; constant gpio_size_c : natural := 8; -- bytes constant gpio_irqmask_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(gpio_base_c) + x"0000"); constant gpio_in_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(gpio_base_c) + x"0002"); constant gpio_out_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(gpio_base_c) + x"0004"); --constant gpio_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(gpio_base_c) + x"0006"); -- IO: High-Precision Timer (TIMER) -- constant timer_base_c : std_ulogic_vector(15 downto 0) := x"FFB0"; constant timer_size_c : natural := 8; -- bytes constant timer_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(timer_base_c) + x"0000"); constant timer_cnt_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(timer_base_c) + x"0002"); constant timer_thres_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(timer_base_c) + x"0004"); --constant timer_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(timer_base_c) + x"0006"); -- IO: Watchdog Timer (WDT) -- constant wdt_base_c : std_ulogic_vector(15 downto 0) := x"FFB8"; constant wdt_size_c : natural := 2; -- bytes constant wdt_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(wdt_base_c) + x"0000"); -- IO: Cyclic Redundancy Check (CRC) -- constant crc_base_c : std_ulogic_vector(15 downto 0) := x"FFC0"; constant crc_size_c : natural := 16; -- bytes constant crc_poly_lo_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"0000"); constant crc_poly_hi_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"0002"); constant crc_crc16_in_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"0004"); constant crc_crc32_in_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"0006"); --constant crc_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"0008"); --constant crc_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"000A"); constant crc_resx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"000C"); constant crc_resy_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(crc_base_c) + x"000E"); -- IO: Custom Functions Unit (CFU) -- constant cfu_base_c : std_ulogic_vector(15 downto 0) := x"FFD0"; constant cfu_size_c : natural := 16; -- bytes constant cfu_reg0_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"0000"); constant cfu_reg1_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"0002"); constant cfu_reg2_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"0004"); constant cfu_reg3_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"0006"); constant cfu_reg4_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"0008"); constant cfu_reg5_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"000A"); constant cfu_reg6_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"000C"); constant cfu_reg7_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(cfu_base_c) + x"000E"); -- IO: Pulse-Width Modulation Controller (PWM) -- constant pwm_base_c : std_ulogic_vector(15 downto 0) := x"FFE0"; constant pwm_size_c : natural := 8; -- bytes constant pwm_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(pwm_base_c) + x"0000"); constant pwm_ch10_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(pwm_base_c) + x"0002"); constant pwm_ch32_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(pwm_base_c) + x"0004"); --constant pwm_???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(pwm_base_c) + x"0006"); -- IO: Two Wire Serial Interface (TWI) -- constant twi_base_c : std_ulogic_vector(15 downto 0) := x"FFE8"; constant twi_size_c : natural := 4; -- bytes constant twi_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(twi_base_c) + x"0000"); constant twi_rtx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(twi_base_c) + x"0002"); -- IO: True Random Number Generator (TRNG) -- constant trng_base_c : std_ulogic_vector(15 downto 0) := x"FFEC"; constant trng_size_c : natural := 2; -- bytes constant trng_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(trng_base_c) + x"0000"); -- IO: External Interrupts Controller (EXIRQ) -- constant exirq_base_c : std_ulogic_vector(15 downto 0) := x"FFEE"; constant exirq_size_c : natural := 2; -- bytes constant exirq_ctrl_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(exirq_base_c) + x"0000"); -- IO: System Configuration (SYSCONFIG) -- constant sysconfig_base_c : std_ulogic_vector(15 downto 0) := x"FFF0"; constant sysconfig_size_c : natural := 16; -- bytes -- Clock Generator ------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- constant clk_div2_c : natural := 0; constant clk_div4_c : natural := 1; constant clk_div8_c : natural := 2; constant clk_div64_c : natural := 3; constant clk_div128_c : natural := 4; constant clk_div1024_c : natural := 5; constant clk_div2048_c : natural := 6; constant clk_div4096_c : natural := 7; -- Register Addresses --------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- constant reg_pc_c : std_ulogic_vector(3 downto 0) := x"0"; -- program counter constant reg_sp_c : std_ulogic_vector(3 downto 0) := x"1"; -- stack pointer constant reg_sr_c : std_ulogic_vector(3 downto 0) := x"2"; -- status register constant reg_cg_c : std_ulogic_vector(3 downto 0) := x"3"; -- constant generator -- Status Register ------------------------------------------------------------------------ -- ------------------------------------------------------------------------------------------- constant sreg_c_c : natural := 0; -- r/w: carry flag constant sreg_z_c : natural := 1; -- r/w: zero flag constant sreg_n_c : natural := 2; -- r/w: negative flag constant sreg_i_c : natural := 3; -- r/w: global interrupt enable constant sreg_s_c : natural := 4; -- r/w: CPU sleep flag constant sreg_p_c : natural := 5; -- r/w: parity flag constant sreg_v_c : natural := 8; -- r/w: overflow flag constant sreg_q_c : natural := 14; -- -/w: clear pending IRQ buffer when set constant sreg_r_c : natural := 15; -- r/w: enable write access to IMEM (ROM) when set -- ALU Flag Bus --------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- constant flag_c_c : natural := 0; -- carry flag constant flag_z_c : natural := 1; -- zero flag constant flag_n_c : natural := 2; -- negative flag constant flag_v_c : natural := 3; -- overflow flag constant flag_p_c : natural := 4; -- parity flag -- Main Control Bus ----------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- -- register file -- constant ctrl_rf_in_sel_c : natural := 0; -- input source constant ctrl_rf_adr0_c : natural := 1; -- source/destination register address bit 0 constant ctrl_rf_adr1_c : natural := 2; -- source/destination register address bit 1 constant ctrl_rf_adr2_c : natural := 3; -- source/destination register address bit 2 constant ctrl_rf_adr3_c : natural := 4; -- source/destination register address bit 3 constant ctrl_rf_as0_c : natural := 5; -- source addressing mode bit 0 constant ctrl_rf_as1_c : natural := 6; -- source addressing mode bit 1 constant ctrl_rf_fup_c : natural := 7; -- update ALU flags constant ctrl_rf_wb_en_c : natural := 8; -- enable RF write back constant ctrl_rf_dsleep_c : natural := 9; -- disable sleep mode constant ctrl_rf_dgie_c : natural := 10; -- disable global interrupt enable constant ctrl_rf_boot_c : natural := 11; -- inject PC boot address -- alu -- constant ctrl_alu_in_sel_c : natural := 12; -- ALU OP input select constant ctrl_alu_opa_wr_c : natural := 13; -- write ALU operand A constant ctrl_alu_opb_wr_c : natural := 14; -- write ALU operand B constant ctrl_alu_cmd0_c : natural := 15; -- ALU command bit 0 constant ctrl_alu_cmd1_c : natural := 16; -- ALU command bit 1 constant ctrl_alu_cmd2_c : natural := 17; -- ALU command bit 2 constant ctrl_alu_cmd3_c : natural := 18; -- ALU command bit 3 constant ctrl_alu_bw_c : natural := 19; -- byte(1)/word(0) operation -- address generator -- constant ctrl_adr_off0_c : natural := 20; -- address offset selection bit 0 constant ctrl_adr_off1_c : natural := 21; -- address offset selection bit 1 constant ctrl_adr_off2_c : natural := 22; -- address offset selection bit 2 constant ctrl_adr_mar_sel_c : natural := 23; -- select input for MAR constant ctrl_adr_bp_en_c : natural := 24; -- mem addr output select, 0:MAR, 1:bypass constant ctrl_adr_ivec_oe_c : natural := 25; -- output IRQ if 1, else output PC constant ctrl_adr_mar_wr_c : natural := 26; -- write MAR -- memory interface -- constant ctrl_mem_wr_c : natural := 27; -- write to memory constant ctrl_mem_rd_c : natural := 28; -- read from memory -- bus size -- constant ctrl_width_c : natural := 29; -- control bus size -- Condition Codes ------------------------------------------------------------------------ -- ------------------------------------------------------------------------------------------- constant cond_ne_c : std_ulogic_vector(2 downto 0) := "000"; -- not equal constant cond_eq_c : std_ulogic_vector(2 downto 0) := "001"; -- equal constant cond_lo_c : std_ulogic_vector(2 downto 0) := "010"; -- lower constant cond_hs_c : std_ulogic_vector(2 downto 0) := "011"; -- higher or same constant cond_mi_c : std_ulogic_vector(2 downto 0) := "100"; -- negative constant cond_ge_c : std_ulogic_vector(2 downto 0) := "101"; -- greater or equal constant cond_le_c : std_ulogic_vector(2 downto 0) := "110"; -- less constant cond_al_c : std_ulogic_vector(2 downto 0) := "111"; -- always -- ALU Function Codes --------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- constant alu_rrc_c : std_ulogic_vector(3 downto 0) := "0000"; -- r <= a >>> 1, rotate right through carry constant alu_swap_c : std_ulogic_vector(3 downto 0) := "0001"; -- r <= swap bytes of a constant alu_rra_c : std_ulogic_vector(3 downto 0) := "0010"; -- r <= a >>> 1, rotate right arithmetically constant alu_sxt_c : std_ulogic_vector(3 downto 0) := "0011"; -- r <= a, sign extend byte constant alu_mov_c : std_ulogic_vector(3 downto 0) := "0100"; -- r <= a constant alu_add_c : std_ulogic_vector(3 downto 0) := "0101"; -- r <= a + b constant alu_addc_c : std_ulogic_vector(3 downto 0) := "0110"; -- r <= a + b + carry constant alu_subc_c : std_ulogic_vector(3 downto 0) := "0111"; -- r <= b - a - 1 + carry constant alu_sub_c : std_ulogic_vector(3 downto 0) := "1000"; -- r <= b - a constant alu_cmp_c : std_ulogic_vector(3 downto 0) := "1001"; -- b - a (no write back) --constant alu_dadd_c : std_ulogic_vector(3 downto 0) := "1010"; -- r <= a + b (BCD) [NOT SUPPORTED!] constant alu_bit_c : std_ulogic_vector(3 downto 0) := "1011"; -- a & b (no write back) constant alu_bic_c : std_ulogic_vector(3 downto 0) := "1100"; -- r <= !a & b constant alu_bis_c : std_ulogic_vector(3 downto 0) := "1101"; -- r <= a | b constant alu_xor_c : std_ulogic_vector(3 downto 0) := "1110"; -- r <= a xor b constant alu_and_c : std_ulogic_vector(3 downto 0) := "1111"; -- r <= a & b -- The Core of the Problem: NEO430 Processor Top Entity ----------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_top generic ( -- general configuration -- CLOCK_SPEED : natural := 100000000; -- main clock in Hz IMEM_SIZE : natural := 4*1024; -- internal IMEM size in bytes, max 32kB (default=4kB) DMEM_SIZE : natural := 2*1024; -- internal DMEM size in bytes, max 28kB (default=2kB) -- additional configuration -- USER_CODE : std_ulogic_vector(15 downto 0) := x"0000"; -- custom user code -- module configuration -- MULDIV_USE : boolean := true; -- implement multiplier/divider unit? (default=true) WB32_USE : boolean := true; -- implement WB32 unit? (default=true) WDT_USE : boolean := true; -- implement WDT? (default=true) GPIO_USE : boolean := true; -- implement GPIO unit? (default=true) TIMER_USE : boolean := true; -- implement timer? (default=true) UART_USE : boolean := true; -- implement UART? (default=true) CRC_USE : boolean := true; -- implement CRC unit? (default=true) CFU_USE : boolean := false; -- implement custom functions unit? (default=false) PWM_USE : boolean := true; -- implement PWM controller? (default=true) TWI_USE : boolean := true; -- implement two wire serial interface? (default=true) SPI_USE : boolean := true; -- implement SPI? (default=true) TRNG_USE : boolean := false; -- implement TRNG? (default=false) EXIRQ_USE : boolean := true; -- implement EXIRQ? (default=true) FREQ_GEN_USE : boolean := true; -- implement FREQ_GEN? (default=true) -- boot configuration -- BOOTLD_USE : boolean := true; -- implement and use bootloader? (default=true) IMEM_AS_ROM : boolean := false -- implement IMEM as read-only memory? (default=false) ); port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge rst_i : in std_ulogic; -- global reset, async, low-active -- gpio -- gpio_o : out std_ulogic_vector(15 downto 0); -- parallel output gpio_i : in std_ulogic_vector(15 downto 0); -- parallel input -- pwm channels -- pwm_o : out std_ulogic_vector(03 downto 0); -- pwm channels -- arbitrary frequency generator -- freq_gen_o : out std_ulogic_vector(02 downto 0); -- programmable frequency output -- serial com -- uart_txd_o : out std_ulogic; -- UART send data uart_rxd_i : in std_ulogic; -- UART receive data spi_sclk_o : out std_ulogic; -- serial clock line spi_mosi_o : out std_ulogic; -- serial data line out spi_miso_i : in std_ulogic; -- serial data line in spi_cs_o : out std_ulogic_vector(05 downto 0); -- SPI CS twi_sda_io : inout std_logic; -- twi serial data line twi_scl_io : inout std_logic; -- twi serial clock line -- 32-bit wishbone interface -- wb_adr_o : out std_ulogic_vector(31 downto 0); -- address wb_dat_i : in std_ulogic_vector(31 downto 0); -- read data wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data wb_we_o : out std_ulogic; -- read/write wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable wb_stb_o : out std_ulogic; -- strobe wb_cyc_o : out std_ulogic; -- valid cycle wb_ack_i : in std_ulogic; -- transfer acknowledge -- external interrupts -- ext_irq_i : in std_ulogic_vector(07 downto 0); -- external interrupt request lines ext_ack_o : out std_ulogic_vector(07 downto 0) -- external interrupt request acknowledges ); end component; -- Component: CPU Control ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_control port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge rst_i : in std_ulogic; -- global reset, low-active, async -- memory interface -- instr_i : in std_ulogic_vector(15 downto 0); -- instruction word from memory -- control -- sreg_i : in std_ulogic_vector(15 downto 0); -- current status register ctrl_o : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- control signals irq_vec_o : out std_ulogic_vector(01 downto 0); -- irq channel address imm_o : out std_ulogic_vector(15 downto 0); -- branch offset -- irq lines -- irq_i : in std_ulogic_vector(03 downto 0) -- IRQ lines ); end component; -- Component: Register File --------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_reg_file generic ( BOOTLD_USE : boolean := true; -- implement and use bootloader? IMEM_AS_ROM : boolean := false -- implement IMEM as read-only memory? ); port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge rst_i : in std_ulogic; -- global reset, low-active, async -- data input -- alu_i : in std_ulogic_vector(15 downto 0); -- data from alu addr_i : in std_ulogic_vector(15 downto 0); -- data from addr unit flag_i : in std_ulogic_vector(04 downto 0); -- new ALU flags -- control -- ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- data output -- data_o : out std_ulogic_vector(15 downto 0); -- read data sreg_o : out std_ulogic_vector(15 downto 0) -- current SR ); end component; -- Component: Data ALU -------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_alu port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge -- operands -- reg_i : in std_ulogic_vector(15 downto 0); -- data from reg file mem_i : in std_ulogic_vector(15 downto 0); -- data from memory sreg_i : in std_ulogic_vector(15 downto 0); -- current SR -- control -- ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- results -- data_o : out std_ulogic_vector(15 downto 0); -- result flag_o : out std_ulogic_vector(04 downto 0) -- new ALU flags ); end component; -- Component: Address Generator ----------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_addr_gen port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge -- data input -- reg_i : in std_ulogic_vector(15 downto 0); -- reg file input mem_i : in std_ulogic_vector(15 downto 0); -- memory input imm_i : in std_ulogic_vector(15 downto 0); -- branch offset irq_sel_i : in std_ulogic_vector(01 downto 0); -- IRQ vector -- control -- ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- data output -- mem_addr_o : out std_ulogic_vector(15 downto 0); -- memory address dwb_o : out std_ulogic_vector(15 downto 0) -- data write back output ); end component; -- Component: CPU core -------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_cpu generic ( BOOTLD_USE : boolean := true; -- implement and use bootloader? IMEM_AS_ROM : boolean := false -- implement IMEM as read-only memory? ); port( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge rst_i : in std_ulogic; -- global reset, low-active, async -- memory interface -- mem_rd_o : out std_ulogic; -- memory read mem_imwe_o : out std_ulogic; -- allow writing to IMEM mem_wr_o : out std_ulogic_vector(01 downto 0); -- memory write mem_addr_o : out std_ulogic_vector(15 downto 0); -- address mem_data_o : out std_ulogic_vector(15 downto 0); -- write data mem_data_i : in std_ulogic_vector(15 downto 0); -- read data -- interrupt system -- irq_i : in std_ulogic_vector(03 downto 0) -- interrupt requests ); end component; -- Component: Instruction Memory RAM (IMEM) ----------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_imem generic ( IMEM_SIZE : natural := 4*1024; -- internal IMEM size in bytes IMEM_AS_ROM : boolean := false; -- implement IMEM as read-only memory? BOOTLD_USE : boolean := true -- implement and use bootloader? ); port ( clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic_vector(01 downto 0); -- write enable upen_i : in std_ulogic; -- update enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: Data Memory RAM (DMEM) ------------------------------------------------------ -- ------------------------------------------------------------------------------------------- component neo430_dmem generic ( DMEM_SIZE : natural := 2*1024 -- internal DMEM size in bytes ); port ( clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic_vector(01 downto 0); -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: Bootloader ROM -------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_boot_rom port ( clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: Multiplier/Divider (MULDIV) ------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_muldiv port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: 32bit Wishbone Interface (WB32) --------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_wb_interface port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- wishbone interface -- wb_adr_o : out std_ulogic_vector(31 downto 0); -- address wb_dat_i : in std_ulogic_vector(31 downto 0); -- read data wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data wb_we_o : out std_ulogic; -- read/write wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable wb_stb_o : out std_ulogic; -- strobe wb_cyc_o : out std_ulogic; -- valid cycle wb_ack_i : in std_ulogic -- transfer acknowledge ); end component; -- Component: Universal Asynchornous Receiver/Transmitter (UART) -------------------------- -- ------------------------------------------------------------------------------------------- component neo430_uart port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- com lines -- uart_txd_o : out std_ulogic; uart_rxd_i : in std_ulogic; -- interrupts -- uart_irq_o : out std_ulogic -- uart rx/tx interrupt ); end component; -- Component: Serial Peripheral Interface (SPI) ------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_spi port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- com lines -- spi_sclk_o : out std_ulogic; -- SPI serial clock spi_mosi_o : out std_ulogic; -- SPI master out, slave in spi_miso_i : in std_ulogic; -- SPI master in, slave out spi_cs_o : out std_ulogic_vector(05 downto 0); -- SPI CS -- interrupt -- spi_irq_o : out std_ulogic -- transmission done interrupt ); end component; -- Component: General Purpose Input/Ouput Controller (GPIO) ------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_gpio port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- parallel io -- gpio_o : out std_ulogic_vector(15 downto 0); gpio_i : in std_ulogic_vector(15 downto 0); -- GPIO PWM -- gpio_pwm_i : in std_ulogic; -- interrupt -- irq_o : out std_ulogic ); end component; -- Component: High-Precision Timer (TIMER) ------------------------------------------------ -- ------------------------------------------------------------------------------------------- component neo430_timer port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- interrupt -- irq_o : out std_ulogic -- interrupt request ); end component; -- Component: Watchdog Timer (WDT) -------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_wdt port ( -- host access -- clk_i : in std_ulogic; -- global clock line rst_i : in std_ulogic; -- global (external) reset, low-active, use as async rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- system reset -- rst_o : out std_ulogic -- timeout reset, low_active, use as async ); end component; -- Component: Cyclic Redundancy Check Unit (CRC)------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_crc port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: Custom Functions Unit (CFU) ------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_cfu port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0) -- custom IOs -- -- ... ); end component; -- Component: PWM Controller (PWM) -------------------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_pwm port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- GPIO output PWM -- gpio_pwm_o : out std_ulogic; -- pwm output channels -- pwm_o : out std_ulogic_vector(03 downto 0) ); end component; -- Component: Serial Two Wire Interfcae (TWI) --------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_twi port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- com lines -- twi_sda_io : inout std_logic; -- serial data line twi_scl_io : inout std_logic; -- serial clock line -- interrupt -- twi_irq_o : out std_ulogic -- transfer done IRQ ); end component; -- Component: True Random Number Generator (TRNG) ----------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_trng port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; -- Component: External Interrupts Controller (EXIRQ) -------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_exirq port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- cpu interrupt -- cpu_irq_o : out std_ulogic; -- external interrupt lines -- ext_irq_i : in std_ulogic_vector(7 downto 0); -- IRQ ext_ack_o : out std_ulogic_vector(7 downto 0) -- acknowledge ); end component; -- Component: Arbitrary Frequency Generator (FREG_GEN)) ----------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_freq_gen port ( -- host access -- clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0); -- data out -- clock generator -- clkgen_en_o : out std_ulogic; -- enable clock generator clkgen_i : in std_ulogic_vector(07 downto 0); -- frequency generator -- freq_gen_o : out std_ulogic_vector(02 downto 0) -- programmable frequency output ); end component; -- Component: System Configuration (SYSCONFIG) -------------------------------------------- -- ------------------------------------------------------------------------------------------- component neo430_sysconfig generic ( -- general configuration -- CLOCK_SPEED : natural := 100000000; -- main clock in Hz IMEM_SIZE : natural := 4*1024; -- internal IMEM size in bytes DMEM_SIZE : natural := 2*1024; -- internal DMEM size in bytes -- additional configuration -- USER_CODE : std_ulogic_vector(15 downto 0) := x"0000"; -- custom user code -- module configuration -- MULDIV_USE : boolean := true; -- implement multiplier/divider unit? WB32_USE : boolean := true; -- implement WB32 unit? WDT_USE : boolean := true; -- implement WDT? GPIO_USE : boolean := true; -- implement GPIO unit? TIMER_USE : boolean := true; -- implement timer? UART_USE : boolean := true; -- implement UART? CRC_USE : boolean := true; -- implement CRC unit? CFU_USE : boolean := true; -- implement CF unit? PWM_USE : boolean := true; -- implement PWM controller? TWI_USE : boolean := true; -- implement TWI? SPI_USE : boolean := true; -- implement SPI? TRNG_USE : boolean := true; -- implement TRNG? EXIRQ_USE : boolean := true; -- implement EXIRQ? FREQ_GEN_USE : boolean := true; -- implement FREQ_GEN? -- boot configuration -- BOOTLD_USE : boolean := true; -- implement and use bootloader? IMEM_AS_ROM : boolean := false -- implement IMEM as read-only memory? ); port ( clk_i : in std_ulogic; -- global clock line rden_i : in std_ulogic; -- read enable wren_i : in std_ulogic; -- write enable addr_i : in std_ulogic_vector(15 downto 0); -- address data_i : in std_ulogic_vector(15 downto 0); -- data in data_o : out std_ulogic_vector(15 downto 0) -- data out ); end component; end neo430_package; package body neo430_package is -- Function: Minimal required bit width --------------------------------------------------- -- ------------------------------------------------------------------------------------------- function index_size_f(input : natural) return natural is begin for i in 0 to natural'high loop if (2**i >= input) then return i; end if; end loop; -- i return 0; end function index_size_f; -- Function: Test if value (encoded with a certain bit width) is a power of 2 ------------- -- ------------------------------------------------------------------------------------------- function is_power_of_two_f(num : natural; bit_width : natural) return boolean is begin for i in 0 to bit_width loop if ((2**i) = num) then return true; end if; end loop; -- i return false; end function is_power_of_two_f; -- Function: Bit reversal ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function bit_reversal_f(input : std_ulogic_vector) return std_ulogic_vector is variable output_v : std_ulogic_vector(input'range); begin for i in 0 to input'length-1 loop output_v(input'length-i-1) := input(i); end loop; -- i return output_v; end function bit_reversal_f; -- Function: Count number of set bits (aka population count) ------------------------------ -- ------------------------------------------------------------------------------------------- function set_bits_f(input : std_ulogic_vector) return natural is variable cnt_v : natural range 0 to input'length-1; begin cnt_v := 0; for i in input'length-1 downto 0 loop if (input(i) = '1') then cnt_v := cnt_v + 1; end if; end loop; -- i return cnt_v; end function set_bits_f; -- Function: Count leading zeros ---------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function leading_zeros_f(input : std_ulogic_vector) return natural is variable cnt_v : natural range 0 to input'length; begin cnt_v := 0; for i in input'length-1 downto 0 loop if (input(i) = '0') then cnt_v := cnt_v + 1; else exit; end if; end loop; -- i return cnt_v; end function leading_zeros_f; -- Function: Conditional select natural --------------------------------------------------- -- ------------------------------------------------------------------------------------------- function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is begin if (cond = true) then return val_t; else return val_f; end if; end function cond_sel_natural_f; -- Function: Conditional select std_ulogic_vector ----------------------------------------- -- ------------------------------------------------------------------------------------------- function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is begin if (cond = true) then return val_t; else return val_f; end if; end function cond_sel_stdulogicvector_f; -- Function: Convert BOOL to STD_ULOGIC --------------------------------------------------- -- ------------------------------------------------------------------------------------------- function bool_to_ulogic_f(cond : boolean) return std_ulogic is begin if (cond = true) then return '1'; else return '0'; end if; end function bool_to_ulogic_f; -- Function: Binary to Gray --------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector is variable output_v : std_ulogic_vector(input'range); begin output_v(input'length-1) := input(input'length-1); -- keep MSB for i in input'length-2 downto 0 loop output_v(i) := input(i) xor input(i+1); end loop; -- i return output_v; end function bin_to_gray_f; -- Function: Gray to Binary --------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector is variable output_v : std_ulogic_vector(input'range); begin output_v(input'length-1) := input(input'length-1); -- keep MSB for i in input'length-2 downto 0 loop output_v(i) := output_v(i+1) xor input(i); end loop; -- i return output_v; end function gray_to_bin_f; -- Function: Integer (4-bit) to hex char -------------------------------------------------- -- ------------------------------------------------------------------------------------------- function int_to_hexchar_f(input : integer) return character is variable output_v : character; begin case (input) is when 0 => output_v := '0'; when 1 => output_v := '1'; when 2 => output_v := '2'; when 3 => output_v := '3'; when 4 => output_v := '4'; when 5 => output_v := '5'; when 6 => output_v := '6'; when 7 => output_v := '7'; when 8 => output_v := '8'; when 9 => output_v := '9'; when 10 => output_v := 'A'; when 11 => output_v := 'B'; when 12 => output_v := 'C'; when 13 => output_v := 'D'; when 14 => output_v := 'E'; when 15 => output_v := 'F'; when others => output_v := '?'; end case; return output_v; end function int_to_hexchar_f; -- Function: OR all bits ------------------------------------------------------------------ -- ------------------------------------------------------------------------------------------- function or_all_f(a : std_ulogic_vector) return std_ulogic is variable tmp_v : std_ulogic; begin tmp_v := a(a'low); for i in a'low+1 to a'high loop tmp_v := tmp_v or a(i); end loop; -- i return tmp_v; end function or_all_f; -- Function: AND all bits ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function and_all_f(a : std_ulogic_vector) return std_ulogic is variable tmp_v : std_ulogic; begin tmp_v := a(a'low); for i in a'low+1 to a'high loop tmp_v := tmp_v and a(i); end loop; -- i return tmp_v; end function and_all_f; -- Function: XOR all bits ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- function xor_all_f(a : std_ulogic_vector) return std_ulogic is variable tmp_v : std_ulogic; begin tmp_v := a(a'low); for i in a'low+1 to a'high loop tmp_v := tmp_v xor a(i); end loop; -- i return tmp_v; end function xor_all_f; end neo430_package;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex5; constant CFG_MEMTECH : integer := virtex5; constant CFG_PADTECH : integer := virtex5; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex5; constant CFG_CLKMUL : integer := (8); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0 + 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_STAT_ENABLE : integer := 0; constant CFG_STAT_CNT : integer := 1; constant CFG_STAT_NMAX : integer := 0; constant CFG_STAT_DSUEN : integer := 0; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; constant CFG_ALTWIN : integer := 0; constant CFG_REX : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 8; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000030#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 0; constant CFG_MIG_RANKS : integer := 1; constant CFG_MIG_COLBITS : integer := 10; constant CFG_MIG_ROWBITS : integer := 13; constant CFG_MIG_BANKBITS: integer := 2; constant CFG_MIG_HMASK : integer := 16#F00#; -- DDR controller constant CFG_DDR2SP : integer := 1; constant CFG_DDR2SP_INIT : integer := 1; constant CFG_DDR2SP_FREQ : integer := (140); constant CFG_DDR2SP_TRFC : integer := (130); constant CFG_DDR2SP_DATAWIDTH : integer := (64); constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := (10); constant CFG_DDR2SP_SIZE : integer := (256); constant CFG_DDR2SP_DELAY0 : integer := (15); constant CFG_DDR2SP_DELAY1 : integer := (15); constant CFG_DDR2SP_DELAY2 : integer := (15); constant CFG_DDR2SP_DELAY3 : integer := (15); constant CFG_DDR2SP_DELAY4 : integer := (15); constant CFG_DDR2SP_DELAY5 : integer := (15); constant CFG_DDR2SP_DELAY6 : integer := (15); constant CFG_DDR2SP_DELAY7 : integer := (15); constant CFG_DDR2SP_NOSYNC : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 1; constant CFG_GPT_WDOG : integer := 16#FFFFF#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0FFFE#; constant CFG_GRGPIO_WIDTH : integer := (14); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- AMBA Wrapper for Xilinx System Monitor constant CFG_GRSYSMON : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 1; -- AMBA System ACE Interface Controller constant CFG_GRACECTRL : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias1: electrical; terminal vdd: electrical; terminal gnd: electrical; terminal vbias3: electrical; terminal vbias2: electrical; terminal vbias4: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; begin subnet0_subnet0_m1 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in1, S => net6 ); subnet0_subnet0_m2 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in2, S => net6 ); subnet0_subnet0_m3 : entity pmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias1, S => vdd ); subnet0_subnet1_m1 : entity nmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net1, G => net1, S => gnd ); subnet0_subnet1_m2 : entity nmos(behave) generic map( L => Lcm_2, W => Wcmcout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net3, G => net1, S => gnd ); subnet0_subnet2_m1 : entity nmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net2, G => net2, S => gnd ); subnet0_subnet2_m2 : entity nmos(behave) generic map( L => Lcm_2, W => Wcmcout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net4, G => net2, S => gnd ); subnet0_subnet3_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net5, G => vbias3, S => net3 ); subnet0_subnet4_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => out1, G => vbias3, S => net4 ); subnet0_subnet5_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_1, scope => Wprivate ) port map( D => net5, G => vbias2, S => net7 ); subnet0_subnet5_m2 : entity pmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net7, G => net5, S => vdd ); subnet0_subnet5_m3 : entity pmos(behave) generic map( L => Lcm_1, W => Wcmout_1, scope => private ) port map( D => net8, G => net5, S => vdd ); subnet0_subnet5_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_1, scope => Wprivate ) port map( D => out1, G => vbias2, S => net8 ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net9 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net9, G => vbias4, S => gnd ); end simple;
--Practica2 de Diseño Automatico de Sistemas --Manejo display 7-SEGMENTOS. --Desarrollada por Héctor Gutiérrez Palancarejo library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity switch2display7seg is port( a : in std_logic_vector(3 downto 0); b : out std_logic_vector(6 downto 0) ); end switch2display7seg; architecture rtl of switch2display7seg is constant zero : std_logic_vector(6 downto 0) := "0000001"; -- 0 constant one : std_logic_vector(6 downto 0) := "1001111"; constant two : std_logic_vector(6 downto 0) := "0010010"; constant three : std_logic_vector(6 downto 0) := "0000110"; constant four : std_logic_vector(6 downto 0) := "1001100"; constant five : std_logic_vector(6 downto 0) := "0100100"; constant six : std_logic_vector(6 downto 0) := "0100000"; constant seven : std_logic_vector(6 downto 0) := "0001111"; constant eight : std_logic_vector(6 downto 0) := "0000000"; constant nine : std_logic_vector(6 downto 0) := "0001100"; constant ten : std_logic_vector(6 downto 0) := "0001000"; constant eleven : std_logic_vector(6 downto 0) := "1100000"; constant twelve : std_logic_vector(6 downto 0) := "0110001"; constant thirteen : std_logic_vector(6 downto 0) := "1000010"; constant fourteen : std_logic_vector(6 downto 0) := "0110000"; constant fiveteen : std_logic_vector(6 downto 0) := "0111000"; -- 15 begin b <= not(zero) when a = "0000" else not(one) when a = "0001" else not(two) when a = "0010" else not(three) when a = "0011" else not(four) when a = "0100" else not(five) when a = "0101" else not(six) when a = "0110" else not(seven) when a = "0111" else not(eight) when a = "1000" else not(nine) when a = "1001" else not(ten) when a = "1010" else not(eleven) when a = "1011" else not(twelve) when a = "1100" else not(thirteen) when a = "1101" else not(fourteen) when a = "1110" else not(fiveteen); end rtl;
-- $Id: tb_tst_serloop1_n3.vhd 444 2011-12-25 10:04:58Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_tst_serloop1_n3 - sim -- Description: Test bench for sys_tst_serloop1_n3 -- -- Dependencies: simlib/simclk -- sys_tst_serloop1_n3 [UUT] -- tb/tb_tst_serloop -- -- To test: sys_tst_serloop1_n3 -- -- Target Devices: generic -- -- Revision History: -- Date Rev Version Comment -- 2011-12-23 444 1.1 use new simclk -- 2011-12-11 438 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simlib.all; entity tb_tst_serloop1_n3 is end tb_tst_serloop1_n3; architecture sim of tb_tst_serloop1_n3 is signal CLK100 : slbit := '0'; signal CLK_STOP : slbit := '0'; signal I_RXD : slbit := '1'; signal O_TXD : slbit := '1'; signal I_SWI : slv8 := (others=>'0'); signal I_BTN : slv5 := (others=>'0'); signal O_FUSP_RTS_N : slbit := '0'; signal I_FUSP_CTS_N : slbit := '0'; signal I_FUSP_RXD : slbit := '1'; signal O_FUSP_TXD : slbit := '1'; signal RXD : slbit := '1'; signal TXD : slbit := '1'; signal SWI : slv8 := (others=>'0'); signal BTN : slv5 := (others=>'0'); signal FUSP_RTS_N : slbit := '0'; signal FUSP_CTS_N : slbit := '0'; signal FUSP_RXD : slbit := '1'; signal FUSP_TXD : slbit := '1'; constant clock_period : time := 10 ns; constant clock_offset : time := 200 ns; constant delay_time : time := 2 ns; begin SYSCLK : simclk generic map ( PERIOD => clock_period, OFFSET => clock_offset) port map ( CLK => CLK100, CLK_STOP => CLK_STOP ); UUT : entity work.sys_tst_serloop1_n3 port map ( I_CLK100 => CLK100, I_RXD => I_RXD, O_TXD => O_TXD, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => open, O_ANO_N => open, O_SEG_N => open, O_MEM_CE_N => open, O_MEM_BE_N => open, O_MEM_WE_N => open, O_MEM_OE_N => open, O_MEM_ADV_N => open, O_MEM_CLK => open, O_MEM_CRE => open, I_MEM_WAIT => '0', O_MEM_ADDR => open, IO_MEM_DATA => open, O_PPCM_CE_N => open, O_PPCM_RST_N => open, O_FUSP_RTS_N => O_FUSP_RTS_N, I_FUSP_CTS_N => I_FUSP_CTS_N, I_FUSP_RXD => I_FUSP_RXD, O_FUSP_TXD => O_FUSP_TXD ); GENTB : entity work.tb_tst_serloop port map ( CLKS => CLK100, CLKH => CLK100, CLK_STOP => CLK_STOP, P0_RXD => RXD, P0_TXD => TXD, P0_RTS_N => '0', P0_CTS_N => open, P1_RXD => FUSP_RXD, P1_TXD => FUSP_TXD, P1_RTS_N => FUSP_RTS_N, P1_CTS_N => FUSP_CTS_N, SWI => SWI, BTN => BTN(3 downto 0) ); I_RXD <= RXD after delay_time; TXD <= O_TXD after delay_time; FUSP_RTS_N <= O_FUSP_RTS_N after delay_time; I_FUSP_CTS_N <= FUSP_CTS_N after delay_time; I_FUSP_RXD <= FUSP_RXD after delay_time; FUSP_TXD <= O_FUSP_TXD after delay_time; I_SWI <= SWI after delay_time; I_BTN <= BTN after delay_time; end sim;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_ok_8_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ok_8_e-e.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $ -- $Date: 2005/07/15 16:20:01 $ -- $Log: inst_ok_8_e-e.vhd,v $ -- Revision 1.2 2005/07/15 16:20:01 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_ok_8_e -- entity inst_ok_8_e is -- Generics: -- No Generated Generics for Entity inst_ok_8_e -- Generated Port Declaration: -- No Generated Port for Entity inst_ok_8_e end inst_ok_8_e; -- -- End of Generated Entity inst_ok_8_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity CU is Port ( op : in STD_LOGIC_VECTOR(1 DOWNTO 0); op3 : in STD_LOGIC_VECTOR(5 DOWNTO 0); aluop : out STD_LOGIC_VECTOR(5 DOWNTO 0)); end CU; architecture Behavioral of CU is begin process(op, op3) begin if(op = "10") then case op3 is when "000000" => --Add aluop <= "000000"; when "000100" => --Sub aluop <= "000001"; when "000001" => -- And aluop <= "000010"; when "000101" => --Andn aluop <= "000011"; when "000010" => --or aluop <= "000100"; when "000110" => --orn aluop <= "000101"; when "000011" => --xor aluop <= "000110"; when "000111" => --xnor aluop <= "000111"; when others => aluop <= (others=>'1'); end case; else aluop <= (others=>'1'); end if; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1895.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01895ent IS END c07s01b00x00p08n01i01895ent; ARCHITECTURE c07s01b00x00p08n01i01895arch OF c07s01b00x00p08n01i01895ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int range <>) of small_int; signal ibus : cmd_bus(small_int); signal s_int : small_int; BEGIN TESTING : PROCESS BEGIN s_int <= ibus'right(small_int(TESTING)) after 5 ns; -- process labels illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01895 - Process labels are not permitted as primaries in a type conversion expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01895arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1895.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01895ent IS END c07s01b00x00p08n01i01895ent; ARCHITECTURE c07s01b00x00p08n01i01895arch OF c07s01b00x00p08n01i01895ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int range <>) of small_int; signal ibus : cmd_bus(small_int); signal s_int : small_int; BEGIN TESTING : PROCESS BEGIN s_int <= ibus'right(small_int(TESTING)) after 5 ns; -- process labels illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01895 - Process labels are not permitted as primaries in a type conversion expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01895arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1895.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01895ent IS END c07s01b00x00p08n01i01895ent; ARCHITECTURE c07s01b00x00p08n01i01895arch OF c07s01b00x00p08n01i01895ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int range <>) of small_int; signal ibus : cmd_bus(small_int); signal s_int : small_int; BEGIN TESTING : PROCESS BEGIN s_int <= ibus'right(small_int(TESTING)) after 5 ns; -- process labels illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01895 - Process labels are not permitted as primaries in a type conversion expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01895arch;
entity foo is end; architecture bar of foo is constant A : std.standard.BIT; constant B : BIT := '0'; constant C : BIT := '1'; constant xA : std.standard.BIT_VECTOR; constant xB : BIT_VECTOR(0 to 1) := ('0', '1'); begin end;
---------------------------------------------------------------------- --- An asynchronous memory ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; entity memory256x8 is port ( ck : in std_logic; we : in std_logic; address : in std_logic_vector(7 downto 0); datain : in std_logic_vector(7 downto 0); dataout : out std_logic_vector(7 downto 0) ); end entity memory256x8; architecture rtl of memory256x8 is type ram_array is array (0 to 255) of std_logic_vector(7 downto 0); signal ram : ram_array := ( "01001100", "00010001", "01001101", "00000111", "00110010", "11100010", "01000010", "10011111", others => "UUUUUUUU"); begin dataout <= "UUUUUUUU" when address="UUUUUUUU" else ram(to_integer(unsigned(address))) after 0.2 ns ; ram_process: process(ck) is begin if rising_edge(ck) then if we = '1' then ram(to_integer(unsigned(address))) <= datain; end if; end if; end process ram_process; end architecture rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- use ieee.numeric_std.all; -- use ieee.std_logic_unsigned.all; entity issue352 is end entity; architecture arch of issue352 is signal FixRealKCM_F400_uid2_Rtemp : std_logic_vector(4 downto 0) := "11111"; signal R :std_logic_vector(5 downto 0); function check_dims(x : unsigned) return unsigned is begin assert x'low >= unsigned'low; assert x'high <= unsigned'high; return x; end function; begin -- R <= "000000" - (FixRealKCM_F400_uid2_Rtemp(4) & FixRealKCM_F400_uid2_Rtemp(4 downto 0)); R <= std_logic_vector(unsigned'(unsigned'("00000") - check_dims(unsigned (FixRealKCM_F400_uid2_Rtemp(4) & FixRealKCM_F400_uid2_Rtemp(4 downto 0))))); process is begin wait for 1 ns; assert R = "000001"; wait; end process; end architecture;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed IM 1 -- -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- -- 0235 : Added IM 2 fix by Mike Johnson -- -- 0238 : Added NoRead signal -- -- 0238b: Fixed instruction timing for POP and DJNZ -- -- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes -- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR -- -- 0242 : Fixed I/O instruction timing, cleanup -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80_MCode is generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic ); end T80_MCode; architecture rtl of T80_MCode is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; function is_cc_true( F : std_logic_vector(7 downto 0); cc : bit_vector(2 downto 0) ) return boolean is begin if Mode = 3 then case cc is when "000" => return F(7) = '0'; -- NZ when "001" => return F(7) = '1'; -- Z when "010" => return F(4) = '0'; -- NC when "011" => return F(4) = '1'; -- C when "100" => return false; when "101" => return false; when "110" => return false; when "111" => return false; end case; else case cc is when "000" => return F(6) = '0'; -- NZ when "001" => return F(6) = '1'; -- Z when "010" => return F(0) = '0'; -- NC when "011" => return F(0) = '1'; -- C when "100" => return F(2) = '0'; -- PO when "101" => return F(2) = '1'; -- PE when "110" => return F(7) = '0'; -- P when "111" => return F(7) = '1'; -- M end case; end if; end; begin process (IR, ISet, MCycle, F, NMICycle, IntCycle) variable DDD : std_logic_vector(2 downto 0); variable SSS : std_logic_vector(2 downto 0); variable DPair : std_logic_vector(1 downto 0); variable IRB : bit_vector(7 downto 0); begin DDD := IR(5 downto 3); SSS := IR(2 downto 0); DPair := IR(5 downto 4); IRB := to_bitvector(IR); MCycles <= "001"; if MCycle = "001" then TStates <= "100"; else TStates <= "011"; end if; Prefix <= "00"; Inc_PC <= '0'; Inc_WZ <= '0'; IncDec_16 <= "0000"; Read_To_Acc <= '0'; Read_To_Reg <= '0'; Set_BusB_To <= "0000"; Set_BusA_To <= "0000"; ALU_Op <= "0" & IR(5 downto 3); Save_ALU <= '0'; PreserveC <= '0'; Arith16 <= '0'; IORQ <= '0'; Set_Addr_To <= aNone; Jump <= '0'; JumpE <= '0'; JumpXY <= '0'; Call <= '0'; RstP <= '0'; LDZ <= '0'; LDW <= '0'; LDSPHL <= '0'; Special_LD <= "000"; ExchangeDH <= '0'; ExchangeRp <= '0'; ExchangeAF <= '0'; ExchangeRS <= '0'; I_DJNZ <= '0'; I_CPL <= '0'; I_CCF <= '0'; I_SCF <= '0'; I_RETN <= '0'; I_BT <= '0'; I_BC <= '0'; I_BTR <= '0'; I_RLD <= '0'; I_RRD <= '0'; I_INRC <= '0'; SetDI <= '0'; SetEI <= '0'; IMode <= "11"; Halt <= '0'; NoRead <= '0'; Write <= '0'; case ISet is when "00" => ------------------------------------------------------------------------------ -- -- Unprefixed instructions -- ------------------------------------------------------------------------------ case IRB is -- 8 BIT LOAD GROUP when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- LD r,r' Set_BusB_To(2 downto 0) <= SSS; ExchangeRp <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => -- LD r,n MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => -- LD r,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; when others => null; end case; when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => -- LD (HL),r MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 2 => Write <= '1'; when others => null; end case; when "00110110" => -- LD (HL),n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aXY; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; when 3 => Write <= '1'; when others => null; end case; when "00001010" => -- LD A,(BC) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00011010" => -- LD A,(DE) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; when 2 => Read_To_Acc <= '1'; when others => null; end case; when "00111010" => if Mode = 3 then -- LDD A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end if; when "00000010" => -- LD (BC),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00010010" => -- LD (DE),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aDE; Set_BusB_To <= "0111"; when 2 => Write <= '1'; when others => null; end case; when "00110010" => if Mode = 3 then -- LDD (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "1110"; when others => null; end case; else -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; end if; -- 16 BIT LOAD GROUP when "00000001"|"00010001"|"00100001"|"00110001" => -- LD dd,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1000"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => Inc_PC <= '1'; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1001"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "00101010" => if Mode = 3 then -- LDI A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Acc <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD HL,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end if; when "00100010" => if Mode = 3 then -- LDI (HL),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IncDec_16 <= "0110"; when others => null; end case; else -- LD (nn),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "0101"; -- L when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "0100"; -- H when 5 => Write <= '1'; when others => null; end case; end if; when "11111001" => -- LD SP,HL TStates <= "110"; LDSPHL <= '1'; when "11000101"|"11010101"|"11100101"|"11110101" => -- PUSH qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_TO <= aSP; if DPAIR = "11" then Set_BusB_To <= "0111"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 2 => IncDec_16 <= "1111"; Set_Addr_To <= aSP; if DPAIR = "11" then Set_BusB_To <= "1011"; else Set_BusB_To(2 downto 1) <= DPAIR; Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; Write <= '1'; when 3 => Write <= '1'; when others => null; end case; when "11000001"|"11010001"|"11100001"|"11110001" => -- POP qq MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "1011"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '1'; end if; when 3 => IncDec_16 <= "0111"; Read_To_Reg <= '1'; if DPAIR = "11" then Set_BusA_To(3 downto 0) <= "0111"; else Set_BusA_To(2 downto 1) <= DPAIR; Set_BusA_To(0) <= '0'; end if; when others => null; end case; -- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP when "11101011" => if Mode /= 3 then -- EX DE,HL ExchangeDH <= '1'; end if; when "00001000" => if Mode = 3 then -- LD (nn),SP MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; Set_BusB_To <= "1000"; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; Set_BusB_To <= "1001"; when 5 => Write <= '1'; when others => null; end case; elsif Mode < 2 then -- EX AF,AF' ExchangeAF <= '1'; end if; when "11011001" => if Mode = 3 then -- RETI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; SetEI <= '1'; when others => null; end case; elsif Mode < 2 then -- EXX ExchangeRS <= '1'; end if; when "11100011" => if Mode /= 3 then -- EX (SP),HL MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aSP; when 2 => Read_To_Reg <= '1'; Set_BusA_To <= "0101"; Set_BusB_To <= "0101"; Set_Addr_To <= aSP; when 3 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; TStates <= "100"; Write <= '1'; when 4 => Read_To_Reg <= '1'; Set_BusA_To <= "0100"; Set_BusB_To <= "0100"; Set_Addr_To <= aSP; when 5 => IncDec_16 <= "1111"; TStates <= "101"; Write <= '1'; when others => null; end case; end if; -- 8 BIT ARITHMETIC AND LOGICAL GROUP when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- ADD A,r -- ADC A,r -- SUB A,r -- SBC A,r -- AND A,r -- OR A,r -- XOR A,r -- CP A,r Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; Save_ALU <= '1'; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- ADD A,(HL) -- ADC A,(HL) -- SUB A,(HL) -- SBC A,(HL) -- AND A,(HL) -- OR A,(HL) -- XOR A,(HL) -- CP A,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; when others => null; end case; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- ADD A,n -- ADC A,n -- SUB A,n -- SBC A,n -- AND A,n -- OR A,n -- XOR A,n -- CP A,n MCycles <= "010"; if MCycle = "010" then Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusB_To(2 downto 0) <= SSS; Set_BusA_To(2 downto 0) <= "111"; end if; when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => -- INC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; when "00110100" => -- INC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0000"; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => -- DEC r Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; ALU_Op <= "0010"; when "00110101" => -- DEC (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; when 2 => TStates <= "100"; Set_Addr_To <= aXY; ALU_Op <= "0010"; Read_To_Reg <= '1'; Save_ALU <= '1'; PreserveC <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= DDD; when 3 => Write <= '1'; when others => null; end case; -- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS when "00100111" => -- DAA Set_BusA_To(2 downto 0) <= "111"; Read_To_Reg <= '1'; ALU_Op <= "1100"; Save_ALU <= '1'; when "00101111" => -- CPL I_CPL <= '1'; when "00111111" => -- CCF I_CCF <= '1'; when "00110111" => -- SCF I_SCF <= '1'; when "00000000" => if NMICycle = '1' then -- NMI MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when others => null; end case; elsif IntCycle = '1' then -- INT (IM 2) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 1 => LDZ <= '1'; TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => TStates <= "100"; Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => TStates <= "100"; Write <= '1'; when 4 => Inc_PC <= '1'; LDZ <= '1'; when 5 => Jump <= '1'; when others => null; end case; else -- NOP end if; when "01110110" => -- HALT Halt <= '1'; when "11110011" => -- DI SetDI <= '1'; when "11111011" => -- EI SetEI <= '1'; -- 16 BIT ARITHMETIC GROUP when "00001001"|"00011001"|"00101001"|"00111001" => -- ADD HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; Arith16 <= '1'; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; Arith16 <= '1'; when others => end case; when "00000011"|"00010011"|"00100011"|"00110011" => -- INC ss TStates <= "110"; IncDec_16(3 downto 2) <= "01"; IncDec_16(1 downto 0) <= DPair; when "00001011"|"00011011"|"00101011"|"00111011" => -- DEC ss TStates <= "110"; IncDec_16(3 downto 2) <= "11"; IncDec_16(1 downto 0) <= DPair; -- ROTATE AND SHIFT GROUP when "00000111" -- RLCA |"00010111" -- RLA |"00001111" -- RRCA |"00011111" => -- RRA Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; -- JUMP GROUP when "11000011" => -- JP nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; Jump <= '1'; when others => null; end case; when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+C),A MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "0111"; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "01" => -- LD (nn),A MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; Set_BusB_To <= "0111"; when 4 => Write <= '1'; when others => null; end case; when "10" => -- LD A,($FF00+C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => Read_To_Acc <= '1'; IORQ <= '1'; when others => end case; when "11" => -- LD A,(nn) MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; when 4 => Read_To_Acc <= '1'; when others => null; end case; end case; else -- JP cc,nn MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Jump <= '1'; end if; when others => null; end case; end if; when "00011000" => if Mode /= 2 then -- JR e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00111000" => if Mode /= 2 then -- JR C,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00110000" => if Mode /= 2 then -- JR NC,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_C) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00101000" => if Mode /= 2 then -- JR Z,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '0' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "00100000" => if Mode /= 2 then -- JR NZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; if F(Flag_Z) = '1' then MCycles <= "010"; end if; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; when "11101001" => -- JP (HL) JumpXY <= '1'; when "00010000" => if Mode = 3 then I_DJNZ <= '1'; elsif Mode < 2 then -- DJNZ,e MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; I_DJNZ <= '1'; Set_BusB_To <= "1010"; Set_BusA_To(2 downto 0) <= "000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => I_DJNZ <= '1'; Inc_PC <= '1'; when 3 => NoRead <= '1'; JumpE <= '1'; TStates <= "101"; when others => null; end case; end if; -- CALL AND RETURN GROUP when "11001101" => -- CALL nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => IncDec_16 <= "1111"; Inc_PC <= '1'; TStates <= "100"; Set_Addr_To <= aSP; LDW <= '1'; Set_BusB_To <= "1101"; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => if IR(5) = '0' or Mode /= 3 then -- CALL cc,nn MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Inc_PC <= '1'; LDW <= '1'; if is_cc_true(F, to_bitvector(IR(5 downto 3))) then IncDec_16 <= "1111"; Set_Addr_TO <= aSP; TStates <= "100"; Set_BusB_To <= "1101"; else MCycles <= "011"; end if; when 4 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 5 => Write <= '1'; Call <= '1'; when others => null; end case; end if; when "11001001" => -- RET MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => if IR(5) = '1' and Mode = 3 then case IRB(4 downto 3) is when "00" => -- LD ($FF00+nn),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; when others => null; end case; when "01" => -- ADD SP,n MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => ALU_Op <= "0000"; Inc_PC <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To <= "1000"; Set_BusB_To <= "0110"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To <= "1001"; Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! when others => end case; when "10" => -- LD A,($FF00+nn) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; when others => null; end case; when "11" => -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Set_BusA_To(2 downto 0) <= "101"; -- L Read_To_Reg <= '1'; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Set_BusA_To(2 downto 0) <= "100"; -- H Read_To_Reg <= '1'; when others => null; end case; end case; else -- RET cc MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => if is_cc_true(F, to_bitvector(IR(5 downto 3))) then Set_Addr_TO <= aSP; else MCycles <= "001"; end if; TStates <= "101"; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; when others => null; end case; end if; when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => -- RST p MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1101"; when 2 => Write <= '1'; IncDec_16 <= "1111"; Set_Addr_To <= aSP; Set_BusB_To <= "1100"; when 3 => Write <= '1'; RstP <= '1'; when others => null; end case; -- INPUT AND OUTPUT GROUP when "11011011" => if Mode /= 3 then -- IN A,(n) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; when 3 => Read_To_Acc <= '1'; IORQ <= '1'; when others => null; end case; end if; when "11010011" => if Mode /= 3 then -- OUT (n),A MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; Set_Addr_To <= aIOA; Set_BusB_To <= "0111"; when 3 => Write <= '1'; IORQ <= '1'; when others => null; end case; end if; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- MULTIBYTE INSTRUCTIONS ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ when "11001011" => if Mode /= 2 then Prefix <= "01"; end if; when "11101101" => if Mode < 2 then Prefix <= "10"; end if; when "11011101"|"11111101" => if Mode < 2 then Prefix <= "11"; end if; end case; when "01" => ------------------------------------------------------------------------------ -- -- CB prefixed instructions -- ------------------------------------------------------------------------------ Set_BusA_To(2 downto 0) <= IR(2 downto 0); Set_BusB_To(2 downto 0) <= IR(2 downto 0); case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => -- RLC r -- RL r -- RRC r -- RR r -- SLA r -- SRA r -- SRL r -- SLL r (Undocumented) / SWAP r if MCycle = "001" then ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => -- RLC (HL) -- RL (HL) -- RRC (HL) -- RR (HL) -- SRA (HL) -- SRL (HL) -- SLA (HL) -- SLL (HL) (Undocumented) / SWAP (HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1000"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => end case; when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => -- BIT b,r if MCycle = "001" then Set_BusB_To(2 downto 0) <= IR(2 downto 0); ALU_Op <= "1001"; end if; when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => -- BIT b,(HL) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1001"; TStates <= "100"; when others => null; end case; when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => -- SET b,r if MCycle = "001" then ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => -- SET b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7=> Set_Addr_To <= aXY; when 2 => ALU_Op <= "1010"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => -- RES b,r if MCycle = "001" then ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; end if; when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => -- RES b,(HL) MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 | 7 => Set_Addr_To <= aXY; when 2 => ALU_Op <= "1011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_Addr_To <= aXY; TStates <= "100"; when 3 => Write <= '1'; when others => null; end case; end case; when others => ------------------------------------------------------------------------------ -- -- ED prefixed instructions -- ------------------------------------------------------------------------------ case IRB is when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" | "10100100"|"10100101"|"10100110"|"10100111" | "10101100"|"10101101"|"10101110"|"10101111" | "10110100"|"10110101"|"10110110"|"10110111" | "10111100"|"10111101"|"10111110"|"10111111" |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => null; -- NOP, undocumented when "01111110"|"01111111" => -- NOP, undocumented null; -- 8 BIT LOAD GROUP when "01010111" => -- LD A,I Special_LD <= "100"; TStates <= "101"; when "01011111" => -- LD A,R Special_LD <= "101"; TStates <= "101"; when "01000111" => -- LD I,A Special_LD <= "110"; TStates <= "101"; when "01001111" => -- LD R,A Special_LD <= "111"; TStates <= "101"; -- 16 BIT LOAD GROUP when "01001011"|"01011011"|"01101011"|"01111011" => -- LD dd,(nn) MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; when 4 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1000"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '1'; end if; Inc_WZ <= '1'; Set_Addr_To <= aZI; when 5 => Read_To_Reg <= '1'; if IR(5 downto 4) = "11" then Set_BusA_To <= "1001"; else Set_BusA_To(2 downto 1) <= IR(5 downto 4); Set_BusA_To(0) <= '0'; end if; when others => null; end case; when "01000011"|"01010011"|"01100011"|"01110011" => -- LD (nn),dd MCycles <= "101"; case to_integer(unsigned(MCycle)) is when 2 => Inc_PC <= '1'; LDZ <= '1'; when 3 => Set_Addr_To <= aZI; Inc_PC <= '1'; LDW <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1000"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; Set_BusB_To(3) <= '0'; end if; when 4 => Inc_WZ <= '1'; Set_Addr_To <= aZI; Write <= '1'; if IR(5 downto 4) = "11" then Set_BusB_To <= "1001"; else Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; Set_BusB_To(3) <= '0'; end if; when 5 => Write <= '1'; when others => null; end case; when "10100000" | "10101000" | "10110000" | "10111000" => -- LDI, LDD, LDIR, LDDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0000"; Set_Addr_To <= aDE; if IR(3) = '0' then IncDec_16 <= "0110"; -- IX else IncDec_16 <= "1110"; end if; when 3 => I_BT <= '1'; TStates <= "101"; Write <= '1'; if IR(3) = '0' then IncDec_16 <= "0101"; -- DE else IncDec_16 <= "1101"; end if; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100001" | "10101001" | "10110001" | "10111001" => -- CPI, CPD, CPIR, CPDR MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aXY; IncDec_16 <= "1100"; -- BC when 2 => Set_BusB_To <= "0110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "0111"; Save_ALU <= '1'; PreserveC <= '1'; if IR(3) = '0' then IncDec_16 <= "0110"; else IncDec_16 <= "1110"; end if; when 3 => NoRead <= '1'; I_BC <= '1'; TStates <= "101"; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => -- NEG Alu_OP <= "0010"; Set_BusB_To <= "0111"; Set_BusA_To <= "1010"; Read_To_Acc <= '1'; Save_ALU <= '1'; when "01000110"|"01001110"|"01100110"|"01101110" => -- IM 0 IMode <= "00"; when "01010110"|"01110110" => -- IM 1 IMode <= "01"; when "01011110"|"01110111" => -- IM 2 IMode <= "10"; -- 16 bit arithmetic when "01001010"|"01011010"|"01101010"|"01111010" => -- ADC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0001"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0001"; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '0'; when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01000010"|"01010010"|"01100010"|"01110010" => -- SBC HL,ss MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "101"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); Set_BusB_To(0) <= '1'; when others => Set_BusB_To <= "1000"; end case; TStates <= "100"; when 3 => NoRead <= '1'; ALU_Op <= "0011"; Read_To_Reg <= '1'; Save_ALU <= '1'; Set_BusA_To(2 downto 0) <= "100"; case to_integer(unsigned(IR(5 downto 4))) is when 0|1|2 => Set_BusB_To(2 downto 1) <= IR(5 downto 4); when others => Set_BusB_To <= "1001"; end case; when others => end case; when "01101111" => -- RLD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => NoRead <= '1'; Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1101"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RLD <= '1'; Write <= '1'; when others => end case; when "01100111" => -- RRD MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 2 => Set_Addr_To <= aXY; when 3 => Read_To_Reg <= '1'; Set_BusB_To(2 downto 0) <= "110"; Set_BusA_To(2 downto 0) <= "111"; ALU_Op <= "1110"; TStates <= "100"; Set_Addr_To <= aXY; Save_ALU <= '1'; when 4 => I_RRD <= '1'; Write <= '1'; when others => end case; when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => -- RETI, RETN MCycles <= "011"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_TO <= aSP; when 2 => IncDec_16 <= "0111"; Set_Addr_To <= aSP; LDZ <= '1'; when 3 => Jump <= '1'; IncDec_16 <= "0111"; I_RETN <= '1'; when others => null; end case; when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => -- IN r,(C) MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; when 2 => IORQ <= '1'; if IR(5 downto 3) /= "110" then Read_To_Reg <= '1'; Set_BusA_To(2 downto 0) <= IR(5 downto 3); end if; I_INRC <= '1'; when others => end case; when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => -- OUT (C),r -- OUT (C),0 MCycles <= "010"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To(2 downto 0) <= IR(5 downto 3); if IR(5 downto 3) = "110" then Set_BusB_To(3) <= '1'; end if; when 2 => Write <= '1'; IORQ <= '1'; when others => end case; when "10100010" | "10101010" | "10110010" | "10111010" => -- INI, IND, INIR, INDR -- note B is decremented AFTER being put on the bus MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => Set_Addr_To <= aBC; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => IORQ <= '1'; Set_BusB_To <= "0110"; Set_Addr_To <= aXY; when 3 => if IR(3) = '0' then --IncDec_16 <= "0010"; IncDec_16 <= "0110"; else --IncDec_16 <= "1010"; IncDec_16 <= "1110"; end if; TStates <= "100"; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; when "10100011" | "10101011" | "10110011" | "10111011" => -- OUTI, OUTD, OTIR, OTDR -- note B is decremented BEFORE being put on the bus. -- mikej fix for hl inc MCycles <= "100"; case to_integer(unsigned(MCycle)) is when 1 => TStates <= "101"; Set_Addr_To <= aXY; Set_BusB_To <= "1010"; Set_BusA_To <= "0000"; Read_To_Reg <= '1'; Save_ALU <= '1'; ALU_Op <= "0010"; when 2 => Set_BusB_To <= "0110"; Set_Addr_To <= aBC; when 3 => if IR(3) = '0' then IncDec_16 <= "0110"; -- mikej else IncDec_16 <= "1110"; -- mikej end if; IORQ <= '1'; Write <= '1'; I_BTR <= '1'; when 4 => NoRead <= '1'; TStates <= "101"; when others => null; end case; end case; end case; if Mode = 1 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "011"; end if; end if; if Mode = 3 then if MCycle = "001" then -- TStates <= "100"; else TStates <= "100"; end if; end if; if Mode < 2 then if MCycle = "110" then Inc_PC <= '1'; if Mode = 1 then Set_Addr_To <= aXY; TStates <= "100"; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; end if; if IRB = "00110110" or IRB = "11001011" then Set_Addr_To <= aNone; end if; end if; if MCycle = "111" then if Mode = 0 then TStates <= "101"; end if; if ISet /= "01" then Set_Addr_To <= aXY; end if; Set_BusB_To(2 downto 0) <= SSS; Set_BusB_To(3) <= '0'; if IRB = "00110110" or ISet = "01" then -- LD (HL),n Inc_PC <= '1'; else NoRead <= '1'; end if; end if; end if; end process; end;
Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Entity Counter24 is Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); co:out std_logic; en:in std_logic; clk:in std_logic; rst:in std_logic ); End Entity Counter24; Architecture ArchCounter24 of Counter24 is Begin Process(clk, rst) Variable tlow:std_logic_vector(3 downto 0); Variable thigh:std_logic_vector(2 downto 0); Begin If rst = '1' then tlow := (Others => '0' ); thigh := (Others => '0' ); Elsif clk'event and clk='1' Then co<='0'; If en = '1' Then If tlow < 10 Then tlow := tlow + 1; End If; If tlow = 10 Then thigh := thigh + 1; tlow := (Others => '0' ); End If; If thigh = 2 Then if tlow = 4 Then thigh := (Others => '0'); tlow := (Others => '0'); co<='1'; End If; End If; h<=thigh; l<=tlow; End If; End If; End Process; End Architecture;
library ieee; use ieee.std_logic_1164.all; entity proc_interrupt is port ( clock : in std_logic; clock_en : in std_logic; reset : in std_logic; irq_n : in std_logic; nmi_n : in std_logic; i_flag : in std_logic; nmi_done : in std_logic; reset_done : in std_logic; interrupt : out std_logic; vect_sel : out std_logic_vector(2 downto 1) ); end proc_interrupt; architecture gideon of proc_interrupt is signal irq_c : std_logic := '1'; signal irq_d1 : std_logic := '0'; signal nmi_c : std_logic := '0'; signal nmi_d1 : std_logic := '0'; signal nmi_d2 : std_logic := '0'; signal nmi_act : std_logic := '0'; signal nmi_act_comb : std_logic := '0'; signal vect_h : std_logic_vector(2 downto 1) := "10"; signal resetting : std_logic := '1'; -- 21 -- NMI 1 01- -- RESET 1 10- -- IRQ 1 11- function calc_vec_addr(rst, irq, nmi : std_logic) return std_logic_vector is variable v : std_logic_vector(2 downto 1); begin if rst='1' then v := "10"; elsif nmi='1' then v := "01"; else v := "11"; end if; return v; end function; begin vect_sel <= vect_h; interrupt <= (irq_d1 or nmi_act_comb); irq_d1 <= not irq_c and not i_flag; -- Visual6502: INTP -- For NMI we need to further investigate -- http://visual6502.org/JSSim/expert.html?nmi0=13&nmi1=14&a=0000&d=78085828eaea4c&logmore=rdy,ir,State,irq,nmi,~IRQP,NMIP,882,INTG -- Node 882 seems functionally equivalent to ~IRQP process(clock) begin if rising_edge(clock) then nmi_c <= not nmi_n; -- synchronization flipflop (near PAD) if clock_en='1' then irq_c <= irq_n; -- in Visual6502 irq_c is called ~IRQP end if; if clock_en='1' then nmi_d1 <= nmi_c; end if; end if; end process; vect_h <= calc_vec_addr(resetting, irq_d1, nmi_act_comb); nmi_act_comb <= (nmi_d1 and not nmi_d2) or nmi_act; process(clock) begin if rising_edge(clock) then if clock_en='1' then nmi_d2 <= nmi_d1; if nmi_done = '1' then nmi_act <= '0'; elsif nmi_d2 = '0' and nmi_d1 = '1' then -- edge nmi_act <= '1'; end if; if reset_done = '1' then resetting <= '0'; end if; end if; if reset='1' then nmi_act <= '0'; resetting <= '1'; end if; end if; end process; end gideon;
entity tb_cmp01 is end tb_cmp01; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_cmp01 is signal l : std_logic_vector(3 downto 0); signal r : std_logic_vector(3 downto 0); signal eq : std_logic; signal ne : std_logic; signal lt : std_logic; signal le : std_logic; signal ge : std_logic; signal gt : std_logic; begin cmp01_1: entity work.cmp01 port map ( l => l, r => r, eq => eq, ne => ne, lt => lt, le => le, ge => ge, gt => gt); process begin l <= x"5"; r <= x"7"; wait for 1 ns; assert eq = '0' severity failure; assert ne = '1' severity failure; assert lt = '1' severity failure; assert le = '1' severity failure; assert ge = '0' severity failure; assert gt = '0' severity failure; l <= x"a"; r <= x"7"; wait for 1 ns; assert eq = '0' severity failure; assert ne = '1' severity failure; assert lt = '0' severity failure; assert le = '0' severity failure; assert ge = '1' severity failure; assert gt = '1' severity failure; l <= x"9"; r <= x"9"; wait for 1 ns; assert eq = '1' severity failure; assert ne = '0' severity failure; assert lt = '0' severity failure; assert le = '1' severity failure; assert ge = '1' severity failure; assert gt = '0' severity failure; wait; end process; end behav;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddrphy -- File: ddrphy.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: DDR PHY with tech mapping ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; ------------------------------------------------------------------ -- DDR PHY with tech mapping ------------------------------------ ------------------------------------------------------------------ entity ddrphy is generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer :=0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; scantest: integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- return clock clkread : out std_ulogic; -- read clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end; architecture rtl of ddrphy is signal lddr_clk,lddr_clkb: std_logic_vector(nclk-1 downto 0); signal lddr_clk_fb_out,lddr_clk_fb: std_logic; signal lddr_cke, lddr_csb: std_logic_vector(ncs-1 downto 0); signal lddr_web,lddr_rasb,lddr_casb: std_logic; signal lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen: std_logic_vector(dbits/8-1 downto 0); signal lddr_ad: std_logic_vector(abits-1 downto 0); signal lddr_ba: std_logic_vector(1 downto 0); signal lddr_dq_in,lddr_dq_out,lddr_dq_oen: std_logic_vector(dbits-1 downto 0); begin strat2 : if (tech = stratix2) generate ddr_phy0 : stratixii_ddr_phy generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits ) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke); clkread <= '0'; dqvalid <= '1'; end generate; cyc3 : if (tech = cyclone3) generate ddr_phy0 : cycloneiii_ddr_phy generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew ) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke); clkread <= '0'; dqvalid <= '1'; end generate; xc2v : if (tech = virtex2) or (tech = spartan3) generate ddr_phy0 : virtex2_ddr_phy generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew ) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke); clkread <= '0'; dqvalid <= '1'; end generate; xc4v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) generate ddr_phy0 : virtex4_ddr_phy generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew, phyiconf => phyiconf ) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, ck); clkread <= '0'; dqvalid <= '1'; end generate; xc3se : if (tech = spartan3e) or (tech = spartan6) generate ddr_phy0 : spartan3e_ddr_phy generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew ) port map ( rst, clk, clkout, clkread, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke); dqvalid <= '1'; end generate; ----------------------------------------------------------------------------- -- For technologies where the PHY does not have pads, -- instantiate ddrphy_wo_pads + pads ----------------------------------------------------------------------------- seppads: if ddrphy_builtin_pads(tech)=0 generate phywop: ddrphy_wo_pads generic map (tech,MHz,rstdelay,dbits,clk_mul,clk_div, rskew,mobile,abits,nclk,ncs,scantest,phyiconf) port map ( rst,clk,clkout,clkoutret,clkread,lock, lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,lddr_cke,lddr_csb, lddr_web,lddr_rasb,lddr_casb,lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen, lddr_ad,lddr_ba, lddr_dq_in,lddr_dq_out,lddr_dq_oen, addr,ba,dqin,dqout,dm,oen,dqs,dqsoen,rasn,casn,wen,csn,cke,ck, moben,dqvalid,testen,testrst,scanen,testoen); pads: ddrpads generic map (tech,dbits,abits,nclk,ncs,0) port map (ddr_clk,ddr_clkb,ddr_clk_fb_out,ddr_clk_fb, ddr_cke,ddr_csb,ddr_web,ddr_rasb,ddr_casb,ddr_dm,ddr_dqs, ddr_ad,ddr_ba,ddr_dq, open,open,open,open,open, lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb, lddr_cke,lddr_csb,lddr_web,lddr_rasb,lddr_casb,lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen, lddr_ad,lddr_ba,lddr_dq_in,lddr_dq_out,lddr_dq_oen); end generate; nseppads: if ddrphy_builtin_pads(tech)/=0 generate lddr_clk <= (others => '0'); lddr_clkb <= (others => '0'); lddr_clk_fb_out <= '0'; lddr_clk_fb <= '0'; lddr_cke <= (others => '0'); lddr_csb <= (others => '0'); lddr_web <= '0'; lddr_rasb <= '0'; lddr_casb <= '0'; lddr_dm <= (others => '0'); lddr_dqs_in <= (others => '0'); lddr_dqs_out <= (others => '0'); lddr_dqs_oen <= (others => '0'); lddr_ad <= (others => '0'); lddr_ba <= (others => '0'); lddr_dq_in <= (others => '0'); lddr_dq_out <= (others => '0'); lddr_dq_oen <= (others => '0'); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; entity ddrphy_wo_pads is generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile: integer := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector (1 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end; architecture rtl of ddrphy_wo_pads is begin gut90: if (tech = ut90) generate ddr_phy0: ut90nhbd_ddr_phy_wo_pads generic map ( MHz => MHz, abits => abits, dbits => dbits, nclk => nclk, ncs => ncs) port map ( rst, clk, clkout, clkoutret, lock, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, ck, moben, dqvalid, testen, testrst, scanen, testoen ); ddr_clk_fb_out <= '0'; clkread <= '0'; end generate; inf : if (tech = inferred) generate ddr_phy0 : generic_ddr_phy_wo_pads generic map (MHz => MHz, rstdelay => rstdelay -- reduce 200 us start-up delay during simulation -- pragma translate_off / 200 -- pragma translate_on , clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew, mobile => mobile, abits => abits, nclk => nclk, ncs => ncs ) port map ( rst, clk, clkout, clkoutret, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, ck, moben); clkread <= '0'; dqvalid <= '1'; end generate; end; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; entity ddrpads is generic (tech: integer := virtex5; dbits: integer := 16; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1 downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1 downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0) -- ddr data ); end; architecture rtl of ddrpads is signal vcc : std_ulogic; begin vcc <= '1'; -- DDR clock feedback fbclkpadgen: if ddrphy_has_fbclk(tech)/=0 generate fbclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk_fb_out, lddr_clk_fb_out); fbclk_in_pad : inpad generic map (tech => tech) port map (ddr_clk_fb, lddr_clk_fb); end generate; nfbclkpadgen: if ddrphy_has_fbclk(tech)=0 generate ddr_clk_fb_out <= '0'; lddr_clk_fb <= '0'; end generate; -- External DDR clock ddrclocks : for i in 0 to nclk-1 generate -- DDR_CLK/B xc456v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) generate ddrclk_pad : outpad_ds generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk(i), ddr_clkb(i), lddr_clk(i), vcc); end generate; noxc456v : if not ((tech = virtex4) or (tech = virtex5) or (tech = virtex6)) generate -- DDR_CLK ddrclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk(i), lddr_clk(i)); -- DDR_CLKB ddrclkb_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clkb(i), lddr_clkb(i)); end generate; end generate; -- DDR single-edge control signals -- RAS rasn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_rasb, lddr_rasb); -- CAS casn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_casb, lddr_casb); -- WEN wen_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_web, lddr_web); -- BA bagen : for i in 0 to 1 generate ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ba(i), lddr_ba(i)); end generate; -- ADDRESS dagen : for i in 0 to abits-1 generate ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ad(i), lddr_ad(i)); end generate; -- CSN and CKE ddrbanks : for i in 0 to ncs-1 generate csn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_csb(i), lddr_csb(i)); cke_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_cke(i), lddr_cke(i)); end generate; -- DQS pads dqsgen : for i in 0 to dbits/8-1 generate dqspn_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_i) port map (pad => ddr_dqs(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i), o => lddr_dqs_in(i)); end generate; -- DQM pads dmgen : for i in 0 to dbits/8-1 generate ddr_bm_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_dm(i), lddr_dm(i)); end generate; -- Data bus pads ddgen : for i in 0 to dbits-1 generate dq_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_ii) port map (pad => ddr_dq(i), i => lddr_dq_out(i), en => lddr_dq_oen(i), o => lddr_dq_in(i)); end generate; -- Second copy of address/data lines ctrl2gen: if ctrl2en/=0 generate rasn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_rasb2, lddr_rasb); casn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_casb2, lddr_casb); wen2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_web2, lddr_web); ba2gen : for i in 0 to 1 generate ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ba2(i), lddr_ba(i)); da2gen : for i in 0 to abits-1 generate ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ad2(i), lddr_ad(i)); end generate; end generate; end generate; ctrl2ngen: if ctrl2en=0 generate ddr_rasb2 <= '0'; ddr_casb2 <= '0'; ddr_web2 <= '0'; ddr_ba2 <= (others => '0'); ddr_ad2 <= (others => '0'); end generate; end; ------------------------------------------------------------------ -- DDR2 PHY with tech mapping ------------------------------------ ------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; entity ddr2pads is generic (tech: integer := virtex5; dbits: integer := 16; eightbanks: integer := 0; dqsse: integer range 0 to 1 := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_odt : in std_logic_vector(ncs-1 downto 0) ); end; architecture rtl of ddr2pads is signal vcc : std_ulogic; begin vcc <= '1'; -- DDR clock feedback fbclkpadgen: if ddr2phy_has_fbclk(tech)/=0 generate fbclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk_fb_out, lddr_clk_fb_out); fbclk_in_pad : inpad generic map (tech => tech) port map (ddr_clk_fb, lddr_clk_fb); end generate; nfbclkpadgen: if ddr2phy_has_fbclk(tech)=0 generate ddr_clk_fb_out <= '0'; lddr_clk_fb <= '0'; end generate; -- External DDR clock ddrclocks : for i in 0 to nclk-1 generate -- DDR_CLK/B xc456v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) or (tech = spartan6) or (tech = virtex7) or (tech = kintex7) or (tech = artix7) or (tech = zynq7000) generate ddrclk_pad : outpad_ds generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk(i), ddr_clkb(i), lddr_clk(i), vcc); end generate; noxc456v : if not ((tech = virtex4) or (tech = virtex5) or (tech = virtex6) or (tech = spartan6) or (tech = virtex7) or (tech = kintex7) or (tech = artix7) or (tech = zynq7000)) generate -- DDR_CLK ddrclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clk(i), lddr_clk(i)); -- DDR_CLKB ddrclkb_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_clkb(i), lddr_clkb(i)); end generate; end generate; -- DDR single-edge control signals -- RAS rasn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_rasb, lddr_rasb); -- CAS casn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_casb, lddr_casb); -- WEN wen_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_web, lddr_web); -- BA bagen : for i in 0 to 1+eightbanks generate ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ba(i), lddr_ba(i)); end generate; -- ODT odtgen : for i in 0 to ncs-1 generate ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_odt(i), lddr_odt(i)); end generate; -- ADDRESS dagen : for i in 0 to abits-1 generate ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ad(i), lddr_ad(i)); end generate; -- CSN and CKE ddrbanks : for i in 0 to ncs-1 generate csn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_csb(i), lddr_csb(i)); cke_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_cke(i), lddr_cke(i)); end generate; -- DQS pads dqsse0 : if dqsse = 0 generate dqsgen : for i in 0 to dbits/8-1 generate dqspn_pad : iopad_ds generic map (tech => tech, slew => 1, level => sstl18_ii) port map (padp => ddr_dqs(i), padn => ddr_dqsn(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i), o => lddr_dqs_in(i)); end generate; end generate; dqsse1 : if dqsse = 1 generate dqsgen : for i in 0 to dbits/8-1 generate dqspn_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_i) port map (pad => ddr_dqs(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i), o => lddr_dqs_in(i)); end generate; end generate; -- DQM pads dmgen : for i in 0 to dbits/8-1 generate ddr_bm_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_dm(i), lddr_dm(i)); end generate; -- Data bus pads ddgen : for i in 0 to dbits-1 generate dq_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_ii) port map (pad => ddr_dq(i), i => lddr_dq_out(i), en => lddr_dq_oen(i), o => lddr_dq_in(i)); end generate; -- Second copy of address/data lines ctrl2gen: if ctrl2en/=0 generate rasn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_rasb2, lddr_rasb); casn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_casb2, lddr_casb); wen2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_web2, lddr_web); ba2gen : for i in 0 to 1+eightbanks generate ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ba2(i), lddr_ba(i)); da2gen : for i in 0 to abits-1 generate ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i) port map (ddr_ad2(i), lddr_ad(i)); end generate; end generate; end generate; ctrl2ngen: if ctrl2en=0 generate ddr_rasb2 <= '0'; ddr_casb2 <= '0'; ddr_web2 <= '0'; ddr_ba2 <= (others => '0'); ddr_ad2 <= (others => '0'); end generate; end; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; use techmap.allpads.n2x_padcontrol_none; -- With built-in pads entity ddr2phy is generic (tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8: integer := 0; ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0; resync: integer := 0; custombits: integer := 8; extraio: integer := 0; scantest: integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; -- resync clock (if resync/=0) lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (extraio+dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); -- Copy of control signals for 2nd DIMM ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic; oct_rdn : in std_logic := '0'; oct_rup : in std_logic := '0' ); end; architecture rtl of ddr2phy is signal lddr_clk,lddr_clkb: std_logic_vector(nclk-1 downto 0); signal lddr_clk_fb_out,lddr_clk_fb: std_logic; signal lddr_cke, lddr_csb: std_logic_vector(ncs-1 downto 0); signal lddr_web,lddr_rasb,lddr_casb: std_logic; signal lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen: std_logic_vector(dbits/8-1 downto 0); signal lddr_dqsn_in,lddr_dqsn_out,lddr_dqsn_oen: std_logic_vector(dbits/8-1 downto 0); signal lddr_ad: std_logic_vector(abits-1 downto 0); signal lddr_ba: std_logic_vector(1+eightbanks downto 0); signal lddr_dq_in,lddr_dq_out,lddr_dq_oen: std_logic_vector(dbits-1 downto 0); signal lddr_odt: std_logic_vector(ncs-1 downto 0); signal customdin_exp: std_logic_vector(132 downto 0); begin customdin_exp(custombits-1 downto 0) <= customdin; customdin_exp(customdin_exp'high downto custombits) <= (others => '0'); -- For technologies without PHY-specific registers nreggen: if ddr2phy_has_reg(tech)=0 and ddr2phy_builtin_pads(tech)/=0 generate regrdata <= x"0000000000000000"; end generate; ncustgen: if ddr2phy_has_custom(tech)=0 and ddr2phy_builtin_pads(tech)/=0 generate customdout <= (others => '0'); end generate; stra2 : if (tech = stratix2) generate ddr_phy0 : stratixii_ddr2_phy generic map (MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits ) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt); dqin_valid <= '1'; end generate; stra3 : if (tech = stratix3) generate ddr_phy0 : stratixiii_ddr2_phy generic map (MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2, ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5, ddelayb6 => ddelayb6, ddelayb7 => ddelayb7, numidelctrl => numidelctrl, norefclk => norefclk, tech => tech, rskew => rskew, eightbanks => eightbanks ) port map ( rst, clk, clkref, clkout, lock, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_pll, cal_rst, odt, oct); dqin_valid <= '1'; end generate; uniphy : if (tech = stratix4) generate ddr_phy0 : uniphy_ddr2_phy generic map ( MHz => MHz, rstdelay => rstdelay, dbits => dbits, clk_mul => clk_mul, clk_div => clk_div, eightbanks => eightbanks, abits => abits, nclk => nclk, ncs => ncs) port map ( rst => rst, clk => clk, clkout => clkout, clkoutret => clkoutret, lock => lock, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_dqsn => ddr_dqsn, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, ddr_odt => ddr_odt, addr => addr, ba => ba, dqin => dqin, dqout => dqout, dm => dm, oen => oen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => cke, odt => odt, read_pend => read_pend, dqin_valid => dqin_valid, regwdata => regwdata, regwrite => regwrite, regrdata => regrdata, oct_rdn => oct_rdn, oct_rup => oct_rup); ddr_clk_fb_out <= '0'; customdout <= (others => '0'); end generate; sp3a : if (tech = spartan3) generate ddr_phy0 : spartan3a_ddr2_phy generic map (MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, tech => tech, rskew => rskew, eightbanks => eightbanks) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, cal_pll, odt); dqin_valid <= '1'; end generate; nextreme : if (tech = easic90) generate ddr_phy0 : easic90_ddr2_phy generic map ( tech => tech, MHz => MHz, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rstdelay => rstdelay, eightbanks => eightbanks) port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, odt, '1'); dqin_valid <= '1'; end generate; nextreme2 : if (tech = easic45) generate -- This requires dbits/8 extra bidir I/O that are suppliedd on the ddr_dqs port ddr_phy0 : n2x_ddr2_phy generic map ( MHz => MHz, rstdelay => rstdelay, dbits => dbits, clk_mul => clk_mul, clk_div => clk_div, norefclk => norefclk, eightbanks => eightbanks, dqsse => dqsse, abits => abits, nclk => nclk, ncs => ncs, ctrl2en => ctrl2en) port map ( rst => rst, clk => clk, clk270d => clkref, clkout => clkout, clkoutret => clkoutret, lock => lock, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs(dbits/8-1 downto 0), ddr_dqsn => ddr_dqsn, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, ddr_odt => ddr_odt, rden_pad => ddr_dqs(dbits/4-1 downto dbits/8), addr => addr, ba => ba, dqin => dqin, dqout => dqout, dm => dm, noen => noen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => cke, odt => odt, read_pend => read_pend, dqin_valid => dqin_valid, regwdata => regwdata, regwrite => regwrite, regrdata => regrdata, ddr_web2 => ddr_web2, ddr_rasb2 => ddr_rasb2, ddr_casb2 => ddr_casb2, ddr_ad2 => ddr_ad2, ddr_ba2 => ddr_ba2, dq_control => customdin_exp(73 downto 56), dqs_control => customdin_exp(55 downto 38), ck_control => customdin_exp(37 downto 20), cmd_control => customdin_exp(19 downto 2), compen => customdin_exp(0), compupd => customdin_exp(1) ); ddr_clk_fb_out <= '0'; customdout <= (others => '0'); end generate; ----------------------------------------------------------------------------- -- For technologies where the PHY does not have pads, -- instantiate ddr2phy_wo_pads + pads ----------------------------------------------------------------------------- seppads: if ddr2phy_builtin_pads(tech)=0 generate phywop: ddr2phy_wo_pads generic map (tech,MHz,rstdelay,dbits,clk_mul,clk_div, ddelayb0,ddelayb1,ddelayb2,ddelayb3, ddelayb4,ddelayb5,ddelayb6,ddelayb7, ddelayb8,ddelayb9,ddelayb10,ddelayb11, numidelctrl,norefclk,rskew,eightbanks,dqsse,abits,nclk,ncs, resync,custombits,scantest) port map ( rst,clk,clkref,clkout,clkoutret,clkresync,lock, lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,lddr_cke,lddr_csb, lddr_web,lddr_rasb,lddr_casb,lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen, lddr_ad,lddr_ba, lddr_dq_in,lddr_dq_out,lddr_dq_oen,lddr_odt, addr,ba,dqin,dqout,dm,oen,noen,dqs,dqsoen,rasn,casn,wen,csn,cke, cal_en,cal_inc,cal_pll,cal_rst,odt,oct, read_pend,regwdata,regwrite,regrdata,dqin_valid,customclk,customdin,customdout, testen,testrst,scanen,testoen); pads: ddr2pads generic map (tech,dbits,eightbanks,dqsse,abits,nclk,ncs,ctrl2en) port map (ddr_clk,ddr_clkb,ddr_clk_fb_out,ddr_clk_fb, ddr_cke,ddr_csb,ddr_web,ddr_rasb,ddr_casb,ddr_dm,ddr_dqs,ddr_dqsn, ddr_ad,ddr_ba,ddr_dq,ddr_odt, ddr_web2,ddr_rasb2,ddr_casb2,ddr_ad2,ddr_ba2, lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb, lddr_cke,lddr_csb,lddr_web,lddr_rasb,lddr_casb,lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen, lddr_ad,lddr_ba,lddr_dq_in,lddr_dq_out,lddr_dq_oen,lddr_odt); end generate; nseppads: if ddr2phy_builtin_pads(tech)/=0 generate lddr_clk <= (others => '0'); lddr_clkb <= (others => '0'); lddr_clk_fb_out <= '0'; lddr_clk_fb <= '0'; lddr_cke <= (others => '0'); lddr_csb <= (others => '0'); lddr_web <= '0'; lddr_rasb <= '0'; lddr_casb <= '0'; lddr_dm <= (others => '0'); lddr_dqs_in <= (others => '0'); lddr_dqs_out <= (others => '0'); lddr_dqs_oen <= (others => '0'); lddr_dqsn_in <= (others => '0'); lddr_dqsn_out <= (others => '0'); lddr_dqsn_oen <= (others => '0'); lddr_ad <= (others => '0'); lddr_ba <= (others => '0'); lddr_dq_in <= (others => '0'); lddr_dq_out <= (others => '0'); lddr_dq_oen <= (others => '0'); lddr_odt <= (others => '0'); end generate; end; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; -- without pads (typically used for ASIC technologies) entity ddr2phy_wo_pads is generic (tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8: integer := 0; ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; resync : integer := 0; custombits: integer := 8; scantest: integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; -- resync clock (if resync/=0) lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end; architecture rtl of ddr2phy_wo_pads is begin -- For technologies without PHY-specific registers nreggen: if ddr2phy_has_reg(tech)=0 generate regrdata <= x"0000000000000000"; end generate; ncustgen: if ddr2phy_has_custom(tech)=0 generate customdout <= (others => '0'); end generate; xc4v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) or (tech = artix7) or (tech = kintex7) or (tech = virtex7) or (tech=zynq7000) generate ddr_phy0 : virtex5_ddr2_phy_wo_pads generic map (MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2, ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5, ddelayb6 => ddelayb6, ddelayb7 => ddelayb7, ddelayb8 => ddelayb8, ddelayb9 => ddelayb9, ddelayb10 => ddelayb10, ddelayb11 => ddelayb11, numidelctrl => numidelctrl, norefclk => norefclk, tech => tech, eightbanks => eightbanks, dqsse => dqsse, abits => abits, nclk => nclk, ncs => ncs ) port map ( rst, clk, clkref, clkout, clkoutret, lock, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen,ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt); ddr_clk_fb_out <= '0'; dqin_valid <= '1'; end generate; sp6 : if (tech = spartan6) generate ddr_phy0 : spartan6_ddr2_phy_wo_pads generic map ( MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, tech => tech, rskew => rskew, eightbanks => eightbanks, abits => abits, nclk => nclk, ncs => ncs) port map ( rst, clk, clkout, lock, ddr_clk, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt); ddr_clkb <= (others => '0'); ddr_clk_fb_out <= '0'; dqin_valid <= '1'; end generate; inf : if (has_ddr2phy(tech) = 0) generate ddr_phy0 : generic_ddr2_phy_wo_pads generic map (MHz => MHz, rstdelay => rstdelay, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew, eightbanks => eightbanks, abits => abits, nclk => nclk, ncs => ncs ) port map ( rst, clk, clkout, clkoutret, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen, ddr_odt, addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, "111", odt ); dqin_valid <= '1'; end generate; end; ------------------------------------------------------------------------------- -- LPDDR2 phy ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.allddr.all; entity lpddr2phy_wo_pads is generic ( tech : integer := virtex5; dbits : integer := 16; nclk: integer := 3; ncs: integer := 2; clkratio: integer := 1; scantest: integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; clkin2 : in std_ulogic; clkout : out std_ulogic; clkoutret : in std_ulogic; -- ckkout returned clkout2 : out std_ulogic; lock : out std_ulogic; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ca : in std_logic_vector (10*2*clkratio-1 downto 0); cke : in std_logic_vector (ncs*clkratio-1 downto 0); csn : in std_logic_vector (ncs*clkratio-1 downto 0); dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask ckstop : in std_ulogic; boot : in std_ulogic; wrpend : in std_logic_vector(7 downto 0); rdpend : in std_logic_vector(7 downto 0); wrreq : out std_logic_vector(clkratio-1 downto 0); rdvalid : out std_logic_vector(clkratio-1 downto 0); refcal : in std_ulogic; refcalwu : in std_ulogic; refcaldone : out std_ulogic; phycmd : in std_logic_vector(7 downto 0); phycmden : in std_ulogic; phycmdin : in std_logic_vector(31 downto 0); phycmdout : out std_logic_vector(31 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end; architecture tmap of lpddr2phy_wo_pads is begin inf: if true generate phy0: generic_lpddr2phy_wo_pads generic map ( tech => tech, dbits => dbits, nclk => nclk, ncs => ncs, clkratio => clkratio, scantest => scantest) port map ( rst => rst, clkin => clkin, clkin2 => clkin2, clkout => clkout, clkoutret => clkoutret, clkout2 => clkout2, lock => lock, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_ca => ddr_ca, ddr_dm => ddr_dm, ddr_dqs_in => ddr_dqs_in, ddr_dqs_out => ddr_dqs_out, ddr_dqs_oen => ddr_dqs_oen, ddr_dq_in => ddr_dq_in, ddr_dq_out => ddr_dq_out, ddr_dq_oen => ddr_dq_oen, ca => ca, cke => cke, csn => csn, dqin => dqin, dqout => dqout, dm => dm, ckstop => ckstop, boot => boot, wrpend => wrpend, rdpend => rdpend, wrreq => wrreq, rdvalid => rdvalid, refcal => refcal, refcalwu => refcalwu, refcaldone => refcaldone, phycmd => phycmd, phycmden => phycmden, phycmdin => phycmdin, phycmdout => phycmdout, testen => testen, testrst => testrst, scanen => scanen, testoen => testoen); end generate; end;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_tb IS END ENTITY; ARCHITECTURE system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 2000 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_synth system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_synth_inst:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 36 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
entity nullarray is end entity; architecture test of nullarray is subtype null_range_type is integer range 1 to -1; type rec is record x, y : integer; z : bit_vector(1 to 3); end record; type rec_array is array (natural range <>) of rec; constant A : bit_vector := "010"; constant B : rec_array(null_range_type) := (others => (0, 1, A)); begin end architecture;
--Legal Notice: (C)2015 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity tracking_camera_system_sdram_0_input_efifo_module is port ( -- inputs: signal clk : IN STD_LOGIC; signal rd : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal wr : IN STD_LOGIC; signal wr_data : IN STD_LOGIC_VECTOR (40 DOWNTO 0); -- outputs: signal almost_empty : OUT STD_LOGIC; signal almost_full : OUT STD_LOGIC; signal empty : OUT STD_LOGIC; signal full : OUT STD_LOGIC; signal rd_data : OUT STD_LOGIC_VECTOR (40 DOWNTO 0) ); end entity tracking_camera_system_sdram_0_input_efifo_module; architecture europa of tracking_camera_system_sdram_0_input_efifo_module is signal entries : STD_LOGIC_VECTOR (1 DOWNTO 0); signal entry_0 : STD_LOGIC_VECTOR (40 DOWNTO 0); signal entry_1 : STD_LOGIC_VECTOR (40 DOWNTO 0); signal internal_empty : STD_LOGIC; signal internal_full : STD_LOGIC; signal rd_address : STD_LOGIC; signal rdwr : STD_LOGIC_VECTOR (1 DOWNTO 0); signal wr_address : STD_LOGIC; begin rdwr <= Std_Logic_Vector'(A_ToStdLogicVector(rd) & A_ToStdLogicVector(wr)); internal_full <= to_std_logic(((std_logic_vector'("000000000000000000000000000000") & (entries)) = std_logic_vector'("00000000000000000000000000000010"))); almost_full <= to_std_logic(((std_logic_vector'("000000000000000000000000000000") & (entries))>=std_logic_vector'("00000000000000000000000000000001"))); internal_empty <= to_std_logic(((std_logic_vector'("000000000000000000000000000000") & (entries)) = std_logic_vector'("00000000000000000000000000000000"))); almost_empty <= to_std_logic(((std_logic_vector'("000000000000000000000000000000") & (entries))<=std_logic_vector'("00000000000000000000000000000001"))); process (entry_0, entry_1, rd_address) begin case rd_address is -- synthesis parallel_case full_case when std_logic'('0') => rd_data <= entry_0; -- when std_logic'('0') when std_logic'('1') => rd_data <= entry_1; -- when std_logic'('1') when others => -- when others end case; -- rd_address end process; process (clk, reset_n) begin if reset_n = '0' then wr_address <= std_logic'('0'); rd_address <= std_logic'('0'); entries <= std_logic_vector'("00"); elsif clk'event and clk = '1' then case rdwr is -- synthesis parallel_case full_case when std_logic_vector'("01") => -- Write data if std_logic'(NOT(internal_full)) = '1' then entries <= A_EXT (((std_logic_vector'("0000000000000000000000000000000") & (entries)) + std_logic_vector'("000000000000000000000000000000001")), 2); wr_address <= Vector_To_Std_Logic(A_WE_StdLogicVector((((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(wr_address))) = std_logic_vector'("00000000000000000000000000000001"))), std_logic_vector'("000000000000000000000000000000000"), (((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(wr_address))) + std_logic_vector'("000000000000000000000000000000001"))))); end if; -- when std_logic_vector'("01") when std_logic_vector'("10") => -- Read data if std_logic'(NOT(internal_empty)) = '1' then entries <= A_EXT (((std_logic_vector'("0000000000000000000000000000000") & (entries)) - std_logic_vector'("000000000000000000000000000000001")), 2); rd_address <= Vector_To_Std_Logic(A_WE_StdLogicVector((((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(rd_address))) = std_logic_vector'("00000000000000000000000000000001"))), std_logic_vector'("000000000000000000000000000000000"), (((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(rd_address))) + std_logic_vector'("000000000000000000000000000000001"))))); end if; -- when std_logic_vector'("10") when std_logic_vector'("11") => wr_address <= Vector_To_Std_Logic(A_WE_StdLogicVector((((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(wr_address))) = std_logic_vector'("00000000000000000000000000000001"))), std_logic_vector'("000000000000000000000000000000000"), (((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(wr_address))) + std_logic_vector'("000000000000000000000000000000001"))))); rd_address <= Vector_To_Std_Logic(A_WE_StdLogicVector((((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(rd_address))) = std_logic_vector'("00000000000000000000000000000001"))), std_logic_vector'("000000000000000000000000000000000"), (((std_logic_vector'("00000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(rd_address))) + std_logic_vector'("000000000000000000000000000000001"))))); -- when std_logic_vector'("11") when others => -- when others end case; -- rdwr end if; end process; process (clk) begin if clk'event and clk = '1' then --Write data if std_logic'((wr AND NOT(internal_full))) = '1' then case wr_address is -- synthesis parallel_case full_case when std_logic'('0') => entry_0 <= wr_data; -- when std_logic'('0') when std_logic'('1') => entry_1 <= wr_data; -- when std_logic'('1') when others => -- when others end case; -- wr_address end if; end if; end process; --vhdl renameroo for output signals empty <= internal_empty; --vhdl renameroo for output signals full <= internal_full; end europa; -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library std; use std.textio.all; entity tracking_camera_system_sdram_0 is port ( -- inputs: signal az_addr : IN STD_LOGIC_VECTOR (21 DOWNTO 0); signal az_be_n : IN STD_LOGIC_VECTOR (1 DOWNTO 0); signal az_cs : IN STD_LOGIC; signal az_data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); signal az_rd_n : IN STD_LOGIC; signal az_wr_n : IN STD_LOGIC; signal clk : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; -- outputs: signal za_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); signal za_valid : OUT STD_LOGIC; signal za_waitrequest : OUT STD_LOGIC; signal zs_addr : OUT STD_LOGIC_VECTOR (11 DOWNTO 0); signal zs_ba : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); signal zs_cas_n : OUT STD_LOGIC; signal zs_cke : OUT STD_LOGIC; signal zs_cs_n : OUT STD_LOGIC; signal zs_dq : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0); signal zs_dqm : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); signal zs_ras_n : OUT STD_LOGIC; signal zs_we_n : OUT STD_LOGIC ); end entity tracking_camera_system_sdram_0; architecture europa of tracking_camera_system_sdram_0 is component tracking_camera_system_sdram_0_input_efifo_module is port ( -- inputs: signal clk : IN STD_LOGIC; signal rd : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal wr : IN STD_LOGIC; signal wr_data : IN STD_LOGIC_VECTOR (40 DOWNTO 0); -- outputs: signal almost_empty : OUT STD_LOGIC; signal almost_full : OUT STD_LOGIC; signal empty : OUT STD_LOGIC; signal full : OUT STD_LOGIC; signal rd_data : OUT STD_LOGIC_VECTOR (40 DOWNTO 0) ); end component tracking_camera_system_sdram_0_input_efifo_module; signal CODE : STD_LOGIC_VECTOR (23 DOWNTO 0); signal ack_refresh_request : STD_LOGIC; signal active_addr : STD_LOGIC_VECTOR (21 DOWNTO 0); signal active_bank : STD_LOGIC_VECTOR (1 DOWNTO 0); signal active_cs_n : STD_LOGIC; signal active_data : STD_LOGIC_VECTOR (15 DOWNTO 0); signal active_dqm : STD_LOGIC_VECTOR (1 DOWNTO 0); signal active_rnw : STD_LOGIC; signal almost_empty : STD_LOGIC; signal almost_full : STD_LOGIC; signal bank_match : STD_LOGIC; signal cas_addr : STD_LOGIC_VECTOR (7 DOWNTO 0); signal clk_en : STD_LOGIC; signal cmd_all : STD_LOGIC_VECTOR (3 DOWNTO 0); signal cmd_code : STD_LOGIC_VECTOR (2 DOWNTO 0); signal cs_n : STD_LOGIC; signal csn_decode : STD_LOGIC; signal csn_match : STD_LOGIC; signal f_addr : STD_LOGIC_VECTOR (21 DOWNTO 0); signal f_bank : STD_LOGIC_VECTOR (1 DOWNTO 0); signal f_cs_n : STD_LOGIC; signal f_data : STD_LOGIC_VECTOR (15 DOWNTO 0); signal f_dqm : STD_LOGIC_VECTOR (1 DOWNTO 0); signal f_empty : STD_LOGIC; signal f_pop : STD_LOGIC; signal f_rnw : STD_LOGIC; signal f_select : STD_LOGIC; signal fifo_read_data : STD_LOGIC_VECTOR (40 DOWNTO 0); signal i_addr : STD_LOGIC_VECTOR (11 DOWNTO 0); signal i_cmd : STD_LOGIC_VECTOR (3 DOWNTO 0); signal i_count : STD_LOGIC_VECTOR (2 DOWNTO 0); signal i_next : STD_LOGIC_VECTOR (2 DOWNTO 0); signal i_refs : STD_LOGIC_VECTOR (2 DOWNTO 0); signal i_state : STD_LOGIC_VECTOR (2 DOWNTO 0); signal init_done : STD_LOGIC; signal internal_za_waitrequest : STD_LOGIC; signal m_addr : STD_LOGIC_VECTOR (11 DOWNTO 0); signal m_bank : STD_LOGIC_VECTOR (1 DOWNTO 0); signal m_cmd : STD_LOGIC_VECTOR (3 DOWNTO 0); signal m_count : STD_LOGIC_VECTOR (2 DOWNTO 0); signal m_data : STD_LOGIC_VECTOR (15 DOWNTO 0); signal m_dqm : STD_LOGIC_VECTOR (1 DOWNTO 0); signal m_next : STD_LOGIC_VECTOR (8 DOWNTO 0); signal m_state : STD_LOGIC_VECTOR (8 DOWNTO 0); signal module_input : STD_LOGIC; signal module_input1 : STD_LOGIC_VECTOR (40 DOWNTO 0); signal oe : STD_LOGIC; signal pending : STD_LOGIC; signal rd_strobe : STD_LOGIC; signal rd_valid : STD_LOGIC_VECTOR (2 DOWNTO 0); signal refresh_counter : STD_LOGIC_VECTOR (13 DOWNTO 0); signal refresh_request : STD_LOGIC; signal rnw_match : STD_LOGIC; signal row_match : STD_LOGIC; signal txt_code : STD_LOGIC_VECTOR (23 DOWNTO 0); signal za_cannotrefresh : STD_LOGIC; attribute ALTERA_ATTRIBUTE : string; attribute ALTERA_ATTRIBUTE of m_addr : signal is "FAST_OUTPUT_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of m_bank : signal is "FAST_OUTPUT_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of m_cmd : signal is "FAST_OUTPUT_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of m_data : signal is "FAST_OUTPUT_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of m_dqm : signal is "FAST_OUTPUT_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of za_data : signal is "FAST_INPUT_REGISTER=ON"; begin clk_en <= std_logic'('1'); --s1, which is an e_avalon_slave (zs_cs_n, zs_ras_n, zs_cas_n, zs_we_n) <= m_cmd; zs_addr <= m_addr; zs_cke <= clk_en; zs_dq <= A_WE_StdLogicVector((std_logic'(oe) = '1'), m_data, A_REP(std_logic'('Z'), 16)); zs_dqm <= m_dqm; zs_ba <= m_bank; f_select <= f_pop AND pending; f_cs_n <= std_logic'('0'); cs_n <= A_WE_StdLogic((std_logic'(f_select) = '1'), f_cs_n, active_cs_n); csn_decode <= cs_n; (f_rnw, f_addr(21), f_addr(20), f_addr(19), f_addr(18), f_addr(17), f_addr(16), f_addr(15), f_addr(14), f_addr(13), f_addr(12), f_addr(11), f_addr(10), f_addr(9), f_addr(8), f_addr(7), f_addr(6), f_addr(5), f_addr(4), f_addr(3), f_addr(2), f_addr(1), f_addr(0), f_dqm(1), f_dqm(0), f_data(15), f_data(14), f_data(13), f_data(12), f_data(11), f_data(10), f_data(9), f_data(8), f_data(7), f_data(6), f_data(5), f_data(4), f_data(3), f_data(2), f_data(1), f_data(0)) <= fifo_read_data; --the_tracking_camera_system_sdram_0_input_efifo_module, which is an e_instance the_tracking_camera_system_sdram_0_input_efifo_module : tracking_camera_system_sdram_0_input_efifo_module port map( almost_empty => almost_empty, almost_full => almost_full, empty => f_empty, full => internal_za_waitrequest, rd_data => fifo_read_data, clk => clk, rd => f_select, reset_n => reset_n, wr => module_input, wr_data => module_input1 ); module_input <= ((NOT az_wr_n OR NOT az_rd_n)) AND NOT(internal_za_waitrequest); module_input1 <= Std_Logic_Vector'(A_ToStdLogicVector(az_wr_n) & az_addr & A_WE_StdLogicVector((std_logic'(az_wr_n) = '1'), std_logic_vector'("00"), az_be_n) & az_data); f_bank <= Std_Logic_Vector'(A_ToStdLogicVector(f_addr(21)) & A_ToStdLogicVector(f_addr(8))); -- Refresh/init counter. process (clk, reset_n) begin if reset_n = '0' then refresh_counter <= std_logic_vector'("10011100010000"); elsif clk'event and clk = '1' then if (std_logic_vector'("000000000000000000") & (refresh_counter)) = std_logic_vector'("00000000000000000000000000000000") then refresh_counter <= std_logic_vector'("00011000011010"); else refresh_counter <= A_EXT (((std_logic_vector'("0") & (refresh_counter)) - (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 14); end if; end if; end process; -- Refresh request signal. process (clk, reset_n) begin if reset_n = '0' then refresh_request <= std_logic'('0'); elsif clk'event and clk = '1' then if true then refresh_request <= (((to_std_logic((((std_logic_vector'("000000000000000000") & (refresh_counter)) = std_logic_vector'("00000000000000000000000000000000")))) OR refresh_request)) AND NOT ack_refresh_request) AND init_done; end if; end if; end process; -- Generate an Interrupt if two ref_reqs occur before one ack_refresh_request process (clk, reset_n) begin if reset_n = '0' then za_cannotrefresh <= std_logic'('0'); elsif clk'event and clk = '1' then if true then za_cannotrefresh <= to_std_logic((((std_logic_vector'("000000000000000000") & (refresh_counter)) = std_logic_vector'("00000000000000000000000000000000")))) AND refresh_request; end if; end if; end process; -- Initialization-done flag. process (clk, reset_n) begin if reset_n = '0' then init_done <= std_logic'('0'); elsif clk'event and clk = '1' then if true then init_done <= init_done OR to_std_logic(((i_state = std_logic_vector'("101")))); end if; end if; end process; -- **** Init FSM **** process (clk, reset_n) begin if reset_n = '0' then i_state <= std_logic_vector'("000"); i_next <= std_logic_vector'("000"); i_cmd <= std_logic_vector'("1111"); i_addr <= A_REP(std_logic'('1'), 12); i_count <= A_REP(std_logic'('0'), 3); elsif clk'event and clk = '1' then i_addr <= A_REP(std_logic'('1'), 12); case i_state is -- synthesis parallel_case full_case when std_logic_vector'("000") => i_cmd <= std_logic_vector'("1111"); i_refs <= std_logic_vector'("000"); --Wait for refresh count-down after reset if (std_logic_vector'("000000000000000000") & (refresh_counter)) = std_logic_vector'("00000000000000000000000000000000") then i_state <= std_logic_vector'("001"); end if; -- when std_logic_vector'("000") when std_logic_vector'("001") => i_state <= std_logic_vector'("011"); i_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("010")); i_count <= std_logic_vector'("001"); i_next <= std_logic_vector'("010"); -- when std_logic_vector'("001") when std_logic_vector'("010") => i_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("001")); i_refs <= A_EXT (((std_logic_vector'("0") & (i_refs)) + (std_logic_vector'("000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 3); i_state <= std_logic_vector'("011"); i_count <= std_logic_vector'("111"); -- Count up init_refresh_commands if i_refs = std_logic_vector'("001") then i_next <= std_logic_vector'("111"); else i_next <= std_logic_vector'("010"); end if; -- when std_logic_vector'("010") when std_logic_vector'("011") => i_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("111")); --WAIT til safe to Proceed... if (std_logic_vector'("00000000000000000000000000000") & (i_count))>std_logic_vector'("00000000000000000000000000000001") then i_count <= A_EXT (((std_logic_vector'("0") & (i_count)) - (std_logic_vector'("000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 3); else i_state <= i_next; end if; -- when std_logic_vector'("011") when std_logic_vector'("101") => i_state <= std_logic_vector'("101"); -- when std_logic_vector'("101") when std_logic_vector'("111") => i_state <= std_logic_vector'("011"); i_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("000")); i_addr <= A_REP(std_logic'('0'), 2) & A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("00") & std_logic_vector'("011") & std_logic_vector'("0000"); i_count <= std_logic_vector'("100"); i_next <= std_logic_vector'("101"); -- when std_logic_vector'("111") when others => i_state <= std_logic_vector'("000"); -- when others end case; -- i_state end if; end process; active_bank <= Std_Logic_Vector'(A_ToStdLogicVector(active_addr(21)) & A_ToStdLogicVector(active_addr(8))); csn_match <= to_std_logic((std_logic'(active_cs_n) = std_logic'(f_cs_n))); rnw_match <= to_std_logic((std_logic'(active_rnw) = std_logic'(f_rnw))); bank_match <= to_std_logic((active_bank = f_bank)); row_match <= to_std_logic((active_addr(20 DOWNTO 9) = f_addr(20 DOWNTO 9))); pending <= (((csn_match AND rnw_match) AND bank_match) AND row_match) AND NOT(f_empty); cas_addr <= A_EXT (A_WE_StdLogicVector((std_logic'(f_select) = '1'), (A_REP(std_logic'('0'), 4) & f_addr(7 DOWNTO 0)), (A_REP(std_logic'('0'), 4) & active_addr(7 DOWNTO 0))), 8); -- **** Main FSM **** process (clk, reset_n) begin if reset_n = '0' then m_state <= std_logic_vector'("000000001"); m_next <= std_logic_vector'("000000001"); m_cmd <= std_logic_vector'("1111"); m_bank <= std_logic_vector'("00"); m_addr <= std_logic_vector'("000000000000"); m_data <= std_logic_vector'("0000000000000000"); m_dqm <= std_logic_vector'("00"); m_count <= std_logic_vector'("000"); ack_refresh_request <= std_logic'('0'); f_pop <= std_logic'('0'); oe <= std_logic'('0'); elsif clk'event and clk = '1' then f_pop <= std_logic'('0'); oe <= std_logic'('0'); case m_state is -- synthesis parallel_case full_case when std_logic_vector'("000000001") => --Wait for init-fsm to be done... if std_logic'(init_done) = '1' then --Hold bus if another cycle ended to arf. if std_logic'(refresh_request) = '1' then m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("111")); else m_cmd <= std_logic_vector'("1111"); end if; ack_refresh_request <= std_logic'('0'); --Wait for a read/write request. if std_logic'(refresh_request) = '1' then m_state <= std_logic_vector'("001000000"); m_next <= std_logic_vector'("010000000"); m_count <= std_logic_vector'("001"); active_cs_n <= std_logic'('1'); elsif std_logic'(NOT(f_empty)) = '1' then f_pop <= std_logic'('1'); active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; m_state <= std_logic_vector'("000000010"); end if; else m_addr <= i_addr; m_state <= std_logic_vector'("000000001"); m_next <= std_logic_vector'("000000001"); m_cmd <= i_cmd; end if; -- when std_logic_vector'("000000001") when std_logic_vector'("000000010") => m_state <= std_logic_vector'("000000100"); m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("011")); m_bank <= active_bank; m_addr <= active_addr(20 DOWNTO 9); m_data <= active_data; m_dqm <= active_dqm; m_count <= std_logic_vector'("010"); m_next <= A_WE_StdLogicVector((std_logic'(active_rnw) = '1'), std_logic_vector'("000001000"), std_logic_vector'("000010000")); -- when std_logic_vector'("000000010") when std_logic_vector'("000000100") => -- precharge all if arf, else precharge csn_decode if m_next = std_logic_vector'("010000000") then m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("111")); else m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("111")); end if; --Count down til safe to Proceed... if (std_logic_vector'("00000000000000000000000000000") & (m_count))>std_logic_vector'("00000000000000000000000000000001") then m_count <= A_EXT (((std_logic_vector'("0") & (m_count)) - (std_logic_vector'("000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 3); else m_state <= m_next; end if; -- when std_logic_vector'("000000100") when std_logic_vector'("000001000") => m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("101")); m_bank <= A_WE_StdLogicVector((std_logic'(f_select) = '1'), f_bank, active_bank); m_dqm <= A_WE_StdLogicVector((std_logic'(f_select) = '1'), f_dqm, active_dqm); m_addr <= std_logic_vector'("0000") & (cas_addr); --Do we have a transaction pending? if std_logic'(pending) = '1' then --if we need to ARF, bail, else spin if std_logic'(refresh_request) = '1' then m_state <= std_logic_vector'("000000100"); m_next <= std_logic_vector'("000000001"); m_count <= std_logic_vector'("010"); else f_pop <= std_logic'('1'); active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; end if; else --correctly end RD spin cycle if fifo mt if std_logic'((NOT pending AND f_pop)) = '1' then m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("111")); end if; m_state <= std_logic_vector'("100000000"); end if; -- when std_logic_vector'("000001000") when std_logic_vector'("000010000") => m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("100")); oe <= std_logic'('1'); m_data <= A_WE_StdLogicVector((std_logic'(f_select) = '1'), f_data, active_data); m_dqm <= A_WE_StdLogicVector((std_logic'(f_select) = '1'), f_dqm, active_dqm); m_bank <= A_WE_StdLogicVector((std_logic'(f_select) = '1'), f_bank, active_bank); m_addr <= std_logic_vector'("0000") & (cas_addr); --Do we have a transaction pending? if std_logic'(pending) = '1' then --if we need to ARF, bail, else spin if std_logic'(refresh_request) = '1' then m_state <= std_logic_vector'("000000100"); m_next <= std_logic_vector'("000000001"); m_count <= std_logic_vector'("010"); else f_pop <= std_logic'('1'); active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; end if; else --correctly end WR spin cycle if fifo empty if std_logic'((NOT pending AND f_pop)) = '1' then m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("111")); oe <= std_logic'('0'); end if; m_state <= std_logic_vector'("100000000"); end if; -- when std_logic_vector'("000010000") when std_logic_vector'("000100000") => m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("111")); --Count down til safe to Proceed... if (std_logic_vector'("00000000000000000000000000000") & (m_count))>std_logic_vector'("00000000000000000000000000000001") then m_count <= A_EXT (((std_logic_vector'("0") & (m_count)) - (std_logic_vector'("000") & (A_TOSTDLOGICVECTOR(std_logic'('1'))))), 3); else m_state <= std_logic_vector'("001000000"); m_count <= std_logic_vector'("001"); end if; -- when std_logic_vector'("000100000") when std_logic_vector'("001000000") => m_state <= std_logic_vector'("000000100"); m_addr <= A_REP(std_logic'('1'), 12); -- precharge all if arf, else precharge csn_decode if std_logic'(refresh_request) = '1' then m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("010")); else m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("010")); end if; -- when std_logic_vector'("001000000") when std_logic_vector'("010000000") => ack_refresh_request <= std_logic'('1'); m_state <= std_logic_vector'("000000100"); m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(std_logic'('0')) & std_logic_vector'("001")); m_count <= std_logic_vector'("111"); m_next <= std_logic_vector'("000000001"); -- when std_logic_vector'("010000000") when std_logic_vector'("100000000") => m_cmd <= Std_Logic_Vector'(A_ToStdLogicVector(csn_decode) & std_logic_vector'("111")); --if we need to ARF, bail, else spin if std_logic'(refresh_request) = '1' then m_state <= std_logic_vector'("000000100"); m_next <= std_logic_vector'("000000001"); m_count <= std_logic_vector'("001"); --wait for fifo to have contents elsif std_logic'(NOT(f_empty)) = '1' then --Are we 'pending' yet? if std_logic'((((csn_match AND rnw_match) AND bank_match) AND row_match)) = '1' then m_state <= A_WE_StdLogicVector((std_logic'(f_rnw) = '1'), std_logic_vector'("000001000"), std_logic_vector'("000010000")); f_pop <= std_logic'('1'); active_cs_n <= f_cs_n; active_rnw <= f_rnw; active_addr <= f_addr; active_data <= f_data; active_dqm <= f_dqm; else m_state <= std_logic_vector'("000100000"); m_next <= std_logic_vector'("000000001"); m_count <= std_logic_vector'("001"); end if; end if; -- when std_logic_vector'("100000000") when others => m_state <= m_state; m_cmd <= std_logic_vector'("1111"); f_pop <= std_logic'('0'); oe <= std_logic'('0'); -- when others end case; -- m_state end if; end process; rd_strobe <= to_std_logic((m_cmd(2 DOWNTO 0) = std_logic_vector'("101"))); --Track RD Req's based on cas_latency w/shift reg process (clk, reset_n) begin if reset_n = '0' then rd_valid <= A_REP(std_logic'('0'), 3); elsif clk'event and clk = '1' then rd_valid <= (A_SLL(rd_valid,std_logic_vector'("00000000000000000000000000000001"))) OR (A_REP(std_logic'('0'), 2) & A_ToStdLogicVector(rd_strobe)); end if; end process; -- Register dq data. process (clk, reset_n) begin if reset_n = '0' then za_data <= std_logic_vector'("0000000000000000"); elsif clk'event and clk = '1' then za_data <= zs_dq; end if; end process; -- Delay za_valid to match registered data. process (clk, reset_n) begin if reset_n = '0' then za_valid <= std_logic'('0'); elsif clk'event and clk = '1' then if true then za_valid <= rd_valid(2); end if; end if; end process; cmd_code <= m_cmd(2 DOWNTO 0); cmd_all <= m_cmd; --vhdl renameroo for output signals za_waitrequest <= internal_za_waitrequest; --synthesis translate_off process VARIABLE write_line : line; VARIABLE write_line1 : line; VARIABLE write_line2 : line; VARIABLE write_line3 : line; VARIABLE write_line4 : line; VARIABLE write_line5 : line; VARIABLE write_line6 : line; VARIABLE write_line7 : line; begin write(write_line, string'("This reference design requires a vendor simulation model.")); write(output, write_line.all & CR); deallocate (write_line); write(write_line1, string'("To simulate accesses to SDRAM, you must:")); write(output, write_line1.all & CR); deallocate (write_line1); write(write_line2, string'(" - Download the vendor model")); write(output, write_line2.all & CR); deallocate (write_line2); write(write_line3, string'(" - Install the model in the system_sim directory")); write(output, write_line3.all & CR); deallocate (write_line3); write(write_line4, string'(" - Add the vendor file to the list of files passed to 'vcom' in setup_sim.do")); write(output, write_line4.all & CR); deallocate (write_line4); write(write_line5, string'(" - Instantiate sdram simulation models and wire them to testbench signals")); write(output, write_line5.all & CR); deallocate (write_line5); write(write_line6, string'(" - Be aware that you may have to disable some timing checks in the vendor model")); write(output, write_line6.all & CR); deallocate (write_line6); write(write_line7, string'(" (because this simulation is zero-delay based)")); write(output, write_line7.all & CR); deallocate (write_line7); wait; end process; txt_code <= A_WE_StdLogicVector(((cmd_code = std_logic_vector'("000"))), std_logic_vector'("010011000100110101010010"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("001"))), std_logic_vector'("010000010101001001000110"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("010"))), std_logic_vector'("010100000101001001000101"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("011"))), std_logic_vector'("010000010100001101010100"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("100"))), std_logic_vector'("001000000101011101010010"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("101"))), std_logic_vector'("001000000101001001000100"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("110"))), std_logic_vector'("010000100101001101010100"), A_WE_StdLogicVector(((cmd_code = std_logic_vector'("111"))), std_logic_vector'("010011100100111101010000"), std_logic_vector'("010000100100000101000100"))))))))); CODE <= A_WE_StdLogicVector((std_logic'(and_reduce(((cmd_all OR std_logic_vector'("0111"))))) = '1'), std_logic_vector'("010010010100111001001000"), txt_code); --synthesis translate_on end europa;
-- Projeto MasterMind -- Diogo Daniel Soares Ferreira e Eduardo Reis Silva library IEEE; use IEEE.std_LOGIC_1164.all; entity Counter4Tb is end Counter4Tb; -- Testbench para contador de 0 a 3 architecture Stimulus of Counter4Tb is signal s_clk, s_reset, s_enable : std_logic; signal s_count : std_logic_vector(1 downto 0); begin counter_str: entity work.Counter4(Behavioral) port map(clk => s_clk, reset => s_reset, enable=> s_enable, count => s_count); clk_proc: process begin s_clk <= '0'; wait for 10 ns; s_clk <= '1'; wait for 10 ns; end process; stim_proc: process begin s_enable <= '0'; s_reset <= '0'; wait for 11 ns; s_enable <= '1'; wait for 50 ns; s_enable <= '0'; wait for 11 ns; s_reset <= '1'; wait for 11 ns; end process; end Stimulus;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity abus_avalon_sdram_bridge_tb is end abus_avalon_sdram_bridge_tb; architecture Behavioral of abus_avalon_sdram_bridge_tb is component abus_avalon_sdram_bridge is port ( clock : in std_logic := '0'; -- clock.clk abus_address : in std_logic_vector(24 downto 0) := (others => '0'); -- abus.address abus_data : inout std_logic_vector(15 downto 0) := (others => '0'); -- abus.data abus_chipselect : in std_logic_vector(2 downto 0) := (others => '0'); -- .chipselect abus_read : in std_logic := '0'; -- .read abus_write : in std_logic_vector(1 downto 0) := (others => '0'); -- .write abus_interrupt : out std_logic := '0'; -- .interrupt abus_direction : out std_logic := '0'; -- .direction abus_interrupt_disable_out : out std_logic := '0'; -- .disableout sdram_addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr sdram_ba : out std_logic_vector(1 downto 0); -- .ba sdram_cas_n : out std_logic; -- .cas_n sdram_cke : out std_logic; -- .cke sdram_cs_n : out std_logic; -- .cs_n sdram_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq sdram_dqm : out std_logic_vector(1 downto 0); -- .dqm sdram_ras_n : out std_logic; -- .ras_n sdram_we_n : out std_logic; -- .we_n sdram_clk : out std_logic; avalon_sdram_read : in std_logic := '0'; -- avalon_master.read avalon_sdram_write : in std_logic := '0'; -- .write avalon_sdram_waitrequest : out std_logic := '0'; -- .waitrequest avalon_sdram_address : in std_logic_vector(25 downto 0) := (others => '0'); -- .address avalon_sdram_writedata : in std_logic_vector(15 downto 0) := (others => '0'); -- .writedata avalon_sdram_readdata : out std_logic_vector(15 downto 0) := (others => '0'); -- .readdata avalon_sdram_readdatavalid : out std_logic := '0'; -- .readdatavalid avalon_regs_read : in std_logic := '0'; -- avalon_master.read avalon_regs_write : in std_logic := '0'; -- .write avalon_regs_waitrequest : out std_logic := '0'; -- .waitrequest avalon_regs_address : in std_logic_vector(7 downto 0) := (others => '0'); -- .address avalon_regs_writedata : in std_logic_vector(15 downto 0) := (others => '0'); -- .writedata avalon_regs_readdata : out std_logic_vector(15 downto 0) := (others => '0'); -- .readdata avalon_regs_readdatavalid : out std_logic := '0'; -- .readdatavalid saturn_reset : in std_logic := '0'; -- .saturn_reset reset : in std_logic := '0' -- reset.reset ); end component; component sdram_controller is port( -- HOST INTERFACE wr_addr: in std_logic_vector(23 downto 0); wr_data: in std_logic_vector(15 downto 0); wr_enable: in std_logic; rd_addr: in std_logic_vector(23 downto 0); rd_data: out std_logic_vector(15 downto 0); rd_ready: out std_logic; rd_enable: in std_logic; busy: out std_logic; rst_n: in std_logic; clk: in std_logic; -- SDRAM SIDE addr : out std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr bank_addr : out std_logic_vector(1 downto 0); -- .ba cas_n : out std_logic; -- .cas_n clock_enable : out std_logic; -- .cke cs_n : out std_logic; -- .cs_n data : inout std_logic_vector(15 downto 0) := (others => '0'); -- .dq data_mask_low: out std_logic; data_mask_high: out std_logic; ras_n : out std_logic; -- .ras_n we_n : out std_logic ); end component; ----------------------ins signal clock : std_logic := '0'; -- clock.clk signal abus_address : std_logic_vector(24 downto 0) := (others => '0'); -- abus.address signal abus_chipselect : std_logic_vector(2 downto 0) := (others => '1'); -- .chipselect signal abus_read : std_logic := '1'; -- .read signal abus_write : std_logic_vector(1 downto 0) := (others => '1'); -- .write signal avalon_sdram_read : std_logic := '0'; -- avalon_master.read signal avalon_sdram_write : std_logic := '0'; -- .write signal avalon_sdram_address : std_logic_vector(25 downto 0) := (others => '0'); -- .address signal avalon_sdram_writedata : std_logic_vector(15 downto 0) := (others => '0'); -- .writedata signal avalon_regs_read : std_logic := '0'; -- avalon_master.read signal avalon_regs_write : std_logic := '0'; -- .write signal avalon_regs_address : std_logic_vector(7 downto 0) := (others => '0'); -- .address signal avalon_regs_writedata : std_logic_vector(15 downto 0) := (others => '0'); -- .writedata signal saturn_reset : std_logic := '0'; -- .saturn_reset signal reset : std_logic := '0'; -- reset.reset ----------------------outs signal abus_waitrequest : std_logic := '1'; -- .waitrequest signal abus_interrupt : std_logic := '0'; -- .interrupt signal abus_direction : std_logic := '0'; -- .direction signal abus_interrupt_disable_out : std_logic := '0'; -- .disableout signal sdram_addr : std_logic_vector(12 downto 0); -- external_sdram_controller_wire.addr signal sdram_ba : std_logic_vector(1 downto 0); -- .ba signal sdram_cas_n : std_logic; -- .cas_n signal sdram_cke : std_logic; -- .cke signal sdram_cs_n : std_logic; signal sdram_dqm : std_logic_vector(1 downto 0); -- .dqm signal sdram_ras_n : std_logic; -- .ras_n signal sdram_we_n : std_logic; -- .we_n signal sdram_clk : std_logic; signal avalon_sdram_waitrequest : std_logic := '0'; -- .waitrequest signal avalon_sdram_readdata : std_logic_vector(15 downto 0) := (others => '0'); -- .readdata signal avalon_sdram_readdatavalid : std_logic := '0'; -- .readdatavalid signal avalon_regs_waitrequest : std_logic := '0'; -- .waitrequest signal avalon_regs_readdata : std_logic_vector(15 downto 0) := (others => '0'); -- .readdata signal avalon_regs_readdatavalid : std_logic := '0'; -- .readdatavalid ----------------------inouts signal abus_data : std_logic_vector(15 downto 0) := (others => '0'); -- abus.data signal sdram_dq : std_logic_vector(15 downto 0) := (others => '0'); -- .dq signal abus_full_address : std_logic_vector(25 downto 0) := (others => '0'); signal abus_data_in : std_logic_vector(15 downto 0) := (others => '0'); ------------- reference controller signal refer_wr_addr: std_logic_vector(23 downto 0) := (others => '0'); signal refer_wr_data: std_logic_vector(15 downto 0) := (others => '0'); signal refer_wr_enable: std_logic; signal refer_rd_addr: std_logic_vector(23 downto 0) := (others => '0'); signal refer_rd_data: std_logic_vector(15 downto 0) := (others => '0'); signal refer_rd_ready: std_logic; signal refer_rd_enable: std_logic := '0'; signal refer_busy: std_logic; signal refer_rst_n: std_logic := '1'; procedure write_abus_16 (addry : in std_logic_vector(25 downto 0); datty : in std_logic_vector(15 downto 0); csy : in std_logic_vector(2 downto 0); wry : in std_logic_vector(1 downto 0); signal Abus_Ad : out std_logic_vector(25 downto 0); signal Abus_Da : out std_logic_vector(15 downto 0); signal Abus_CS : out std_logic_vector(2 downto 0); signal Abus_Wri : out std_logic_vector(1 downto 0); signal Ref_Ad : out std_logic_vector(23 downto 0); signal Ref_Da : out std_logic_vector(15 downto 0); signal Ref_Wri : out std_logic ) is begin Abus_Ad <= addry; Ref_Ad <= addry(24 downto 1); Ref_Da <= datty; wait for 8620 ps; Abus_Da <= datty; wait for 8620 ps; Abus_CS <= csy; wait for 8620 ps; Abus_Wri <= wry; wait for 4310 ps; Ref_Wri <= '1'; wait for 8620 ps; Ref_Wri <= '0'; wait for 159470 ps; Abus_CS <= "111"; wait for 8620 ps; Abus_Wri <= "11"; wait for 8620 ps; end write_abus_16; procedure read_abus_16 (addry : in std_logic_vector(25 downto 0); csy : in std_logic_vector(2 downto 0); signal Abus_Ad : out std_logic_vector(25 downto 0); signal Abus_CS : out std_logic_vector(2 downto 0); signal Abus_Re : out std_logic; signal Ref_Ad : out std_logic_vector(23 downto 0); signal Ref_Re : out std_logic ) is begin Abus_Ad <= addry; Ref_Ad <= addry(24 downto 1); wait for 8620 ps; Abus_CS <= csy; wait for 8620 ps; Abus_Re <= '0'; wait for 8620 ps; Ref_Re <= '1'; wait for 8620 ps; Ref_Re <= '0'; wait for 172400 ps; Abus_CS <= "111"; wait for 8620 ps; Abus_Re <= '1'; wait for 8620 ps; end read_abus_16; procedure write_avalon_16 (addry : in std_logic_vector(25 downto 0); datty : in std_logic_vector(15 downto 0); signal Ava_Ad : out std_logic_vector(24 downto 0); signal Ava_Da : out std_logic_vector(15 downto 0); signal Ava_Wri : out std_logic; signal Ref_Ad : out std_logic_vector(23 downto 0); signal Ref_Da : out std_logic_vector(15 downto 0); signal Ref_Wri : out std_logic ) is begin Ava_Ad <= addry(24 downto 0); Ref_Ad <= addry(24 downto 1); Ref_Da <= datty; Ava_Da <= datty; wait for 8620 ps; Ava_Wri <= '1'; wait for 8620 ps; Ref_Wri <= '1'; Ava_Wri <= '0'; wait for 8620 ps; Ref_Wri <= '0'; end write_avalon_16; procedure write_avalon_16_regs (addry : in std_logic_vector(7 downto 0); datty : in std_logic_vector(15 downto 0); signal Ava_Ad : out std_logic_vector(7 downto 0); signal Ava_Da : out std_logic_vector(15 downto 0); signal Ava_Wri : out std_logic ) is begin Ava_Ad <= addry; Ava_Da <= datty; wait for 8620 ps; Ava_Wri <= '1'; wait for 8620 ps; Ava_Wri <= '0'; wait for 8620 ps; end write_avalon_16_regs; procedure read_avalon_16 (addry : in std_logic_vector(25 downto 0); signal Ava_Ad : out std_logic_vector(24 downto 0); signal Ava_Re : out std_logic; signal Ref_Ad : out std_logic_vector(23 downto 0); signal Ref_Re : out std_logic ) is begin Ava_Ad <= addry(24 downto 0); Ref_Ad <= addry(24 downto 1); wait for 8620 ps; Ava_Re <= '1'; wait for 8620 ps; Ref_Re <= '1'; Ava_Re <= '0'; wait for 8620 ps; Ref_Re <= '0'; end read_avalon_16; procedure read_avalon_16_regs (addry : in std_logic_vector(7 downto 0); signal Ava_Ad : out std_logic_vector(7 downto 0); signal Ava_Re : out std_logic ) is begin Ava_Ad <= addry; wait for 8620 ps; Ava_Re <= '1'; wait for 8620 ps; Ava_Re <= '0'; wait for 8620 ps; end read_avalon_16_regs; begin clock <= not clock after 4310 ps; --116 MHz clock --address/data mux abus_data <= abus_data_in when abus_direction = '0' else (others => 'Z'); abus_address <= abus_full_address(24 downto 0); UUT: abus_avalon_sdram_bridge port map( clock => clock, abus_address => abus_address, abus_data => abus_data, abus_chipselect => abus_chipselect, abus_read => abus_read, abus_write => abus_write, abus_interrupt => abus_interrupt, abus_direction => abus_direction, abus_interrupt_disable_out => abus_interrupt_disable_out, sdram_addr => sdram_addr, sdram_ba => sdram_ba, sdram_cas_n => sdram_cas_n, sdram_cke => sdram_cke, sdram_cs_n => sdram_cs_n, sdram_dq => sdram_dq, sdram_dqm => sdram_dqm, sdram_ras_n => sdram_ras_n, sdram_we_n => sdram_we_n, sdram_clk => sdram_clk, avalon_sdram_read => avalon_sdram_read, avalon_sdram_write => avalon_sdram_write, avalon_sdram_waitrequest => avalon_sdram_waitrequest, avalon_sdram_address => avalon_sdram_address, avalon_sdram_writedata => avalon_sdram_writedata, avalon_sdram_readdata => avalon_sdram_readdata, avalon_sdram_readdatavalid => avalon_sdram_readdatavalid, avalon_regs_read => avalon_regs_read, avalon_regs_write => avalon_regs_write, avalon_regs_waitrequest => avalon_regs_waitrequest, avalon_regs_address => avalon_regs_address, avalon_regs_writedata => avalon_regs_writedata, avalon_regs_readdata => avalon_regs_readdata, avalon_regs_readdatavalid => avalon_regs_readdatavalid, saturn_reset => saturn_reset, reset => reset ); --REFER: sdram_controller -- port map( -- clk => clock, -- rst_n => refer_rst_n, -- busy => open, -- wr_addr => refer_wr_addr, -- wr_data => refer_wr_data, -- wr_enable => refer_wr_enable, -- rd_addr => refer_rd_addr, -- rd_data => refer_rd_data, -- rd_ready => refer_rd_ready, -- rd_enable => refer_rd_enable, -- addr => open, -- bank_addr => open, -- cas_n => open, -- clock_enable => open, -- cs_n => open, -- data => open, -- data_mask_low => open, -- data_mask_high => open, -- ras_n => open, -- we_n => open -- ); process begin refer_rst_n <= '1'; wait for 100ns; refer_rst_n <= '0'; wait for 100ns; refer_rst_n <= '1'; wait for 800ns; wait for 300us; --sdram init time --setup sniff fifo - only writes on cs1 write_avalon_16_regs(X"E8",X"000A",avalon_regs_address,avalon_regs_writedata,avalon_regs_write); --filter - only write on cs1 --abus normal read read_abus_16("00"&X"EFAFAE","101",abus_full_address,abus_chipselect,abus_read,refer_rd_addr,refer_rd_enable); --abus read while autorefresh wait for 3150ns; read_abus_16("00"&X"EFAFAE","101",abus_full_address,abus_chipselect,abus_read,refer_rd_addr,refer_rd_enable); --abus pack write for w in 0 to 10 loop wait for 10 us; write_abus_16(std_logic_vector(to_unsigned(w*512,26)),X"DADA","101","00",abus_full_address,abus_data_in,abus_chipselect,abus_write,refer_wr_addr,refer_wr_data,refer_wr_enable); end loop; wait for 100 us; wait for 11 ms; --avalon normal read for w in 0 to 20 loop wait for 500 ns; read_avalon_16_regs(X"E0",avalon_regs_address,avalon_regs_read); wait for 500 ns; read_avalon_16_regs(X"EA",avalon_regs_address,avalon_regs_read); end loop; wait; --pack read fifo for w in 0 to 1025 loop wait for 1 us; read_avalon_16_regs(X"E0",avalon_regs_address,avalon_regs_read); --write_avalon_16_regs(X"E6",X"0000",avalon_regs_address,avalon_regs_writedata,avalon_regs_write); --filter - only write on cs1 end loop; wait for 10ms; --abus pack write for w in 0 to 1025 loop wait for 10 us; write_abus_16(std_logic_vector(to_unsigned(w*512,26)),X"DADA","101","00",abus_full_address,abus_data_in,abus_chipselect,abus_write,refer_wr_addr,refer_wr_data,refer_wr_enable); end loop; wait for 100 us; --pack read fifo for w in 0 to 1025 loop wait for 1 us; read_avalon_16_regs(X"E0",avalon_regs_address,avalon_regs_read); --write_avalon_16_regs(X"E6",X"0000",avalon_regs_address,avalon_regs_writedata,avalon_regs_write); --filter - only write on cs1 end loop; -- --avalon normal write -- wait for 500ns; -- write_avalon_16("00"&X"EEE312",X"DADA",avalon_sdram_address,avalon_sdram_writedata,avalon_sdram_write,refer_wr_addr,refer_wr_data,refer_wr_enable); -- wait for 500ns; -- --avalon normal read -- wait for 500ns; -- read_avalon_16("00"&X"EEE312",avalon_sdram_address,avalon_sdram_read,refer_rd_addr,refer_rd_enable); -- wait for 500ns; wait; end process; end Behavioral;
-- The MIT License (MIT) -- -- Copyright (c) 2013 Michael Lancaster -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. -- SMT half adder -- Michael Lancaster <[email protected]> -- We don't care about carries at the moment, not really relevant to testing -- the performance of SMT -- 4 October 2013 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity smt_adder_unit is Port ( ADDER_A : in STD_LOGIC_VECTOR (7 downto 0); ADDER_B : in STD_LOGIC_VECTOR (7 downto 0); ADDER_S : out STD_LOGIC_VECTOR (7 downto 0)); end smt_adder_unit; architecture Behavioral of smt_adder_unit is component smt_full_adder Port (A, B, Cin : in STD_LOGIC; S, Cout : out STD_LOGIC); end component; component smt_half_adder Port (A, B : in STD_LOGIC; S, Cout : out STD_LOGIC); end component; signal CARRIERS : STD_LOGIC_VECTOR (7 downto 0); begin Half: smt_half_adder port map (ADDER_A(0), ADDER_B(0), ADDER_S(0), CARRIERS(0)); Full1: smt_full_adder port map (ADDER_A(1), ADDER_B(1), CARRIERS(0), ADDER_S(1), CARRIERS(1)); Full2: smt_full_adder port map (ADDER_A(2), ADDER_B(2), CARRIERS(1), ADDER_S(2), CARRIERS(2)); Full3: smt_full_adder port map (ADDER_A(3), ADDER_B(3), CARRIERS(2), ADDER_S(3), CARRIERS(3)); Full4: smt_full_adder port map (ADDER_A(4), ADDER_B(4), CARRIERS(3), ADDER_S(4), CARRIERS(4)); Full5: smt_full_adder port map (ADDER_A(5), ADDER_B(5), CARRIERS(4), ADDER_S(5), CARRIERS(5)); Full6: smt_full_adder port map (ADDER_A(6), ADDER_B(6), CARRIERS(5), ADDER_S(6), CARRIERS(6)); Full7: smt_full_adder port map (ADDER_A(7), ADDER_B(7), CARRIERS(6), ADDER_S(7), CARRIERS(7)); end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity test_ram is end test_ram; architecture behavioural of test_ram is component RAM is port ( clock : in std_logic; write_enable : in std_logic; address : in std_logic_vector; data_in : in std_logic_vector; data_out : out std_logic_vector ); end component RAM; signal write_enable : std_logic; signal address : std_logic_vector(9 downto 0); signal data_in : std_logic_vector(7 downto 0); signal data_out : std_logic_vector(7 downto 0); -- Just to prove types don't conflict signal address2 : std_logic_vector(5 downto 0); signal data_in2 : std_logic_vector(1 downto 0); signal data_out2 : std_logic_vector(1 downto 0); signal period: time := 10 ns; signal clock : std_logic := '0'; signal finished : std_logic := '0'; begin clock <= not clock after period/2 when finished='0'; ramcell : RAM port map (clock, write_enable, address, data_in, data_out); ramcell2 : RAM port map (clock, write_enable, address2, data_in2, data_out2); process begin address <= "0000000000"; address2 <= "000000"; write_enable <= '1'; data_in <= "01010101"; data_in2 <= "01"; wait for period; assert data_out = "00000000" report "RAM should be zero initialized" severity error; write_enable <= '0'; data_in <= "11110000"; wait for period; assert data_out = "01010101" report "data should have been written and returned" severity error; wait for period; assert data_out = "01010101" report "data should not be overwritten with write_enable false" severity error; finished <= '1'; wait; end process; end behavioural;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity Cozinha_Tb is end Cozinha_Tb; architecture Stimulus of CozinhaFSM is signal s_bs, s_bc, s_c, s_s, s_clk, s_u, s_d : std_logic; begin uut: entity CozinhaFSM(Behavioral) port map(BS =>s_bs, BC =>s_bc, C =>s_c, S =>s_s, clk=>s_clk, U =>s_u, D =>s_d); clk_proc:process begin s_clk <= '1'; wait for 20 ns; s_clk <= '0'; wait for 20 ns; end process; stim_proc:process begin s_bs <= '0'; s_bc <= '0'; s_c <= '0'; s_S <= '0'; wait for 50 ns; s_bs <= '1'; s_s <= '1'; wait for 50 ns; s_bs <= '0'; s_s <= '0'; wait for 50 ns; s_bc <= '1'; s_c <= '1'; wait for 50 ns; end process; end Stimulus;
entity repro is end; architecture behav of repro is type my_rec is record bv : bit_vector; end record; function get_bv (n : natural) return my_rec is begin return (bv => (1 to n => '0')); end get_bv; constant l : natural := get_bv (5).bv'length; begin assert l = 5; end;
entity nullacc is end nullacc; architecture behav of nullacc is begin process type int_acc is access integer; variable v : int_acc; begin v := new integer'(7); assert v.all = 7 severity failure; deallocate (v); assert v.all = 0 severity note; -- access error wait; end process; end behav;
entity nullacc is end nullacc; architecture behav of nullacc is begin process type int_acc is access integer; variable v : int_acc; begin v := new integer'(7); assert v.all = 7 severity failure; deallocate (v); assert v.all = 0 severity note; -- access error wait; end process; end behav;