content
stringlengths 1
1.04M
⌀ |
---|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity train11_jed is
port(
clock: in std_logic;
input: in std_logic_vector(1 downto 0);
output: out std_logic_vector(0 downto 0)
);
end train11_jed;
architecture behaviour of train11_jed is
constant st0: std_logic_vector(3 downto 0) := "1110";
constant st1: std_logic_vector(3 downto 0) := "0110";
constant st2: std_logic_vector(3 downto 0) := "1011";
constant st3: std_logic_vector(3 downto 0) := "1100";
constant st5: std_logic_vector(3 downto 0) := "0010";
constant st7: std_logic_vector(3 downto 0) := "1111";
constant st9: std_logic_vector(3 downto 0) := "0011";
constant st4: std_logic_vector(3 downto 0) := "1000";
constant st6: std_logic_vector(3 downto 0) := "1010";
constant st8: std_logic_vector(3 downto 0) := "0111";
constant st10: std_logic_vector(3 downto 0) := "0100";
signal current_state, next_state: std_logic_vector(3 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "----"; output <= "-";
case current_state is
when st0 =>
if std_match(input, "00") then next_state <= st0; output <= "0";
elsif std_match(input, "10") then next_state <= st1; output <= "-";
elsif std_match(input, "01") then next_state <= st2; output <= "-";
end if;
when st1 =>
if std_match(input, "10") then next_state <= st1; output <= "1";
elsif std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "11") then next_state <= st5; output <= "1";
end if;
when st2 =>
if std_match(input, "01") then next_state <= st2; output <= "1";
elsif std_match(input, "00") then next_state <= st7; output <= "1";
elsif std_match(input, "11") then next_state <= st9; output <= "1";
end if;
when st3 =>
if std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "01") then next_state <= st4; output <= "1";
end if;
when st4 =>
if std_match(input, "01") then next_state <= st4; output <= "1";
elsif std_match(input, "00") then next_state <= st0; output <= "-";
end if;
when st5 =>
if std_match(input, "11") then next_state <= st5; output <= "1";
elsif std_match(input, "01") then next_state <= st6; output <= "1";
end if;
when st6 =>
if std_match(input, "01") then next_state <= st6; output <= "1";
elsif std_match(input, "00") then next_state <= st0; output <= "-";
end if;
when st7 =>
if std_match(input, "00") then next_state <= st7; output <= "1";
elsif std_match(input, "10") then next_state <= st8; output <= "1";
end if;
when st8 =>
if std_match(input, "10") then next_state <= st8; output <= "1";
elsif std_match(input, "00") then next_state <= st0; output <= "-";
end if;
when st9 =>
if std_match(input, "11") then next_state <= st9; output <= "1";
elsif std_match(input, "10") then next_state <= st10; output <= "1";
end if;
when st10 =>
if std_match(input, "10") then next_state <= st10; output <= "1";
elsif std_match(input, "00") then next_state <= st0; output <= "-";
end if;
when others => next_state <= "----"; output <= "-";
end case;
end process;
end behaviour;
|
-- file: timer.vhd
--
-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1 100.000 0.000 50.0 200.000 50.000
-- CLK_OUT2 100.000 0.000 50.0 200.000 50.000
--
------------------------------------------------------------------------------
-- Input Clock Input Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- primary 100.000 0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity timer is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic
);
end timer;
architecture xilinx of timer is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "timer,clk_wiz_v1_8,{component_name=timer,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering
signal clk_out1_internal : std_logic;
signal clkfb : std_logic;
signal clk0 : std_logic;
signal clkfbout : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(7 downto 0);
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK_IN1);
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_sp_inst: DCM_SP
generic map
(CLKDV_DIVIDE => 2.000,
CLKFX_DIVIDE => 1,
CLKFX_MULTIPLY => 4,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 10.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE)
port map
-- Input clock
(CLKIN => clkin1,
CLKFB => clkfb,
-- Output clocks
CLK0 => clk0,
CLK90 => open,
CLK180 => open,
CLK270 => open,
CLK2X => open,
CLK2X180 => open,
CLKFX => open,
CLKFX180 => open,
CLKDV => open,
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => locked_internal,
STATUS => status_internal,
RST => '0',
-- Unused pin, tie low
DSSEN => '0');
-- Output buffering
-------------------------------------
clkfb <= clk_out1_internal;
clkout1_buf : BUFG
port map
(O => clk_out1_internal,
I => clk0);
CLK_OUT1 <= clk_out1_internal;
clkout2_buf : BUFG
port map
(O => CLK_OUT2,
I => clk0);
end xilinx;
|
package real1 is
function approx(x, y : real; t : real := 0.001) return boolean;
end package;
package body real1 is
function approx(x, y : real; t : real := 0.001) return boolean is
begin
return abs(x - y) < t;
end function;
end package body;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.std_logic_misc.all;
entity router_channel is
generic (
DATA_WIDTH: integer := 32;
current_address : integer := 5;
Rxy_rst : integer := 60;
Cx_rst : integer := 15;
NoC_size: integer := 4
);
port (
reset, clk: in std_logic;
DCTS : in std_logic;
DRTS : in std_logic;
RTS : out std_logic;
CTS : out std_logic;
flit_type : in std_logic_vector(2 downto 0);
destination_address : in std_logic_vector(NoC_size-1 downto 0);
Grant_N_in , Grant_E_in , Grant_W_in , Grant_S_in , Grant_L_in : in std_logic;
Req_N_in , Req_E_in , Req_W_in , Req_S_in , Req_L_in :in std_logic;
-- fault injector signals
fault_shift: in std_logic;
fault_clk: in std_logic;
fault_data_in_serial: in std_logic;
fault_data_out_serial: out std_logic;
Grant_N_out, Grant_E_out, Grant_W_out, Grant_S_out, Grant_L_out: out std_logic;
Req_N_out , Req_E_out, Req_W_out, Req_S_out, Req_L_out:out std_logic;
read_pointer_out, write_pointer_out: out std_logic_vector(3 downto 0);
write_en_out :out std_logic;
Xbar_sel: out std_logic_vector(4 downto 0);
-- the checker output shift register
shift : in std_logic;
checker_clk: in std_logic;
error_signal_sync: out std_logic; -- this is the or of all outputs of the shift register
error_signal_async: out std_logic; -- this is the or of all outputs of the checkers
shift_serial_data: out std_logic
);
end router_channel;
architecture behavior of router_channel is
COMPONENT FIFO is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
DRTS: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
CTS: out std_logic;
empty_out: out std_logic;
read_pointer_out, write_pointer_out: out std_logic_vector(3 downto 0);
write_en_out :out std_logic;
-- fault injector signals
shift: in std_logic;
fault_clk: in std_logic;
data_in_serial: in std_logic;
data_out_serial: out std_logic;
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end COMPONENT;
COMPONENT Arbiter
port (reset: in std_logic;
clk: in std_logic;
Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules
DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking)
Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
Xbar_sel : out std_logic_vector(4 downto 0); -- select lines for XBAR
RTS: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid
-- fault injector signals
shift: in std_logic;
fault_clk: in std_logic;
data_in_serial: in std_logic;
data_out_serial: out std_logic;
-- Checker outputs
err_state_IDLE_xbar,
err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in,
err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in,
err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state,
err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants,
err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot,
err_Requests_next_state_IDLE,
err_IDLE_Req_L,
err_Local_Req_L,
err_North_Req_N,
err_IDLE_Req_N,
err_Local_Req_N,
err_South_Req_L,
err_West_Req_L,
err_South_Req_N,
err_East_Req_L,
err_West_Req_N,
err_East_Req_N,
err_next_state_onehot,
err_state_in_onehot,
err_state_north_xbar_sel,
err_state_east_xbar_sel,
err_state_west_xbar_sel,
err_state_south_xbar_sel : out std_logic
);
end COMPONENT;
COMPONENT LBDR is
generic (
cur_addr_rst: integer := 5;
Rxy_rst: integer := 60;
Cx_rst: integer := 15;
NoC_size: integer := 4
);
port (reset: in std_logic;
clk: in std_logic;
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
Req_N, Req_E, Req_W, Req_S, Req_L:out std_logic;
-- fault injector signals
shift: in std_logic;
fault_clk: in std_logic;
data_in_serial: in std_logic;
data_out_serial: out std_logic;
-- Checker outputs
--err_header_not_empty_Requests_in_onehot,
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in : out std_logic
);
end COMPONENT;
COMPONENT shift_register is
generic (
REG_WIDTH: integer := 8
);
port (
clk, reset : in std_logic;
shift: in std_logic;
data_in: in std_logic_vector(REG_WIDTH-1 downto 0);
data_out_parallel: in std_logic_vector(REG_WIDTH-1 downto 0);
data_out_serial: out std_logic
);
end COMPONENT;
-- Grant_XY : Grant signal generated from Arbiter for output X connected to FIFO of input Y
signal empty: std_logic;
signal combined_error_signals: std_logic_vector(58 downto 0);
signal shift_parallel_data: std_logic_vector(58 downto 0);
-- Signals related to Checkers
-- LBDR Checkers signals
signal err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in : std_logic;
-- Arbiter Checkers signals
signal err_state_IDLE_xbar, err_state_not_IDLE_xbar,
err_state_IDLE_RTS_FF_in, err_state_not_IDLE_RTS_FF_RTS_FF_in,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in, err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in,
err_RTS_FF_not_DCTS_state_state_in, err_not_RTS_FF_state_in_next_state,
err_RTS_FF_DCTS_state_in_next_state, err_not_DCTS_Grants,
err_DCTS_not_RTS_FF_Grants, err_DCTS_RTS_FF_IDLE_Grants,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot, err_Requests_next_state_IDLE,
err_IDLE_Req_L, err_Local_Req_L, err_North_Req_N, err_IDLE_Req_N, err_Local_Req_N,
err_South_Req_L, err_West_Req_L, err_South_Req_N, err_East_Req_L,
err_West_Req_N, err_East_Req_N, err_next_state_onehot, err_state_in_onehot,
err_state_north_xbar_sel, err_state_east_xbar_sel,
err_state_west_xbar_sel, err_state_south_xbar_sel : std_logic;
-- FIFO Control Part Checkers signals
signal err_write_en_write_pointer, err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full,
err_read_pointer_increment, err_read_pointer_not_increment,
err_write_en, err_not_CTS_in, err_read_en_mismatch : std_logic;
signal fault_DO_serial_FIFO_2_LBDR, fault_DO_serial_LBDR_2_Arbiter: std_logic;
begin
-- OR of checker outputs
error_signal_sync <= OR_REDUCE(shift_parallel_data);
error_signal_async <= OR_REDUCE(combined_error_signals);
-- making the shift register input signal
-- please keep this like this, i use this for counting the number of the signals.
combined_error_signals <= err_header_empty_Requests_FF_Requests_in &
err_tail_Requests_in_all_zero &
err_header_tail_Requests_FF_Requests_in &
err_dst_addr_cur_addr_N1 &
err_dst_addr_cur_addr_not_N1 &
err_dst_addr_cur_addr_E1 &
err_dst_addr_cur_addr_not_E1 &
err_dst_addr_cur_addr_W1 &
err_dst_addr_cur_addr_not_W1 &
err_dst_addr_cur_addr_S1 &
err_dst_addr_cur_addr_not_S1 &
err_dst_addr_cur_addr_not_Req_L_in &
err_dst_addr_cur_addr_Req_L_in &
err_header_not_empty_Req_N_in &
err_header_not_empty_Req_E_in &
err_header_not_empty_Req_W_in &
err_header_not_empty_Req_S_in &
err_state_IDLE_xbar &
err_state_not_IDLE_xbar &
err_state_IDLE_RTS_FF_in &
err_state_not_IDLE_RTS_FF_RTS_FF_in &
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in &
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in &
err_RTS_FF_not_DCTS_state_state_in &
err_not_RTS_FF_state_in_next_state &
err_RTS_FF_DCTS_state_in_next_state &
err_not_DCTS_Grants &
err_DCTS_not_RTS_FF_Grants &
err_DCTS_RTS_FF_IDLE_Grants &
err_DCTS_RTS_FF_not_IDLE_Grants_onehot &
err_Requests_next_state_IDLE &
err_IDLE_Req_L &
err_Local_Req_L &
err_North_Req_N &
err_IDLE_Req_N &
err_Local_Req_N &
err_South_Req_L &
err_West_Req_L &
err_South_Req_N &
err_East_Req_L &
err_West_Req_N &
err_East_Req_N &
err_next_state_onehot &
err_state_in_onehot &
err_state_north_xbar_sel &
err_state_east_xbar_sel &
err_state_west_xbar_sel &
err_state_south_xbar_sel &
err_write_en_write_pointer &
err_not_write_en_write_pointer &
err_read_pointer_write_pointer_not_empty &
err_read_pointer_write_pointer_empty &
err_read_pointer_write_pointer_not_full &
err_read_pointer_write_pointer_full &
err_read_pointer_increment &
err_read_pointer_not_increment &
err_write_en &
err_not_CTS_in &
err_read_en_mismatch;
---------------------------------------------------------------------------------------------------------------------------
FIFO_unit: FIFO generic map (DATA_WIDTH => DATA_WIDTH)
PORT MAP (reset => reset, clk => clk, DRTS => DRTS,
read_en_N => Grant_N_in, read_en_E =>Grant_E_in, read_en_W =>Grant_W_in, read_en_S =>Grant_S_in, read_en_L =>Grant_L_in,
CTS => CTS, empty_out => empty,
read_pointer_out => read_pointer_out, write_pointer_out => write_pointer_out,
write_en_out => write_en_out,
shift=>fault_shift, fault_clk=>fault_clk, data_in_serial=> fault_data_in_serial, data_out_serial=>fault_DO_serial_FIFO_2_LBDR,
err_write_en_write_pointer => err_write_en_write_pointer,
err_not_write_en_write_pointer => err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full,
err_read_pointer_increment => err_read_pointer_increment,
err_read_pointer_not_increment => err_read_pointer_not_increment,
err_write_en => err_write_en,
err_not_CTS_in => err_not_CTS_in,
err_read_en_mismatch => err_read_en_mismatch
);
------------------------------------------------------------------------------------------------------------------------------
LBDR_unit: LBDR generic map (cur_addr_rst => current_address, Rxy_rst => Rxy_rst, Cx_rst => Cx_rst, NoC_size => NoC_size)
PORT MAP (reset => reset, clk => clk, empty => empty, flit_type => flit_type, dst_addr=> destination_address,
Req_N=> Req_N_out, Req_E=>Req_E_out, Req_W=>Req_W_out, Req_S=>Req_S_out, Req_L=>Req_L_out,
shift=>shift, fault_clk=>fault_clk, data_in_serial=> fault_DO_serial_FIFO_2_LBDR, data_out_serial=>fault_DO_serial_LBDR_2_Arbiter,
err_header_empty_Requests_FF_Requests_in => err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero => err_tail_Requests_in_all_zero,
err_header_tail_Requests_FF_Requests_in => err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1 => err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1 => err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1 => err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1 => err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1 => err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1 => err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1 => err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1 => err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_not_Req_L_in => err_dst_addr_cur_addr_not_Req_L_in,
err_dst_addr_cur_addr_Req_L_in => err_dst_addr_cur_addr_Req_L_in,
err_header_not_empty_Req_N_in => err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in => err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in => err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in => err_header_not_empty_Req_S_in
);
------------------------------------------------------------------------------------------------------------------------------
Arbiter_unit: Arbiter
PORT MAP (reset => reset, clk => clk,
Req_N => Req_N_in , Req_E => Req_E_in, Req_W => Req_W_in, Req_S => Req_S_in, Req_L => Req_L_in,
DCTS => DCTS, Grant_N => Grant_N_out, Grant_E => Grant_E_out, Grant_W => Grant_W_out, Grant_S => Grant_S_out, Grant_L => Grant_L_out,
Xbar_sel => Xbar_sel,
RTS => RTS,
shift=>shift, fault_clk=>fault_clk, data_in_serial=> fault_DO_serial_LBDR_2_Arbiter, data_out_serial=> fault_data_out_serial,
err_state_IDLE_xbar => err_state_IDLE_xbar ,
err_state_not_IDLE_xbar => err_state_not_IDLE_xbar ,
err_state_IDLE_RTS_FF_in => err_state_IDLE_RTS_FF_in ,
err_state_not_IDLE_RTS_FF_RTS_FF_in => err_state_not_IDLE_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in ,
err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in ,
err_RTS_FF_not_DCTS_state_state_in => err_RTS_FF_not_DCTS_state_state_in ,
err_not_RTS_FF_state_in_next_state => err_not_RTS_FF_state_in_next_state ,
err_RTS_FF_DCTS_state_in_next_state => err_RTS_FF_DCTS_state_in_next_state ,
err_not_DCTS_Grants => err_not_DCTS_Grants ,
err_DCTS_not_RTS_FF_Grants => err_DCTS_not_RTS_FF_Grants ,
err_DCTS_RTS_FF_IDLE_Grants => err_DCTS_RTS_FF_IDLE_Grants ,
err_DCTS_RTS_FF_not_IDLE_Grants_onehot => err_DCTS_RTS_FF_not_IDLE_Grants_onehot ,
err_Requests_next_state_IDLE => err_Requests_next_state_IDLE ,
err_IDLE_Req_L => err_IDLE_Req_L ,
err_Local_Req_L => err_Local_Req_L ,
err_North_Req_N => err_North_Req_N ,
err_IDLE_Req_N => err_IDLE_Req_N ,
err_Local_Req_N => err_Local_Req_N ,
err_South_Req_L => err_South_Req_L ,
err_West_Req_L => err_West_Req_L ,
err_South_Req_N => err_South_Req_N ,
err_East_Req_L => err_East_Req_L ,
err_West_Req_N => err_West_Req_N ,
err_East_Req_N => err_East_Req_N ,
err_next_state_onehot => err_next_state_onehot ,
err_state_in_onehot => err_state_in_onehot ,
err_state_north_xbar_sel => err_state_north_xbar_sel ,
err_state_east_xbar_sel => err_state_east_xbar_sel ,
err_state_west_xbar_sel => err_state_west_xbar_sel ,
err_state_south_xbar_sel => err_state_south_xbar_sel
);
checker_shifter: shift_register generic map (REG_WIDTH => 59)
port map (
clk => checker_clk, reset => reset,
shift => shift,
data_in => combined_error_signals,
data_out_parallel => shift_parallel_data,
data_out_serial => shift_serial_data
);
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block
Mk04IQYVW6VhUnt53LpmjIYyfspROEpRFnz7eAyouWRjJJpEj7/V9SLtRWUdFfZ4SqU30oBMS8WY
IchXlZhLki1sfRQMVtTVqDNsxcXzHsATEX8seIUCqHNtCYDbkzeCALuYdOH4KefOpztizFWk7Jnb
od94u/oQX7Snw23IfsSh0DRrbFGJgwARNeWMwuEgQO9GD3TjXwScTEUiMPZAwslXUYMnA1/GUXHE
qjqymyIBqNPBipGqLio2iYCbdMzQZNjt3N5QsmleqX5cFr+KhsOct1tpjiuId5BUSbX4FVXp71r3
mA5fgqFNqV4F791wpiCpIDSjN9K2+EabwK8USvi4Sl/OBIuho5aTzEvKPnRFFT0tCelzzK30j3lD
z7Jaih7A4jCkANkegfFz7rMQMsyWB9oCwjXXLIppY6DP+S+zbcIc5ZYePldbKKytM8Nv9IO5Lmm6
95MnEiVFroMtGNTV1j1fMLjDKpuqXdkxRnRWDMOIaM+9xaJiNWujszWHFJNUskrk8U3tgFhvKGxZ
1nmhcdd90Kdb5BVx6eSSXx8jSpTT98fA2mKrAqw8VzOfd/e+ebRBs830+W1wHZIavspVHDayU6Lg
DiVsUF3cpsxX5qLtopGEIAmAGFrsyCF4Q2p+GVmI2Hz1emUGZgIXrmjH99Tm5YFwPId3glhBaGXG
/PacXFYU6X3S9ctYm6y6RztW0R4efY/o8tg6TLchFKzq6fcPqEs7RYgQmDohVox2/3jg9ElfDbxd
32uY7//4PYgwsSdRRXmL0PFsMhHaqKnMerpw83NvkhYfu0ystHo479obLHaxNsMIsS4SGxQDKiDg
5KPdat0eaUpaGQjh4wMOBcrBg4VvpBwu0VXiHFKs49msIMXPH6YX3ocRlc4WkyQ+p4UvLqa4z7Lu
LjCJ66ocqSO/Vy7CoTTh4cLUzgRbDkxf0gYX2SqsfnbIxItsge2QtJWA0aVTf8451TK0BiMoUw4Y
yZpCOUXi8H4SZ2Kbyz/VmUdVPVd0oixOKctnH0iIEHxlrez0ReXyYdXLKi8UG3MhNAkhHJfHsFYg
skLyq+vTjjs++paqO5MG4VilwnFQ/Xls2HrQ/GkDv68Gyj3NEPB/PJFAi8lsNMFcDU6ot5xsSUPR
sHV4HBvsD0A6b3zmk/tMitQ+DX/5jRuV0TdtxRPI3KKZ5l7PSF7jm4aqMEIUdSiVocBroSPeinDd
UeX+RAhuVIGuRYRDUl3SLo91jyNYjntwJnBxpZ3o19ouZKfC4rFMDwlrmQSVvOtiK2G3H5QGzs/g
bvPD9st0Irjghj9QLF7HjXYNOPwSiRQ4ellHZmpq9h0k6i8SO4PNrPfTwcaHAS8VdAG7e0WyncNu
R7E2RPNlSq8gdL0hY8Mny/VZj1CcnnKt51juyxjIYeNhN2PPHlOx0oC8OrIzZ5Y+FsBjhlk1iCu6
u0RbWWEXbh/LSO8k+8Jn7ZxGn8WaXu8DuQZIGxFF1MKS3Sk0ZwEIOFoG431qtkXAHmnN53lzUfkR
i2BPHbLouDsTLLEwympQQiYvojKvxNweXcnYIyiUQYifUIjr0sGET4aXSwJF2JEvQes+wg5drHPi
f11PeG19E1acvkJECKWZ1O1hTxjYcC3uQ7698z8Wjg2aFTNQU4Ez08FrvAx5ebGRnqquCaSUTskG
0ZRbWDzMjf4NH0kZ4wD+Lyo/izo2lwapYRoNxD2l7gTQDpyHv4CGAxB6dbOMBYCHl9PbDYu668AK
3zY/bxLHD8b/vz2I/T3S1uy2YqEHaJ03MpPxfwvTUTvO0C7f3Hryrxiju4PqxCIsftAZVB+giPaj
D6cqCVlWByg8LFpdwmht+KkFCXtW3YITP4YITLLoUXEFloPRXE9q3LvUhEcgI8dlG+2eZqCMvoBo
dqmCisgodHN2nogTaXZ01fbT/eEjbxnXOhW9VaC6haq8HaLuLUx+Nikn1l0CC7ie0i5EUHpfexX6
AqnbHJQx85dXdTIGz+F5G0zO7uvQ8A2KOfozCDdn+Sllb3XLDUTMrgNGiIzotoIu4akAk0Kqa3/V
z9Fp4iEmZyZMjLQJhnyBd9pcgS89ZD64TwOzcEatCx0H7GErpHaRh3kaDmuqE5Hp1PEZloqVX05b
Ys/snnYb+EPN4F6iZICqeMU5FGHLYnV1T59gtuGHbtcx4GxZfnr2VVpueLZNMtUAFYbg6/X9+Hm3
gEspi05s+gB6IHzrGQGvOaiE/HvVaeywD+ZPGz01Ba47DFXBDQo5Qz2QQXLyITVDSU2tvT9DsiPN
Ou/Bg5NBDFludXPN8XUXgAIf+xVYoWFFKDLqHghqLmknDsaTIVjjR4FGwIQnGVUtFKlozhrUt4Ao
P1gECatRqsNdjxLGHv1cFvWZxNIZlR19/B6CmdQMhKj/y1stoOA6IUhFYc7JL8rS4kbah7uDFIf4
VOVKcdfhhdEcdBj4JvBdn9NH1IOYO2fEDzifFvTgLsWGY4mTB2QQ0HbI6NoQfFvoktXnopcbfZD1
sok9aVtZ7Msr+v7Z0B+TKxV14c+wZnxS4zjIzbPmTVJWC02dWdkIz4wQ4CuBMFHGjf+rE2TAdb1g
UNPk9qIYPrp10OPdAabwrHsmWXrcS3tp5jrh9sdJpKAClaGxttGoq0CauzhE3118Vh2sWyIfnqvi
B7p0geDFHbnoXM/RtVS9oyaes5FLictaVq/E3JOk6VFr/PJeocciPLfJjnE9NzjbyK3vGhhUg0ul
UjiOt7SmJwbV6SgjcoAIfyraKDP3IHv5VbKi1rBlywJUGnbw8AIdEbeeMLsfsu/7VWx7CyLzxK5b
axb0LijD9swZQttM0qzwoEQFX00rkkIJdEbx2ICvVQ/eS90E/S2chQ4IU2ZH8tGwhF6LdU5cM2RJ
LIzsOB60JeFWloOamU2BPkJFvekFWRF/Bm8liyDn6JUkakYrnTqshRcShgrKDbP1Lt9Y2jbrk2VZ
wRgVpoTwkw7L332B2UtAG0RCQVN4nFbV3s6SFolvEQVNNlZzCXL5hsBhz2A5JR6o+ZcczTaepikx
QWgh0ykI8PaULBbpkn+7EQ41nJ2agqmVhQfFub9Aa97BdWOMlwBs9FpaXQYrBQULTuztoYQ4JmG0
Sy05XqAw+0WQxPrE4savS0hzqqXMCs6K20jCJLxp5kNxLJpd5nu8awex4UugyQXBDDpSZUs8fhB4
2rcCDWP0xLMaQKdNMLHXxKOTHraUoq4rSLAVGlw5k5JYTTAPTij7KFsfEM7NdZBM6LpqnNN3fb9j
YxtaSQt2OwFO+PCShcvd8Ir8JvIDzgITUXx4NMkXtktzbulnZxp/3K5ykIpVIK7pUcDxr5QF9UoW
rKolNtty8aS9g1EWgc4AFxE2JjnIy4iAI/1WbpOpOYWVCUpPIbcKmjtyjTsKemZZMHF/z9jl6lkv
mrWaCUo+EA4d1MRpzJsQ71T4xOuJk3ln54jSvwo9cN3WgvZMC7g7VvptpfWjL/Q88Myke6aXqu13
OsA2TGJGFdAvsG7fUFJL3Od5KTW77G1Q22s9HTZRlTPiZoJaXk63EATCigKvydBLrmRQxtzsB7dO
qSXC0ZVOc4IkMiM5nw2I/LotG1UhoXL9KsH9ciJBMjxJ4KhXm53FrrDc16bq+wet95sQS7eWrAjs
oamjbf/xzH7mX/BSUU0rnSj4p6sIHaOHZxYlUX3PQy+m624Z2SR/qFWdj/tzyW7aBBjBIsjEZtST
7t4Np23H0d8iCD3KHXoHEkOnJe/UOoDp/AS89W3JHLEFae+Zq+Ftytza3VNGZE8TGM3BGsqLZEzH
nDeOtAdm6NHedUSqUh9qghUCOBkqUrul+PBWb0tG1m2xcsx+JClYI4b9Jx0dgJGu5/Do0N+zc9bV
ezYOMrZmczw7qTTV52He4yDy0kiRs1gGnOFThxw7C1JPse13Hb7uOLVFs+0MzIl8Q2IzmpXuNwSM
MMVXOh/zutt8RsJmXMR/zPgHDROaJnABCYOM9mtuw5XGK3+Hwts5+ZIBBrAm1bgj8UXsIlgfsZ8v
4rgUf7LKfg12GXyGEf5lQ60sClTy8cDWz05Pt+NPhCSgsDrWnRu0IPjqgRlVrv/PZbzmuNjwK9qa
4JkLEoWbIV9qvj8KT3J7ioebOg0rSn/awXY3b8nnqlSR3BcZS6w90P53+tKjNsxb80vrSRefQZop
JIiCss/OYIhwu9FVE0jTH9qUdcGdI8FWwDC1WrRO2QJrhVQlb8GL6Qw49RbHAXKFK0BXz/NzjNWo
QvIQrz/8b77niYXPgDaL+oN54HBiRshJTHxSS7Gc8b/L8dV5pfpJrP6t/I9Ut8ThckBhUhRoCve+
rq7XGoZ2Rt6XyqYldwcFWsNRtJ61Na+y+2jSMjAypZ5RZVT/f6zjHqE3aMmUAbpl4u76hXfy6K5R
9xSEAXRQgtaWa7hkNfppoZBF6SdYCfvxt32Jv1PKLY9BfgvCfCmND0jXtpjmLyR0Zdz8Ueas1QJ5
GA8hXxBF/yz4IpVYS6ODv3IDUl4L1D6vWvP/TA/wfZxSQD9zdZI6XVsl2T0K/2kZVJLhnYe231/4
0fZhloPC1nxp/IGHCHON7RkaFv0Gr3lrDhXf2b/HUA4mjyqBwNhyD6ICrKk+56Sb2M0YqDmvP1yk
xS5Zh4nK6galinVvgUlNrOS0/aip8bi4vGT83H+S5tq9kvm+/l0HbADp2dB9PrYbK9Jh04/m28DS
OFwKFD9TISA/PZff2TPWZfOatwh8HYUKri+Sae9Oifa4H7Ym5vZXzQTV8ah1uvyoHw7yCh+cFori
WH0SlrFbuhM6786cc3OCKqgkdj7BZTcj10FOU/6YQ9yeqjcEnEQunR9VqI+i59vUN5E9R59KlLV3
UC/l3lr4+zuKKGuL4oaNIl5CjP49N0M2pz3T9EkWTzucRjwFIBcmf0CkTivdFgBQBPI7K0fwD6Z2
fv7qFWkG/kCSIAbuzoG5BKsza3ck9b8AUQfuH9xOWBP11ET4o2MIL9rXj3JANoIfkwL2dB7tlcCL
Thq24HPEV+jerRFNhn4u9myrJSac7QyDzWXepbSAiwumJ9c41WaBOwwGXf5eEOPpk18oov9Vai7X
cC5YjJ8shOwbXbQI1d0wVdxVGpfdJPv+eOVrYFP3Y4SB7qC1+kwAurZTTQT24X+P7uYf4o2qxUEO
BoCbWoSZLqmm1kMJqXE3CEYZZMToVRTEwysrRdhJKMlq3+PG32cLvaOcbdz/cHkOmJeKhx6hBTJ0
i+UE9LHzQUMRXFwBMIVaUIy09bQsrAb4+AttiJno9owwZj2m0/zWlqXeoFl0SnkcNjAsLyP9meqy
S5bgqykkDF4YJUDjBJ/fQ9fDAHn9C/2n/pLB862yCzy51Zl/smwB0Hr8F7TeDNieSRsB9oWrcNXG
rngt5HkPWfyjS1G9IL3rCEj+VWi9V1OXhKe2UctAIKGY7xfJlKk9M8JLrqqVNIX0f6zk+DXv6iNg
8mF0SE44NgQ0Ki6c/j+W/YBZD5zWGJbpugXJuJiql/2wTyBVPNkhkxqzeT/isLFwFSCSuSJqy9M7
39Rt/Yo/EN51pakMy7vlWf6RleLiuJnvTJg4MvQKUWNpslE6oSKeA2uOI+Hvt6dKyu3I1iRjN9tU
0QM3FpdabLK7ATEjix1wrxGAd5l7fxMVwzvBCyTOB2oRCLAUZJnMKX7qbN4qo/Z/OkzaAJCFQEMR
aSXQbOZIAxPdnoJKubClKLNAwlkVG9pfb4yk5os+bNnTFKHBtykAUWTYfLkSu7DJGCwSZCsicAD5
y4JSqEH5CnhRDvogFydvUvQma/De9DBR9n03V2IIzaq7sxya7eOPEweVItfDXUokE0NuwduvHNq4
n+YuxiT5LMq5Wny3QLUfhy3b0fs/Kp2C2K4V6grNwH3F7jVkJ4LcsbUCHjI+/Ju2RwV8Tja8ACKd
LTZOk/CQO7gqGFXu2tjjTNhwu6ZVumvU/S2LO6uGS7LJhPCzwlF7zqjgw4yZanYFaasROwuLhAVN
Ydz48VQm7DqVXIuT/hP3V8pFwysZPsLrxDomTH3QLRuyPRt8X+eXIANQDxKw1Oj4tccXdG2PZ93n
E86quPqwy0zKhGkAuLtoUf/q6vE9cn9+M21x3tbW5yAGQ1rzHCpXxXElSDCDdWHFG/yKj8+xSWPy
wo/9H18i/oU9S328jryuagBCmxy6uK+JZ/Otj0uqjjPQrhYR3JsMZ+/JpSQPFuFGuGJIzhnPXHGF
1UNTCIGZbY8kgQbjPLJehsZzcbiRXRPNoFpJyImo7gbrsoBJSjg7zyY+gy931IWKsNaVBThEx4ry
tkghD8r/5UVuSAFlu29rqSdRjIMOp4Nigj0NMCkrlgfc9NQGz0ANaaniteQF4fc30X0hcH0OGV/V
qwfqaVgIiHNehtod1t75yr/njlP/KTBzjyQQAmopUR+HaoK3uL8anW3inRqcqhxfnxXqNHegCFdu
F0mEmDxe8H0hqXttPVwz/2mJ5EQfesmD5HM0HLYY5qTxOw3SM5lXu8U131b4AhV3jU9AKJg4rb49
utG6bxAvay1mLtYsEpWPc8aooJKh2aKsXGj2RscZ7MtuC1H01gG1ldzFAHdRHfjHP0D8MgHyVBxh
UQsZGPaeu2rDuAFxHw/2ilPrhHE2q0rC2o8ym50CN8ZKtSG1kWLx+a9b4qqjsed4/Kz6mxbfXiRQ
PFDLRDvsPP896Dn7WMdMNutr2Ghr6B5qMr/RUyLB/uiwtXWN+Qm6hFGX2K4bl9LUjqvQlY1BzMTL
myzpl8nEraTD9eWC7Y2Y8Czx4Z1e4T0/tR/eM1q9fUhTTV8PIRTGYILD/iMWJZnxAXQC0avUhlMT
6tFbxfY07/xOno93cSaby1XHff4KKQO2XJDhR9BYskigvOpfv7Q2yyYmVRrOF/N4gQBFo5y8KBnS
n+zfTPhUGDAROTsD7UvTCmbO5MUDpjX3LW35MJfz55ryGrT4iMeWkQJNJnQHn/ILZSRGxzY3yZHI
MZT0dXqaRBNm0YWOxWG07l07vJ/iI2iA7nXEv6BUrSeryx/RIZ7ulP1/HEccuTCrptE+XWS7ti5N
jvq3zAynG+lsR0DLwd7SznQLpIADBokYBwSm7+ajoQu5X7CwS8HAKDjZg23f7yw5GV4gTCaR1KGs
6iFrwL3g/BqnJ9Wcmfvrv7XiATESNzxbvibXzCMm5QrsqnMMiC1dGbAo70/ybgBc1Fq0H1JExvIO
lPC/gvVUkCWbpzNNU9FFkPoTVz9PDgH3i227Nj7Cb7vHu/Y+MtvOJZQGUYxDBpAQx6UR23+zVBly
SFhb+IPwYzEBJlEcIAx1JRzKNnnqx4wwkskz10k52nLuYiuyGIez9zR0lSxeqKiyH4qsEEG3/diq
SxucWUycGMGBheie/PH2JqHxLsB0ha/OBZRnpYhL1xSkMVSXtWXwr6Ix/a4GVWAUKqtyWWmR4jQC
JPOSUh9LGQYd0uqV+pun6fpKeSub8/FXkfU5F4u0WnO6co3cTVSQAkreJiOafCyOUKTYR+PbgoTe
6G2p8LqMRMqbU0V4RHwy4bVuUqHdX1fpHHh7NKTDZEapfl6yA/MN3Wo/V10sxCFHLP7mBza6Tjt5
/K+O65h9rlMQ+NAkoctEEogdcZxI0KpC1wRJho9P4BszFRTDWUzq+G7cA1zv9HfiovFS5TRYq0Bj
jendVibHI7dKG0/y8/4WceshbiDrnMN4ONOxVTXcTom0sIR2n0rmkFFfY2jIpUvHDSzOy50zzMoh
jr6OGPoZQD4lObnWE42WuO13Nve5+FVr7hhKdblg1M9V0gf5MhZCH7x0CtTVcAYK+w+Aaxniszi6
0c6H09d6YTzaIoQL/gEXDw0b9CFUZhf0og5FruhJJK49hIbZhpyI/9X/txq7vPkBXcno1hsuUH4G
2e0kXnIE1fcQboqK8FJlr0hlvL7y7ACaDjoAHLyt4QOr/9isnP5G0+zlytIt4akf0qbqG5THADDc
ku0ABhW10PRUpbYD3X3fySBDKUgLupDlj8haot+SeXhAfWUbDayIN9Ef01lFBYmfpJAVBm877rvQ
mOTGnfVedPqtNrbeKO196mqbNSVTPghEiCT+Np5THcx4LMI3Y5U509eWfxzqPieuqMlAkmwS25qB
6lUmlU8OWFvecCH+sLxsvM9+tvCG0TOfBb7TFVp/qLK/UvByGuQ7WNZrAf88WHV6ZTMWg/pZMzW4
TjYBiDYCh22mmGshU14SDGQEIo5IvkH5qZBxr5qhaXpoiLY4SIJFeU6wAAxCEfx4nRasvq2CAsqO
Efky3PaREyYFYJ0icr/ZeBmUPDtY+4zYolxulrfVyUJ6wjKqmVoxw68pJ3uq7vkFPBSH6cPwWPt3
HaEXMbvyzog1R9BLSe/KwlJHINzIpzuGipjX2iWukYtURujy4o/mn/d3GRiDiWQ7kN040ohvDMUf
QL/DGh6SjAO+XgCGUKO9ihXGVHsYTXVn9glWM2JZH+AaqrpCjqgsV7c+g2B1sH8QOgIt74FIymsa
r567zhIk73Z5Jr2NUx0r+nOR2Il1ihIADdbuXxPeN1xCZTL7UMgq1OLzmTGHrjXPZWkzcgxlrC7T
yf6Q8H4eASRhtOP5jo208U2KBDAURoJRkGadgtYPR3cQowv10mcnFdj+3K/W1EUyJhtnhwEUSTaz
ylrCP5knDN1+/9M8CYHkk7CWKHd69qW8ZS4PwjkWzHHBUK1H001vxt/dX/Xnm5Qd237ecC8lR+PO
dwsFcelWEEKKV6bfhwSmscah0QnYM6pzMD4eYSofV0WgqreUjKg76OLNpUptbKPwqem/EBXnC0sC
J4caLtbKJ4yUXR5t5mLdDqya1QbXaYlAxB3/dNGJap5EMPw0UseaMwmzlll3tXdRhe3orbOotYt9
6wHoWJgbQHGcG5X3E+HIZS5IIa75vmi8qbl9kxYpuY1aLTgbIVkmI7YiH1+80GfSMhEGrQeZGxim
Wyon+7b/FGLW/w77+uPo4cpkESyq50a/iviktf2KQy7LBx6V4t7Ue2thtsy7PhU6HVIhnk3PT5j4
9t/UTl021IYsdDDs1J9Vels311N5G7JXEfVbML35cdhZyKkhwYJSEkEyS+0+fv9E+oH6nIpR24nc
0m6Txlu2W2qAx2yqhSe6aV1P9mV3v6jrpHbjI4At5nBLxyAitd/Jly9svEJZkUvq68qMsedCkDbu
Y0x6sxaw7vwlDEoEOUOigXajFa4RnN/ELUeiMatJ7+5+VLTr7Gzw7TZ7MQbiRKr4qcu2GwJ4Jdpa
WFTwu08YL/rELGZL9oyi3hnfReqgBGmIrvzMUrgbif6KbaG9kuubR7+Dj1x+UFj9AomgCVLRtQpX
fCNasTTEK2Ictk4E0k77Zrq8SqLZSl5TWyaqaY+Yl7LbtZ6sXvJHtlwT0Uswbjd5irzkUBPeUpAd
QHcxeQdj+BnI/eszsTaFug1nw5ivI3vY73p3AKO+9kZebzB4EDNtleQhahEtGOLSEthReU00bl+x
wf0O0xvovtXD2t0S9teS1MPV2RblJjJORMIxw7MGsVGyqH3dxrzrnDnJa02oMJJqKddS5L33weaQ
YSFGIvfGRS8jjCPXTwhzgAfkB7BmDY0BjnKUWUc+Hgu8ayel7xx5gTzSjYPx23H+p6VJijXxtppy
f86Z6ZXZ/vX8FsGmm4s0mQ2Dto+EScBMKCmlJ8Z3nqO8fTflGvL5cQpFMxZDLHhC0DA1gvbY8Ogj
+t8EGb8iFB/yBJLLfTCsOoJ7Av4jyw/YYLwlBwi2/l6YIy/zHinyoRDpVlQ+pBGLXr/gURxvSokE
XVDwSbtleaplGi37XRnh4libaK24tZ0x+C04RzyB5Xy2WeZy9ROgAHw0WOcb3HlELZ9V4Dfj9+7s
iFba3K1DUwGUnANAAY4TmpfTaPgfEGspsiKwL8f+/TAjwf1toPMCNM/CTfiENJLYTucZ867AdnQW
tYccNhuAsKmQZh2hLyBhFliTXRxzvLnxlqSdmNy7Smj1rbrnjGk1i7P4vrZLvWuo8KXtnDMjymFU
ewT/ECov79WS8AqBhnQ5zhk5MlbLmEH7D6ftxHx3kayBppavUmL8Yfwyb2mVbHQTFFd1ps8uLOd/
Gf96/bQvT+lPR//1VvWdj9Tl5ZjKw1+nqcrzcrq/qJ8fwJC0m61lA2FC3KsWT7CaYDgbAcNIHdhG
cN6tKSEadkEX7ibzSiob61MKFCKdHhF4u3aLwqljhdfzCl0o9WYijjbfLdRYo6EenmxaWw2aFoYK
ZnkTaDRfLtgfybUQAV7dDGVvVKJ4uzo+NoKsR06pCLZG9VWPtSkHLWriGcrxsiP+LB6t7qQ1VTSe
gTjJB8bMiPESkINKaqhAfoouv25AC6XOKEB9nURmdn88gFDi8XHplKWKI6V3mZ6wKn5A8AfhmPLB
1FQhF5vKl11JKGGMYZaY/EV08omkGPOpum8UhOHg2umdDy9y+HhNFC4NlI77rttsIf8ZKPzqMCC7
lpJooTP6fp5V4pniwAUrG7bNTWBAQVrGUnLfMWbv7Hmp9VltkJToPrqX67Lxf8oxXIvgR6q9QyPU
uZU3njryY55yIDkJQX4iC2vgfsplPCB3XB7dfa2mAJtBYoulpAC/9RVnNf1b5DP05A5quqmqxvR2
nAvRUV36d1q8gWuA2J+HolpC91egsroSBdMPfav8EnHGMLwuF+vqjbaBq/A+hKidXXx1YMb7E+Rz
J5XMAGUTwWq3WnbyJi+EUcngqnh6xwzSaB6pggO+Rp8sEixw4aRAUb2BpwsYlG/GnwSMaZtu/kRZ
fAFKcGCzevfh3Fbt4AjqefNV14FcsErpauvmh2IpeqrbkUL/14Q6ohVd8NsN7Yet4XlsIf/MzTbQ
BSXKyxe81flmjGQNxWPDwxFDwVs712sIP0VLE7rRh2L8Aw9O2jcRXwqnUiaIPvyp+T+vOU/qkmPN
+Vy0vOhpsvikI9nps1KYK+Ag3deE1ZS6IlRKilADBVN852tvpldql0rymIHGdQArvf0AfqRpQhuD
SB0dPb2c4lsHltL1lTJUvzEe5I38Kp3Tcnj/CGlv01kMBSHnDQ/06IvzM/qK0uE5SzxQ/0qY+9Iy
jmJSPpRu86Uq5WlfRY9FCZUOml6xkCtZCENMf3FyPDFJpg0KVW8A9NGKdIaGgfXw+Ahx0mLt01Dq
/4vro5EvUnANhq3CROdqqH8520fyjmgzAxiF7WUDTHRWwMc6vkZYsqF0XKt5P6SPCEymiSaGeh21
wrrHV6mow75w1fuXjKlOvc9TFW+JO832L/EBX5bDZ2roMSNQrbMT+pg80WM2be8SS69nIa785m2F
dnmn2v0paSf5Cw1yDm4vtP69MPhe4YSf5lAFm0POQbU1dyU6L/O8WabWXg2uP5zsBzN3mSTBjBt6
YXKwaWTY0VraXkLOvu28/QTH6LykVyGjI45PhqykYXDZUHvvlHKH+kKoQgqtDFbjP9rUV0UHZ5nU
Y3kQ8/aLgVBbeUNZcORFeQ8m82lIX1WQ8qfyujxp8qVwrZoitGLJmHo8cRotSBnqIoBZUa3SkYWn
eLvyIkmHUXhXWNrY0i3DB2GbqyCqx5Bu0pQfuSzurqRA3ttaZudSlHxI/PtvIe6h5HCxOnJFSheW
KMtoUSIbMmgW6m6nwcOW2oKSg2WGQainYIZ20uykO03NAtJ5xYP2hJ2dS1jplzvPPwvWxRxUjTcA
ybfYqUW0xqHYrFNcIjceRm+vWNwsHk8ya8Xw/1cVDneri3NYuMEoNTZ5ExUTH4NTZKiMGyWBpGo2
/leXpYzHR43D6vRY/kZ7gwFkomgaggtJ8FwGpb9OQcVTVZo+lWc54YzDU9Mk5420LSZJuk0Xw/fg
IVbJLF3MS25AVwUjM/s6j1DH0epMS4X61Bv8wV1BZRWXlpgUdOrNSILALht8jMKhsU7USfAV/orD
sY+JnVtZbHECSEge9m+KNlDAwjPfbp3NFY30Q+ad9/M6jJpy0XfRifyUOQpxa9vhtTNe+CPWNkD1
SqCZ2vP5msXITOpgwkP9FkGotkMeYxFyZn78l/mAsnXgDAID+vHExrNoJqhRfTc8mmT6oz0eIzlQ
LNDEr7bm2hSQdWZu/9/UCXQClL4evEE402x93gMh9uAE4PBEzgUMcM0tLQJ2wp20Q8FsIevNtPSv
Ex3bxubI8GQ7UDkY/uDJdSjzTNnoIbQdPZOMgYoXCrdF+qC777LSFB1LwOwCUCPXpYyeWseNWozf
3B1PlMTqklVatOv7qQ5mWvyUO/IL+Re1kkDAA9lGY/Io9if98+rdMHIKu1FlLiemtTFBDykBTQQ0
3TG0JybFUSxczTgyZ3X6FMkx5ru10K5ycoerbsNOQPcmbrneZPI5QvZMOvJOkepm3RuNVKsoGYIm
URlAaS8To9ivJdkicuOTY9yBPdbGWamOOwJkFXbu6B96WmCnVTm+U9SDO64iCpWsQHXLNfv42u2X
vu9/Kbypl/bqJbh5kaJ/o4pMso/o8ByV9KWW97M4GNnCAmxvkIjzztX5q9Wg5MTKDhw7r35h3ufZ
uL/ltxjqCGGLhkzeN+D+86o1pLLhGSbF8JZy2+WBpq88htYiCb1s0HerwlCHlMgT32FvoS7IvOwW
vz+MF7TnFBG6WqdaeNff0wSnPZi9UgsORKowr7FYUHVu9x8yRuYByscpQ8Gg6O9kZ/zk1uRLuTIV
kx4H9BT3p1WbfdY1VPPDQzEt2y42/ebFyKFk85S6NRehVo8N8tU/RS6t+/ZtJwo0dQS9hHisNUod
B8fTWwAB6Jtkw4P+10mMLCluNX9uWL9ZM73WjZMndldZjTyLmuu+RKWYudO8tmDyHrByt2lzP0hm
3wPOKtqKMX16m521vJ7mhmdBmJMmsWP1rBTpkrMa7KsNNVveCAaKuT1maH4jp2GRUIz25s6XWAmJ
QGT7+2+/2xcgNNmuqF/w+xDy3lOAnpaKcJcDMbGtCtGzJXK7vGymk1CxIh64tEl2GBpyH6zDJ0s+
9YCsMxsx9QsLIn37AwzluFdEICs4yLnOTOakce994CD7r8wgpFQ7B9B2EuKL9J/G9lbWGaOmx/gN
6D0Kc+zj+8N5xyFNIx3hBJkR0TZYfWMVMOwfkLGAaQThYvcya7KwygpyK1/5Hx51DR2jhinmArLI
O4O9avHfEePh+RNLdyezLWj2f/7UPuHkxAjNlU7/zPj5XnEotD5xBazfZEDwjyHlQjbJtNJCm60p
oXTDPFtGpWJfbVVUpIsNvFzFxr+IxituXTlfK7BMkWZggdjEnc1ekfgoEMngivNo56ARWO6SsFcn
viBXE333H2iOUX7TzbUGGceB9c8I7yBAuobRw2nCRQsO0FQ30dC5Q9cIlKkUtHU5q//XjswYVMQK
F/kjns/F5aS5tdzzrxLrxyLnyO+TLrOYeyuY2SIQi59iWdXPj7KJzNXcoHpasIcUzHm34igy33jR
oFcYkZC2EofFGcsWdj2JQwo6E5P2XpYYYd0+lLOOLwagzrII/xxVKSHJBF/pbtVANAfFgQM4eo4q
vIIdlwWd9MHiRj3Ak+gxmyF1mMjB99cILAxA50+d76sHYfIYFZOpwVc62wT8kfMJM+D956btFQ0U
dzqJmRqa4Qo8s+BugjHMBfApqKUq9MnyvrAXxI2yAoMBJ0vDevTvmswr2PRfuQl58NHhPzxcdWFP
anvMs3Z/s+kC4iXm90Md0nuL9pobYXpxZijA4EvOOECZLxNNhKESpg3HArCf8KNRdtZ5wWGQnXiP
YHwUWoFvD0bopqM5iTb9XPBmh6mtqn5NzL34WDZwlWuQA6Z+kKWRcEiEDM9/pyGYQFtio0tnbt3V
gr3MzNWQTvrph5araiW1PtgyMgsmdu2CLA4zS7S7fvTeqqT6JvBSLl7M5t02/iNHMNGGl5ho9NLR
pFS4McBLMAnBTFicbWvpqe5mit8d7wPuLryIOLD9eXeTT7Ex7CXE722Pv5u9X4vlTTFm6AvDnU+B
qvdIuT4n0G2noKhBz0zCHvdHkRR5bGc55Gf9O2jbFSHK43Cm3YxafVNEHaj6ExDD+4GMJZNTmtbR
2nAKcwz7+jHEeainTtnD+KFwFkGArm4FX8/IAR0QOhi+rDTsgLtiJma2RJ9BJVlLUKJicg0tCB2R
qRkaZH0IgSAutAidVQ4CfCXx+DPIPL+h0Lb3aFnbWedAqNKhVm9zTJnpt2ErChxyN8ouZyHfONB0
Y8iEW0n9SS/JFEMaaJmZdL0bIrHql39CByI3qrF3tBzdUQc++CMDTLPDfWVr880uc/dqhpRzBsOI
mx3K4ZN5kNY75/kdcbbWLlKW69GFLUs/16TdarClVz+bfaKc0T8JZYQIfSJKyebAh0/CwsIbSm63
sx124VIhB7OjsR8cKSf9bH0FNaGo6fph+TncG3TOyo2IAPOTOIT/lyui/DuUyDeJoP5xx01e0KP9
ZRUXAvNowyI5rd/LkkiYoWqQQ+bNAM1ySROoEoux169MpLoNKpaC5nLr6UED46W+sVK6r2XbG504
0Q3FzaBqiqd+cAbHL5OSFpovIPIOZfI+MXWbWLYQa9P1SwbDS1Ql1kFaJInNmXsWzLk5WTaGfaj/
XxDIWfEIGlfoW9aufDy1MNjIYUv6CvW3K5kJejUO+lZDIyV5W8trj0Zl7t7a9X4pysDuEcXOY1qw
uEO0c0FkyCWIuAspZHTBoNxEoIbuSH7YzB5jREMxH538dFmjyoJmRKKuauIVzl9fqOFnqZvuEQdv
saAPi4+0mAa85jVRcdQ269W6culMb0avK5s+omyQq/VgWI5qV6YqrptnggWPfjWT0k8NRqXTWuQp
xwKCknHTsl3bkeK9YxAOXiFzP5cfZdDxaYPutK/K9GYJjVg8tQ9PPwF3P/kKH2iP1DURBKCF/p+4
XHqzaY92ppHMP8cut9XfhNqqh4kPgE3bKfoGnCByTD0ccIaE3vYizex9zu2fbB7qFh1IXnBx86cs
1B5QFSXKM/ZqiS5BmSb2O16w0gvKTuYjRXKDcPFQvP/ziIuOkcdi2D+wATaCeK2jNFzEXX6Bb2Sh
vb41n0IVxzXs2xdZj64/RnaNwoktFeuWMiz9sjt84qZJk2AeGZ+IgJDLQ30R2wrx9JxlS+ostMlX
WUM6JlzzQQ4XqeBgtKwpOLHaLDBb/6JzZhxKctzaR+zEo4hhxgZx1HnK/uSnKANBJqXKNudz4Swr
Yjh9C9eC1pzUeOpzAKXSGHvusfirUYmZHHGur3vPLh1TNDZFd9bXhB3jMsH/Zf0eBvAG0qdb/wGi
W9qzq8McfwaSSP+n0XUZhjAs3/ZgjhyA+NRhKrjLfvYF60aNia6UHEHL/V0R1/mc/thKHIfLMpZY
ZFcGNLcB8OhsTIEOZ+B+ZhfDuEqc6hYqLBwTVhGn2MxSJl1nXHimBNLK+LWZmA429/ZtIGTwzw5r
x5g+T6KRnVbuUfXAMkC1gTfWyECPn64fqbGDreGXo/2H/0Zn8YHIORfS7T9pHUhYBUVdu0yUa4KO
noAGL94aty3qyd+q5tNFjaHQG2eMSTYKkSy8ESoI/grVbAo2REYmSC1VfZ1x3ISK1biMoqodVoAE
H4LB3eWAPnTv4npSvz+G7FrtBR7rr4aSz/KifOmUAb8dueWO8RLTsavfK7TcIorQePMl2SpExic0
RIEf4PWXEb+BXve4LDF029A7FZnr0Vc+qDrNw6E0jtWBScFhzzeRbp/Gol0wr06RRV7v8s0iHX8Z
ViT/mFDby81G9Z9cTKMoV/hzjkUTP8trlG4TNbmYGfWhIVqHvt1BE/XaU6YcASKNMLeK2rbf9ujY
wZxQ7Sm3VjEuD1ThNqGsCPzXWJsgC4gSXowM3L7hQ6hbcB1dyM05ieqpJMBEjq0HhmdQRgWH2HBc
KMZ0JLGSEboH/mIzZK/AxYzWeEGdcjpwgi7tRfNVmjqM51buS/IVF8PVJlmObE+vILRFbgtYSdZy
V5rRzxNM7ULst4R2SbSD7D1ZapXHd8MlPyP52u0pKNShv+KoDMTv9x11Q1E0KnSeCaPj8/FD6XN3
eDS1i5ww49bB3iL9qWsHvyFQnemOSZ74NJ/Xe+pkuTgF1Mce6eOGpQvBpYj8gjdXU0/9d0XOBUN3
j+ks4CBI4Ig89qkM36xb501VZIDviN+9gTxDUfrWGCsyCoy/gA82prJuvWeoIjATV77U1PvOtLpt
M4ee+3OO6wRCq15Jbf4x9yShF7NRHlgWrbRfLyiz1cs97FonE2Z3OmIYNfjj4v5+jZfX101E8U33
pY3D1hsemQFV9Hk8y2ehHl1oTVp2JiODLSyY4KEVMzKxFJjPU2Ale+0N4vbkxwLIgbPicZyz7yKL
I0SAiGlwToHdx0mBcASw/VmW6o1B1Hj/07yQEK4JlRrSKXTrBmo0apl0Ki4z47OJgDsb4DWAcsY8
+1uXqSO3eoyYeC84WcCNKdncGxueidjefhwq3BcivVOfwKHd2/tl06d1Y4S/rz2ECqoC/4/zxav4
12COW3HvIiUzewID4LWCMjQXvBnXvOxbWVgL5ifmu2hA6jkZxxX/JU5JsdWKk3GJEs49XhMiKJAT
WyLokIEBLxw4qJANfdScGxCQmGOKr7fD6Jzs3aMOj+snypIaMINvzn07lk0p5Awo7E/W5FjdAppf
wgKhMZX9exywO2roLuNKv+be42N7rCyYn0OROn9RdAcDLSZeo8dGT/kuARs80C71LQ+mzaEDTCoQ
+1k0aAP928p9PRfdhRF1VcGBMcJrDwNCeX1EMjpTnx9QFca1x1eKkG/3yoBOf8wj3IymuR4JzKwI
P+ITXqKpEeo/77xhliJfVbFQxUCp9htmU9X74ywkawylnoPLNyqQYWhDoe5XLIY6ctQhncuNB9zb
skPQjchnAp+0UxPxmyaE0VCPqZt3OK+NWLilGpbZAM411Ms8PAvUKbi3HmHcYvDprarxH0blcQiN
2M7rH90A7m0Eg/NSwPfamMf+5BTEtyVusabAHYHYR9kFZVKB9nCVVIScCK5AIGPmSkXE75NvSEyY
npoo89yPafRUOyvgLsmgaqL+Iq2EJXCv++dZw0QXHVne59gDs50yz8he/yWZxX8ZXI4IyJyWY4fL
Rceeb5CZYVybuW8uEJDS5XNTmNMhm6h+f+G53Ar+jxsferW6fgymez4tPkFfdAjmt1bTlNHvx0oR
Q2Qjy5ZfwpzAuV1wF840PNDGBhhzMaHIoUiQRs/IbAcTdiyNNnJ8HAcbvlcgdnvb8LGl01O8s/7C
UlzFiqAbGsGpt7LCmByFwzeEZsCwUb7ZbCMYj7xVl2cgyIY77Ar0Y5K0HuIBMGOEP4OSC6Mtn8tG
XImKfQe3PT0I59K6EDh6SNYySt/FE3WM3fvZfkuzPPj6WhIWvyJDmFbiF4L3Kx/zQUiuCQHdFjv/
y765TuLhZugZngERZ5Rrmyznp0aGYEX5kCEhdw+wfPQEF8JoSXv/E6ubqatA0jLv2jsldCLb1DMp
zv75n6sAokQP7vg/I7IxzWnH5nWQ20cFXlkiV8EgauDDwTzoeCKolRRbq+xHwlICwLxDNpeKWQ9N
zu0USwCnpy2gfL7yhTRDQsyMQH69uyewFFWo5TTt5Isxz+1Hpu9NaKPXhM6FRGKm9fCArsMs+YGd
F6ctQKlqloYnx7MY0M0wblvDQZD4fqYorrT8zU2nQyfJ1VzJDzQy0jdAxfDMR5Mreq1oG/sQ/YWR
wa4ULQiZ8h2FtRXXIsS9IHk8g3HskQkv7cntCP1hKl76GKXmA8vnKodF6F2h9K9iy+iAyY0uSmJq
nqNYasywJZPhzR0uzDzQhcZ+eHpPcdgTut9YPnyLOM30AgamUFa96r1qYkWFNRB1e0ulOyIiZaKW
+G8dZBhP0SQSQCm7cReGPI040+iUQBDGTuk+i8XLEazG0Y+QOYtKAl2ZWYN31mk4wBYT/PXkDr+a
iS1zF0YN5D2UQT7YMd0N/lx/ankGdgrF9D8cavwLe4WEFI93TiqQ/zMG54CD4lIW6C4oec+naakD
cH8slYAmOSDnRVKFC3lGGHQPgneCdAXN8qqHQ8YidrKdS6C0/i9KB3gmKvFMTfRhJNhTIQhWxig5
2bzuluOi01uQNPVL+H3UzRYU56cGAM7I6CwryoduQ4IUgCNfohkyubQ2AxGpHtX2OV7A8XrEGOJ9
h1TZsE4ti19aidBMeIPhAwDxTHPi7XDsApANhZt8fcHeSh9QXr1vK+LjmoAMy7vQvOWFMaL6smgn
4UZqacMnt6QmIDR0aRFe5j4IoVniIWrH3/RoN7EUWga2BFCMHCza7YP6qz1+qYYR9z+hGma2z4qa
3nA/tLAmhUrglvuLbpc4ahgfeWY3GDOqT855gy/09/XstllRqj0L/VTlU3Ur4ImrAtqGUtelcHzk
4fu9n+RTMpQmppKCWkGw+3aGLSlVeRl9CMuR8JT0jZ7c8wqv8dk9rWH0icAwlluX/Y7ToJGmS+tW
aAhGPdaJfeK3VYxR852A5jACHw9R2UmnsCA6MQE3kVFlETlmGtacHfpAnIMZRdFvToQsaAWSmxTc
dXsXl7gStNGdu7BcPBlHuufhJAeRfH1BWh6Yu0bQeSl3sgp3c3pAGq/b3NCGEYT05dRcOpe5Nl26
RhCcPoT5HhEWM127nyBdDvlwI7ClMvVhxfnht4GBTCdAU+rDNgp3Et8NejlwRPVCKZ+VraGTy5z7
sYk581ADqQe4/9kF6uU/j554fQooytBRkfwpHlf4MydlEhTFAfjeEa44ViIQJXkSOAHKP2m5uDsf
U4fAFgwR54lRcqCS4eWolj2NDx0QjMitV2wNz5wB/5XWubfD1lVRd/5ywUn76eti8iXQPWAMbVIz
AoFNQL5jpr5KqoeTvmZwYSrxr742lhKN4Gf+xSZRDT0CMwO/lvQ12cpLxocKoV01an7aIaJeoZUw
QG4+GKYGHcLz0jg5+R6qHbJgDeaHve8NRkRsntW6j4ZhasEQua4a5LaqrbCB5+1OGCG28bAEb1KV
lY1bSZdAkj1yDXFSp9PS86DTvLb2pKuY9pEqTo1cbI90chhZBjjxchFeysbtpC91pzltcYSU8+uc
qG+QTS1y/Jpt8kV4pr/MJv9YHBUhXffYW1t1BTbTwmNl6mDAPNzMCscIwcHVjP4m8frEaxaPoMcm
RRbOkGayXNuqZsI73H4YLkM3wqwtH++hK/XGjxEfTZWflpWJeCfCVUwSXZAtlBELxbE/WXspPwU8
m4l8d/eBUPOE/187Z8uCb87iKG2HjcQcSDSYWwCPGwtN0VWH3AqfDFx8kLncaR9k6nALsW83CaWy
KAjYfFStZkeH0svJ36i3Pc/I1Fmnb80xJshYaT5EtvbCM/pATImKZhk0MggCbNCVz3Qfo047KH0Q
JyTDzrRntECH6cH3fpIemhLhegDCQQ7fyV3ApO1DuhojmeOGiavtLbSW7PjziYYkShplzuH4tLor
DVMw72Xr/1JKiDZ3suH0lYP6tt38bpk0Fig5L7llt7etxS0O92Jb7jD/WcDKljP7P98P5AHfHeN0
eIJafkbvkgtSVtdnrQDCwV3dQ2udnBZ6+5orfQsMXzKuldhb4s5PKdw3/uU/PdCOBpB+jzXpcKba
t73BmUU1FC1ZFQZb0ZsBJIzeZ0J2vaEMVxQ1FWx+ZgxDmlpoVBPHmLysLcnRzkP9gppFd9R91IWj
BCDJqucORpdLN5Bg/4lgRJbPC9pJCjJkjAmKZGjnpc6vxE+zPEmqFXWPPAP82eVpB78wRktWOzVw
kPzIjcjq1L/sDBQFt0Oh3dSV0Ww1HKTRDt8RHz8rBkGqMuSmXL0y2Nvzo6PUc6P8JGiFbsB9SapJ
WnUUn37Gdo7kTBdUzVsH//OAOnbIht3t4aoAAkd7iHeQdNecrer4k6Z4ixuHJ3OnynFLFHV5uqEk
PGEuN662IJAhdUOwNLvdObKnd/6PTlMMpxwQsJmRUi/yqjWlSMwpUI6CBSl/MKvRoxS+mwJecCgk
TMIDkExHISnH5dkxShCq3bFujbdrvAy36Pu+OZtuwS5+oqVS90CO2LTWnI6LMfy+E437r5BxIzkd
IFG/FTsb+hqdcPs/Uopxmsf+VBHjHB995ssy9S8a5/BAMyJqS4kQBeyz1s2mTH2fJsyl7LUhbK40
vmK9ZWUUwC0FADz5YB6gvZqQHQWMORtatkJ/aIyiSiqSa/nsBhixh32PCMZV3+BW2cka09v/gWCr
aA3VJlWPleD0IZBJY7cfsw9lc8Cw8Ak/Tjz+9s1AWwYUPo17FzsjFu8YqFQolZK6JjntxsRib17X
KV57l2aIFhMwPF0l6Z501soM83tfXN+5tg7v5sIMa2zCjPFOT/EmdzcnXyGu1JEhmU8e3s+UDoje
qqfQ3LykLqogBtgpvpOyDZw2DLHqhK1TF4QyUZfHDmsi0kshL9VbAbnookja4w1oc18Q6ex7kvhG
I2TvKeUnhLHuZPM9C4JDrHPquz0uFIaNdFmk24RVpmVczm8W6fNLerd7dQswojdFwLXl2FcNXk4p
Ut9XNnv8OXfS4dyeTVOpmawWFNlJJUO+V29exbR8s99OjGXRbnYTBuIS9DAK8h25GBzKCV4jWVHq
/vaiK7H8HMMeT0kuRHiaPgahTpr19E732qdgyg+SW615co5SU5Jye3JhrgCI9ChPXaMIbHFhiUyu
pz8QnpLh2JH0DFsiGpNcsbuZn2GsKyevIr80YI6xI8uHLgK191MWsKnjdafsCmoglJqu41rqMwYd
c4ywAoSOSVRXwFrSIUkIFlRhuhg0a6FhqurOKWR0wExyS51JKm0fidehGYvywptaUbEPkZILIDQv
WBj59ZYofQH2WLjWoy5HQ5ZEG7sVxtp/ag3Qnk3gFmNELTbO6E+jTBO0oDA2JjKS3SQgVWwC+dZt
vKy9HSkRm83ji+jIhr6bQsIxj7U+rjYddUsHORG27MasACpPqILVLE0AEdlbaqJWh360ISMadu/3
oW/iSz1HbsygSDuthSlSLZIlIn71gKHMsl0iWl9VaC9QurhWPYGaQbOCSol0IxqXu5PwqbCimPDz
mYH+R2zMNb4RNBnVQuta68jqB/VzmzPnFrSW+AIMmfjIg7b/sWJfq0OuaEOXJpWKThyNBEJnfztG
i3kvOeEfEYUl3zxLVLEyZ0SWqtTsvP0bkIEj6eOtjbuJq15HXAckRk3US2WNkRzUXkxHtPrEs5fJ
ApEERvN02/mICpqHiSomlQ0LQ9E78e5gmfa4T3w7RSp9aVrMOPzGGejCS8/AVYxQfTP3icozaqAP
HIk7Ch3drovT0SH6PWuOSHh33/UFPG6tBdPPB/P2lW4irMRA3vbZWLKFlhXqPLI4Adc2q9v4aF4T
GoEWZf6kIWkhqx91IkZu/qfwiNmrNO9B79E3F53+nu3MXKY4f/iXCfKHyQKFfOO5gAXcD6gyva8C
tjoNGTZAYMUxpMqtxitFePOC1+52i6Zq8tBex5xWPAIMZHK7LZ17igS/IEyj6NyYYrYuTM+GrTYw
mD49pJYOIYQM0BXjHwX51CkLRk331ZVBERjCPJojgQqR5Xo93Ol/nyXE3drziTXTf1VL9CJZT40m
6HE0pOVYzpAFUo1gFPzo3P8UBoq0FQ12zgt6PNgqYsAPi7GQQPi1DfwjgggggD1LzSqhSNXbXYEU
VT/FuKsXHQzadgzWO9o5rWhO+Co4YEWbg3//BrbXauBSEkbaHWMjah6yWo3SRegl+QAE0lBYrie9
fI43N6vgw5HSv2WK1xoOX0jFdoGeTxg9W5gHjJ1Ja/Z5DTurXZMhOiCJ5R1uUtUMW/XsqIyjHaJk
evtpFY8ge/NrWQmUdzaQX2UUlcWjvc4Ut2kW+X1MDy6noZI+vnRz79HkrxecLjCG/BIPKFTCjJJu
ZVcT5C9xahlQwYtKhxwDvJ3phXYFqEGAw4el1q8MuUlcRFSXPjE3NJvfHKfODF07zDfCPBQw/JVj
sgAIPs2x9wX9V11rn1GivZRdqiR96myq+hbHGfSy4nuQS0BMDAyELmXEujhncAcbkwYIvlxBy6gH
NetdsCi0iCxAeiUQAUkQ90cvl1ai3K14QyMy4Tob9LHWruQYatMjNEtw6wGyzV2hqxF/HYp+fV+x
K7QqcbDX0C1RI7Ci+pGPanJ0NWH4HvDocQwRc6FkbgsugNFHrElG2k01kVdEmNrCuWGBzBA+9Krf
IsP39NQ0wjn75A2tCieir3pXGsF302koaowXyHJmsgmsB7FCqzmj32jJz0Jzxf6wwG2KdV0CyNE5
Bot054gQS92K4XuqxfM2LqOol4LH/wKIa5YCyAf5Y8VjaVOQouw+fD/g2ybbBohgC+WVS1Auf4CS
B6QTo/lA0I0JfiPyQOWRfzZtHYFzmdx8X7/mewLPIB40PNs3Xe+W9/nBrUHjfuXsDT5gvjP+MCdg
i0CdYZh3pctLhD+EcuEMw8e535RcnND13ynX/mAnCTD+PXHRybZcXbwpe6XZ12jKUBR3GA7kehNA
3EJzgK0+8wY1a1IWa9qjXFALpWcsp+tn0xfN1u8v7PXQRLUsFixkkrVUYDtFP3pUA/UoE07GGkq3
GF0BJKQ/jMKjlok4jVZu7kAbzQA1DG9oTePW82IQXxIvtBQRaxlFkmthhqYBMikrIG5x83F41NuY
WArUxbl3SrQbf+hpuzevjkURsOP2MmEyP34CrfkTk+9xykw0GRzctVvXferByRvAidVkeiT0rrMJ
0Es1gUPkxCLj8wbbiydWK+d3f/tzbu1yJpeB7vnLD4BMZi9EvCpnspfNyQFWzqQ+wLHzOOC7hVov
Ps54Cly6Y78joVWPFm9Npnm0EHTYc9tkatIAYKE5Hf4rbuB6JwsqhDAObFO7N6QBuHJKhkTQTUgk
V8iONRd7yVoPn+7FEiurGe+bRnsS82dZmTp42YUTOSa/f4WQw/pI2Zp+OzdBjemoJgnYYhWGI1gw
/VAzw8a6iOqiQZMB04/PojMKfdDtuEUIeC367ihLS0i4mO6sfhPhnydjuABxgkkp2PxyGkdVqoDU
7Im3qVInBS9QT/s+oE/tnKt6Yun/l8eIzWmEn7r+WI6IOifg+KU5Tu2iSuu1ZAykgSKMLk2ttvCD
eua5g0zTTaZE5Nb5k9ZunrKk36wEEDKNdsYf0lQf980j39YiOhl5WYQRLHhizCUHvPRHCxpXL1Ej
7adC52R44nficEb+R1hE0dur61isOk+2t971gkH1bSuoMmeqDUNL0U831EApKPnC/a9X3QIGuDI8
hI9tMKvIYUt6uh8XkyeF81wzdLe1zhUKtpy77VlrZ/AkuAp/Zyc7tAxzmfd3aZvPFWsKTXTeSs2o
OvLrR7RKiXzpqS3w1nLXimgnP7p8emUYcJRK21XM42dwZnFSAQloWTNoqMbMkcMwwFjFVNehrlGf
vlWk8QVFwfZrNKFXRrxwfrRvPV+yy9mp+axcUu8sJ7ssRZQG7/n2q1iPmFQPoxrFCIetyESFF+7L
INQZxdxpz0x1XtWVXVUGMO8uo9a0HMOmF6TK8MK5ki5VIJgQVSW3C+r2n3bBy36gJ+a9ET5ySWFy
iJpY+fm6aX08E3bc82RjQu6zwK90T5z2lsFklVZDT/TcC8QHpIYzAe4vYEOXDgIT4U+7xZfJke/j
jHETZ99ywYsuVCiXdxH7s99ywnMZozn+7JD30QaWaygQPqy8oI9JbkfeAtk7e/mWQor6QW5zqtnc
DP+FLiCYC4Mb7zBolzhnuEi0r8fC//RWa6U8b7jDjOSEM5o/GVv8M98ebcTYbfMMGvNyeGNuSVUo
R8Q6vpUigDqceuLe9IQIAQPlWlSUB5r4XJrBWeEtEBqTHgzST7fDk1aS1JaWzIMUaNS2vMk656WV
WWmKe+CNqyFNpxWfShv2+hLPAfUQP8g7aLCEBLpehsBkHKP+jbOLPT9ft2TMg9Pn0i1wsqgWH+/t
/Is6CuUU9lUJrbNf0uxDJNEGvz5lVob64StHo56b+Nck+xNYKTHuwaEPVseR1wF5RLcPON4D9PcD
4MSvu7T5h0fr+bElvZbjPzfwrwuSJ4dfI+6tH2zMSGyHR4+NVdTEkvYcuin8SyzeVcu3nQikkucM
s+POZroxSrY4kLRU5iF+TexVvabxyyXkYQ8KiNAPvA33PEor3HRQkbMiklDDhAs52Zl+BnggFqrk
rX1J6r8v8keCrmACRAlnYdfxa2CqrSeARGvknzKvADMYH3XicEUxo4qiUozikydHUN9b7VqpPhTK
H84j1N0JHR4AdNDkXgncU1qiZGIYAqq4ltSUUbgGo0OEmWqiHdBWewzk3uUtYsuxBd5dP9MIblVh
rIWrhNllMW/VhQRhD9DmuSyKOthvHsguQUyRES7kttpQjpPzw4V6L/Yi6a8i9aGTp3zuQ3yKekYZ
/HciMprwDsdxf3ARZsMsHZkqywI1o+EbUBgGJYb/FJgvjZGY1WK89LWOj5iJCyjWb9EAhDWaScg1
RGjhJoyoGZKwjIZCrLd0ZSdS3Vnd6eY+m/pXBpU37di+YLYu4aEW36oAZzUPIlF8KxZozlQbziYQ
w5EvSDnS2kRsZ3ImYJ21b3IoVUdzDNuCH/iY1TIR71rXTN5+rrWXL0H6Lq3QXkReYxFQ0tvmwpTx
4p2Cb2tkJD3tWXNQ/timh3/gbLsgS70S074Gf6wJz0KtLA+jQJBTJKYGChfD7YG4+IELzUs0KB7G
dfcx1fQZto3MP4vJZABmxobrjwM8O/wNput2h694T8OP4C4WI0sc26+y+aUJQgz9+nyx3p209nZe
Pd6Q8BZB/N9kwRRUThdBaqmWdwu0kO+TjhewE7nA5qx3PVeEdhNZ0/RDUnUOzK44qoFhGdwNdqtL
MCoEbJTAyDeBtsZNoVn+3dHyce8VhRhuZcZrNMzzEzTQ9b6NZQe2EdtoBrjLGM5GTTo0co55bR8i
mkZuogCHQveqMd0FpPeNiqXIOoB60dOjz9bvFqcbWiF4HZP0duw/GCg35YGgCKtFL7CYIlNCXCIa
NhnRzIQCDcV9Y4apHBASYLco2pFl5KAybB8YiWlSOISYWLs35bnjwR81gnJIuB0aWO6kaCxBvRkU
6R+PCqfmiecSk6epkMOXCBLgsA/7lxGlebwdwtuqBvT69popFIFULed1NLGxZU0X77dF6kYdofvP
ukoD4VmvvHwGcI9nhkjgmm7PWyU5dIdwUfuuGsF6WZvUaeMmI8IaLuvaPKvSPpQodOYCLcx6PPJo
uvMzVpF420q+OoTNXU/uzMefuEzETXgco3hxIvZa3jg2KW+BNn05IOAzcONF3r7MPPeaOGNIsWGS
GonobWQMQHcWT3WaEF0EHpqzKALleRuDoUud9Zv8DXfRnNH1ptujRAwOHX1xhaflG1XZTBxcvC2q
JpdFV2CeX8o9y0KZcrCtwjdANo4faclS6xvoxVNqkJdCzw1F2/mBw5b+iTJZ20zc65EqQOZCKmPU
35fonk7vZovhLA+Up3D5fzwgutVzxwC/80Q8xToum+6IC8pMu/U3nr+iEzRDxpVdWBH9TucAfny8
2Aq2rZkx/q4q3FGVZrafpX730y70RUsKYbW1Xld5j87XEMus5S2HQxy/1pNkHoCYC5uT7gwZSDqa
8BnCfqeGDcwSDGD24Z6RX/emM4kB5c57297t9IIiVRm7JwevjSeuuepdQvK17SXYATWNV4exHeVP
z8qb4nKhl2AlQhCNKhaQIXGPU/lWR4yrWE6ggIKB4yxnaZgOlUykyQ8PhEff19hQMHLQPzl9UtEC
5jEgrdu+UldUtYtraWY0nKiRuz+C4Pz4ReNKEqKaE6QlB363U8z04/6+iNJnrNO1K+HU9da+UE2I
WDQz1nqi3cQir/brOuT/l7CsEUELZ9CNAMGwDz1dv9ZdkzaqehHdILm57umsZ/MUF/8Jxp/Up0nv
Nzdjx2xOUrqcFORkxuywC7hYthZRL6we/ypAGPy7H6aiU+5lbXWKqubp/kTyz9P8uqpBLfO+bDoo
ZdEXpix7ZjWy80Qdm2Wy9Zw8Zv2GJUkG385PlzW5wYPJpJTmtmz7W1RyxUafQFqFzd1mX+x4MZa8
g0cxtQXhvcEKVgeNneQxG1nWP2ndeopg93LtDqkh8K+uS8S2ynJG5Oh5MEho2Kq1EM7luB9FhwTj
D035xTzcGos3fPTGV0DT9pEkoQ1KhoiukJrzgGLXteV1B0g5pybuUq7LZmbAnbG4xiLkUwQNabiI
4ISw8mGPuHJ55WeE62Ed5FU8muXD3906k24mOXQZFjTtNF9rAyxBtUmVJEPOXksuzRSp5lkzZrxm
r2KLmTHs6kDtf7fwX4hTXNXwntNES44tnQ08zgMC2/Z48/TwASJh77wulorixFUa2avX8NdaTfE+
pb3smYAqzQ/AIvXvL8TYT2RrNr8LwMGGEhgYXH/y1mIdCFlFCx4MHrSkZ5yWCn+h/9iLrhM451V4
OVo4lkKYI0cCZFXZD29kkTgDVve30cC1bTPFVYB5CHjQvVvl+5+NHj4KSNv+fRjmF60Os8RSXuh6
TfvtphdHwmcc4RQG0MHX0NrUZHzp19itQgJYOoCMlqwy8YcNwAm1XaaGXhOk8xa+TWvsjuweGS7T
fSdSXGUyicHgb4JQkn9iiaz6s9fD03WfF1xU01Ie3dlORe6UpfibkO57NxkIi9ag01Sv6zKA5vm/
ooH5Y4uJR9gds/G2wrXEyIQi42FHmGygf5AApCFg8h7zTkXEIUXiv8fZnYnAwL/KPjKCqKJJ/gAT
ytv5aeQKjruGHfiogTSphmujwqWd7Rk1p0oXrDfNRIDOwkPV/opaiASG3yOp6xNTSKE6tLnMarC6
xc6uoTpViUvfSSNHrPEloiTj78PM8pqDoKc7PVFUZXex0qo6/sLAefy3acWdagRdU1jCjvKgHVCK
JuuN4N8YIxI0ZgiHPUo0W8vnMN8tKBcGL+uNV4zdY0kZcM0WKiaoOHKY5zO3E8r8C7HDGUbAboyH
frzzrwQax6Kzg4tJOsD9rEJVPh/GrddgVbBQSx2/kmsZx5DFVeH+begetqAiu4buBgoE7uYK01Mj
8TMzA+ptvqu4zsjpLvJiOIAgwWNcAm13fYVadZ66VmywyP7iOxIqKVf5KkcB1bz6EFpE/3XgHKtt
M3aOtfiGP4WUpiglbT9An2ItBBy224rOnDbmz3K8TUauFsYfRRa3OJqc7YAuABS9fTGN0k8bO9/Z
US4KRAjWWtvQD2Yv2Bv2tdF+2hX5VVsSG7/j5Lm33jK9jRrO2iaWSvlygwGQQXipb2aaZz+MsTXO
nHX6Bk60j/0wlL+qEEA1WC0utNgaLFGVIrDxPGf0/5t32QzKuuud0YLR7o35b9s0vFbm3d3eVjZo
jUCUEoXmWDWWWINc5geLgIEKDnKF9qiY9npq49UtVzJczwHWJk32LOMqs8Nq+DH2itVrB4Urr1k/
CuTtAFVGPFUJgg6B9LJd9KN4vUFlWg8ZLrFgZ5V0AJM9BVfsSAKgO+YkdmK4kNiS8lUqMetN8Uer
Mtq64/fya+yEq2mXMiicHtnHnfknjptUxeUuaR8SBcKBdaTWyqOllMD19a9x/ha0nLNu5KvHHG8a
3+1Qo4R41WV4idGIgIRlsqkOkOwibjzyFjT/WYNx4pEjYfrn2vR5W/uW8p1Zp7n7VcsI5HhmTVpH
/mhzGvUZpqhc91b2iqDPbLoXReWWUH91xlO2qPJfNsZbIxUlsscIcxIZRbXFrvlRZN6d6D1+e5G3
O4dn/Slh2klvSVNLr41eRhGQerFqx00QEc+RCZt2SDOlg0TBScyJpBe1CIamW0jNY2KhNvdkzXUq
eaIhcv+p+td23s0x5o7FdTT66flikE7/vD8nYBpT4l/6zxIh7uKSmUvepmazzgl+Cojo8iY5hEJC
+fzc7Oh37WXEPwYVjtfg6p5ysAgLr7iIOwUEXX2bErr49++QAY7VQyNh10ndZq/z4KaKKVjpunDs
6qhX+USYl9EcgiB15TByRg++o/54VDwqd0LYIM91xx56no4r0OKYCzRQLCgTUh53znvgvD3OA244
Odwjod9G+hRkyRKPk9S9T0GNLPNDtk3srKwMHDKhZL38KAzsd/s5G+nFiaPnI+2w7sSAiyD7t74+
rPIh+6P07wmR0W02ekSYSvryDN9uN47YOHyJuDXLd5HM0pd+sNi1gCy0VWwHVI57MaEaS0PYAlpa
7+5BoW5GgQ5KFWNvWjDp1XEYALL+2j6bsEw82e4hH+cNkVfeDLA4tABJQSvObena+qzdu8qZNHHc
NoU1Rn5nRZh7LsCFhke6xippj/1MmLx2hTkfLT2bSnAZpmUuhfIa7kEwBaoP9sBvc9dvmQ27khxG
hjpuynFtaxX6roo2YjRiue0WHESRKBqmkL/0LY2zMIUzhrEz/MrgrHphJtivBbO875qL0hLXTdmP
kRI8Gag2s3zc737J0MBrxMm/RtOjgiBrdifFQr8Uiat7cA/ehXhyauWEm9KAR8eqhSXWVDRgU4uo
pEBMsTUoW08smJrCWpx4fv8EnXdA09YUYUqV+fRNjRDf8tXuDQAnMFmXd9AMSPH/3aWHKYhIDByF
ILk+eEIkHlWC5xFDp7V8NmIGFBNY+FMq5h+8kOauakQ3KuyFp2T5omoQXPQYIZHRIHkYm6Nn6uzd
XFVb2OqCNulGdHXYETz2KJeNdThZaT/1WvE8cxSmvWTHETUJf+k9aARItf5chZRSBAg2peCKmisH
1HPLQifITPpMykVT2RTQqhpWaA5hefnxOcWEVBdca1/dDUgRvJ6dxwWT5I29l31/gfTpUA/K8jcz
Q8b2EF2Tc8nAzkAxqkQJBwYUmgDZw6z+oaZAWkmY814NBlnWcyNiGM17rZHN3Que3Vrcg4SjRUTO
KovgEchD2go9/PPvTXQ0qXAmBntXC+AZFkmvVA7U1eQI82pX1PfRJ91vBYWJPMkLYpydbuOCrB8t
QI3B7tezJOlJUIzrVIoGQ4yppQwHlVJnFBASKtnqCE7XLRkPx9B8txYJ/N3hftQtWdPbHsets/3l
1P1f8lUtnl1PonLhW7Spjd8trkOZ1r87UCAKMpZt16WNRABTrXLiemGeftPpEOTtxYMZ2s3FHLKP
zPg03yZp7VUiMX36a58vYX6ANRmnZNB6NOArzs0V1O97dSNV6uuA2xJm9TXFrykIYohq3U3xp318
lP/D5SbXZcMgUSqCA4XKuW8h39/O+Vt2zEg1vjzsDzEa32/AFgyi5SsCMjQB4Riiz2VgfZNUb0Dj
jZTVqF33JNyYsF9ATDBJCcVPUffaiJ52Hbl3R94dT5Dy8Rl6DPh2RtukkabobBdcgzUgg2HEdo/6
yjcm4qiCY2KRf06GbmC9KCTcOLRacpAF2NQdi6TmuxWnrCJ5zaWRyn5QR/yepFgatwCd1HoHtEP6
NUkkg0O/CRFVCWlMPTBqQBre85SAmasC0DINC++39l7FoqkVwsTsAbOkuMM7vlcWvUS6KOlNsieu
F+zEC0cauu0Yu3avPHrXanWOGN2fZxaUIqnVJEI+dSBsXuIWRokd9sg68IJXmS/VTBEXwAAS3EhT
3zntEvZGvNcIBsFXvFLGqhX9yhbE+jp/tlc5AIRxtbkt6vc72kvEC+UiCg6oHWD04v8Lq8Uxy4UY
h+WGfI5MXqEvBWJM0YYt9mDzYtGYIrAdoLVmAbAfvJYnHoqylIcAYg5jhSIQLPYnWEApH5+5JuyE
Zk0MkD0MKZ+YXjc/th+vKvOapZMy6+sfDenyX6uNzBEXszvv7ZVodG7Qjsxx5jOJOoy6Hh7vCmGQ
Z8alD7NwbJxD9lzk1KvOOH5mgTZjekecj39ZY41zPuDdX4PVEROk7Rvy3nZpqMTuDdcHGTuHMnqV
B/9g4bMLzFpv6TzH4rr3z2egqIJcOIbfkOd8vvnJD/s0L46FTOsCQeA3Rc2kDDHDb3vBEhV8gxVq
Cu6td7YGxRZk0FZTVJ6129z8CPQXpMTefvFlc79NYNXQ+Bba1od0RUw63QWLQlh6obIzDvQw71Qz
YZOXGsKHsB1xz9tByBwjkhyqvwntfSDpsVyuT+AllHiIgrKfjxYJaqxUE45Atee9TaFJoPlH6Gx3
C+SwVQcK1E9cGB5Es2Z4adaZF8r9CF5+F3MER/4B1Sh7GxCXn4puyxTGOG8Cid2u6L3e37TMJ4uL
Ggl/RLEIrAPDJ9r/nDpMY6KzdEgUM/6t/75Zdu56ILJxwq5w5tMiGhV3Vw/bLwungezfUosXK/Tu
a966BS5huPl8oEsA4m828AHQe539ZUoHz0bWRhWlDPkaoxFjBIzR3dKMis137bVrLvkG96ULx4uw
Ov8szo2UAqc7v6WPUC2LTbV57rdc/YIyRXPEecYjZtyISHoU5y0IyWmJ9bS30Kosw/mEVxl4wtUC
KsH+aCiMYiTwJ06Vp8cauYStnnXlnkxSF3SIfhuCj8JwcclklU2wsXKrhXfBIAcszXM5G1mtAeDy
fZvorP7c7XILwzcGmfay81IKb03KRj+QgEi4Do7X/k8GpDbymekw4Nuym+aM6vi+v9d2sYdFvzAq
+Nq82kSGLZzN3cBmKI/jKLZwB4WVrnkDCD8f8tG8LTxxCWQNM83vQL+Yxk3aVOrxMWr7m6xDZZ70
ANWsbYsTXQ+e30POW1bD2IjsyAoghOQpHr0VvEz5pu3JD9x79w4kUeng/2RvrNN+XXxYMv/XlEr7
LCudnX7QqWAdIdagzbhfeVQNQQkG2/Vmd6x3hbWzd/kiep8WKrem21UHEsUSaWlhnX30MaSdQ89l
PgY6qVmnA079v2kGBd4ef3wHv8je1W1vvJDqOsXWWoxSlDFJmYHMO0InQuYi/YpdpiLG57Mr6SMw
PSNQ/gabxN3SUnLYBLNj9X9b4qrTpzGnfo4oL/RRh4pkD+4vwGVZjWVSsfAEY9lOTrmf2+hNvnTF
Hs2udg6U2EMHLDjaGIgU937H7TqK0Sd0TGNh3oDAd3nO7npUORpVFLjnxlWj2lqS0fozLtj8tZkv
fdE292QsVJI+60vfDiEtnNht3lxkZn7l/cfh+b7oQoJ0d9gpDW6hYJrh5UxtuF/63sImBKga5HUx
onCJlZTvA8tje6QDexGm1oejY2Qg2VEabju6nivq7EW48YCVRKbtRHO7Mnii/w7a1eRnCSHgDlhr
9lbo7YZvrXapBuC7cJoj9TOctppCLvKtWGw/n9UZYAXm/yOHZiMQJaf/o7ENwJwGRSleSucfQ9RK
fzIh8NL2MIReNAxMl26qWuvGA2BZhlheYXmg3G2Gaz9ZrqBsDr8hovdlkrzUqmG6Vn/t8OAP3X4s
+TLvAyGMV+mI7sr/XH89ILOWLpvZFIadJQQBvsrS34n9vBTk5UIqjTbAY+EoW+c5CC3iIi0Uyuf5
2SYN2OhfNzqCLh7aW+ERaLIxWQOhDrDTZ0g9G5i4hsBWR6rpouw3W94oZl7YM0KwzsMAsP3S68jF
ElguXoGhiThVscWZ7qRoJcLyVPIjFbaVmUzueixUacbKO3VAp34LZmsB/XbHg/FxuIiURvF3T6t2
oYCLZve5G6uLsGNhCN2noFQNszpAeOar6inB3kw81Z50adyA55bzkXadhuyZ+zUha0/fMZ9V8Hkw
mdVyPmFYQ+D+Kq+P+uuhXZvyap5Sod2SRHa7D7vQX72MGvt2cC9XT8WN38Ubnrppw6O/Wjuht2rF
W6PjiBtZ2QfTkB3szvxsgGqjmu1uAYgjnwelQvQZdpgcNErzqqBmIhToqaglh8z1PR2f/Bo+qhmy
4WV08GtU+GmswvyUHETvTgA+mgjP0A3222h3tkUac0TPuSJUqi+rsn/jkj2WgPoow59PvN+2jOZo
y1TIz3SEHVUA6RtIpUQlb62HZ73UlO5vZgbF7oY5E9ObAroWwjGyFZ6RTBt0y30ErHp2L6bF4tcJ
UcNPDE9jHlhSy7W3nqdP+RjAeuUZR9H+o2m1+o1nN5id1zo7Q10rcYesJ6a4cJvnd051P7vv95w9
gz6A8x618t6qVeGRd9QZg7FyvSnTzjfaMGh7GIpjiAJ4/iIivEap2xEOiPbHfQVYfJebLxcDdSmD
c3YG5rHVdV6I3u4B5BZVH7iKf9y5iYhgMxWhIRgYfaLrTEjyvkGHXSZuiYzIcENb1MjR6pj4ymQW
22MsxEIRdsplwLjNdnRYt5YhZri2qQX7J6/sDbah2nGU1unLtT9GMFy575IF2Sz34uojr096K+LT
URVHui4IdSXyLEjgKklQqlcBWw/SZP+2l9bIFfEFfp8QFwxHcpTGjGjugyQcEw0J7IjH1bV8BI+3
l3QgFmEAwmIlh8jaWjYOKh5Bhma5OK72D3TGIUqVkh/KAh5oGsMmrCY7b7j3Rwtfz9DAIx3XDg+7
PJOKnGvD0p84JojwdFa0cJdtncPQDKYUA8IG2l6e2DW0qhwn2g4TNhzM3KS3JYo4x/xSWiSNEplP
4mSWBIK7O8KTZ1V0fp52vpSDJXKm+lfpDOR+AfJmQ2XnHYpPrqFULNjjBq0/XT0fSycVsGVTifrz
ZLKbrqhL3DY7YR2nXRnoH4fwlt6oRRCWkfFmFNCQ2KFNj4hGPtVJHfVmIttMcJc9iV+g2CiBCbdE
mIAo618SEBv/0EaSXbev0Hig1DffJxB2f6n1k2RqvJZm2YcA5FOQRmD3yJ76BNb8Cwjjipj6tpcf
IbwrRarGBFuJfh3JJBhqyXxNIYUUCduHFaNkEeuZIC1im8Sxib6mSEOnNYQFzBSXUOxMHuJzPsfo
Ki8YLzaf/vNRTFswF5MhzJkyCZaT3jBXktOkKyirEPLf9/zS6YWQ4GUQdpmWiTQlo1R/2ktlfdbU
8DmNj058MHLwxYTnDKQ2IWrl6OKB4YHlhNZfVhy5QIstR0b07jsLAJrQgjbvu2mFZ/hVG+CgM3NG
d2PwyfNa6b/GviM8jSs8g6mmuvSrgWYF8agSxS42guK4LfgroTfIoR5dawNJwUbl95RpJSiYtXnl
mis3fGPtF/iEUd44aTkvzdzIIHknghJv70YAggK5Bja2O6GVAsqGT5Rz5rXAsRN5yopgweJsxIuv
JYKvi1FVHdu4mv4OipsbIyASMICrFn5Q0tpPrhYWG8Ccs28FbsKzbhzbc3n2XxnBeXtLx6Vscx/U
NLbqt1Bq2K6sjU2w4NHhTnAU3OkOFbukQ+GbveKI0k7kz4OXNEQq6wds8fH1vIYNkjSnrSGb4L1P
0TFLM8a55BBNc9xnFfeA9lMBxSTqj3Ua41kxaCZAo6ve2TZJeA8ym2Oy4Yd9dqqd20ZuyCCKWlwI
xBX4LF6DfvHTjBBaupBlkjvro3H6tUfINkHizK+Z22W2UZg6UjgieyCu462SCFCu/vMZBehEGfg2
ZN3stidPwZfDx7NK7il/k8bR+HfgWnbhjN6JjqyheFFhoW3IhRsTUBbtGiSGSZASD+bjsKd2VQAC
ZJgV/egssqb7WbCyNuikKPDjtowqh4eO0HrmUHN78AnOm3Ez+i+HjgVxr7GwdOIBULka/R+rAxlG
F8IoQoxuBoPPTc4AtSllZc8sm7sIQo88GNcyxyEq0a8l0mc+bPlYyy2YbaSTXMTftSONcBuHgGnd
az4Vt3pki+z0u8gNM3z5Z5lGOZlB1uLyS+NghtkTJ9o5eGzJNeviXpwZO7kvL8fKCpYyxrL8LsPo
2a4zumwGz0n9BV167dHE4UPTFNzd2eurIDouE1+3tSGUNcP3S4eInf/gx1swkm33Z2CGFNIAEdu1
13TcjbvaZ5JPenH0+PwL0UhJZBpRHofQ+E0MOUizBe2hd8Q6CcsG5VNOZw0Ygh7adSw//oBUjRja
zAxf4ICvRLT/Y/5lbgdo9taJJbvfNMGA76lQ/k26J1zi2XybKdSUi03jOWXFfgQLApaGBCFPMr91
sXyJ31cWvRvFJ5HBThCQP9mL2+X4laLJ5tsw+nwT0PR+4cQHNXI5EcQZAlwfN/zo0i+AqfgOYU7s
rAlY4Y0CfkyENwwcNu/QoC69EHJ9fFh2/5aWVtPRshxuwaJ49zNAGjP1PVWlRe+ImyW0vIwXBO5l
lkg5oZPN6yR8awQXS86ZwTwDAC8DtvtYrgJc7ucxLw/Zs9y88zEdHns4zMOuzyR1sy+Uz097YFwH
j9XipM4Gpnh5ARZJvwsy/Y2iypC6YdAlybVdtRIfswf/BYDOA0x0Nxy1uONkqnkWcWMpC6KIAjW7
Ee9yBKbHNtYxH3UyEpzNIWIt36HxJvNe46UyIxfcpUpmmFOXXghn4yJJ0wmXm4mAWi5W/XmD3gh5
aegmaaq6Md0/JGOMPmpJTZM1qcCf8oq14/1p2qdYd9uiLR2NPTauPBq3RVo/rFsJaQ0xusbYkcw9
OP3GIKjwqTbOQA3xPFO3BZN9Mwb1QUTL/M6aGfhHhoWAdTSHwUWEcsu3JCsg4PiZIuVozvzXpre7
wREAPBPXBI6nFuy3sIJ/q1xgrNKOj3I7NgLk2qPJOf8EG+KHGhF4KzhySRYI2jvPN/PagZkPVakk
ZtqTHq8rTRKLbewMKfNYSPmzEOwR5nz2wAQAZjjuZTZT5nxMNmBb0G7mRU7INz/zK/zcChi7OuVS
x5zwg7WQ0X3cQ1bpvxKivzVQ1WXpsc2mY74DJPRjkJ8aYz54qhzJknqX2s4X6HOvqkQ4mel5CnsY
BUTXyKw/jPnREyA2fYHF5vlO16nFLPZtP4LHJFCYfVznYjY75krELfA4GfenRRk+KpV0ePm2lFhr
/Ga3TccMYnDfh+aTBMTMjPYRDYZkWkdaIaVVow0L3j0t/wsKrcRkYgHCR7hHmvMAzX+kYcDANY6J
ypeULzdWQIQJrvOIM6iIWIVxb+v//AnC4Rc/+tg4XUxKpBAGD9U7p5L7+FVhRzPmUWKnwUDLrvFq
r+t+o1ZaeRT5Sxbs0fbSetV9k40lEdYZAbxwb6n2jFqsCrmGhKwhYt9H72dE/mgrJshxybCDctaX
YXfMxO8ril/ER250o4TegjX4RXg9J1DMumd9f2w0a9JN/P7pFuYceYso3NfysE+5j/BNfgQNMGJn
0NrWA7FLxK17WiSrCdsjsHlSvHNgq6ERFuK6Jji3RrDrmi4bIp1IU49GOVUvvS/q5AqpQQM+ZR2h
Cxxs+uPaGJfplexLFIxbwm0sfV023RFCm47m+ub+P2qjrv5YLxvQz2ZKshdDR/rYAjhQCBES8PDB
8BZxOudGukIx40vvnn002f0E5wnJ2qPzUnvVKc4g7Hep2xSor7QQIZNwT+jnqyMIDM+YKUl0zJS4
2G+gjCa5CR4I8cb/oUfDvGnCMgFNbOLnAeJG7ORqN95Op3W7wt1YFhid/8PUguZiuF57AFuLObFp
0GGS0r/5r+OiRx6q1A/wEx8FML3jVKu5TYUAttmVTDwHh5dBbSQ8LACCI5F3g7GFdWeYLBh1m5sT
JMyMxStJOWOcbaHQRMILkKU5dYZitlljyVdOkAzkpRqBgUlHqMykAQtXPOvvgN1yRjYXU4FEeb1j
5BsbfaRdQvoV1+iYS5Y0FdvRPB1Z2bl63lADomYwZTIBohYTRp2LFoQr03b29u3Uc5xdP0Vh1Ztz
3osFF0zAd0eley5hF+J4qikadSZ8pH69H+TBcDiUL1nK6oL8bVdUR/P2i9ava+MUoLZ4nEygTQQg
hdLookDviuoM0tnLqMBYsEEc9T8P5qUAegMnwLJqv8llmmQHeiXrgtm4/mKnwKVdpKWFz+Lyq6ku
7G+pckSxk3dF6lYbrjyzswYfFVEJy4hRLykAM2FHgHoYclEiC50TQX++oo8mPsliERDw4fYFoGnR
B3//oBHbGuO8xCu8cv1umxloYSuCvdNYGHpblsb1vm8aej+GFtJN4dPaESvbfUetaL4oGrAInu2d
lbE+hz95Ug+9xCka9WpLrpHD+B5JUDV1hlc0sYVcmP2eKZhFuWboK9EyV45/4yCS9IP4J9kBJbm/
y9T20+fwO9t1dn7lK6VZ4HEbsOljPzKa2rRVfrN7Udt4RDnVSXAGluzmrFAz+mVl/RdytXIcF6ri
+kddnKWFU8bm641jTF9x98A3qEenE9Zh5M99+VTPsYbIW4kz3rLoUMVv+9MZuELmfE8+DEjWjVXx
uKnJ3OMQUQNaH2irvwjds7Ud+FisTQstOCbcSJ7De14gMko+0+BCXPuoS1vGrIvvkf1CRA9ryL8k
elVVqtg9hLuVrbKfpWQ32lB0GFrIc1dw2iVOfsI7YpQnoy7P4Tsnf10aJnXDooNf9qpCFlUyEq4y
nn7X4yCxRfkfwCGuucyR/sVmDverruZtSaNg3mBFpm9Wg+SQaO+C4I5kOiMZVZRf6gnnbyBjAYOn
F2a3VcXIVIMaJrS05iHHlfHamvR61AheucY+5bPVecYGBAx0lNmaqqdzh0bzbjdwE3WsUkH4kqBj
NFd0HS5sbh4/myKIcdxsH1dVutz6GKfrX2OTxslzO9r1An32uuCWQ7eE3h2fJekzuVYWHkLmFAJs
uHISx4D331tYUyBCCAjA1NO9W63rMePuxSUJZG5zKMNKVBfJkzBkh845C2e0xMLX0TKxzeqsMAgn
vGOhmDyYPsv4AZnMQk0h+i/WiyUcB0rDjIQjx26nqAjpg/uLcT0Pmn6PkEpOXw6QAGxTJigG8Ceu
gM41FGrFkxVdu+HrFDGYmpwHbaGsCdDyytGeaW2394sfa8tyv4lZlOQKjaE1nRhyCaKDjwgexMhN
EA/WXdPk2EvZhGgZXEQK78m3STDXcXJNv60bqRsElnfKHdsaKnILJ4rnR/Js3DjBnXWPH4qzfU/g
LW88kloBvv99s7jyRQ2Z6fHuvJbWzs9RGDr7AtUHls8h53Xc7AKLgc7ohPdtHmGH/MZ/1pawacpO
WL+WYzHBY6fbw3mvbFRnGMajJRBa0hdmaw2bhD8WiRNEWTT6axoIuLoi9kGx+wguKU5h0YVj2S8R
CQX3JuCT+69xJUVKLsXK6Sst+NoNNPZZARpzVqUur1m69RWqOtLRMZrmgCcb+ceJn5wj1CViS3I1
mSnziqzi6mO+VpWsatXnOkxFeMXn8ujwSOzYwB0fOM15HQ8MVTsMSRLQTlgMkBJC91i3NlXSVB3B
GjDEJWKLHt6kgl18ijTgudMOWPu3gGHbm98NKHp0bGHsUfvCKkUvREqbJd+KyvK//7yd/abXcWPw
ZgSvna40Zzxu9JZjYMBorIcUhtivcKuP2qCX+BSn06HAiRuEBb5aQVRi41uUw91/wS/KMzUHMjvV
k+9swGDRRlFuGIG74nch0iXq1f5i75w/a8a/l8WUo7/+Oz6hV2vU9KzBHGwt+vnvRowrm00Z+F6n
wK/q6HJ8plDmkQA9mjGTMS3hszRaUxWk1HKcEXkaFQuBdeffhEEQTHVZ6XlRULg3x2Tx6KExFUdf
jXJeoasfsLwlTT2wSe+W4uJJbbgy0PGSZ/uI/o8mcKukLDnwehlllWqOz0qpZgcMcaI+U2qlXgrA
uddhueQy6P9x3qaoVuoCxuGqw7Cr7iv7vGx+tXaW5Ju9zSrTk9J2cqtPTQz5eEpbHilwiRR9LFn1
9fG8u6tJN3KEcbZ3gr+Jxc77IT9eC9gz5ZYayUzsYX2asIS2NRscaCFghw6ZljjO6DaxwvNPH3Hf
5dGeL2asQ575KuC/kH+CI5xke+VZjrkeaLi+C0xpowhTU0HGkLUku7M70n2ndqEEFS48mEaZrICB
f3v7ann/1k8SQwGFzmzoD4rxUyVEZ/C+QzGUT2d2J8onPkcMCSxif8wNRchps1J6oIy4yOBgKsIT
XguKGlxT17vc78zH9u/0EiTSIOi4uOz4MBAmGlQFlcxzM/WffsKSlFr8uiDSSVR4eXvEq3BljwNr
fOkGUB2GSRtOJldLwIVR/O9s3Jex8Y8iYI5vHl6SeLwWbVclwJJV5WeZI9q+0HB7LyL5UKXOT5RH
jRKdExhzLjNyUqGLFiHCLr9i7Rw2PcmW5LCCJSpQ7NgWUKCAIjQI8Iq/KZFy+TjP1g9nXWiMWOKE
Ne8RjquEeBhHUYUra2pGpWTZDAJabh1SxcPJwxpUnJjZS11vsVSsstbYIecTDj6kj1T0v30exVoQ
WRHwCBRKw3RkZYILDHmWqDWoX6hXt4N4odq1sxnIJPBj0X/15XlCsmJ4H1VNv6ykN0rqeKZfdNra
5towlnL+bi7OKDQKVemmQwQp4h73e7xnrzjzNfp3iRzTIl7FCO3z/5dILu+J+42Nw8rt+cHuKpDU
DbXi6Y3okjSJ0wCJSg3cW88xglH+lcKSDiwqUg53kPA90INy69UfO1WJrAFYBbo8NqDw5Oo4rbOA
L3JBYxKLeZmTDXCK2IO7NXUsKFLsjTUQY0FRzV3OjPonM2KyUS6rfIJ18VlXxh1Y/9S/kSwCm5vX
CHf2km0Bref2jSY8h+FiB8doHrRHY1a8H37RU7++fajMODPlCqzhD2Up8K+UKCF8O1BXtU5N9V6R
ByNjpv3YrUHUgzFZOxHidu2WpfFmYYQQM8ly1rlSBvR9zxv9QEd5wggXGooZgb0hmbfFetnqyd84
jhjzwVA+RB10J+cXIujMv+Cn3Ax6RsiTiDx9+0I2EANmI+WB7cfRGIuUqdfgJmdkM6l+zX2+WF7Y
TEHOB7wVqVNlWEPYRvg3c1h2Yd6Qpi4UdC5NEDJovpRjyxFirQtwH+HT43vJys7m8Q/L66JDPrjl
mTlJdNTNC96uuSfQlquiFQxG1gThkNchehxphqU4/945UYqSFPY2QroMHfBokMAayoZ3Hp1+tF4Q
vQoiHfg6MlTeekXhOb4VnAAky+2qzOSmKeoXW/R+Mgws5JE+vgZ3OIK4ofq7zA3d9/i5ecUW0E7d
8P3GAPWRK32M+cxAJEcKKPSbKJC34uUOzWX6yGDd8MVTi8Fj25Z2GVvwJWcx4nuOqvpqxxgKI8zh
+cs14dtGh4hfoxSgh12ccFoiQGB31XVJ9FsREKaOonb0BCqDs6o9QbCk8WdALCCX5tLJ5MC4Jyw/
BrQYMQYfMq1kFs5bLFCDEKa1KrXKXdt4f5sMKRVgtx3aRhVetaIh7YbZ1JQen5lMbSehMpvE3Xy1
L/YY2LG/otE41X8wmDu0ZNXB7GLPrjmk/ygtQQ1RB38zOcLI8UYe1gMLBEDaOg/abLQ7zMqEkN1v
igu3jo6YX2dqdvLJfQQQSWHNhS4RpXC5vXvoiqvqWBQAAEJYKLh2WZj+wCTFRSkLeEGfFy96NUGh
0d7MoNGaEY0IKsM5Gf9UtxHQANvbRRUQBDz+nlCJPzTQATUVh0WR118GkcNUx1BaEMJCTw6JUj0Z
3u6UEM0/U67SmSuFbmoPuGM+bnymQi1NpHt/sYTK8Tx8qWWqfehOqXIgvGdFy5gRd4PPSH6d3BZc
BiosWWRQxydbMJUPWcsCAib8eYoOb4GDedF7fuKi/R/zsdCkzM9ZFMN+0lzx2d0HJcxShVqT2VE8
yir8j+/0ENh30RHNniWrjPuZ/W+9B767JoUovYDigDx79VdERewt0GuqHAz9ukblOP0MBF1bWzH2
RUPBwiu6C5Y30qaRzm5diwOZx7AuZVGXw88U6KLtXAb8zUoHlZWBRLNs9tjjakt8vrMpk3DdLejS
i8DYN2ocYroPQ61UH0vAjy0Ai5FfMwrrG5P6WJoYe6SSXei/vQhYD1u3AuRd0SyQgZpnLfZjHDyL
v2TCDsjmWzDFoRXrWZ12pQZJwh/zYKKjSuCt8TvJ+O8OGn1gtDXd4DBzaS6GTwFAh5ziH3LNZ9+f
DrJug/6TnF/olhM+k+DLdBA3BJk78wtY7uZsISv+RvrsUsCL1tj3MMCowHMzRloEwe2+hwd0DrKg
8jr6y8fwfk8wZ8uavHf2VVOxWVm97yeTvTAwsKGdQAcqlzcd3DaFWKhULNFOV2tt6W5rbZoMQJRB
EFQ/3Hu5sENZAS5fNCZCyYwvcGK2z+x6pw3zTU4c7SyathTvIq9tklpeG6IxAqNzDXbEPHWdzS5t
F6UZUH1Ho1EUSp2P5pkm7q71nvRKOlSoH4FczyB9Cfa16fvohT3+63LSYBLxMyOYjh9jEtXfjUlh
bo4EExCwq4eDsVoMR/A+xV3H0gwbyEE9LQt/QtegS+6uecKzCjEd4BsVFaVWx2QH65RPctounTk4
jesmjzVjwWmqAYgs4ZE26npzzamloQqVVHgPZTnK/NJesStowD7Pj/zWzmYJIx+roPe8EbvrK2tZ
obys4DEXFgtg2KssDAtPF1Y8i122rjbMsKDN3ANH0fJNb0FN5lz0fGCJo2eqqS6CsooFvrB6maP6
oUQOAFVnek3V/5YtXGKyd2dodla4Hym13opdwxyGrIbtCV28jIps0dRISqXNdIjmFMxaz9D4d46i
ndl4MFyJrx8ZIADTKuHCcsDvAH6nq6GUlbHPuKG9tY/mkvKpC1zYFPlq2aUEr/aOvjTHKHrpzGKj
w/+usUOkEQQtzoWglatEEe5lrCd+k7kkLj4yczW0vjJnH8Tu/1eBRNa7InVMM+0Iux3dkHe8ZfhJ
QOGz50dF/UgazbXwqxjgFhE6wDhnauLMC1Q+3WTxVYGNPZwGfSUMO6oYRf7ObTdF8kWTO1dpLZHh
3syuh1dWuPqBWG91plIiWEsUqmK5yhD2Tq5+37zJPPfGBpPZNm5GGTOEHWTTEvzpmmj8i7BmorP8
0nMFWdMQ1JNY6Xp4sv69rkY6tupQB6X8dYoUSRgGAGO92h9GABf8t4u96hxvVo6Ycf15fsULnKDI
CHFz7WptFGWVdqjJ4J7mDCMzVVlque4wQlkP+Zfu6oufGSk22/6L/zese2kkHTPo9mEuKBBXrgCc
1Rog+g3N7+xfy8BpbhfOGGrUFnFaI/j5nvfgrbLSdgzJMk06Vxu3eP2Jo1slnlRoo+2nNOQKK4x6
L3kk3X2vVc/8DGd/LZRyf3zcnP43oAiQAIMJ4Hk6DTHks6dBiCRAnmLP9IRiTyDNnhMqBJ7ZR815
vJZ/YOWNUgSajSCtqiLBuapokocwv1EIV6rP6MNu5QZDqAJ0tHoArz9hVcYoEGgLPE6Jn1BCL8c7
q1NmZ5pm5DY3DT5i5EgzyJhRrtyXtF++VOMutW8FwMmrZirCr7geYPWP8jnlTlTFzXn+pCH60M+0
T9TgIOijN+s+pW26Vqmuu/oIkEg2VFHfhfaFToBVCU0u/fWFXqsBSUcZtMops1qcFBmHeiVLUVqG
i2vBD9B/Wa0hzTrPLXvUDcDF5yAkku9r6ro/1nIbKbTzwQlK81+OKYmIjV9a90DOvBCJ2bQ1dvBa
o+NIS2utvGGkZbTB7dDlSZWG7q7JILiqDUHN6bW3xaJ206WlEVxZq1uSFohp4X0UHZd/e5KnlGxw
OOR/oR2jdIqCjlPeiI0mARy3nt40sOJGWo7y3ICME/QwKWcs2PtWAnQszObUpIR+3xoz8OYR7c5z
VedFwuoprKKx4oiwwc7/SohxUBUxkfAV8d5D2+95MQnB4ANW6jvX/OGzJR/gbeUnt8W0Lo+dbRFd
saWfq8pPoUO/mHQv921fe3L6cNpQ2SH/+rS6Sjqu0CoZrRZTOQ4OMvsuIWGwlS1OH14VPmyYSaBc
p5SizBeGUV0TsjJ035A+bvVlxZi30Y9SY86qrP7KbP/rX+/OCh4FNT0YMLQhSwjdbDqOUoGlgJUd
qXNDkZKsUQGyuOJT1wj33PFTuK9yCnzD9TPyKqSNIVywnq9SjEeXb+SzN0U+gCjXNE3okgmK4DUm
itrLD2wyr04FWFJHAfmtJqjDnfvXDax7FfBGk/k0WS0pvKcRxiFjKFXk7DOW4z7xRQyWDq94Nqig
tSbHMFc9QNEvirMlCd5Dmw5hKpiXOVRq2rmZ2U9ycO157KElRTJFYgz4eeAx9WcUu9nMBE0GEbBj
I/00YUvSCF0ByILC5jIQUEqZ5ZRoA0eCq6zRO027xt/+2SemUa5sAxb2LzrSaAclGmkEsRNtdvm8
KI38SEv+o9Dd8xpmDMLXuapnVWHgdeWlwNrcHeqj6+ZVBAZNzUuFyZsh74hpJNXugWq1D6sQA18/
IhbwcwVTGFqmKVYLlRueZDgobeMvzFBzb4kaL2Jn/bsuHV66t2KUvu4YVblI2cX+3hrzwldPb4e7
xairihhyKbwz0ZC7hLPJG4C7eM5Dx4GLVlLGE42fM9b86JuiMYLIiG7jzvia7tmRO5oPzLoJ1btY
BiVXUSWzzHbkVRsfEY5uWUISBVF2WXbkC4FPqZWTX5cJbCBc9iGDgoO+O1FAMnLPZb1Sh/YrnoXz
TxEVLI5OecbvwSZIfDAmJ8HtA8zZLkgQaQh6a3rSNIXxTekXkEWHG/D9HOJtajx5729rQFg7O8DF
/1ECHPmEBrMiJJCh5JTL5WOVaXnpPZBoD+uDQtnsYfdQF9+HpW/XYZqF3bNQqjjUp+x/6f4EL5Wv
JkqhoR14Wd0jirh92mR+lH9KDoyDYXEIN3gVty5gydQvEDgY7/ltenueae3SlJzD7cF9rcXmt4HG
XQM91ERMtFRXT0hHE5Jgsh1UM+MUDxbmhjkIVcECLBOVrGbsxhdEit716rS9CK6pxqP7J5AY/KaV
pE0ya0wVAUUW6FHtBHpTShpneldHGQDNArRUhnxrVk3XTTrajnOiSUD1cXjwz0/Gc36xIjxrEjNK
g4qbf9jhx222K96T9Egi5iIb37liXum11EUTA3ToL9DQkkLX04PmO7Gf4DyzbH+b4JfTc99nu+Xy
7iF6W1TJzrXSL1ii9hvLUlfNfYSrXtmvylUFll73Nc1uXzOIO2fBv/XSUYbRBqTxovPk5YWxEUAT
y6JRsgHgP2UpD2DyDq7fito0XNFgy29RuRSameaEn0W50OLAjMKpIy2i72dSpf6fuBeoNeyRpINN
rS23fGyvrYXuBdIcDnCvlBobFoJyynvPATMXYRj4ERwEu9oMdC3H4/TJDKKqrQOyw3rWAQTB7dze
xvkck0GmoHMRF3s/4mMKLNYGEDbn/lt79hp96/cCQ3n5DtqOsYSk2TG1hplAAgZGwnNuqu+j0yOZ
nJlXvZMHfOLFGy3g75vpGSGJVxxcKhDYfymf7M/PBYYjKVZF09Um2uWAuHJW0YTeflIaKzzfCGIb
CfB/yAcIWZ+C4rYvaw8Vm4fdSy61u8Cj7yN/QyK6XKEPyeDnHYqs3VymPNRLEYvLml0jwBL7PxJ9
SQNGvAEfBjtD9zBg9XgkrERPNwTo7DK7Gqh25S0WIUguZWhlwZS1gs3+cMfBKQvFRAzOah2x2Yrv
yCkhmNfVoVZw9sbXEUUOKdnaX3pqtw+EPplYJMWQ7dkJ4Fh0T/jXmpGcVoRDpY7QCBkIGARRV20X
rDtRd+CvPsOwnNJjP19ZOfrOBEt3LqsdbDP2+6JJH4YBFEOnW7PPXbjsOXFUNakildyVrqXl6fbR
VHXEZs8NgYDY+bdep9OLb8rcTxZahJKs5L6v6srugWMoAy5qqCJ5EXle7Un+nLn92M1rxGue5zQ8
rxgV/Ickreq/CrhJEhJnQOAiBVHsGgZiGEaAsqlN7GXGdEnQncWYumO3h6xezsLheQXqrLZZA6iR
AL+WE/1AfNhiDSB0W3zoxw5zbSFMMet0ocxYEi5OhXL9tmeGKLTio9ggolI7Phf2UB2AJBjo+L60
K1NdNaOAAVY8e8pfXDVWz3AkeylSuNkdYOwMhmVMICxipjf/Ux4S0SrZA5P9Ao+gSfDhhQEMmPnp
tj/Mt0iYpJLbYFAQIyF7XANm7YtBszVUwdrpkI3pr3JKvCzkcLJJCZEp/q3lyJS22yrZOc+mYbKr
SnbPm/lxp0rXyHj0ihzbALWPJFg5gVs4f4brJVGE+71ctN2FA/bg0PHySkwVdddBhb7PljB1/nsC
+b3vz4EHDi3hfM07YW+chHUsExcgAqacNkTSMmf+wT7/IPTlA3oV55DhVZ91Zeo4o5oV7ahIGx+l
ulfyCfh2ys6x8uVieXg/ExYPTflXPUEWAbNdWKH5Ny3OGVvGMviPAUqvLls8uES1kdDHrAWqMEjv
+vlSAOL5PLirhvTARU3UVGJbfIW2e4tFZaVJoQq6e3HSloWNckiOhOp/Puc2LPThMMYx3nQNUvwv
TblST8Y1dOU0mEB8ys6Z9MkBNQ2VnnSluJu8Ej71SqXScN6cbOzpL3FZOOyAZGJQ3ujqVk81giZH
ViQ0FST5FBmd7VKNw5Tk3xnFMfP+LHrJedcICjTGv1WHaZGIRvKKy7C2uZpjZuRL4Um5rdncV7Gg
CTBlDmK8l5UGvGunl3jYM2PpWw0+biBgTq9MgGfs2Z5UZHwki3ADhHoprpcguLkEVyzVpud02ti/
PfMMGiFyH4kSKSnuhs2rau4MLiL3zxw/yuU12Onb84/OjzcBZRec+DqBeK1pYq7JO84MJzEFyPBX
TM2wSc97A71sHFYC+z0RCDQmRVs/sJZDeMA1dJO7CWwqrr9fhlqaWhmDGxZRgHnseUvC2t0WGJdY
DmhCNwbDsnPoE4mqTza4tDymsvYdTmYt589p4Ry6UQx1x+NDtsAQMv0G5wefxo1Xg2NguJl9RlR1
ivwJlVcyydVZ/OnCSO5UuaE10dwSFGBEhtJgkCcVX6zYBIq8UZ6bq0NuvKCVe63o/ti99UJUC29j
b35p6VDyoHP8E7IyZsyu5EnTwcjgpNO4QwqsPfEI33wH7P8Huq2YE+z43PAjaO5wDIeslfbmAsHE
O8O/muR6iIqz74fQPTQnq48P8ZszCg9PbsPwhnv3ugt0wJEsoY3joo7rzIL5SbS7P9X3KswTmLnn
xy7p1IeCWI2MDqRbSCBOubR2Yy2dUm2wLTfdIL/RYNtAJNT580706Kzn68bKVMAhpxi6IKneb+mK
/RM1Sh6O53TNuvgw0RKpZoOwOCZj8bbwmwLvoOZNjYEFDnc0yMqWmqn+ba/aKMy2yEZXagPkUYFl
uSH/g8HLKC/9x/fbuYWQ+VJ5g0rsImry7PHQYY5Bx6l0oTnZmGLr5eZj5lpMUfb+Z06yP6vdAlv1
0bDLON3VZrayokIo3Gc3mTNTv1U5Vug+NtDixTr5w46xcaidl7eFY/CIL74E36KcXPvPNDUwQHGW
9skPoroP0TjUQ/aqhpi068/JT/ocxROELiIsasE16ReVtl3ms6jdfYmqAFQBj5DHs9fx+f7bkRzJ
SZC5IuoTrEToqmhIzdQH4SlmIhCTx2Tw0coPhv14MlGt7d6cxAUFg7Zn0wFOIH/JOsFrfI0zrcbo
be8x0S4XwvZiAw5+jIW6erVfVqP4PCJc21hNELDV8E0YyItauTSCWVvsyIw9QFcpkRnKGlgOKXGl
q+dKQLEKhOHHzYdnZ3/9j4b5fvfi07Rv095RxBzi49aAUlcQadKRu9YT+z5A+fo7oArbQIrKREih
f23WpXMPP/iskOnsgdbsH70IIcq40OgJv4CT4GFikwlmPuojHjDoSYlmfqjq01hRAfGDY5JBPb54
1BDmLm+McHzsIBC9Cmx9s7cq9OdrVPJ3OkcH84jN2wm7TSG4qlzKf9LO5tuMjtPqtiFucpMA4kJU
tjQdp5vDYdmkW84tAPZqmzZvtfRtUXP1V4nkQDr2ajy0BRfzNmjYE2qrgAwPjeq9n70YP+FBlNsm
drCB1LqDrVH8O6ky0PXvxL6+AKTAyDoBqNxfqBp7Ve5o3X6+tNnVzEFXVqndcPwK6M25ckKDrrpN
pBUaG4AqNVkHhrpC2UVT18Lk65Iq06LyX1AebCv8b95tqux1vKbCGJLeXa2sDMT6Cim5xzaDgWhl
yYxXHl7EYigIwFwawoqbU/sR9b+nJ0zubtn5KRejKuWClTMe5b9PMLQvazkWjnRkSZKT62SgYmF2
K4knpbExiV+ihuM2SBVasR4qYEnTQ1xtY05Wf/yJ+46WWRWQG3dUUrkMl19wbzc4saexnBydau9x
3JO8mxHyjcDfjLxm2JtcD3nNxwllMP9vUMzB++FsaJ93zv9kyAT6yNFp8SLhm6HFynswQWTB8RKH
lPhTLnFjsG8tN+0mrrOvIXkzpQdOKvllfWXCnAET0kXpOWvA0bKiCigse+ZN4uOYBsSUQZUQnGk2
IDHtkww5ms/i7XJ2hes4a1WujkXjiB8ODvpBmuwwN225bxcd01dZCvUReHbQkfVIFg4sLxUmSwTw
PVntnmF4arbzxesFBFuy/rYqXQjnJvCFuLRbYBmCYl08uQlk6AHSZhz8Mf6il3jBI6hAzR3nuocq
We1xFa1AWCDNhdSuBbJyAPDcqDdAkZV3e1erroqAr56WONpwb2yi1GBNpbYz2PxKod1s6l/zyo71
EwqipikkzI3DEOu4J3rknBldZvXpPhp4N4bl7ne4TJMgWq1E4htfVxKNpOB0wChNv/ND1/q8gugx
fqnyEnSPxz4FvLOZFq7LKbxy2y8TmtXPl5Mntm+5bfX4iT2DJWsN5WTcuVNPSdn/j7J7+wDSMlVj
pWw9n6iycb+yK5HjDzIdaFOni8W5y3usXZbM/AtbsLbCgqrDPvuOJJZTpewwJU9FtssCZCqDhbNi
sD4nIG/u3vZRCYX6oF14zkxXyKBY/EWK6obXapNx+rAyzd3O5OvmfwVwyhEsYOnhTOZsCUFDg6UD
NfMGn7c2Q2rwD0emrqH6pofdfSt+FKKq0QwGUnJ5/Zb0e2sKSaOSxTzQwLb98nUcDAu+UzdKzWlO
+AAZQp1uavbRHFq0CTvC3h8BAevT1mNeK3Wzp6qI+4bh2MNVL9lCULt5+m+Qwr2ntErJqszYHNrY
WDrPedOoKO5cXiy1N5+PILp162b2cntCiKwRRUnRSKVZNFZcNJMSy7G5m3J9F1d4xqDVplIntV0U
UWHBvi0bo/PLGOr/S9d2UFaW6lmNv/m56YrpzZrPgR4HC2bD4g3x+7kRJRNyX/YEiv17VMCTQ2on
Rn0rnMby00uJs4bm3PR/aJN3mJOEeEIqD36EM+6XAX15P+xwaSKGx+LdticUujYrYP0CnNwoA2h/
0OE928s1geo26sg7m23RcyXOevI8u/pf9SI9E1SPVJrMYKFaOjMuwZV0B/Gi/+bhfvkKs1Nx0qZZ
CWtS+17jQo6NIzbGVDRii+3Dwv7b8Zv1npBEo2lvNScuiJPm6tUdkb0XpobwwRCsN7WwWE5SACuw
TEXp3pP32pV5JlGFlGt0nqL325u3Dlc00L+Oc+u9m8hk0vSZFgV6GSEGqlo8hPvRibOqMkH7xj0Q
mtdJmZ4eH10jwpAb3NgpCQq64KcBZnWV9lehJ8MozsV01v1MRlS4mq+ZEoH+200HxZYp0Sdib+L6
qM6D4DeKmQ++z/d3XcrVhFm9mUaIrPvH4GS4K8S1cf+7jRZABxnlxsAy8PaFCMBro4jX4vkI88H8
8V2Evn7qj3GMnwJfVv6UDBnTQMMgdJHFltdDqkjCdd+uTlrX2Lbm/RM8ZUdJu7xBubwLeGCbFhV8
C0lwxJYBarUlHjp54aw2qdofbMfvMKfldExDJeVm8DIpUV+X7eMSlgwpGxQasyt/0OsGyPSsGDGf
Bt9IzALUha8FZJVwo2HTiNobGVK5C7xd9+CtnUDiSrBC01DbFVF9J4hpt38DLIsyH0Op5YB0d3HF
WeENUz0KSDEVBxVsQ0WaM0OuTT8hNaLaHJrQkjLg2HG8xw8ICX4yOnMPzJWA/2XOw3E51U7wRroL
a79shOd+YhEmrJCKpuh4pun8Qslj57DJo/4YU6UZNdYdrjHoX40oT+i9JB8R/CuZty221MgY19Q1
Ij3lC0wLeDRcmkyP7CyHuP7iTjgOs+57CnkO1vk+2LBKaaWIJFkCciMNg9SK3c/TsMsuFbAn/JJE
wXnSqBJk8XCog4JoD4rN2kyDHVXGunFkY2hUt0YIh0jyltZ0Yi3JDIPI43TLcjZfHj7QGmd9FyqM
J3hUM9704IIlHNPv2K6S7F65P9YdP52tRIiTFYUaqL2CEYFyANY8ohnzVmB6FX6qUR8x5qT2Ghkq
dTV16vTEw+KAHJaGpXyEr35ba4C+BNUmMV7zZtyBNKxQ36GvSGn1MmeCEggj6ArWmOIoXMxWkQgd
TJdSMes7KhzHIpZGesPnBEzeVD5V90h84LhG9KBAgUrL11ZB5+epb2zLzqoPzlGoL7xD2cH/Y1KQ
lqQWG2hIGlFDdzLIoLuXpMp5HOu8IdGG4KwHzDMih+vWRmAH4nk7HsQ0sW+8s341w3HhzaVjSJfh
TDowwIcBPIp0oIj28RzMuiSH8VJkgdiLkRfiL9m1Lnmb2r3XiSai7StIm2afEUxttslDoBUCEG/7
8sRMcQaKsCuKoOxchcJp93papIAOh7xzNctQDeyuQLrJ0vB/ekn95C+4EIOwUBwlBtIq9T0PM4Ny
Cm1Ff9JGcQFiDdwvErgAZJWx0/d349whUCaCe2zLINNiUlPKXiqQ8+hVlUpY8zRXwpJjlANl5ks2
bonFJS8hcC6oJesqSEh7oDKvhM7EK/3nQJQU7VZ3N4LLjtnFeNJYdTcZRtdheXhWqZYEd1f+ytFZ
mA57laLzWP9lkCRR0hJRuh0MwI/1OBmB5Dk7odqv7kvoj7DA8bdGwwpfu1DJOgBo2n4at00KE+DY
P4o7tgQTGto3zL8+qVu5r95C0sumfDl9fJi4FwVXsJExDmD+8Z15U49ZM4asVe+QFyYgqSmkvsyD
ZebDbrlZPNymnxnY7Kkkj/I6LzzrIXGOiT2z0uR4wsmOozAx+etUFIzkc95HEEe0B2XY8kAdva8T
wl7xvrUXymvVzgppVXlWV/kmaLUbieISK3vbmD8+cJP4KUCezbTygF8WYWnwQC7vh3e5BWTVGjY/
jDM8aQ1GPlaLuk3k2+UwXCQGOCxvWqV4aMEbe6PbSB2HeRqiVEonnR8nkYWHMhZ+FYK4m13u9kPg
UKjJ9DIpVbzKm/UKv9kt0X1w32IODS2YdCxVP1TJpBisrRLz25+dfu5a0xArC821sMwFSzFwS4Ub
VFCYowe1NcKw+AOP3pNhr3zz2LJBdosg0Co2diAoVCHC5Ry8PKOp4CRtGEgTGne7wkrbGwrfB26A
r2+TYlQs/eAWdt54N/76pGVPMz4JZAhAywxg0Pbos+J76VNE1wOVuIncHCpaFX+N4veudoVRJWfB
pe8Ys4S+RDWkigZb7qv6/UF7/V3Tj4Arw/TrW16YG+B7hFVaAb4qsjXo/F/pUaA7IUocr6pDQp7F
DbmZzebHlGJuwPFuPXKRXpptZw7DMfcIym2UY0dsQJHknGo+ML+aLouv3/4JA7it4Ej32apd4bn4
DPk0IrGfHte9SfoKCH1slUT3WVRxqbNxhstErmQ1nGviydbpHvuwd+tMvjgZ7tVFrLUWZUZyNKEL
8z6lK3gwaJUnN3I4cUtGLqFTWvqO8dCjAqvHlCI5eSzbOOvKwOy06i+SBvZB6xVLEvJEauwwCgr8
gzZiWXe03/58MZME0SdZihpbYooT9SScL/yINJnYD1tUa/F+czV43wt6Zjcwc/1Dx8P5Dly3Fsq5
tuoVVrANMKFD4AYaUHvlDwLHSb4d64few1IRHeMlV1KwT8dnTGcN98NEkpIh7bFLzxCwTM6KvPkz
5ardcHK1WZ1lN6c7bZw9W89fENiJ6yx2DhDPszdKoaK3yyWzbQ8ONLS8DbsztCskGO9R0YgFFSsz
EkiEdrwSz1xPlojLX4VGkyB7IYxzJpWegpUKV09eBgP07E0lph8Ib+1jM1HPBHzV3qS+HBVEBJvS
ZPztDO6bnLClrDlhIq6IuY4Jeu5Ucaeo4JJ0S6rApWpghNYG7r9M5m+xT7WNnqiNp/8HCDJu90lK
effYuQGGct8MI7lWIp3G2OWkcx/F6GsRbjUoSzvndEWyWshpqsjXYA5A4Rko95IRbxeVW+O+9wZ/
CCjvrI4E16rGMJF+km/EgzvvQa9ybBiYeRL72FMFtk5D2K1nKiW1jh3bnrFMEu9ICrk1O/HcjBWJ
gJU0KakaQfeIqEPX438DnwvccAE0YETSrcYJKs1EsfLQOeCn6cwkdQ8SXhyyMo1GR9gEMfsA1WlA
ArGg8JcIdGU1bCyi59PBUN1avllQPYFJsSVWBQgKY7R6VtbyahJUqivezgqRdaKaUoSDvidxs2ne
+fFmo8D44AS4n5gDxVAkvQg+W46sFNsEtMX74EpLhi6dwP+alORLsMXHIZ5147RwY+iirD1FeZIE
6QOi7rDDPXupbGoUywLnvf+fyDUdYWnVm58vzwf3WVcugLvijtJ98oY0iKD2pIgG9yeBF7YGkYwE
37aZhC28eAJYO/0nVqABdO75vGrkvdv7IUxgZBuVVA3uUIT1nye/dZwRtK+y4HxxbSm1fXTb79QI
susum4w/LuxU16IWC3i4Unrdloi6ODGN7b1t+H7d48hWZhmOHnB1xIPTc+UaBw63XY4ww8JtHo8V
g7Q8dXnYR7u1xUNSDnT8pzRAVVkk2dxCvDQ/pfmfP7pSYJ03cfkon4rgZb1iHYo78VGG2o47Koog
42j5AtJFUDSx/sPFP+3fsn8J7x5ldg4hKR42QN0KBjMOqhsoSbpNQbHRvFvurA2jp/fRrvC6HONQ
Z4lwRK0FyrMX4+8vEvYO5+5G4cUISxIASL2+gCrt9UtIs7W2NNbQ7bzMSo2kn8wMSMVJn1JywSWq
0RLArcZr4nfXWrPmc2OHAgcs/NQFaIt9p7b25Hu5f+6yYu5pK1X0jfBGo2MUghlnCrMymDehv+ZK
UeenAJdqt8i3UHSDzS+G26eDMtTcepFyYuSqtdUolhMsUKb6P8eCFqs9nqAS/U4kZ9RP5A2kbF77
JAKKUhYxn1oEGCleh9qryv2IZVE7EYwl8qjHMEwzj+ktloEnAZZvcpMmkm1PQSnXCaWgDJgShNe9
kOgjFF2IoyARgQdPgghnFaNPp++fblwXsElsgtsBsgvqiPYwFLDJjb6F6Au/2kpbkiuAAzdl7nRi
LO0enNQRcFtJ2c02IZYxLQ/jQL+Xet1Ab6asdDAFEIe2cASboalVcFxhbqe68LgUL7f3v0zGqa8m
NaPnyjSSLEUZgQqeVehMtAUKjk1vYZl8VcLQHTSlkmV84ST6AsibCqTzYfyPq+QgeRpnOk2zlY9L
LUArFF4nKGFtlljqc2Rop1WZPGhLNWoOPP8sSBwqbyYHElWURm3E7A5Wl6s8SZ0/ji+Evu7nrOCY
TU4SrwTc0jhejgUCaBttJw/LJgVAInVAB8fNVqgvxQ6mhV4y1/k72ApE0Xg8pBGhNEFC57CI0mJx
RRUaJ8x8XnhqawGTD6lerfOl4QJUSU+SSG49AzD107nUo4zo2U3gOatVl+yxLy+kPdRvoMNNl2dv
FVrrCSmVsL2sbNqmPZvFNwjyUC8HIvEMNexe9qE82IQ6RQMbKCmm/xRRrOVaVEMIbZXJ17VphyiK
hYFMLg3zd6ebMwadhbQg4LmUeoFZ1ig3BxsbQpy8kHa1B4xTah8VP7WFFEHi8YrBmXv7A1bW4tqe
wTRBGbu2h6YoSGtFzd6awmRqdpOnxAGHI2LcW+nLVxNUitrRSowVG4OncaM5E9LFNqC7SR9bFhZI
lOpoibnp2VRanaQOkkkwQ5+c/x6uHmgcAT2vqPO8YIcVHU1UpTZDWDc2CYa8XgWen0LX3HTVseiX
KPggbh9ko7GOoen0coxY81GZKkj0XJ6NuTe1R7ssy2TzIjWoyLMTyOi3kMwcIhNVekQTi8GJlO+y
5KouhiLcbedvGj29BS654uinTu5jI26K/5EEqF2C/s3z+JSOlvj61h8wsAD+aRXFIR4qogS2xPsj
GJV6dDtZpT1jn92dVRvpDlLsseWA1gjEWlAuqk1urBF6rHxnGW3kA2bZ3l6yjT3dudavqTdrpW3a
JcH14rmwYIk5DpOADSHIQVzsqhY0p9Altt3GtO57CkXbdqNkh8NZ6NoTYYPZw0uIA5zKHhMLzTJe
GJUFBEDKIvg07HBvWV096O1CA1tbujB1Q6VPMAZgch5mGUrXY7WhWGlpm5zut6/U2n6Gdr/6677K
80CFVp9nmRp6NWuh3IOIEpEMG3MViDaCdXZ2p4A/IjYjz03MPpjRQFs9mFOJSteFCeUv+4dAUB4m
EkOrUYyUETwgWhPLGiemVI/bg+d3htVh8v5lga7SAOwj0zq3Uywn9C+79KhiV2z1IqP9M7Cd8XqR
Lh4NR50czv8BroyBlNrJp47u11a9qraJy2VoQSDenyDsCXlYqsiJutgtv5x36wLqnQlNoJa6ihJm
KaCkDwLTNC2WuPN9DHIIUxFVaduFnsdNs2QOOipn1ZxUpsZekoO1Eag/itGPT8oLFDAlPC1QEtSk
XndHqIv240CpAM9B3JKiRKVuHtKtIbyGLYxSI8DrSG2MfFp9CyQBBzRw6lc4j46xaRg1M7/S2XWw
lYntC30eg8BdWaOw8qWZmJaJDivV0rSleNKrDJLx4SSrqQi+nF7UOjjgKG1b4bMXKup2+yHlMmAC
LUn9d9X1pIu0yOOn7YbV30xXARJ+7RtZUGjcRDYned9KZRV42wnsGqylorajpenymtCeRdkgpJoB
LpZ1DaLwnUyHGUbZQUXD5srVioWQyi3BWCV0DPCgSrGCsW1bfnrTC1DvRtov+B5LdLE65OZxFoke
RhkF8MWbSVY4kFf798XKF2nBsnfElwFLZ3UAWP8x4/w/W2/oCfdlLehKpLvhE6/dud6gYY+NkYjB
ZJTdKZrGZULoLSfK2kh88N5mpggJ1pJIt4epwiR6/WJwzOvd4cVKos4came0h91ubgPAq4q2kLmM
OnB8Nrh6uLQjvBdDBNajNTCFfniBaEVjJNSt8hJ9AiYOghgSfJbSbsFq5pxrxqhxxGMnbTmd9/gs
0rxhq/LsjthMmdztrqBYGuM58bQkOQOKm1DrUfV2jup6OEIel6u6ONAGbXLf0ptjalsZIzdUGjaf
YmdwYrwvvEZcxB1DGecU1pVr9lDIMW7nOFVbX0NofWMhj1LjmgQc1vwK4K5xdxMWr2SJbMo4hUaR
deAcWMR7D7+mLosUo0d/Ecrl4EL4uF8jqjnd/YkYVwhfnb8QtfEbLNoLWDTjN+3HC37k6emCqtDJ
LzJVFcL+6fROL1acqEo5NEXY4xknLEs5Oxoiqc2qVMxEay3fWP2lARzdt1FRWic3V1HDA1zucbuj
1FsDL5BOIR1KrXpiU6f0ekofimqXI+69G2Q4Sz1jpQ4bUckPvucmVitW6aiXLsH7G3WFOHQZCJjN
FKqmbLsveB2uhF7SEdGuYq4rX8pTMFxrp8EvAOo/0+kpBfoyhuFno5FX2MOkQhF7gS5kYoalWP4j
3oGwti5q9wJ3Eg3TJgqg0QjyKVwT3+JSzH3y5vrXTn8NhrfT5mXW+8rWw5bRrj6lW0FnELwP2eCh
9/D9F3Q7QPh9qlVOGgeNkwxKBXy8MPBhIliITlgQUH6i9PYROzwwL8ZxHKDCMGNZyjDH4lCVd+SM
D/0HHKHZj5W/rVpaJq0TLDkzUB+O6ATXET6DLY1W/NPwNB9ZlVcoMcCLy7Cfqeece8vgpbuaDGP2
VEnakI3ZzFz6rQmOKYp2bbriaSYlWUL1RaFn1Tq8PHZVijWYPvHHaQvtp/l5ns7DkBRanC7lIAF/
+1memgX6onOBAFVsIE4dLG/Dp8FurePB2vLIDXxc1CHFX9/xBsVjS+GTIXb1j0+OXl3qra8MN2X3
rprVsMiFaP13sxp9h9NhQZ9qSoS7fwKauJX+x7iXI4JtKssJDg4y4RRyRv2i/sEogYWKbBAvbc/D
WJRV80ClAi/HilY6K5bp1v5rG+PguvhV2C1Aum6zDJE70/7TG6DydGqPffh2YA2ypqoTNnX5A1Ak
9Qoyk4saBVETO89wkoDbNaye7yCHkCXvGe8brGLOkyKolQWLPHi7WmDbBG8X27N/h7ZR1hcnGiwQ
7wpM6psUHrgbPnfma71RFmFLZe0G2cEsTNf0LM2akMhxIE2nN/Bp0uN2u65aY/Ri4rHS8M1BPp1N
9L0EyAnrYEK5QXK8cSk76P0GY4H2izO+Yi1sDzY2FC0e7StxkmonpvcrAlaxc2mfs0uzzCo9EJZV
WgrOcm+kwB7xXlBM4NAvS1zecwb3QMSJLVgFinKvVAk4mg6rcGPhi1hkH5BPgJAWDziJ6uZ9jFy3
eKY/ZuWvnFsdgLL48B8hA3Ug302zgbeqkVoH748e0sSxwDFsjSsDcexlokkTb5tWvuuFUD0MMKr3
xLT3a+AZZkgyrD3RmkoijlUCZQ55PgsSR6RdV20ywpwwImf/VB2RuJ6khrKwaJ0QFrhwSYhLlrMH
V/KwTk3nPiaEjvh0nLQP5VU8viALaQPD0limSwqE5u0eCM2Ivf+yivlZPdn1fHpV1wG8HV2hL/e+
COaWhULrRvk1oiDCgTFChvGf0BfK+3qOl/y6PsukHGarwb4sMgIOuv5edi5aSXUYIOYQevl9K439
ChCEL9G8NckZCF2GgY4AB8CNPL1eDFxTRpahuNTSkjcGPra1rSbqAudn5eCTPf67p+sGAja/hsJ3
UU/8N3sfifD4MzNUpDiZ+8vSpgWiD5y8JBO+K6WtHyRMs6WXbIH+9bHmMZsPCgXeHRh/C8rXu4i4
Rcf4dx7EsDk5EuRc3HnkXsz/xBuuiBQkGz295NJZlh8QCeFG3pZqxJb7ibqlJr489zqAzN6WOSsm
RsFxKPj0q1QgEvNjAv7gBqwyTzmK5klDq2G89hAIi525wBil3/TBPcOBHCwkStexp2UuBYOtYuZn
20m8U0hVYx9kY7RRkegFP/ynXNw2NU/Y/fbdeRN/5idY4XWfwEP4nEo09OqY41JBYWpaW5zo7yy2
uO8hBoMZIozpM6kkSOw2OBQE7O2KU4XsR8D2vjPEIgoHyo/onCRHX1QIPD6ftmmKxqI/n2hnBVtO
syXDqtYlxQbGJWn1bFS1Oe46545ZNB9o71OssvoqUAEtYbOFrcmbR9+Mp67nsZ+YLNpivH3f0RHf
WdpNHBljncipfBGoK644RKJOjQ8h9vjEO1+AxaaMz3g8z9pNdbGOzz0DRgacEFJoa4iXPRgu6Jvc
GnLl2pIXieB550kMHwgY0c53gXAqePd3b6EUzKalalDxTinpKSTwNaLjsasbx5yzZFICID2LCmTR
7f0yEz//VJAO27xEsO9lADWg3SlZ+/w/N3m7tcy6z9p+ywahOjjRZn+YyGt882yRLT3ixGhdqdY4
+lPCPHkVz3uPL7icCL4tgUfiuRXD4BjDlGyekS62/zuS/GHzSbOUD+ME3FRMZlqtaXb8VTeUIKwq
7NRpDoWFYRpziKxEdHs1yCKGfYUCLdf4L6HqSgVL9VRB/BjJ7cj0rvgMT3VQML1RIqcONEJInlNZ
mkVx0ZLfIh3eLHm7DAVfaMxDn3nxMo5XWogXLVEoxz6iozIoDGd2gF+FLf0sx+keGFY8fQhaVx6K
f+fpp3s+3+9QdDvhOFimQIEeNkf7bmer72yGUx+2/ntIyCn3YCbRP8Fi4QqYEYFCVihXafeptCq7
8dCJuwTa3ZrP9MsrrgX1xZJDoEF70ffgSYLWaau+UeaKc2WH9MTrKRhi89auzpfDa8usif17/78n
da1gjg8uJt9NxRp1OqUf7Q9KVFIz6q4auR04EzWJT5LX8vwNVvfzQOPMny2ugmse4QeX6vYbXeIc
ZLy4QSPLmN6tG3mgwkN+rNPj43mr+KzyJlQZ3Vn9Q1FgZoLi8i55UnGHFHa1lD3yrTxqXW9En/M8
42oaSGshkmENk8JzTgKUHZX9FZsuET1FZE/uBE9P+PFgwHz5t7RC6QShMGa+90CN5fPAPLZH6qFl
tO5mqMTuYPjXwPvkx14ZbL44w+Be4aCzVF+1NcXL+AeWoH4k39A88ibwHd9I3u4hTtNlMoZykeId
rGQ+Gk0UX9NYoVbP1IUAAh4zFo+3CfXlIIGEuKNzXXiaH0bPF+BfcEosBHply1iz6eiDM9FENeYi
bExU1aUAoNlR2DN0bnP4YSmxl6trds6fWPvR1pwHZq+Pfh1wO4eNHN0Dxu+DDKY8GAzEXLmgs7ST
XN5zUFsBKavMrAhjD0kcc5XlXgWIvxcdXTF8y6WJWfcVEp0EamQmy4LrD8xhlwZVHX63VNn7S48k
GYWs4qZ6Jb5gYd/zwFZX7xSKedmiIf2n9PEoPq6g2r/6DfIYdUXtwivVJNM4wr3Z7ALnnHKmlpf2
Ym1baRRw+05mHNzDHtSdfMKMHqxjE3/31VAB8VlAxwoRt9Km1yVsrDMJ4TtB2c+ZcVahdoAJOw21
9Qe08ZKrK5uRrHFRal/j3b6aQtVOmgRCFQmfZ7W870LqOsiEqc00wMj5NZnvrnhCudbTj4ps0VNG
8ZiPS9/uX1sQ3VC+1hXsLBCxNPLDjhQgoPoYZbqSe7EmQA3m8/CCIn3pLt760Rh4i5iOiN2P0Are
b+7Lihw9GxuI2C9IxPjPbw9+Gzzqwsj1EXwrZ+zzPzbbSMi9WN/rtkTYRl5WWeIlCblzCDjDADKX
7EX7c2JU1/tEoOSQBSwKaApRZYICQTJe2faY04eeV9PS+N+27jSfjZB4ZH9BYhledCdLSBCYUrmU
1jchpiJsgOvS1JfrgBwvicjPk3jxyOlzjlM46RqPrF3b4Z1t35R5yFcxcjwRi9UpGqhzlsJIP+Up
mCnwIzaky6VyYmMEP3BLFNbZDrDe/8r6Eit+F+QJ7ZQOyjKmxoUSoqy7r12eiGuC6KXvlW31A4DK
gtjSnFT3V8JlqutWifOzli5ZlCaNsfVe4NZrJTCs0gniA4Sp/TCpfm7BwL/Y+/xlf6EtZ181Yb/4
B3a7NLhzWHUkheB/8rs20liB+RvR2TRByb/L2bTSDg6896TjLzx/2SuwBBIQ9K5zfg1umALZXZW0
JRTuKJrse1DTko/1sEs2TEX2zU8RlxbMGbQW577CXSskPYh6w0gnT8Xiyj/5tv/zRs54BHFre2R5
8g9Oafak/g6+WRdgTEfPflHWLa86ZouPRja5fbxnPePaiW7ZgwY+oLKDD22yIg805hcyL0JzX/pR
1/LS1xowjiWTG5yKjdmJKSftxs9LvOo5R8vzIThW4OJl7FugZVd4C2gJ5x+FtP8jHngzndHakcm1
9E4IApGEBEg3vEopEgeZ1C3kyC+X8tGCh3mLRFLER6UtTTa0QvvoLRbhYUmdTRdzygdlFG+pTIQt
62A24Bpeose8SZSW8wYu/TX9jmEoxW3exB7QGOU63YL3mhXnRwEEPTvFhP/m+04Wu5+pyaUgCWtc
ZHh/Qk/m1FWGS0H239jibEZPd831aygjbGIPa/9Rwrps4hEXVxqCGxfQMnRN9gWX3LUhgCH9Vl5V
cRTRDxDKTGlOsqGAQwjroMQUCF1P5Eu/DbiPdnOl3LAT0dMhiPHhD7nlURiY4YQ46x5YyVeRasEW
lbGzcEfacAw2uHPJulFLUYWw6CY2o27WPynV+2+H6kI2c2Lw5ge3krXZkb7eFPsUCVKP8PXfB4XN
JDllkehIE3MrotxoQ/K9MLSy2rMLvkm0sTG2YK0fptWuc/oLLqxs2Aobjc3Q+BmOR23DLngdGgV7
sNC2iNLnjMW22gz0dQDiaxMk4e2YLFc+/1KvH8JSxSpU9GYWoYpsJCo6lZUHmPTOKSZPYJw1XH2o
8YBPPFsLH8lRWGDn2WH9YV28tJhDtQ/21LbftXZdmLyTBY0XPNSUIxacbRR0HW5O8aSlI57WP8M6
CxuDlKWCgZe3h7jpx0tdwnMvRRCpBZJg4EjgBE0FnXPMaZ4mPuxxF283yN4P5bWxbX8ahRE6FfbF
RqvDbY01M6cCgYqesxupNBS7GwdKYQ4ojJDdWZeqI76vRot0l9Wb4TjwLIzm7iR1JH6m1jSb0uiG
tVq6rID7X5RS0FZdieUqI4c56bd/OerqL6LUv6nkP5ffoPDKa8ryh42mhW1te7SdyBnxkvGPb+hy
IEpyq7oORBS73aNQCtzFfPNikQlLEwdrfNWw4DFdAwRTyx5gi8kDEu6rG8QB8f59lg9qqgoFBbM7
kToeT11nLdgNG+vaCLfW6na6H4Cc05bvLgtdLnQEfIh0/71T4sgUOWtQp+cBgFNG/q8N1/HD13o+
3f+9eiDrcY62CkjgeZecgchfKam6qD4YMacWr+VtCNxEN4l41Bt5LYHkvgnrvODJK+DbeFVMRbJk
8DRW1l7EEKM0lWMS/k4vlqVWdgphqmcL6pld5/DITakn0PCcuHksBRhd3Ju/C+zk12kpLi62uLtK
EODNi9f+a27NDsjhM0ouC5dv+U8Gr58pMLA7NWTP4reSjxANbKsuS4HVdBmU9eHIJhXTkXlcIkMq
14fEnbGqovRaLC1q9bOylEckLRCXhPV4hkIY2jzL0+OaHkl41XbCGfkbx/v6f/Gtkw+R0QmOqzzq
X2lSh0Jyfdza7gKf55dyd7jqecUgV4NGLwvhsXoU+XxVbuiJV/o/C+1U6YcCXoncX5LVCwEgpKUh
iEjn3a1oCj2yZWQt1vtu6wvU9ZfEb4cKFtb33opbgWAJzZXUut7YDsvmm3MwFPW19S2kll8Hq9Cq
HqJFJIVt2KmDNfEDXYolqPtoEP4Zz7yjyH6Ec4UlGhkxRDjkQkw/nPH0slXU71PsxyCIgsCyb2sm
bxT43En/+cehKQQlv6qoMWh1nSfrbYstSRQYviB9euGs0QN0retGB3mZj/vORPOvmvJrXZI50wG2
knRMHm2TOeMv6B0sBnEUbxfFz7q82Q5aRTVLO13/v0DncEmjVIWhnYfilvRU1BhQY/vxHFMDFPnw
ULfz3WiaTBvyor+RacboGpVMHqLxAXTJX6ynXYV37urcFJRdjXUgfFl24vK2jrhsVzESQ2YXiuMz
D2HfhFtXFtwu9fiF18UbYeIYjlHBq0sxSp71jya/vjPDDvA/anrt8J1Jyu/UmV9Cijz3HSJNAswe
S2wtOoxkytBHeCrJcWQsB9DVuZHiajCZaJ34CaJfnFCl2JmzR7ivc6kRrM9uxn01eRkLkMHAXzmJ
GWsJqibG9prvj0vJzDMGF60UncVXi6MiS+nh3UUPLXDVOhY4c7G13ZE1sWHLm7ZPFdjfGd+9xfEQ
xgfR+7D3E6z8Fzn8w+Iffo3mkCPhjtk40gUD7YXkPRdc6cL7shQanDWUldx1ZTi8Aka/RRCZoY4l
NHek7ADEPXUEJ1W0YPUDuelF6OwJPMr45Fqld0M+MPcq1JtEUlekHvdoPDrHeGGmhaIF0M0+Sd0u
m8Hj0WwgBeGWtPsjA1J4fTPelajPcrt22kSJtHBWdXd/0HCRQVEPZTjjMW4onJZX0MLpn1rReYkh
Qd+zesAUOTb8W3/bnbynf/QBmAdaTUKKhTM2lZF0z5CEqIIHrdbzaIgPCTDrLxz1snFs3Jqcd9JX
07i8eDrArV/LVcf3pd2Sptf11yS42yAS5vvhmsIEet93jtIhDyJ62IMyQ4vCmCX4O87QGqapCWNe
yNMuM/5b1EoRbI29jMqSthjrGrBcz0DX2MOLauF7NmWQJj7BnSTVPnRHuKPkG4g5KG4JXWgl1VLy
zZ1dwjMTKRQo7h+eDJ2fwvS4P1H5RChv/CgRLoM1rb9BXTDmd0C7G1Cy+Iuy02qLXBGax1D9MJ4O
bJS6pHliksAxKL+G9/KWQiLa485M3+dMsa7EpbOJBKAYIq6AgHKOlVagCYkoROXst+GjMQx4VoaZ
X78ZmnogJ64LtGnbQv2g1rbGwWqQhGC2boIOluf9qrpyOJN6YC2WCrvPJNmcEvcbgXo39JGjlBPM
APr17ulJgGG/wRwEUZykbrZA+Ru6sW/uxZCzq4I3T4E6YtICl9sD9YLZV0rU1qV9vFSzfRG1cMg1
1t8/mANsIJMkGFr9WwDdwSLEbplkaz7p8Gt2t93TJV15QkhZZeQQaPtvlpow3utmv0CHqMnDsyhc
qp8GiY8OaRgw86nFVIDlLUlUoF0cW9lcmIZSdLzUo9FulsBOAfPuR2rVj9vRMNwYZITWdV/ofIFC
mDDZ99lL1Jbue0Ua+ojJRduML9DKxpA1RX1F/Tuj6yAl/A9mUHrHZ+ta9wSuW7UHCa2XHHqRaPpF
FVspLazILApSbH1IBJqv4wB+S/el8GzIhuJQaFXGbBF83XUh9xLLVZnyWo6vOfKTHINC9AMZrLyF
P5YghK4YEYISgyn6Dqt60ltSKnUCkAUTG+oCPFxWKSG+qxxGWfdFj9o4q26NC2s8ywybsEmufI9l
HLE49aic2d+Ce07zJxVh6cQjpehLxestxNJintA3+8c83Mcoj9hIuAUv7laUTcyS5i5VY7GHvtQz
UlXj8C6vltB4zHPSsMJIb85xPMsy4pRJ51QuquuL/tTRLSKaOpFZ4BwcTV+VIjM67mJzCASizktL
BZmc8AtmepiUC+rf7q4ccDNoEPmtlafNrWX2iYRIYGrye+gpUq7V0R5boQoOP0uDaGXYKGWUQwUU
KQv6pM11Y+kKH63HluZzrE9kQLsmd92vn4zOJziOOKmhCmmsZE1POK9yPoX1jcWlTRvZRN+prjny
t2FcD+2RIHh9X2aGIgnKF0SgtqcCAqiDQHE25JjwdIhvIuwGYsC3v8Eu3iDMtLYIe3XiNU1rA8hP
Q3tHWpIb7zwI+cLzKkC5iafbDHlSoptrUcBaOaMiRVqmHAmaZsAqXEV/y8u5+DsCUYNBklerfgjM
qwev9yWIkgOrGqTlQjoaqJ08hWWZtO5wpTIrMrY2qG8EXWtVixZRWMfn3meWi5/on+55MHhAxg+N
PJyuM2V3GHGpkEoKXxR6DWi6/2BzUZ4qirZ7EoYlP0iO5laJKPyY2PJUs3QmdCJK3HbuqkWwqaSN
F2YsPUCNYFbXt4wSkFXbaHS4ziUH+uQaj6W1siYPJPbhApXSiGH65zA/4D337Cy3C7iNJD7Jlu08
VUT3AMuq08KD+XEmCmfNaIe4VK4hBtzAjSYrJvqxRgnGmMZuexpojNue4IwAA521j7LWwShC2BCH
zSTXwqe4bSuq2/lHaBt6ZcY+Yzk8y17mHzJWcTX3G6BElWpq5AUAmpbjEW1tt6kxkFToX6MWhoIe
Y3Gf7HvmaL815GwoUKNwEoyzIVrBOMFxg0DNGvqe6bywnzJf4jcddXeR8GME+L5apygDFBk83CrF
Jr79lRiY2h0aMqYx7oXgd04h9UeR5NmVSIDjUTejeJW6L26jVdGzNffWr5/4NocIdpzNq061IWy9
l6pYrX9xDhuqrX+lbqN6Kp/hCktNywqtaQRqxfDC506jvf9ZuyyOhCBuKJy9wj2gPW2A/nZ3Np/O
s7XbLWbZBO9/+nLEdX4HOPzQKJFZbF0TDBagNweOhuR1h/B1BV8OiIV1eoJv2ZKS68V4pcZu8Rj6
9/LZTzaP+CwF5uY0hhIl/I2q5gdJ1csUGRCTwA0r5dk8+07f6wsox7J9/PHkKBmWb3CUtg0MwzEA
Te37p5UOK2C5e/evDWrmMZk+tA34kO5s3UMBqgc4roXWFB0zy9LpcBIgDrudF7Q+9y7ZoQgjMRFB
WBrByonOyghLsPZOCa96BjVezvEXRiN7qShFRxw3jdFDD+oE+SAIyxlK6tVNO0FsccKwB9aPZ7Qw
86H/T1GexJ/cjapUqa/XniBLvHAykhx/bUYZ9eJ9+com5eqtzhnWdEaLHLxt1plfLtNE5/XmfGeJ
MVERcbNCo4w4IQ0FzGQXy0mtRfgvB9Q8AMSIEyh8iWB8V3eWAfkRPo8/rxNsDV9LZQknUjN/OEXo
H+a4T85SVyGRTnsi6XsBTUBmC+cwPU8Q/4kpHpbGub33WR5RR5ML8IizVH1p3lcmCN4tlz1Pp26f
NQ7P9unIdUPrJ73EjQkUab3DAIMd0ppcExHWcDfVVIqJIc+rra9uWfZ41Rp3Z/VHwW0DIdMgSmoo
MKPBq1ycJpcrBoULcAaffT4E/rwnXwzj6d9Box8wPY2u+CjcWDgzQ5V80uJgjN4RZBYi5dn3iu59
LpqVabj1qbIZwd1CZwHmUGLAyC7Gmk2szd4jc9U7pcVCfi1xhrUEaLfBP7q5aDnnZEsOya1RU7c7
M3/iWW34GMMtf49ZqxQ2oJ0wwmOxgTOAooeR7G/dE6KTy8rms1rEi2yxQ0sUlRRJXRm96HNGMKdb
xuBX2Bo569GZkeDDBfRKuxEK1GlIch1qyW74pok3HkL7JoqujxC+9F81TmUR7PEShwFloV+X8PYl
3T1o1v8LC9V+4Cbe0/zvrZCGhthSd4mn7KYRjiX+MyfW5mn9lXAt3WUUFNd/f2TAcXERmgiuDioc
Vr/YDJCWsPH8Xpav5Ig2s6COz30TfF9qBbQ/zqG2rNcBUW90sw/HLycVQHUd9lSaAhLG6lTBqzvR
fQUHnUfCgJPvNFaTGvZn/jIE+W99+umUswBN6g18gdcwXSJTXlDD5MUzc+BhiF4NAjQQjXTlfQKJ
T0VcTbny5z6k4Sg2jfkAoyAhzy+JbNgaIxYhgWW9rNYuHwU74akuxLmYoQjpKmYSF3IJUvwhkPdG
hu/TSN1yDWXhQcwBjqBNE9vfSO3Iiy9XVoDlmoO2YUtcPCy5/8EIHDF0uPr3vO0yPJFg3geuE7HL
amFbntsMy6rlvbsAN+Fpz+sXwAMyUA2Hk1vQuXY8mNVCe7WN4Rok+ZAkkxkT8UxF1UKzgZirL89k
AB06kxrHon+RyL878o8KgGex7yU5dG+nGYwYDsmZkiZN5fbgI5cpMv/2R0L36KrOWW6TjgrO9R3V
yRCuM67ApeiNzmCro5emxho+faxoL/ISxeKwtomT+19m8LDyL/dn8F9nWnVsL0yiw/KBaCytLP0c
vwjNRbG6HFLPhV90sIey3u8vpJZcniDihxwD3NlJRiVPgTNoHhg8XqIhrpP5F4+1KfV24cfkyyF4
Mmu77MTLun2DDF6sp6H3xoKGYvy3lJUSXL8534/E3wbxLJSRjt85UGNL+jQoElN/yJ+Me78Tia4I
7lGQqbt/qsbk60Qs+aGwY5fVPd6IIM9Jq2k8bWL5/y3/a9d+vPzyankuWd0rfcFfVFTwpvCCcKMW
tvkrazmxLxGCVGmD5u952plcsLdyFHafOYULX3lM28yNuf+wSBjKiRgml8kZnkgxAj8iL7L3VqvD
HgK+zp8fZ3urMowVDB9rA8Q/BpvnLcGB09igjdrZacmjgZHAUEFELKEv6NDqmnnLYMXfs6RJhoXh
Dq7LU77nO0UryaqP12+Nan6L8DwUbH7SAV2xuiBdMLGxhFMQEfw+VXHpY3VbOWMCGRAU7Ti9Nw6X
D2itdnkehLUjglapU/G3jIn9tHyNnQH6rcTJXcVilDk9mlEwJrFpJ38/5pe9WCHimxCchlOdHD+o
B2omncKss1FEBbdx7bmUMToP9PevNszF9vXkBypYon+dZMU5VlmcJRjcDOjkQa0b+/ne/TXQ3k+t
3nz+MLamt0JobygZDI624al6HsLWUlYi1CESlkhQzu7A/hR8mn83ZOrH1X/VanUo9THRcLCbXrXH
gTV36uv7YbRsSKZD1OeFRUnWRp+SyscyyvR/Ue9xzg2WkHO8o0Q2H5gyVgMDREtSkqoWy9ZLZ5gN
GvehUyB+rkPKZQTSC89cHQkmAe4F3GTzVe6VosJZVndhFEEz7huUU7crpYhgoCTLWO4MqDAAvYME
XOGVae6KR/WoJhA8GLYbDN6qDMKjnfGQbhqeQNzSEmagXr0GH1kBrico5i5YfvZmXjDwLpd/8Pbj
Lu5kiEBIkiaRhUWonVKpNUZ35OzQl5YL9kRfR3877Q/2VaBobSUoagZEqofhykXJ+2XwaWWRBjME
orPrsHo5mINe4MIDwUD9wUdcmA9HRYDm8LZXhBiyrH8LjEfl24QhaWKgflR0qk6HlfxEoTMO+avn
exFJScyIkOoheLc/mE5uf02Phr2U417TYeAXun+MtxLRW28orwa2CgW5wOzZTakGFYsvgbUS+gIT
qsUrwKOZ1xij5M8MSD8LCLSwhiWf0f0Opf01MZHyvBSA3Me3meumttKQ7m6xH4x65tfwp0Vex+wS
BoU6v+vejJrIkmOPYkvEjSYxE7jeKuk+TWlBnP4Eig3be7BPK/KEzl1Sls8ubCQnIxxplxnjXZtb
aAXgeXbT5Rdq9KfWQf40T5laycGF85qaMx5QtP6BACoR57rwR3QxP/FVY8TeOATCTkNrDZFYe8Bb
KxCXQ6yfSPT68qLJPp0YB5+GdwmkKjNI4Ho8H4+QaIqpygjwLgI/2VYye3ouoHE2MGaKnwfnsgiL
gWsBHN3lwxkCmzhQ2CuuzpbchUiRrDwd2siZ6M9zBB58/ZAaGAf/QPVyxqadSw8X15o4oiv7wETK
QTLGJaa6SooT/Ds4s2/Bi5P+ybW+NKNBH5B6Y2puOCXoLLx7WWlCHcIbVfI906bIcOJkSTBxLsWw
CNtXbYeQkOycyHgX/gWfS9nV187WdEBC4BN3hd1MDmN2A56oljnhZvm0IXyiU5Dv1RxfFTJrVrrv
MgAsow0dYsGNe9d1rEMrkFTSpjaXJ4nMJdK5zeRIAe0UM4K+CBQrgh/NztXF47hjB6wJUAWpOxeG
ZXhimSEZS8mVRMssWpnEZrOIHh2LjQkdtdpMmIM+z3/1stDBBJ6It0i9X5XB1tapwCs8gkcl2Aod
3U4Hw74TRPqreXXfhRrWYOAPH300Jiu/juisk3NzIZs0tWucXmMdzxmR1aIlKrR9cSWbb0mfoXG2
qf2ONe+x6/WhoEfN7zbiifB0rUfOnzZe82mYfxPS1CyM42LKVk49Taho0GXaqab/y2jEAy7y4mT8
oNF+b4UB097X7HqsZW33VxU0jiA5KRdL/dhtbuSAp6fY/ge3fS3CMHNv1YGgO+UozvZstQ7hx2vH
7ITZF2AvZ9vbI3EXo20/ubzSMpBaSspWyKhO5bPjRcjocKga11hM1Zau248w+NrLNtyE6Y8msNvW
Ltj/ZmC8gmrIwmSrGBQ9zI44UYQF5BxthHPY1cSesFwJyqgQjMtUbo8+zkiAvUD5bPbJQ3ibfGmz
6B2ZYjyIV1VtB+feUG19ZHBhnfjZCItacH8nSfCD1FYMRRV/0gwUyS7ouKh+jLLo4oJhTCDVR9KB
sWfrEXkXAOA4+x+yrNFjtYCyCqEvN4C06gBIAwtU4LjIVt6gtgrhgEpg/9dT6T5KpHmQteBqBcfY
oZDKgBzRUP9JSQynxQ8le7AbgwzewchqFDY+3cVocspWlA3DohRljOfji/Ba+gxf0UnZ00LtwJTj
8tYh+b/6ghJqfVMo3Kmx8rBkI7QnjjATefWJT7zlPSBZLuP6Xr2wuqoFITyZxXJ+m6zahVMSu5KI
XI2JoGjlmuED8Wp3TgfPKy0nfFhv5beAjXDPfZELL76/fcfnPG+jNfIXBslvWpOMkdmdHyOKi1wv
dR3On5XLtz/sgoTkRWCYsnSxigMIs2tPCb/zdBrNrHt/8QegRfFTBXYqZHRnMEEUO8SHwiZ7Y6IV
tZAyh9b+l2nXcVZoCVc0mPgQ7OhXyFzp/I5E3mRBwPuU0/+a4WkGIt0zkdX7czVczg475szerLrX
fifG1w6mLL1LrPV33q5IvG8w2uNU285O8hA6m6IebOd04B90W5G+5Majd/LAeQXGLAJXDUJGoea+
VsE974vTjKXWpgU2n+pGhvwyl1cdiP/IycfiFy3d30tbfEuj32rhEecWkc3pMLyDWwAMJnm2Lr8P
pOOvSJLSAsvq0msUBeOn7KsNKFx8h09vRLnA3OZMDjro0kGgfy1bYmgYp6Dlbvop+1wfc/cFCmRU
SETMtb6eaarQk8QnVzgdE2ipmGYcJU8jG3vRasHkuXH4lx6jEvfNNfofPwLHtWA444DBmCRjGToV
yNyrAQ+pmS1kvUr7Jm5biN7WqcHRppoxh1VYPOhDMixOgtuRmgIMmQ7CvZnGQttnUWjnr64jXiNc
AbiR0q1xjVuRevm5qCKSmb/2Tm6SuXrwtZvy7YfS+9MoH0+IlXUG8LGm1bMXXTMLfw7GH+wsu0rL
EtVZFsOBl0jnx+JwaT0if4gjRX6BbD3uq2m6JoO+7JioOEt/lGLZvyYrUia8de6j1rr3DM9AoEa7
MkAT/hLzkfKU1FTIzz25FxCv3qy7xo9LwutY7Ab12s4szFZwyVeltud2CJ/MT+PTzJCUrgIgd33s
5M3hO8hT2nWLvdcFBXaEZUJmFdN7WTCPPUJT3zyd/61necf0ueaAjx2bCkC/HK5CiaL2yZy6lZX5
eyoSIiGyfFOnamxez0aabDEj7SgxLlPoNehelMhF20ALSmPzc3qQSJp5k8iLkXbsTz3f/MgMStLT
UuZWxQ7s4gZrbH6hQpkG45QA/KBy/m46zsosLe6J/APVcMkeUNqJ3abRDmDr5MyXDrEMnFQYhmu5
VAKUpr7dz+iN0ofwl+5KEmwkYksHD+mXL1zAbrKWRq+hOhLiI9aM0/nCSZPhn/qxyH0H6MGFsPpM
MXpMB3QGO72xvfV4vXjgQLD1PyCHMWEX5bkN9NJE1SRDjiuEbZ6VMTSxQwG4wFOXWmzF+4ZP7062
3t4dOpvgKV8JYGXaN6BjS9qJutBF2F+KXEP7LSSi+PjN5X7afRn/+oI8Y9K5Lx8J2fmhnO3g4BHi
xqeVxjnLaJYsLTh0a+7h370xOVnyhmhjeTtqWZi8+3yQ70OodBUZ1GpTqq5FkgCOV5v0Bbb6jXk6
S+hbbywhtYDNaGSJadbgnFg1VeiLoSR3dfVd3hRVF3uobH3wLVZb9HHiwZwk3JvmBbwlWG5zNivb
/zBrd7cxqEA2vnmDuxSPv+mDGXwdw7YZZ9mci25t12rKaRNtkIgU+HcZOGbLSNEwGB3RRIKh4KYF
UkH8ya26hHtYMWBK/RikePrnfpVfCXBsOnxD/LfHhghCngOcHcoqwpj03UXz2IHwiSmaFH4BDjBm
WERDW/3PRZkDgHoiSWUvhcB7k4/LWPs4XLx7HYUoqfZtA3KbctBXYT3kver4ZyURIJO/kIGh4oNd
R4h3awJQewpYx6Qha3qqXpthjiQ2JJaPQbJhzSGW//IQac3DnbNFbncNxb7AhuzLnQ2/pG6ooxfA
8BmeMhc8wp4p87gvfMCbRYAWs9Svjn8c5ampEjJBoRoTT0Q20wSYCG8L5+TaGO6jI4wjdjstOycI
FzGLd+SoK2fnKScUSUEQB1K1zyF6A+gB65SHJ9yP53jWoZpDjUPkIvEhSgCjxtJbA6UcOhXJukw3
mswiskGQughOIjciViHQGJGScrd7QXoTpTBO3l1sxZmDkhFuAHe4qGyMYv1W6zACl0TM9v5XRsLe
pWqw1fO5IKuCD7RKM1jm+eXFjf/PMd+nvvJIIivMJQya6S5Y5+eFHmptiNjy2crvjO8VHxSvrVCM
NXvntFIx/yNbLpBKMcatoqoHhg0gHuUwBAu2UIpw4Nmj+743Wgx4flhfo3dXgod41ZvPdYsB0eRv
Z2IPZOxdx41HLSl9lhAC/W0j21l4e8fkPFo9GnceysQx4GHn5iyM+nYiO0kcRwZMfNt7mX++5nau
af7zMHtZJxCQwexPthxR0m8VSZcON0oBowgzPJjAsfw/4gZrS6tiGIBkO00Ks7izLzIBlCXcHJHX
eUO8eBoIY6KQE0pkjVl+QlkEYd0CdoN3yiStjz6+tBMaWOHkGx9GWzeCq9h4NEjXGgSIWAcwhTd/
YEIeyHjxSY07xqiq/Yi8ku4d9VVlRC+reg3ZisLE7BOoy2m8F6p+DyNU9X8U4Kd6cGdDzPWCcwv4
9Dpnd6d90Xkl+0aZBGGhQtq+CvO21EWLSQd5lnSXrptZefG+XgGLqH/qxBi8LRA8HHWhU6VYKDMH
NnRAwDnZMWqQAMFxCqEEgJ8HZYMQYBnimhmvQbjHp7eLeYV6gUey2m6FwSO/81JMlS8YDKQ+nHHO
ZlJNeL7qcQbP2eNzQYuph9ZPy0Z7ZRDZqkgAmSHcomce5JbCovQi35Vm75gj1cV4/vN5oellGmnI
r6yW8Ev4P/CaE9bMi8YbNh0wmmCbbxM7MwIEXocX9STmsbEaR8zXS56FdOROsqAv0TllzZo1SbAZ
f+IYyOheAOFAe51KbnhU+u1eSGWNDWlQERIxGzqOjvCybjeP0YQqxg5TD+YWxYaSi/3dAm28f9IP
TA+weZMzQtd7n5xXliwc56dcOEAyymiRPm+a7e5CrZr2HmbUZtvX4x+BaZcLMTBLCCVCj4t40NiB
9A8A6CchUkXeLA1BsH9DPBdL0qHVbQSn2hUB7FUCOE5FWn8Hhl5ridqCI8FzTtEDnqGu6VijBoap
cugM9qx3VolZGBOV7Zbf5szBF4e2BkC+ddXLq2FwWDN9YJSDEk+SbYz7sfr/65WLkV6hu9LHzkpX
e+juDHZrz/9sNAzW7eAO3cTBhaRpv9uOYt7bi1/iJBwAfZYFnfr9bjjRoHmGChT6S3g5lBfY1aLP
9rrXxWX97myfyX/FGEhAY5aAkB17dJv0I8dN0UO1gfmvOyRzHzq4RhLXw+RhsU1NJH046RskZS58
X1rxRTVMKWtHUjDISWzb47evCFkKMYoK9BntO57dI7346IJKz6NWXWEu93J+z2UbfgcxfNziQ17r
yBA37TGpy4y6fWjor3pOlIQDPVMOIQbLqGhZjAtrcEqbIO6L4yoijV66RtXvW7+NYNYFNmOQMkx2
eGbw5vGYJr6mEHOQSm460MYaFCgX4UQoIKSRqa5lp2mK1KPnOvrUrlJuDazfMBOzzEF5GAwlXXex
CzF0QbDMxi9IEp1tcnzcXaPwd6rRb1QQs1FCGjEE/LdkeORTk/IK8a84pAzQcMnyCfs6AYUSuo/Y
tDqC9rnQRkHmrvXTZKtxF0gtRGgEaJYM16PnNXxJoBOrrpgPHRQMXkoAa51LI6JeufnuWxlKVU0h
daB6F1fb19UkUexw9kwI1bRkQRrUXYP5M0JoyCt+h+NALx7zZO9xRdka6c/+qtUY13BKMuGL80oH
2bbqgXWt1rZ6qtYajCUDxMWuCi7TGfypEyFoM2EEP7vUNzwlqx94U0AAhiVkAjawBvVltgd5dVTo
y3kxeMeny+/z0XpRIxg0vY/h8sPIhR4WkLNnBQj31mBd7l/EEjcw4boICSFLJOous0GwvO3j99S1
i1Of0HwA35mF9/N0IjEQ/s6fQ1YPk7Fy2m6mO4xmfhZ6U9EmaZQKPpIcaLcVk+pTgsBGLp7i390j
4FVDy3LjHZmao5CtCsyBtOP9BqsS340bGVYT2eermLpt0Bw8dNBpyoPgFmSxHXG2xz/ZpbwMDsBQ
ayN94d4aBbkcwIOBL1QziOzWo5ktyyGOUAj4KLKKZzC1Jo6ER2EBNFlBZMmenoo41d6aJHx0X1UW
t5P/um4Go6L0N0hCftjzH2aYKSqE43YNm8LvTzWFZcbIi5C39Y3azO1YPdPGo253JH7OKChtgIDL
lb649a8GGOfNj4NSCwiqQqw/6M6943RPJAum6TWNh13nc7mv6TkTAuH2MVwO0v4BYXjjgO1Jm4MK
3VXQ7fnSSGOWgxbD/ms90V/hfYN2LXB8V5N/I02Aj1yc0I9OCJinElFjRt6lM5hn9F0MxpUoQd7y
mI7l0ymacmo33fye38YoEDIGWW7ood5/ZsSSTRh9Wicfxsw1lK/eQlV6FRHDMunhZLTfITAXnl9X
L3rYjQWf5kCw6sNtRBSrrAcmhPShIdImyGNYZbnZumVyVV39fCJVKXRR0PHucyFXDdfs9yaPdCNX
6F9Kst9Zid4m2c0zl6a0Cni0v0r8p2dK9bTNGN+RC6F0NdioBqMj3QpoMPIDseQtcaI3QNNC/ChZ
DpuD39BnTNd9bshO9XVsX3Dtsk8n4Vs6i9/yCYnGa+a7p6kGUpThkiFmIyjt2L+WvMwsfVMGBA08
8eojlHyfQIjYuqpCUqI/ETZqbzOmlYu7zvQtO7686w95iXBI1eZNq8cVkuAXMc0z3syRrGXT80bh
OQGuAaEPg2cJHkpOT8wh1CuDYcMPM2K4SV1mdA4Y0kLmbO2rvF7u+y4rby48x+P7EFyHdw9usFuX
cgnGg1xcRUmH0ibFonCFhhmuZqt0uD9LaPyULHRzIIhYpL+L6+y4RT+EdJxYtoHUZDVD+S4FicFA
U4havIyoujguKGkhP8av7bujg4rzuRxuIrEQeCU00JpdlsNoEmr2vELXL1fLaC4HvJxH29D/oJNX
7AMM5y6MS33dXOl37ZYBu315xiACHYq9b6l5hW/dfXJTeiyT0whfe4ro1MHbPcirQuXoK3MqLbT8
0It+cdwgXx/V0tOIBFv1BCtEvbssIdo29oZ9DUXeDFy5LZlbJH2BPloz/v3iWET27sB0ZrvtYJsk
L2R7XDNXfPcP+vuqjUtCc4AXIjjA/EYNUca/q3K2LCl/yN6QmSAHvcosI4mc3JmBg/sNvel/0vnN
ju/pO0DmlMg+Rt4nsKg9bgHePbG2DdZ96m3smc1GDTIJQkN0gH9XJ7mYqH2EOaNJ4Pcr4yL+39Mp
aMG8VfYTZVf2q4EM0OMjZTIhjzi40ebfVYoK7XEhMPoAhWyr3dBCQcaCgue6miX0/GCfEXggb7sG
JNZeOiVrf298rhDchOTpSD5f2s2/bJw6YpuCJSTwCeztR8fVOdmJAuQEHIP5lIuf7h0+pIrbzJsE
XkArjMvXdlbIC3fEPW9RJnidTWcvjV+c5g4IdibdSzRlaZ76K08EW0LELSwTd+6YnEkZ16adv4jD
jzJzz5gei/l9aFNh4K2eGA1Zvwdfv4Lhjv+kBstHuE8MkWQZV4ZuFCoePHnXW8VVqLP9MGSgLq8e
fl2VXVcEMQvV6xoxxyTxv9u/Mm0fOdixDZU/6dR1METoKzIafu1wetfs/npfT32zW4wgCERn3PBW
By4rEnJ5bUcfhVyqlmHfvFHcJpeUqVYBdizkEacDXt6NDVeixkVRwAJ86s55YMinUfJSIWiHjrey
5t+rsYqU8GtEsEId+3742ubdWUF1BzM2hd8m4H+43FSESkYDo7cZKjl0UFP+04SSCKUiqlPwyWQh
ohwJpMzlHaPM5gqV0QYhvb5VbT8JZtAUrPdeY41vneBzUcipxJu4K1HKEW52AJIuvPB9wUlv+LVX
5KHetHhMPp/fTLM2NG2nVM7D6GjqYUE9OtNocpqUR7tqpx3gxDE9rr0fMFOPFqnQDa9oWqfm5Qiy
+l1nR8J+bVdGSyvLYafePjQOqnrefDUJL0B+3lhkX17r5l0GF8cOM5ShgEuTUUOIFDo6dHj/3i4a
n4Qe9pxK2fsoQzrVcAEomXoynkJ2PmN1klNE63HDIW6Ir3ZIMHXaDMbncDrHa9HCBZxx7HB48hNp
XVURb1CxBJyK6LCVbfPII7abumID7ZLYX4KEFe4Va79otlep/IF+xvuo/MZMQ//tz0s/Iusjj9+2
/0hlibd/QNfGazeQkQrbeWSbDeBuubrdc6lhU6UAGcny5dnZInjQ7MyMnjcGim6dz1LVVodfQOkp
iYN1HyqjpNPK1xi/gI50Q1UKf3uMSyrrEx9tT20wSJCQ+3sgp8PAtT83Qp8yxa4CweA8X954PRyj
SRrnM8dvwO6YtHR/d/G+1l6v9Ey+m/7ASRfQkb3nTG3hDK0FxgjxxCZtqd0J9y/mSQDlD2ikyRJB
C/jckhJl51m+wg1DdNDUkhA9kz4zEErbZdEoIhAwnIYSzx7sa/yyEDLwoErl1WKzoZNZwjcbvTx2
ROB5fcSpV52LMlCs7FM4XiOoflOmEmAn/CGLdtZKnX0Y/CkoQahbFTdXvfRLMqN/pJvrht6tMsPq
yqKlpSoGs042zGnglxXg+OGnEnTu3zfyyxJvvFFsC3//QLe4JEO1U8cDseoobMU4wRfHroqzqRuo
JquaEotGQ9aci0Vm9Kdcth0SekryqtR+ZJXNy+EYxDpts1qLx5h+AxxC8rwZ73XzUEilnEs1z0hE
CohWBMIy0Ic5s37xGcQVQ0PlE9IRmy7CF7Uruv/7Gi9iIb5TLnN8rOstBS3EIqTVWlr8ZL67M58p
5ljTXdv1J4Ja0R6yJGgPm1esfQJ82MwmmLEqrovMwI3V92UhgCGIR+yVjzF182tsJdBjWSjMMlBd
oN2uy9wRj7jffwMA6tQ0gBbcYoXcZQcU0cYN4meAIGYYbu7FwhQR3mNZncfaOXO/EcgvEHOkTPGI
FkTbgQv/xeAu4sQynuHOaRTNSjKrXo5lOUst6aBNCHGCbE1yb7E6IxeOVEoMaK8vX5yfeteLbw/A
MCqt+XY/zOdA+Cfbr3oNuIdkp+7okR5YlNaPV10kPVHef7Ar7nlBI2k/lc0P7NHsYtZdwfI6u5kG
Vkajt9OL6Vr+WlRJUtSnazXzv8P0SmhppUz8EXZleyiEOPNiJs4csfwOHwtbB6MQRV81bZskfJOX
rAUkMuXzfCCkG9FgfpSitDhIMQy4mi19q2Ckx7UMPuttkTU0/7RRijiQ4k1NaqP6tuDvgVHrzGZZ
/Gq9sBBqvv+2eFdHH+hE2xqJI8Nleq9bd4+DafP8L4RDlZ5lulRjIzywgOAxgvjZh8FODuJfeBUp
jc+T3gIyR6Xb/4T9PwMLoisrd5gFNopbgj0rUGPd6I5PEPxn55RNFECosObR5k22tAFgQBr6RR1Q
co3+H0b5evLu2k8qIk4hBDjPZ4KyzP87R936mEIIvFmr+8WGXdmMw3HoRWrTSS6dTonAI6Xjfaq4
6t/RKl4PuXzw6bDut4bNYaBajn7ZnqgUMtnRmu72Tu6PkIw/Q5CibL0xL4awJbVk9X9piu0JQvMd
Tgb3Lzca6B5U/lBVcHtUT/5Gp3P61fZqPh9Hx8lb/u5Vwbbv5grT+dBY/9mAlebB/vpj+RH0TJSr
rCqEtiNljFOfKit7Ggp1i+4sPfl1hqtNsGu1zqzCVD7VQbc64GyKcItYodin63/6vLgrkXuXvbQ1
GEfHQ9K0ev/xVxFna9u60StN0Jo52ljqPI9QLLG3qVB75t2TTSeESyc4OaAoj/YNslRo4z1dn8DB
HaMqECcItNXxeno3Uhp4oMRa6lAXbNvv/GQiZo1pfYDfhQT2lurIo837UxiqiJPHex5A8UsU3wVR
E2B8Kryl9jitAw0Dn4L3PNtgTSZM9mmFx1hhsXqmVrrSc71k+54W36HYVFP/Gpk2714kWvKfOHdb
mUMh3pxWhhFwyx0C1CQEe1iqn5I/CkOMfwrcRFTi/WV9dii/7HkWfw2UL7FFfUZNhor6VO2RllUK
EHDCqsEyH7BgAgqSLnxQrPWY4TbkpG5BVvS+56+JEcj2xstmiNEjXw86pW1+bP98LMkOg/E64DzM
wTYQh/PLuK++IyQgBLqRNdi2rMWeEvsC0ck53ZnSP8ryXwM6R9r6PcPhP8zEnHTmCOLGxTmWtlob
VUG+va5c1VvRGPeA9gppEFxqMTsd5NIysUTgY5v0N2xwdaWBsHKUw3dma/o62hHYjz6G0c766Poa
ZAwI71MwcdVogu8WMgJWjRpvF07pb9F/pkEVFQ4z5+/Rwm5Mc840kgDrUKtQ97O5Bpl8n6YQRMRR
+EpElgdd0jKa/w8dL+tBIVeFjtwUBi3wrvilnIsEmCerh12JLsQNTYd+Cb+s/5K9NGacT6pmJzYm
rc07/czzJldIHW8nUFXCtgORYl6CKHK5/hm2+BeNJp/1TzaATd0R3trzzhBDSwbq7rPUJcwbZVj4
eseVUAgQ+GXuvEfW6OdIlX9klXUFPoaTbnmlo+VjV2+wNNdc97/bWM1CEVPshrkUNyKQoB/saWD3
D9C2vRmUZGF46UmnYP1CHLCVZDI10v8UXtFZYR42Dm3a5A70PrAKPABm2cFxEjG6a0Cf6pGnvjCb
geTdLdpeAmSTavsdrOEWY1ayJ9iqC/5SxOKLOswJjsZalac5hlydcwmfkXY0DpU6osofhDbMsTZ2
LDtC528bbQFRQ4dKll2L7oLUbbJ97Qi0WEWVOt6ipqxmRBMASi0JzrDkwEM/oDxEm1CuxGKUL23m
oECBbCGoDG6CI2GNmOVcvX3Gx3GGmelX+b7fzKfGr4z5KdUXfxl3Wt1gBAoexPeavKVD/K+ZyM08
7jbKD+x3R+KVa8pMndGTjC/ffwg/ggMEMngqsINpaiOpM7uR7aZ88sGzZpTiTW5uNbRXf39MZPtg
vbZbbQy1og/A8XfHqn6POesncnch+1EP+g75TycWjcAjCaWtqFwWEa4HHVyzg8QIZruo1PUNtd45
kWVpMi/Rc5I4egE4UsCtpPqVhqIjZ9hDLDtMQajUIiqPJJbGnxY7i+G0CrXu6UDiRs5CKkEd6YFG
v1Q400NErk4JZwwRrl2E83L9aggfOoCOgMl9kwrnRnxvSOUe/Wg5fTnYXMYZqw07eSG0u5IpZhL8
vnoITosg56WLCr8h1Wfnb2ySmZZu5E+y2LpT9vLuTl7yxoQQrwaMsm6Bl9P6NQoAAs+dKTFbFfT1
LXT4Cj0tjH+nzDMSb4tKIEGa+p5rnFmPwipFHM/e/Dkjhsz1dcaP4+RpKDqeTBG0V4GSFtOJkmZs
mrCxmul7iJN8AgRKzqjrPa5MiONhzrWpbT/G2XpK4Ei9Z8QOpHdBYjG7HqSo67/BEK3e9qMDpyCo
forcdzrm6M+XFbkSKl0OPMCgFWOXExNlEVomTKT/jNajZ7javeFsCKyF5h6YgOQfopd+p5rbme1h
7dObR5y1d+sApY6N2CREpJFWQJSJVwd4+Nik6OC/8MB60KrRnpDNH9QLvu6XIiQL3pfMf43KAazE
/Ys9AjPYRsaXVPgEErv4PEndWIrPCIbhCvEmw/ifs82wI/+n3LxLLZMCwV83oRUiRhWC+iXK4Ucs
/YXEt9n/OMBiiV82HeOZpsUbXp9JLWpgE/TCGf1ZwPMuWr38reHXrZ5MCqOrqTQvH8FJM1WGuNrv
wPI6C2GHE9Z2Y7o1JYHa6CVHBi4IloaxTfX6oSl34TZFQRyIvKwiRqK5yaq3jLHvEzNxBdvKtU5V
4QT9O0U60OEqyYvqgdMvSJ0TERFoQ8OQzebpXqTR636MvMQeNyI/WWWAePKJNb9FVExwcpsYMk4V
EwXXT1mkDuRSJZRyeZCFwU/zvrpU7A9m9IczluzGuPrPLWJx1x9285A3+cG/Iup5y+l6tyJeSDZk
HNiin/jzP+MhfKR3Lgy23qp5pRXtjD4P9LNXFHjz+CHxUk7eWpqyNzG6diElN+DSzwzxCvfYaLhM
hWN5D/ud9Wyz9vcauFALm36HI+8WaNTdpg6/gS+KtvQAHIPDqX+/5OnghS8SDyR7uVC0NCbJP/fY
J13eyL2nlWlrKu6a0zvX4e6A5IE0lk/LkYoucyi3yhsH0tBZhXDlrmZWKVHixYdbVRpo+9vxZEfT
/XFaGMA1nLP1o81+ydX0k5hzOa2lQcAf5LSP7LjBAtMzHd+DRXUwfpDuXZGbXQE8rPh7ReCojcbg
rgaazX+NdEFpO/+1nZIfH9F7iYZjZCfuntBK34bP8SwVwX02Ep5AmbFmbBDyYmh/qDZbiEhlYsed
tG55JqHbnUslj246zMD32RVAzHigvtPtBoBfccX/AdCNYJm+1s62s1NPH7PoB+6LCNr4nCN81cPg
0tZTtDwZNWsBjwxGUTuMlogTHzpDcgozpqtmvCz180HMdCxnWWdV+HjTXiYVj4po6y9DSzT1HYEJ
5voDeh1QglmD6f94F6h4XRwpO1IOHYc64FqHYkXb0b59cYwuqAq6+Piu9tjLBuA1UVOOSb1e9DOP
j8xK5A4+AGicedJQKq8q5lsUyOb5km91dCwQk+RpzMGxRA2nFJNHZkMAIKR36RExaHH/vnLoCm+g
W7dWGhYx2m5A3DVD6ts56LpTlAuO8rAOahii5r9umPdgl5jEQ6jDq4bO7YuAhcVEl/2s6LA18QvN
lgTYHpXM0umIafdDMzJfgpPLVLzB+rcn40iNav2TOugJoE/hPnwACKWamcfSK4/dhjsoXKcnbCaK
0uqfRSQs4jAI8YMtDK14CNmQcdV3S0XrWV6hFMkaWYxZPfA7mFPlhNnB5mUrlzNZvy5laUwXCHjh
eavYG3kZBy4nHXzwIPoFt3MbnSYfJasI/rAtZTsS7JtHn2S3TL4WWCxYW3eheZTxZJkDPlO1V+62
qZf1CmJloen4GjulTz1r5AKAKL+wRefunKNM2Ds1xTqRl+xeo1yWdfqshI998Z2gSuXXQE66vvDz
szV2zrdoHuo6Do9IblwR9UcsPAfCGHIIaD5Q77JDoeixv40ONx7ux19NF2zapdkrsFD4Zzs03nJr
rLiyVeimScrN2CJNr/u49Cd/GB18kVMr3FNy8Dc5QA4JAelBxiWf9A96+js+jVuD3KQb1Fl15PLI
tQ1TQhG/swrIH+gavT3VAiY2Nb2UKLoO2sM4h4Ygjjf962Q+vfDIkoc0xOxQvhTReOIAOhtGFgBg
cm2FbSZ9eK7F6GUeVakdl61a8SM1IdJup9oDWnDaGDK429jfGabIq13h6E8gxVsjVnsn9X3iNef8
m9uQSV/7hWsRHnGex5+1tc/ZSV2LOxTkHYIzH3GtxTbSyHsRzKJZV8p11WdRwf2NRbtmEOvGACS+
f2Jv7iE1QCkQfTORRKlyfLkp+WSwOE4TXb0PMiomFnlqj3q2s4HQ263VOVxBvbMbF2Q+KDnXSL8M
hfIuxronuG5AqY01nebQphvNF0Vi6wwfJdQV3DBVDI/g7VSFqC4BKXOUVgnlzRDg6Gg8hUE4DNw6
GjF/9Zo85WzJQZuK+1mh9Bc9JCXVG2Xv2Pg6AM4BTDtY1uYG2kWfQWVM16tL4KuHo/43jcKc5HIu
5bq+eJpI7On3Eqis6rohuIbGuws3pgo8Xx7VW4iad9UzksXeCHZzhoDuhy4XTmEpZqocnjivCJ0G
9IAw1z+LZEaYNpobKBSJuDq79/ADB4ZtYnXKDC4TemI1enbdcM2hiMGl0eh/WDaZc8dJlk7BdzVx
ka5WH+Y9TG+/EhetqlYUlOulyCdxOvAgg/kjtTeh8tGijo/eRbIxMQISE0HwNtg39S5K4Y3vPdAq
ZMwB/yn5SEs1yIOGxKCljebF4HWSOL5yeMUB1+05AgIDoswiVGDexxQZ3u07f1X8nH8do0LNqEAc
6cGunJI8OfYapTN719hIqf5WdiaRmyUWS2QeG5F9S1HaV0yMcfvyqmiRtDycxeSHFR0xzy+EwIA2
afJDQzg3tyidBlqjfvxcRNM2PAX4Cowh83xHGrzk3htSEX+pzOiVhMzHkl0pfx7ofXANTuwpUmw2
P+Kh9pHzjbK/t06Ri518/XV768lpmPr6MXFL6W2TrDjWXljZbevK0JjwepYnCHCBRFFaVj888dIn
6leMwvoGp6ZNtBiOyNdWl/gVOEuUdvpcDnJ+Xv8lYpnansAfZuhixmC0x1mrSS735DvVjLaIfl+6
l+Nroc4XYfRDszOhQ18rhNEt+IkY3I5z/uvJCJom/B5rNxc1z2UJwJ1JeXgh3X4VueIxwBx7dkK6
HahCCZ7enycb99Z8Di7AWtRaYrtcYpWsIS7c5HVQ+l3kQwhJR48z34ZbXcLHakt+ixfGwN1DmkgM
hq19uv0i6DQBvKzsu8F/pW0pc29kIxsvE80vUfbXoXRxOxvosO14ArHf57wR+/PJqb1fNr/aW3CT
NKcmBXlCbJAlfA1UZO94TArkrKg1A103qElArMdw1v6ABiAV3Hw086NU3xJDzmMThfhXjJrgIx0D
qH4H9ugLIQqU+HQDSbznlQ+pR/ZwJSC/cwBhJRHbmnttIV0KXhZIex4JG3QohqyC31kh+fogjr1O
GsJ/8iZPi2/ZzmAKIZ68X/LZSCaAJSSowlvLLp12org8DKa8Id6Qa2fHNYh4eAtjx4sutZgbbBoX
jw7lRaW6X8wgkFwJm9b2beZeem3sSIA+RUqTCps4opOrFdsPHpJ4EtAmnGroYBziasee5pufupDx
j3ypgf4CixasItM1cw2qIAk4Ug65ViHLHdAbT+bsJNG3mz6fhdQNbK3rKj/OP9nmrwzJ1I/+5Kza
rAAfeUpWxIXLfT7JeDA5BUuaerpbJvJfqoqCATjdzX0psm7IzDx+XOUAmV9b22pgr5DxsC9OcUG9
T5C+HS0tU6veD3UAe1kp9h7HXSSNNx41LuwUmuUkpDn5ozrLxwPWRYHmq2pLUECe1dd0UiNGNUJ7
lwU3CQDW670+k+KsSN9MwnnIuQexjYMdyaO4KV2SxNjTu9bc+djfaOnTC8/z33qzxWP6SibgfZXW
jtysk23GKYUXEqPyNAfO9IraYvzOwxs8dUr/3/iSZHKpGlkpqcFeWIxs6ZlYQJq6G9qoitKaKw65
NyCg+EnkNzyh9n6Dj3O8cbZrxG2fZ3c7W+Se6UQQwfNeT+v+H0AMzJi0AGLzLPvXd+tNonbtaMPR
zf9TkglrkQQ0kfoNudb5c7IQK8argoKgBotmnl6DwgaSZp4k1mGC4y0OTF3lN4PAbqpv+ZLZyeE4
H9QO0NV1sgdG6BbuGNfTs2zoJSVBZAVjhEyyPq5sf6P/i57JPmWZNOmAMQEATaeXoH3nHnvJu70K
DWAEsAdZDW0y1n5v8kKkpqyiQ8bRUXZ4HYzeD7QEsZnt/tcE4QyeLz6A86jkd1aVDUSlaYcds2sh
Aq+YvIcMGMvGU88Bc/y45P6wo7phXE0d48FwwFFidozm2CcEEa0cn32itBpHHdG/CYFMT/kOYIug
KhKbh/Ujf5G1KcXbmD9pXOB68ZoYqZkchlHWb6oDCFGjiaU9TjMHI2CTsV77TKm9ED2Lkv2rx+zT
Z7QA8TpS9tT8MwQuyoAg+5dv2vi1ws+d8FV2iiAHlCVUWAtv7YsXiSKIVnejOw2tSKoTHORoR6p0
o5lrpXSa1KZ3HeqjlYa7B85Y5l2LGN6MrAi61JdR4W8kzgctGCO97LlypLoe4Ty/rEhxmePp3pAm
JbtvzBGy/rpom7Cu48ArLQdqnnl7aqrO+iU3BskCcbGXfn0/CWrYtOrlXXI+OfLWNrs1mAD+IIy8
Ltl7KY9b5vxJi1ojT3bR6wZn3LkfNbGdn+Ec1uCPBHGUWvORF3bUXBMjClZvLyjscTI/9YGeGvNH
kdEPcNqVzQPenyRnXrxG64X47kmzyDC9RK74qOQ3A+KbxbeVh/i6TuN0ztZvrZwIOvdRtVOwhI7Z
XD2PLWRQhLgVnmOqSOO59bdI0bk/eWJCap6GhXjrs3yPfIIcf+LMGMG7BBg/K8JQyRi6YJNDSOrU
HX36MEESPZtiRIj/gd6ghRV0hVxlS93UXyDGGfYcYFMuoBBr82IBtQ0GYqYbn9aFd9eVaWWSVwXw
ZPvYtQytFre2kVpZCb0Gmczff6WCCGWuHvkAA25oc784HSdmcd1qOsqD31zMPvShHUei0PCCKhYu
Ky9REEvaqzeFNdQwa3aMBB/ddmITJUORa5TNGz7KOFglvxUJEnePgFJRjYJ5AOV6m7UiB8Gk/of+
B0nXBJi/Zl7WryAyKgyz+2MCOWS49RlT7IneCja+8eUlltzNeuAnxdby2wRTVxNMUyZPow0zaYbN
N5CP2C4MLjrCy98t/tiZSka+k1zIqjp59oSR+A//oFJkXeivStYrXYvt1qvgYeSfSx3acVDv8kn3
e3KprwOYv/lB8crShfBaHMHLf/6bKqIs3U1qQ4i8dzn/8uqF12wLdbXUeHKTfBPdupnCqkQgcEr0
MjltcR6ewi1R1AQKl1fFWavPUVW3qqZzLnAx9ZHAjXvM+seMTvkoABOBalz+KkCO5pnxWD/qM2Jp
Wl1zz+v5ICLnSgr6QvtjOf6rp+dqCth3OidvYexy/KC9uOurDtIAB/2E694n+dqJUSSaYejpAMdo
IKXS/rwboHojs7gdyRdHnrcf2zRrgEmlhamSOCpVq6LY4+vrTZ0ixk6jrGv6dG2RSbdboBdwAJvg
ULb1J4PVJeqIqaf78oQ6XYyO3FczUHObySDM8k9WK0SKXFhSD3K4zo+9uJ/d/gsGH8Z/fnke0WwT
al7atu3LD9NJUS16DLp5Civwxju3PaCiWtIRrPO54cMI+mAgSCYf5hkJ3I8AIuhsl4/T/7yfwJKZ
BOoVkLPtxVuD2rygEPhLL1tF8thwhvMsvoDeNZtf+81Z1xDKnuoqeIw3CSI6KPbTGDMizmgF/vYU
tq/9hLqSyxqVKrkC0fw6BA01YAzIogRczImcjEQGPhh3tr/+kkkD+eA+p//GxeqN7UHMx3oOtvtM
voRtP4bplMxC/YMh6Ggbeh6J/7b3P6rYltLx34D61hSnrp3ce31drjCPxYTC4l1P7rrN3ppaUcy9
jILQI8fWLlSO0mnGoTBYfCKcL8OGuUaJ6Z2QbXD7iDVbhIkeUJWeGe/wtDuN9VSd73CURjUI0Voh
Fl65aOkXhMw0HxZswTGstB2uEWo7eRvsd5FHQicVjZOwcHD0UNapxJabDx9IBwXeZz3SoGCGM5Oe
hLjRwA/qQuIRbkpkFlID7s/Q4Z9Bp5gtIocD45dNjCWSbAXClDnHBkPx/e8t1LbPxsJXaDPemrLU
qdm24UIAJihfFaG0iKGMi2J7CyVFTDIyTCjlGuMCEb5O+djm9c6Gj1n8gs6rBUDlcVcJRIwQT6Mo
VN6adebHZRa5+U4fvri0AtYU7ShJtG3uRUSpn+QukwWu9+AeE/VyB3HyUgCzPLEzuc/QYTv8znxw
OSNzrphCwu9SlYxGwnxlrJp8TGFOgtDZfsl2u9+Q78dWXg/BqdPWOsx+Lr5Q6xbEXIK0nv/NVTLo
XVQPqcm3vRfG9/uewuPjkA/cc9RxJ7MEnZGPCOD8d/wtM8WF/P96cltPpIU9R1UeghKr2Qi85H4E
nFRSWK6C7rBQGoVrzUZRhu3E9VNHKySb4LX7EA710Ge1tEF5+NeTM6bOWIp037q3CpXaFG5idRj+
c1vpaFXE9mOuLW5eF/Q3PBknc82AP5v2ZsfH1mEOYBF3Hmrm/y3e93x8Udw0xChwaHgIU9q8bOsO
68YEvXvgZUg6v2xbPUTpFWmoAMtYAlSqBXOVeP7PrmzyS/3ms3l+mGFdn28kgvV3IaS6dIqV95bp
5O8TZ5MaAkGzDrJT+EZOf7oSsT/ru46C+xm0kHkaPlDvoGzp6J29QF5GG47a+QvrNKQUk/6dlIsL
DcCxoHtIKFLuUluCVaXMaID+4jT4SLl01Bst72yUpUfHmTj4bgSna9hSUCCYoFUTHawz+kZquPV0
Hjr024M2OH8USykwxYqU1okfeu1DQ6caQ23ZCjLsrL+dGDyPmdVaxhkvr8GJd5exPrFoo+OmFRIt
4yvZE3IcJVgWCH1ZLMOKH4i/bR6K6L8HjbPaf/cjXUnbaOwFLn8OJv1f7Np0tYbEUne19xUDblsT
vPkfv/Lkvbp8zfb6Y4/ck7F0iMQni/ObyiNDAF31GieY+q8gqvNQGvO6jQT67KtdvgZi2hvX84KX
H498xOkqRthbY2L5dEJIZa3+UOxU3I1DQWlgFCCp6cLy4DcTNkiZgQ36y+aMDaUxKhgDFwJJBQsA
1QBAYvlyabu7yUiYu7o4fuDPIOsMXLt+Kw77Vs49Dj8SFu33HWB5wIxkFwrIm0ieREK08K895Qk+
Erjxhp9TGCy2DSR1GynLIWjfyEVXE8vqwhxKLKK/YgM5A/8cVrtwwNJXdPOAZmnAFSs7L8ak8lCy
h4dVLBXUWMCLXbwBR6vVkAltvGiutEUhUfwGd0npcJcvepXpS/2Mkvz6qnG+4F2i17aBeWVza+E5
uVLcS/MzJlgSe6gDw32ffmDRiSJpGAtMwQ4SeOMg3PNqQKG9tfw8xK04+j6tEtbBMApJhHdj6TLU
yMhcbU+8otFYmcw8WhF3E8SsT6FX/mrVQdB3CysIWuUm4se+XSEJm1ZGtDGaUgNaD/Nak3Figj2Y
IRZccIzcmn2MAOApsfJX5zzxyD8gHxpI513VvjtW5P38dj5FIn9pdbzHJahNIeGr1GApcFB6YXGU
0ttScm74MxyrptTbMqYvTikj/DNiC/T7fAJYoedyi4f9Kh00p9bniXQhBZaViM87F9me0DYdJbX9
nspgReHsVsWBJvi6vvOgqPYxXrOBr49lpOchlVCt0D5v5E0yj8hC/FI26toMRLWPvCUfN7jFYpnG
ew7OUwkyKlHaQ3nJaY6AIhjDMEZoQXcfSK4R0Ycl1HHcPTGlLlTSkteRB48zGS75HCfHXj4yIwe4
t698wdb/sxFFCCZsGd85kdLm/MBeDozw8agP/SZaFgLxXIZOg9Xft1+eM9CS8J1SEu+Zaz/kCS/W
a/i803RROFBNAsBoEIJLsh7m1/z7P8Le7gQRUKlxZ1w85C7C9Z4HSPSCQfnuzSFW9Rq2Be+65kS5
ziZ7qRrJjb1QBLIuwLXuU1jI8sj9u0FDZERu3WG51O3l/jCOLlfoNB0l25twwmmdSESNCQTZK/i2
D/U85aZbz46rmQ1PkC94CxSFEh2nLs653bO71Elz13DYsZc7UPUWdS3Y7wchFQSUL0icVg47i0UX
MmJDVvQb1jyBz5/VNjBVRFfE2sgS/d/D+2yOo2hlaTo/jjDZ+LcX+UA3wJh86Cuyup0zNbpfMYJi
KeKzvzZeEEnYVFlqI6yEc6LYZ9ZdKaKb3dZtiJhinZgwp5uecUTQD3oIWSql3YJCX1Hg+DAmIIsa
DAUjHvc9KKvdnOU1DGE5gmUcn6U3mDywdIZsr7m2Z6SBu4S9tYv2ayvORtiWZthOK7NjPiGLo9gw
HVsvJV8HzDUtEl/sEjYY0JNJAXT/WNlx01DyQj0C7gZjYOTjzhcMkiBG4r40gTQmOCMIUbPYr62v
zYm67bzBgk41KQed7x4pJB8i8bDGr/WDtXzCA1co9bNFiK+aOukewm3AaH8e5I7JDYS54aID50QU
ynlryNdrn0OVe8WPaxjrXjb7Iq2tXeNGEJ+Guc/YQuDU9P9zu2lM2gtc/l8i+CNSVNoJCCOoeZsG
lVKHE7Ey+PrkktY8MjP5uqUXToGgfMvNabPMAToQ+Kvi2O8pwLGq6Y5cMnERA22xDaVyHGmyraw5
QNtfEpuAShwdRRxTAMCoDcaNuQiwQ5Iuf7Q9MDvKueMSZ7WdMXuz5FEgKwigr+MbLKEdDbHn5Xjk
09qesTnseG76yi6pNlPYYfya5as0hw6A53OhjfrV6QExxKXSDvqjTtYoL3rwZ33bHj2fqLzsivx1
p5ISMYfREmAyizcVxefrS59qkLBfGGIyhqXkEcuG7lVFbTAEDx9U3Yn3y+qY1QpYSf0nw6HjFTfz
BHHU01f7XMyXDacUFOMCJnCZ/mjOJazmMX+TQ6DkBdfdVJSvSiAbEgxyu9XwoZWa3+eHpfjZH7XV
IozPszTdR+9G2dlOYUt5Fkme2PuajjetEzKngEckQcBEKIsYT/qbVOH6ycmaD53OsZUn0y91cr8z
e6B8ayuZitcrrEW6pFY+5WYawXaGfj6RiSGwuyQ0u92VDBCLRpl1HE19+G9COJz+8+WAXRwh5z8U
a9ov3Wn+bR4a4KcdSaTZAG9Ua7TtUeNB5zX2Vc/CALZEIzIF664gvrvCqu8BtxfcAcWiiO5NQHFa
cBZfBrXxy95/pweb1ob9qFMK2PigaOGwA6kbXJ7A82z8n7wfEENiEp2DTk55KaTNy5e45ofMKATB
5ILaoxV71xPf/GrHgC0G5Cym6jXdF8x4/nxhrrRQyX7Qn4kvgBf4l7hdnYO/FuoBya+zN/nFl9Pf
47ZmyoWXPHhCFZuHI//4rrzV9qQphIyZlil9DPh0xqbPA28SfryGoaSUpV7Ump+U//wBXYbOzJrB
ApfdJlVa6U0WZnJtiAsX1jRBqqipdqXHoqF9mhSsKDd5s8UCG3fsmuYc/1pKmDG7vkUFYbi2ULiP
z6pK5mNkmQMx+vWLQRzlNXkBU8sUj+q0Zt+4Uzri34jlv2/ivL0TcylWcYZuxfUzXzbP7q95PTNA
dHcUdkEg5Q0ejcrjbKfolkvzq6XTrHfuGHXvFVBr9+iHaL9C5QidQsxxF4AqSrca6yqX6v9jlHyB
I+Isw1Va7taO4FI9rx8KLN6pHXRyX3YBHBWIEPcJC+b4X1njO5klpKW6jP8lCLUCrUdpcL6xUY8F
QiWGLRFY2o/sJzmwe35+ajmTjMWMX/N+MaTtvBG/V3+yxRbHmvLPvkj/CKdWY6PsFN8EvsJHDtyG
D8MtmPTJiSqcfcXxCRd3W4E+Vb6muYMBQaQ2P4lmAI7m9AMna64QVWEDHjSiUnzQN38PyMmPF2qE
kmWAuwX1CpSU8P3QNncn42/BVX1PFxjIeS2m6pZsAkInB4USYPp2H3vXl6YB8sbq/Neizotoauwf
FJV2AuIDIQFDYC9S6luKo/SwcG4DwuGoOjSnOJWYLYB7zoQZeqQFxv5YGRcgTWppddaVV7nzK2j9
6ddKKU/bgzIEx8XS98azpOxdDvwYk5G9K+NQ6iCq0Z4Q4w61cK9uEp4ggroD5+tfpAV3l65vR3hL
rPm564xHVzHiifBukXMq4/vdk4XiDu9eO01aHOdFDB4uvgOhrBH7BoBm0ebHQuOyKvOurgXnI1c5
OdIyzjY9E5bKtKLFTqAqiqIU5r5+qNGvKaBQgqkg1a7bCJKCuApwZ6iVWpc19dqeLmxXQJbOlvrL
bmY4Oaep34ytJ+td6+nDLze0S6pgtCM5QaM9ntnxK+NdYBJAKpTnA2OGHbBBziDw58M9bge92xAN
W9w8nwp2lf448KgCnWChEyu0Qlx0TthRUkrn848glMRtQ+V2bq8aBgBaszrZdhByXa7k6I9ihA8s
x3o72B/ivvUJoyrErsfty6ioqX4f/8T9svG01Rt0M/CXeNrNM8o88FCGPiLUxmtq5PnR2COfL6m6
ZVlITNaRaDxYLu+rR5i69mVme6K6CbtIJmrghkJLyMMlJrPTUdPeR3ngWBluPVIx7ym+isWQIj85
2Yg2ghkrZfnBt/mYajZyXUtob80c2z16kmUtRwCcqdA2zsPHKm3eVKkaiGSfzVvQqdurDDxVWS/C
Of6gHd1B6tFuOb6ex+Ij7NcvTMedS60Zg14Cg3hEZ4aqCje8HXbfr3KdDWBvS3zZquYB5dSk8elM
XFne8pxomMYdPAC7QEcfbT4pWJNjmafPwuoCIucGjVEl96a+3B0f+sTpPLgL9i8OVzpp7ULKNJfB
8mv/8fsmL1iN32mVXdWcv3Knpnbn0JjSuskksOZCYqI983UwpSb7aXoRD3qTUrE0x71Nm9GXUP6p
rzGXd7jp0f3syxiidRPgPXqSyOjVSu2idSv1x6Xuw6/0EzQlEm6g/zS+b9UJpkrJ2k0M7e2gKsLo
7e/z9LSrEp2VcpIzK3bzYKzYVLw9D5Cdej2kEJHm6+LXA3hPpmP4kCxmIAua7+yD42AmxwsUlt1x
tTOHKz5E7wqtLkpKVDQJc0Chgmg9Cia8+tI6OMp568TlPM6rwZ5HN/rdgRubHv7Z/C8rAOTZn3xJ
gcaLKs/kRRtv/Pk+w0/GKfHKxrQNo4nbJol3bCWoZGp29houyi11dcoxYmTCXGyHeBiCW8Ykpd8w
BD8cT33wDv0UYEiCLSmBDYXwRJz7fikDO3D6zuKWqygg+QhCaUH1Wt9YrI/i+NPuLEOOCtoueKsl
LXdyZoM00TR1eVYPfwV/MbKhJ7w1kcJ8lIXxqn+VRSmXf31DZey5pi2Fc4NHpI7W25kepnmxj14G
wY7JUzhvM2AfCbBMXmJXX1WuqVqPZgzzOKFROiq9IdlkZpzFpMd/NwcFDhcirtAIQNDp7JQTr6IL
sTzLK6g8vD4yIOH32HmgtqbYL1QESvOyyoSyIBsfp4BJnCp4J9915n9C+jacEYIzi9CxTzi41wEk
0bhEDsMmreooKQV4/w5cipaKTEwYfIVpXX6T7pw7N1KVsb5IVFwb0X7zGYOky3m7aHeywPCoHCED
Y5JX/lRzii0Ki0tTR5uj1AVQXZPAX6FP0PWEFCWzAN6kpjlaRcT/uaGKedxetiEAhHckJaXWNkON
4yU26LbXRXzPr67AY1OLbKIXllxMwciW4FEZiAV2T1SiOSkE9i2bpk0wpgLVPAiEhg0TMbVp1PgW
YW8ppwJdW0+DgFnSWh9X4F2g6nDMYdduuGX09stbdV6UPp7IyxbJnQ+8d0b4YHiKIfp0nZKuDo30
YpB2y1tSaHQ+qycsu/C0M8+C77IIGhLljegRRht981rBicQX867Ll5XbWeqazP68zvN2SOHG5d+M
16O2QFKykioSevqZDFoXRhFmigemc7JL3SzAc7DZt+duAxHNuJuY+x4ma/YIewa8W7TdKvSaj7TX
IPjhCpaaPT+3YrK3ONCv5Y1vQIXE/H9vF0nz7BZzg2+4eyblPFZlPom+YmmET1sNfT/PW57bEE21
N5wh2EaLILbzrJvsAiLagUr4Rze5uAYIh0Vm+Eb2+68Wkb5up0L+yK1Wb7zWWNnSK2uyihUeKMSC
NW1gt1QA+53Vl0HywpXcdv5uPtAW3vayvZsnmEH1i+rmuCyBJ7bHSAoTV9DT7aoS5LSrfXGgQ8vt
kfc2GQ/5d4mV8n199k3Q7X7O74GoOBCU9VxwQWWdxwpUpZ8ir2UoEsFbMd4aJNevUZ/cchmEcEe3
FTDiBBGJRO5o4NuzqekglUZbXE9uiwLARSf0kytUYX/SYdeNh5iUqTRf5SEp/KD52j2UJfqZqnkx
Xm1OxZxIC5BC70DCRv2MMOVlHq6900MAskTil/tCbwEGMTr0bDvPXkKnbpsKhbXlyV1oaQabzi0b
y6dSWfa8+FK8MxKeyHGe5C3YPn5PMKoEqwZpzNtZPdard5T0QRwkYEaRatLvJCG9fDIg/qBPBsP+
rJpf0QkGrj20U4/U3Hb8E3dbIOez498hvbTt6Sl5Vyn8pqqWSwq2+8SoEgrjVBpTbX+cCZRtclnA
x11KRN4r3OsF6DHRPnaX3WV6TfQUzNNK3qzWMLHfV/4FS1GHOsrnUYcdb5492gJDsHblWLSi5UxG
8BH9+AmyhQQTUZsBAUEdWyB4mJ8iO/YdIYXvDbGKrDRh6ZU3XxnivHVQZ9ohGoqZvHuaqkXQb5wn
ns9Tj8rbKu8Nczo6HZBdDwMGJENDF5o+GwkHk6TthlQ42iBAM+8guAM5BS6Ui4sQD9dqA544G+OJ
khKEY+zCiQMXgdD/Ebys3ocOT+CwFbPcjPxqJ1A9nD/K+lzYXPxUfzuTacqe6ThmgXILhmcCKod9
jAMsS4vG1b6/SYauPpDMK/GWS5350prmyivJSbI1FSWM8SFgSOq5lZjtMEvDL0sYtHwnYpYM0ibL
TZD9JwfVkFYBZw7ugoKWynzEjZuVi3XT89/lmLMUB7nvLBoSYi3IGpzfNEMMUc96WGhIvZY9dMps
w+C9RbGMIN+HUyipyIph6DvYmp0amFxVJ1GELoZLyCPgtQMNUDvTqZ9WtjAKvh/YqRZuTpEOfeaY
c9pD0ijOucxtKJzY/fsIsZi2QoDrlYCk5NKdtybb0cA5AR2bOqjko3p1pVjcm2m2fS3UBjFqQXKW
D1dxKN+ATLuSgK8D6Kax/R3wdg3WdDb2weLbdGoUSPal/3+GDTduJqdbuzfq+Yk7yEvXA0hDFJdu
ij9Gv6yH09RApZXX736kh3YeslgHSVkQBA8Juc0H4sOq8Ap08x3oG/TWQhJVvJwU0NMiJ22mMoyP
NaiHxuN1oSLaG0wiV3LepSDnxJ/XR84JEPQwiQZmU4MT9MhNoyduHX8izbtq7lm9RyBEKP9Fh43u
KYOBae/amZFAfF9wE99xqiZOirrxO9Ceq6uTNuv4AOycupr2M51b/jF0iQaRrhRmoKCZY0OYuNEl
R6NMcIEhkwmMh9MNIzYvcPcjl1mcG4Lkm//yggH1eDTyaXaJMGL5N9GFBbt4higiM8ao2oxq97i/
Tnr9HdVClF524kSoYPiteJ4yUn7xRy5RZ8jznQqS0Y/iqY6JJyBG9R3VxLYRaoJMhdRyQoAW51ZV
Ep+6zvrvMrKIQZPp4dsfNheC3Pc2G7PZustpIiTg9kR9mWu1nscpOhiyOtp1XSkAWlpes/Re4Lf4
3/ho3L9hn+IfeJYSDLlqPf4+ttZhw/ADI7xXTWV7lOa/NO0fJMe/YrvqQgafPMVwo3XspM12AxIq
0Fu9/hTE4WLx+MoopbNaklDDIXYR10FgVm6zpoO+ZdsCbvor8m9r1Fyt3B2s4ev5G4lAGdO0X22z
Vf0cOEKG7rmxHd3QRLsnVqBf9zcao71vOaJ2p14LZADsHSFTjE6ypN4xEahAs72+Jgx9a1whEzXI
ICiqIPyLwL4BpFppiwR6SbgVSR9Gv1VaaF1w/5uUxddml92IHbXyIuc9wBpokxFV6gBa5u/CI2AS
CPHlUPIoT8tkYljCiKFlm73+4Xezb5OgVOO0aM8LhPTm7+0WMvrV55BfNmETgXVqwgp0eE4G+jfp
uT2OH8hoIe+JGP/2gvBUWY8XSKzn2FqPC8fP7B3Jv5ZeF1rUMSvV/xcy2i44hVUoDp6jcxXiaJ2C
J2sa4lPYQejZP3K/5TXUvz/m/d6G5ntDjm/wbdu1M2pYG3aQ4S/M2U2m0BNfk+fbRmKOV+9QaePt
VEl2wKt3aAaT4OSPxiEJu+x0UWY14VbB3TM8swkf58gpQZnGGOaS4rrtJhhfwj0cgE3Mx0T+k69S
7rOtmoZ5G0ljAO3eRdzL4dcV5u3C1LYOJo3R4zn86PZJNpjrq/RVsW3JAuOJSXk1qIxf5LkhVygv
3FU18JXds7eNeiA0D2VvCS2msfSiuV4fVpPbj0GA4cVn4e6ILYus6jhCj9qwSv/uBq8vfr8+MM5i
w7NLMTKYa9p+UJhASBn+cw1fojK60UIqJx6kX9rGjNujccft8tloK187EKE62WY2IPraTvzFsEI3
pBvj+0OkhbkWFy+HTKNgqcNFLHp5tglyO7s9P804zYU0ov4gwDyLkhwt8K5oKDEmhng5xm8b1P0g
0772PTzhLo+GVJQVKl4ux5nKkMIVQ0TApgSSAzkJVJgcsgfdH/esh7N3jS/bm+hB7p9pup8Yd+Mf
b1ndnpBXPpAnW9qlitUNCI82U/9+a6z1KrXuD76aV5pHiSUys6tC47kFpXQC0vVnjsnRrwOEHhaF
NjUWeggGjrfLFxHJ1KyZcxT61XY5AoUD6PrDoc1j9AqUtAWUB3i4Zh63xtD298E4ZR4PdLe0UZ6f
FoYiyQU5isWM8O9KzUZ/mVVu9v0AnFwwdoEFnBfUIq1IvQvO9MwSmgRxCkxe8O5IoUEy0Na87drW
Ayxoz3pJcaVSYzy7kPOjyXbm54RCzQVGOqla2digAVmoFdi7f/rvmiFNOW0/zeRw67Z3KHowp29d
NmrreLqYqlsSBPObf5oWbmlgB362XS87daBllDaqbdBTgJyOZqUd2quk87jLWOS8zeACk9Dj8Mbi
kBIZ8QgwPBiL+lT8g+PkWkUUaL4OncfQDJbiNaDFXznYcabZW6DT7pEASLPhLL09PUhO7aPOQLhy
iY7x12YHRwNWnjyzHaXyN8Y0miX0XINxaNyYhrAgokuKw5987OpN/yX5hBjzTp/nxp4SncZyzdRP
TL377SOJAK7FsDkGR7kGHPqH6t9DW06BuhmRRr24YklZq/kmwFwQW3+dY+GOwLxa2H78jUAtCm/Y
ST7zaG2r794bddHkKYh+kQtdkRuCVwRGwdMPRmJSSBvoNybb3PvqsqgJcm9UVxwpCO0arurok2uS
y9moK1m29+ZMJkysq/ZKPIgp17tUHj5605glsIxnfd7G3hWdFYBSjCSdhmgbFlTkiOj1SxdY/aH9
O4YijPKaw23UrZ7STnDgwCEYntiLcwhLFwNipeB0Z/HGMXXZbX9RQqTZ1DSn2u16VQ/V0ZJUX77x
eJ8a+i8c+8SQkBdQYkYKKI1E/E5HgxVtPz71fQN5KWFL8Wulguup1Z9SxiSz9F4B4tmipTPAna/p
HgF2JCFgdSRQ2+ds3QgEGnznoRkbLm/ku5uaAiVU0LujuzEKNC2r+VbyuM/B6BJMvyLIN9KSNaQp
nCD4wYXRiS6GqrRkh3B+AsS6fusfLlVbvVlE9/JF1E37Pj2XMZ0ttPqQyaHXcxuTRDg64DGaD2xT
fTRZFFZHl6TbSNAEDskSf8A0utSQAyUJ1pvWlQUEQRvxEZbw7MEgHPha7Th2f3zkZuohy5NU+3ty
lcAuddtKRKU8E3lL5Vbb1daKuwc+U5N2Ps2l7iSOPQ0TdAVtN7SUosI5gr5P66+kuWEf5Tv/ysUE
YDCT6Ro/fq9q37sRQGF6qCVr4UnuS3QHnxIF/kwWl/ULumjyVAe55nR1rjjP0TnjCYLleaGJ/r6Y
K+0mSvQBYoijcelOlMEl2Abp6kanTlplRmVBt4f+ywiQ310CFfj/0rkcH2iaWsb4fO4Il4rOutG9
mHu1XHoyvCD3wvZjGjCJgBsEk/OAvSGI5/wiCJNmNqViY7564vL+t1k84oZbs9GU8rlEDcOjjG8u
ZtMl+gn47Epm0ncx2Car531pARmI0kwK50iDpzCWVkICMoK6iyWXdZptXwX9c8Vu/9E2NIs+1IgB
eWOY0LSUZdyZUW6FG4J5f/gAZu6EeVzVJEYxSVeqEe6YnZu6+2qY+vUhGPL6aknFRsWc+Wxvy5Pu
e5+4kQ4HzXJhAta38Ff6Twmbc1HHGWprCh2N6nzj1i7W0npa7Zyj2qjg7RH/o8B0odz2EC9ilNez
CIqujW1201Nm8gH/NqeQdB473SZh95TUrTYmsX6NZrgMDxnj6/2dEux1b6T7iPiYZWbqE5pdHel1
1nmk0o8ScqiRI0z7Ox+GhcMw1EDjqr/tPZmj5/XQG/dT81CIupbmu7HfxorxxpvSLvMhN9ao4vWL
I1/9cEbS8PGvPJl3wPC8RYhm66f0X7mOKfNhHaPSj/wpQjdhr+RHksfRdE0fyW77VypCqtGgD9KZ
6LJhRwutZcSneQO+R4r2nIJ/oM8FwpOS5MIThjJXeW+cgw1xOV0Ja/96XN0C/3QHkiikhLQBuR5m
dsb/ojJH2JIfJREyODULQ1YVWJSmKDdMVY2HdMEFSCI7FhIrqx/KtGPPDWVNG6CBj4qjULJXqoAY
xMWzTRu+DCDVHSiNSk/fGYBPY4kAsg38CX/Xhqt2NAHlUpPBaO/qFKIwtlvXAOX4wYhrSekNlyUi
rrPt8oH/Sqymlz7pi5I5Cw7muSIC4EQyD5SRD41QWKCOdUv9KrvD5e60fXqJRZJnwIYYl5bayNRu
jPbAFc+DhUjgCk1uwjwkjEkL32P0Kgr3DKzVnTrWOPV9/scX3hKqMKN1zxv4LdHQ6UU1MsCih6Fn
PObCeF65FEG9oPSJ/p+E+5qXM1v8EiXjJlpdSDUYQ2VpujmSs24WG/knhPPxUMsySxk5vBbgKV2n
XGgQeUfHroyxF4FLU90XG7MGtYbT39gXInmBfesHzjYRVEW4pkyBCe8hcCaQgXXoxeegMMzjJfz0
+FLCzteWyY2nhAt007olK1wpJ32QEd8y/j+gE77A6+gC/rPA/7VB+NXs63Jh4EKNhEQIfkNhMb5L
+3dqdJZSLfsgAsGeaBv6J1ZdNY+495U7JoFlhFjerukC4vIB5hkq5CYShrPx+eF3eQ9Nbzkb4f2s
hK6GgzPETgiaI9Dp3k1BHSVX3tlUVWswdtBKhLckA+VwUhItZyvPFqA5WVHEQFLob11suu9PT5n3
7uc6f2+bsWLf3bmINGzB9zEHEuhTJdQoQZ7MiHdzQQ5tvq5UyypwynYH07XNDkOhxLy3qbw/1huA
OrSuGYW6nbbw9Lvue4OhmnXw7QEhYyXywupNd2v0QGi5PB39aNVOZ1RmQp9haTs9dUyBRF2p+JLJ
evmxMudw1kKWBAowBGfzogV33GQp3fZTWIsU6xlww2ER229Ax+JI3YXRZ8rLsM4R7qX2J9cRRn3n
yFIzxhTllsyvKoZJYKXm255fflisvoov51+guwvR2HBCD8hJbWh8DTBUVPUYLrtZ+bgIZguivYax
HXuvhA/LErPkrp7wKOJN0nxBosiueZpcU+8qdVZeZC/2pRcHQS0HGK0nFBGSqqUwOoKOhym6wf2Q
zVscSa+QY++6EcC/P60tjmtZP2hWFBOBDj0t/1GQdZDrGggUyYtp3Q6F7P0b/isPAUwYDYArwZnU
eLuPuxAUVGETyXnRNaskB8cpuCshHl+CwSqCzK89wWCdYm71fFIOKhUs1gDShXsKoEZvJd7ao8IU
j2Y3zWhIGTcZeB7PmrAJJjqie2S12akpxlbwv+op7MDVGYU3NXwxlH/U76nuujhhNgcRfmAmq5by
wquBk2PCeBjHXxXK3W6GmX/4t8g3tp4SOZgkTSQNfWYhTYOIKBtHyT+K59L9Fo4eGkTjWHdYhRaK
PwjP8nGMz7QfVVz4YTOnrbxvv7QnI3gV+mo7t4soKBgz6UmM8rrooptBZuazkEmnKlUdORIFL14w
Emmw9TGzmN9SYzvfBHub/CsvYCJttvotgs9FhHF3/bUjZPSpFYedohBSh6SceRE6wvjbpjteVdfA
Ziuf2kuMU7jHrDNd8T7wJjrV6XyExV5621scJn3xgQcnYp+JwIc3vCp5UKVta/TiNTZ0SllGNjWH
nRrdK/JYxm8brHZ3alvfMSuI6Q/9pO/R7mQGtvsBuatIt8wDBUxP+CVgQhGGE6nSqL40pUbgcxCh
fn7WG6Wdw9I4RILuBEAKcjHGbB0SXd1IhZ3VXE6s6ft1CsD/rQAicASDcwwF8nni+AdNLgQD9ZO7
EvDPQ5zQ5RPjfOd5HGOOpSnC1duknI/HKesCRMCuhBJVnuytzsmAleeZ5nNGks/GntD+DhPSGrCH
QIOopnMDFYA0PfVG4PYDmb3dYcIxAjSrjXaM0CRaJOQOk0IP/mPeKCEXHa9hKPY9yFgqHbOMGwnS
ig4uLY7nIhYVds9i89b84IjAXrUk7A+l70mu5ZJ3RM1PVZBdWFpw7rQr8RGOnM675Jx9BJIwfiVP
+8lAxGCrpe6LyNCO72BeCMdeImdLGZWEG96yrhd7qhBwqUh31dBX9VYxpz2QR0yghjaEThArZ/8F
IiE8Tidsr6aLpG7s6R7fySKn78FEFc63NlO9lZnAhQMEipURuke2leBtI0+DlVz8iL5ap1fvR439
jwOnkf8qgI8gCtDXkvIspaNuBN0ok/R5um3g4nIlZDgbunkxbtk2ZOh0mrw4mRkulWYM21RVSTUJ
/5rADRSlmkbGK70ibhe5gEW6JL//R8dJy3F+QgYZwNpEXQkjPxTXIp4p01bOd5yDk+yn2qIVkslr
zJCZVpvGk9L1mCzt0M6N4pWU635RbNdwc6H2WUQFec3gB3noQA24OFEynlr3zPm5IILPx6m9OpRz
Os+ieSt/vouzBCjFhIb7JghVVL33xetxS12qLp4193QNia/UX1tOIT8vtTkndolF2UFIetMZW+fy
R13dFAFXP7ma5vUHdvQ2pRyN8vOScI0d6pjtynvpik8TivoLmnP2f3f2NhWAJqyRbscuTHOE1++3
l9J7krNwFH+ZIhwpCcl2xvXdKkw+1mhpL1rXs6d+X3Rwfj0XSzDSIRv2f6TpaI3pB0V+jHmpnSOX
e7H3ibLjEZdpfCVPLxOK8Eg5minTVtTfxbbhOEkx+1f2DQjmCwUB0kqx7+xVArY1RMVF0YzJI0Ll
nQ0uSupVRblF3jbPvi2hvloJbw+3mJIrmU4ehJGn8/tSExJiMdhKNquUR4dGrgg2zSacpnpgH0jB
naAe8aran6/aSl0JxHOOFV11LRiXzGqHd4fzzKYBKJ3kfBxzes4cdpLqHYdonimzAI6znMm47Fgr
qgAXvOmIuauiSIS+a+AxS1CoWAZgk+Nzoo+xQrjYcpORwKRAoNDX6pfwxxxI+i88WB7h6qL3ocrd
wKFVKcBir9xXbjFUTV4Hyf3hVGQZru1RmDYxK3BJMyU+Sgx8qHGm7rmG3HJ1KUyUtx1fvlbJxi3O
A5CdWCtskOdToOb55zUGhzzwrw2ZqolO5zWGyS7WTg1pQ69CM5cSiT7R7I6vqcwsVRnY0Oai+tZx
n7m5z0rFklwu7slWWf72V03Vme0UeCF6S4n5ot99heoUP9t3oLf8Uff741QFSM6pAQFW/Otd0lP4
y/4S1lKB5dcyK96+d0yyx11vwnar1oAOmfP9oa3fCaRPiLteMNkNGSJk1E/cM453KljRBAHuITgT
+TAFLbfnZdmr14MihL/xPo1Bbbri7+sXi+EHeJlHUVJzJbf6Z6d/AYNGPwu6uDFR6ESxP1WcQc1E
IFeeift9UAcZTB4mNFQLeUgr77u0epH5LBTIgtIiRWmBMcU6Nod2rLI7AeU3aW0txASIggYd1Ke3
7vY/Gj7BL+QvvWy2P8QHxmD2bYgzzMMmLj1AU/sY8j9OHGIfvtWphTBTCq9IE4dWOgegq1wX95Z6
XLdMDpbbxK+sMeQ/mJbi0iWleCbGuVtzN2dQX5prUiUPtdIxh76FM7L0juJFBjN/UQ86McHQSMyb
Dd03SNOH+48yLgzLLAAafW39DHN0wzgr6x8yFwNaypznEwztBn4E3BkqC+0FUaiChOTqTWfkMt9I
SDAvknZWbQlh6Mdya9No/h+gAtvo+YsVRvJZuMm0/dRMFCxq1fLilvKwpwy1AyHR06mnsPk/08/b
dUNMPx6pv9WO2JBhPRt26rifCyCDnEdNQS111+F1itwqHnQoZJqwmaf6fRoSvQV4WofhKTx3S8ix
3x7UrtQCjUBbWivuPYWRLhFOjWXuAmI7ae5XwgHCv1EkrhQ4Pus0HlcCsp7ep54GdJK4iKQStZ2X
lWwM9yklmnVtaE0cjQqYY44oURT/FPr/fW0jx0ByoD4fp6Bev6xzge49ioM5jl2Eq8DUjELHkW6a
cRFWy8looDJT6dUkFQYJnj2ZV0S0G9T851rYKz2RAQoUaVlNj89sVC6XbkEPib1sKlnRh1iQuuS9
E+9iK5eoAcGJLbexQ1HD7i5NDJ7Q5gyunA6HQnbLAN2uL3uRLKoY9M3/dNUSDTzs/cuOAJl5jQYq
5BNMV9P7LVNXJO/GZnUy/HqzpYjExLc6kmLOM1ZmQ72LX4JhuRXnHEr6ynJpJf0a3Si0c8XJWcbX
qiITjXvb6WFOZexOx5CziXBCh8Uo2A328JIsiA9e10nDsAG5FOyM/XyZCjIXm4vIvX5NkWl33rE9
CfkmjK/lK4+SHQYyx5zPOfFc6+B8c6yonaOyUALS/C4FRAShYH9OY71EHVOwb7+7f5hTKdiC1fLD
grzIYZNQ1caRXk9ec5YlvMWqY1fO687hH9vpcHVn7n8yq61TE7PC8puDDK1BU1hyyGxK92r6grHY
y7k3J8Cfx6hcX8iQr89PBs0GN/ZgzLw3ucXhTEphP68XgDLZnpAGZF+HeZ8PhBQHWr98M9Jkbw6k
NAv8QvAcXl3j0rskNgmTtZfRSp1ETEOgEZEmQOzG2WanMU0WPkE3ezy29Iw7F97nH+qwcI9BLrdB
REEwDVwZ+YTxe1/8ZKQvXACyIU1lNjxUQO02mPrW6AbpctjCrt+S1+d9q3ghL4ZDbUQIMd6kCQJ0
OgxzApeWgw+/2VGriJHoX4Ibl1YwA/3OAkVEC3FJ+34VfYVPSO01/zZAQLwu0WF1Xg/qt1USY1cZ
OL16jdQdasC+FzsWcjM6cuYxA4keJqSDNKZKZHVowIfB+Gh6QxsvDfOUMJSjF/LX4T+N41QUgkk5
gAoYmAiC5dax3cEYZw8UuICApk3IR3u8/GnzVuFcXbHrDbZf2LeGGDrshAvBEBEBCk4CYWnc6DUh
rx9didWy08w5wX3gJu5wLgmQJF+sCVOPAFqBl6uNadt9l2FI00xIiHAg/QMKdVVeUgkvV8B5x834
Ls63TBXwS5W1suhz9CfQlV6xorxPdHbvIPyEP1QnXT3kcFZeLB0sCWt4gLiWRRfCMJPOFdSCiv3H
DBAqB1EjvK/b4uFeQI0O9czGxg64IGHCLOtfJU2UUu5yqrcbTHZUqbQbs0ogkFmFT1meJwbTTijf
+uLIggYwTLR8TQxTlWkpuGrbiBxP7UWiR+kD/BTo2Fi1qljrpa1TvFZs4v608e2a/gUZCl6b6ZHO
IbJbcyMX9CtwDqvKjTgV95TBIcxOSevNB5vSIpTCbD6b0AdjaVUubfzI2no2/ZCw7XhzGQlErifJ
oh9iMK6+G+obPKeyXBIsgOjfysulHe1Zgu+LKPgUBhJfRnJUADFLk1dZOk1Faz1hHNBUysdFfS71
9ZqUEPdZocrYqwRa1pOHjEcd7Yj4bnm0b+FyEfsiwj0wrbW87GDyPPV4bLwBzpeTT1fWLGT5JX9R
WyXdoEwmzDvClueBkpOeafbZC4pu2svLz6bjVj7hTJaaCPIZFBwbRZPyPG2xPmQYUd01OfgYZD9P
394vwicwxNW+wgToDeNybqb2U7B6ftA7OlyPnFwhZtVczV3eqAFnDXUP/M/WFhN4zWt1qekuLAM6
zP2PEZ+kQ4tsLbx3MnDTQgdbt8NYAi+TNk6lJLiTKab/X2lzQ2R+wxpBpY7Dg0xT+xp68F0QRXxb
9nQUb4qr/k4DV+Jr8H+3IsksXnr0rk8dIZBROMydVcU5YiVhWq3cGxvg2r+CArnkzZweX4i0jRGo
+tAJOVxSXJPfrVlbxCq9wTPIL8vE9Z8g76EtnSUFnjACn0YANfhuK+o/1ka5KxUi5BZWkkLLI2Ua
/ZX4/dDwqbhHMVdCZM1g02GON4AjHWcofHVhLz/efIUoPXiMFPogmLebm0wj62uAsVucfzJmg/t4
fcE6T4C9Hpj+r8Pj1yi201AAMzke/NlRq/huWZGV01/afnWRbvR9BMV/vfYCjkbpOxb/5jcCseX+
CriZnxEdOqTfKwqLjLZuAeAPMedvbXypBv8DyeRBj9X+qSOv2dZ1OhBjvSAkGNy94xcXp/3J7YLN
6ltRMYWy2z3IeAoj0inX2w8LSx/JReWvwPbHLnnx7JL2g0qQZnrepl3w3A2UdzABQJqh8wHFk3PB
Ix7ETq7qwgK71KcOOxAVTsaLCq43c+hH/IbSNiet4HrTWURhRDY+TFkR/hpOzYMRkjG8/zMAbhBp
wMsL0F1DkvYO61pWd6EMCDDQTYQ603jwR/qS9PDBCV48knHOJMe82V76qbaZ7ot1S3XhwleNW3lO
ogczXWLOMzr8vESHEcYeXUyh1UzGtqYv5Pd/lE05WTNZJbM9m6zY+2kJVA/0CGCj/5L7xpIklQE8
G8zLey6FrrZS/HMsTo5KFnE3kUZQT3WbooN/bDh2MsZHPc3B+XDmw+3k1mD08Tm5NuGTodtadJ38
rSM3id9rLLgyaCyU0dMygysNH7AAQEqzZXiqwIiEHDe5bSjpAahJfe1ImXkcUpBpg9Z8YDWcSVOj
NLskgc+iP8NKLs+QzU2ONkfuuBrsaCSvZXvBdcwdZAKxINNCzC5qZQ/PdQ/D/Img6fV6DZVWWLls
0QIxTyqxPIN1ohgj0fQUflkomYHm7IfuTKTyVC9cqwUsrUf9jjXFQ0t3/lJTnFSKeLY2WS5ND7Hi
LID9i7J9fRJCCT1Kug+zyofLIMa6fZnq3yyiDd/2cmJB+8fC55rvz0xUMxh6ykuoltEg0v0hj9Ga
dE1OWfEIHi1hrG9t0J4+ZMPM7J2weGEKT93argR7+OUkTsMLOBsMfy4DL/AnbP7CgBRBPW/sAd2N
AUBRFvXKMwlDxHqzd5hSiLnrI46zhh3NFoVPCCdGLxX8LtIcGG2KIrrtmm9WLVMAVE+K0Zc6E6Cd
t/RNHedCB/Lt216yh8V/NYnsMSTOtbSqdr9zNdVhlcClzRL3bbGS3tgPXhkq2qaBH3L9gDlLJPFm
G7wlTv490KLZqI+xwh5D3DphQr/inWd/WEAICidTZU72lRAIupnw9MNBIpm45erEgsGL42ODPOPe
tqb/zvVIUxsXTbHRDX12v7HJcuyIo8CzRQqQ1wFxzDvgcHhquiI7oFCl0cAuvoWXPZ7qCzA4Ts8B
IfhGiMJiJjxzzPIdRa6k43CPecgnPdlTLnmZ0tsYM7G5KewG3WJV/e/auMLTc4tHYMkJJeymbHiy
z0EmT2xpUzXg6zHd0pKWTy3Z0RvIpQ9PDsHlQlRnzsHJON+IAsY87lHypGwTAWMPZrf8aEmiAe9g
rZm3GfhefgdCTRBHCBpG7ufrYPWkdY+ENZC44Y15ldqVy/UWp9pXAnFAHCAp4HUpfi015TGsO+P0
7tlN/6Mig1OkhuC8x+AwerCGMYAyDcLk8D1i/GDq3+YvbNxzLNlnJS+Ws6BGwQ+cAxUongjiKjgi
9Ks0gt3BBRFWsizkfmAa+1EDrTGQI+j92ofHyvO6bk1XQxHpzth+ES8R7dUXWWlo7j3yD+QQew/L
k3mVc1LlqU2azh8UQ6Z0QvapoTNo5ic7o86W9diDEu4pPqMj9bGaPBHxb5hW8h/3RVOjt5S1DRVa
dqV+pB3rjcI10XdUR1ILUhND62nlLrbPUmmCEGVVgkzScoONRJXMDSKlqoPhZTOf1/mmr0U7SaKH
7VDOYvixCEmXsAhJt7Qi+0ckXo6H2C9FYO5T2OaflCQffBmTEmeNRQbCEcknGGfxQ09wZz1rJ0iX
1MtivZt1zbuQF4cgAxolJi8JiGEAOJ3PA2JhPAQOHjZM7beiQh7Z09gwLJrXtZOZ0kB2AUVn8MhA
K8AOU2hgKPmLZuIpARIKie6oilGCvvgGYvO5/BJg99I/zL7Pw/ZedN5Jotn/GpeFX1H0uiiLaWJ/
E2uQwk7St3C3b6u/vomTo5UpKu7oZWwsf6z3GAIw0HqkuFiKwqlEEQfxlxHVtch4yOhabcDxQHmK
gvHxQg0QK7UKwgQ4aw04jXBiZ/o+wsctYLLPpkzR07IUeR70xchdyYr2TzAoPIuky0jxVEvcu44D
qe2ksazEWQwBWX7EP482SfPqqAMMOYhJO1kp5jWdjixmO9XdndxJbgJoXXdZHicXRye2qwHFpOAJ
9JQf48KqZ92yFcgoOQecGqnCz23Pnu0SGzFcUbXM/qwUKkkg1qJVRZcwO2a9g1j3pZg5T3SsVyi/
YB2dq1eE/IR1y5pcabfD9sc3GZk+UA79yQaFCLy+h8b9dOFPxImnyw+sm+TD/Cu2sz1mBcjuh90E
8yFKzn1jDyN2x2saxDwoRyK3GVzSpzoM19lKqG6FNvvOk0cCngYXYAU5vj+oNCHZiitZ1kXnY/ZZ
7mIfKyI4TvLNAHAHU1Sw9VLsneXuRDXYXmXRUd0qtdj/ZvgdpfAfV9FrZXtFJZUbdpkrUKAn4gSU
l87naRxLzndGXbY2h5fREuWQFCKKFn4z/TTufwVyu7lOOeAF3Jjlsso+C21HCgIvaP/OpDeKWLBD
UUomy604M/xg2YRAsAT3B0g0K7lPGB60I9GBziyD4EJg6qS5QSMNQt5V0GrTur9EtdV1s4dTv4ud
he+0sTuRVtUW3RihK50e/9Iby9A4NWwCKWlcmw3UY9LtqnPlskeUlUkPdNfdusregYA9RsPbtUQ1
mOIFbSjoNotnhfb82oKUDcFy293ZC3RSEkvuuDb0aiGIOju17ueOU3X6dI6s94XcMt5neHJS2vVL
sQY1q/nHjGVGcgphutLi2CyrU2XVEoXxfXAeFIf7G1c5WqHWD4h2R0kiBfeKu8JCWSREPVA6Z0+z
w+piZZvlCUjwGSkHZjTwCx7CKsR80rV/uz0stNBIvHPi4i5hdVe5d47Rq7qNH+6h1MSAsrIroNpc
pa7kcLV1R3Lb/aJNtaqaVpXwz2SMvtTYQ++TbjSCP9XSRCX/MFqwFzyaqpvY49i0bA7EOUSlCKbV
QTWyLxjou3uqsoUBfkP/lUS0yd24+MVi+iBSAveL5tQ3r9DkkopJNh4YMQgzMU3s7qpngQJx8Mek
7BEBPGQUg+uIp9WRRRhX6ZGK/BLuBXqCEU0Dx9Y1OnFFcqtwqDfAD0xUrR0RNnwIXasJgsolyuMq
9ef4uhcjt2l967/iQpuKdPan0SDy4jF30PRg9/J7CY99EV8llcL2/DbcgvIQ2mB2KNRA3ddZPYUs
RzD5sUtlhuwagr4wkwKIcAdoNq4WtUqKk4KYVMPe+NP2uNlULcSh31UYoqvrJt/1eqBbElcExEl9
HNyfvL3s+lybDWnj2XxX3ZVoD182IPchxel+zIeDY6PfQ4Wlz2XJDzdVm+jLqRoLrC83YvEI+d3a
hCNuYcYsCt7FDWP8Lv98ssKrXwiOFKjT7knDKl8Pf7fmXD64n+Qu7BLjVjgyJWvc7Be6w0ee+oNr
R+lJpI3QQzMm4vnomM+024ikA8uZUt/0xHdDkt2BIlTSq1Vrhxx+St6kulnUfu1wxv3/x3FG0WdW
goQXm4umdw3a4BsBduKbX+HDy3vECPCPTNx8lEfLxJhW2LHX1TbcBiL79PJrw4dudNHQ3+QGvFXK
S7SLNmO6mhjnaeyhSQmvNPXdUuoDQoLd91Sd5znotTC2wR83pciWZWzxNN2prTDalUdcdfEYtk2c
rWJhl/snBs9vSKFcjcYEFCQVI/qzrF5s/LoDyx9ylRnd0navC+h0FDiNvfO6kdwHXK2yhW6OtiFk
4Xse4wM6t1DXuZDdP2tFFytdOX61Cz4jB6Z2GoZtd/yUDWy+AOXrVXwJdRnD9z7Mp/U4lO5LkXdo
WRf08ajbqlNhSwylLOuss+IzL9EE3g1PVIPEM9XPUMqtZovg+Ly5kQBTmaXRbkJcfVzMCPOR/2/a
I70fZPqihMT9UKO3MzPYtoKgJxmKhE13ywyWzL1ZRV614jqq8QeGjjUj0Q1yod0VlrY5KrLDiMxm
MgoeRxlPNYRbCE88ANYbDhd/rebQ7jAO1Am7h0RK+ApxlXjhZUAW+pK3vzYVI2lLByWFlduC/IqW
N9D9LPezyR2xmtosALgD8IRSYQjylKbxX30u1ay8yCOkN2Yl0iMcjw2HgvcD7eFsfWUQqgetMMct
eBi+158Y08GSFu0feKwFWDqKt+GIF5mIcQEWQ0cmrxLoMLCB68D33eNeg65z1vXZMhe/6qa5gVW2
6HIL6ZWlMCGoIZ92gMQkl+I0F/jP7QNQ6OCnPaK6bNbBcJRyM3bYT9LmVFksG353xw+a9zSFpH+G
Elv8yUzcE7lBEr7tcnI/krkAWZJcD56OwhqzT0B52bdgqsIs6m48CHFNDW9tDgP/cubEYt0RTqCi
UOGBFbzwrepnRPIXLCgCB5AezVYbocLDBjLnzfSOHs/7WUxn6w90kNE/cxJ7uVOJuBtzQ57i36m7
PBdi6Q38QcycvprduM76sORqjNQ/gaFq9ctYjMzyFn9hcF25tQPtYsoAMz6V5CFcA3ec7b+HqEG1
S+Rg7AGRGaUqMwcpUX8RTzjJ34F+EGBLSfpG//foPU+jnx4TFyrSjjzUgrWTc2wKt2uIJMB/QyL/
cbv09C0SMstHuV/l5gD1QYWgUQOvNe4sEAW1O8L4YYurgGFXtu5NzJKUGfByLB5aGZ5KLsPoAdDG
NM7QDj8RFt278RZHqyPeO9kaANQDekSGDLjz8DS3esQ+YlzZRteRpTO7BlumUaK5TDZYZeft0+XE
KyN8QCPz2VXJcs6ahB097SVOJZ/SKXJlhZDwrMfiWSstkRqRlFgLuSRh08GEgHHX9LbCv9ZkuUjy
Gp1XIGScSGkyA8DB/o3oPwdMD/e7spljD4nqZuPz5hesu9a/z/HEeS8fuVstqsdNHksk3Yn9p7XR
ihS13p2ePnWJBCeLSALiYxAVX7Tz2RHosv8eITJtT0Z0FvliauC2qjVBjFkQQ5nfh5XtG9cORerj
GzqkQo8tEWOjHrNY12NQC0f0XGJBZ0yZSPIfl4ZzALBm5CXJ3rjIetRbrsKbAiQckhwQrbzS9PcJ
bBpOlds7KXukNe+S/+AWc6097HY/VFlfmDX0cNQ4p5rtA8UAjD5OB84XEIWLFGBkb/2GC97CTjES
tzIcLj51Kvcrw+fbAPCWjSdn3lR8NSbrVANyVikW2/Q7CMW5FpOwBfRI9s/yPrHZZ+bsSgft2Lbr
jUWvKvcS9pw7jm2R4tP1pTX4+wJVvA1kDKS17At52zcAE+c2xe2njhl4BPogGNuS1PmFDterG/6b
kvHPlUlWsRhB45iMov5mTnyQUUxeNiW/FteQ0v4OK9ayekz9uv7tAQTqtBFh8YSHGHg+a3AnAnwC
KcrDdhZOMzNWys1II5FVLZ8CaU7MeuGvheqvyYni+aJC7K1+p9DE5FaCoD3tECGQrfw91+epRsVw
DLAxkE2Kl30FQ9H1qE08btPCIIxIqwVbK/sam32c12ez/zgHTlM3c8RTdUrPJi9MnBimu63cBW1d
7vWty30gt6E/8r8OxD4L9JvJAAS/4vImlmBWxfEYA3fAHLLLhO/ow2exfvyGKCgResscQJSKmPL3
p166MrIJulkjixn0X3ygsQ5i4wb7EZPw62lzK3nYxwkV75r4s0UBrDpONZTYVW3SX9Wq9f4jphsg
M2noxvz1oM+HW+nuWnbm8Xw2PXm4FCipT70kWVHdC08hi5JDs3TVqNR7cCVUgblSS15PjSjP8b8D
Vn6JXmeO/NoIMuEOUt8f5vEx4/m3AMivYRGZlr+T16QF7LpRahR2SiwP9qBp3g9RQP34nNXkDVzr
yCx2UjBhobt62xFaSjRIbuMoXIIbtqWHerHCh0UbEkh9Fo7/ykVo8pEOrPFHf9we+v7OR5iaxnzG
PBA22O1A5Nr4mBcIB+PN5tFNCcsVxMEnQLsEyVFnPkM7lSw/QTb6E3P06xNKtuCM6ynC0uRuZloX
vKbBS7U08MJeqVZSJmt1FmKsElHM4gfNenB2YCdPJZE+axWMsKla4wbaqegbR926hQdoxg5oYiKb
YY1+X+lDkh4qPHV0zmGHcLYs5SbqjKYqmPKaoKu28G6+UlY+oiWQXraCZI1ubb3csaCDHbbs2wFz
RniRKfiqXJpa5YrV1C9EpQ+im+adiE2hLsUfLPsVQkLPzYXjkFnDz1mOsCj61CXVCZjguRiH4+H8
AqOUYqeA6RxrfVztb5cPdn8fFb33NhOyaUBaOLSFayZhnsBlRkJeMgcSjhmGuTJrHZLwrpViNTQs
rzZtzVPPUJzXTbItyEeFx2mkqI50V7vbxReq8caA4S7TsYGyyXBTciudc1YnACK1dY4euDqkfq4q
iqFD2G9QEITIdEMc7wJMT+Uzch63+i+quEjUabtOpkwLIon4w83zv3GsEQRT3dOYD9ntOdjfdK0a
lR1TUiYug47Q4s34zArC4/DGbbHud7I40jEPDj2SYMwrovHc6MqyBWSZd2bxg95yRV0hXCYCv8Mw
ZQ5S/4sD8OK8KcEDkVbncjF5WCxWAsBDuba920BVbB4xvaErKpXdgWNON8Z4K/lkYGNZJJw4GUH0
ouKX+SQ69oTtSY3dvMaYaWAIhs1Ca0LT3qY9X29tzvHUevhiAjllOTExv8UYxXyBvizEUUs3Eak1
765MYa6qlYD/eLhtg0NqsVFdNs0mFgYMts+K4tGT3BgzVbTLqsyU5njH9fxikc1hDhCa7rguxtqP
xI8SzhbCqD61LYrU3154/Q52sV4i1mWjdgd//Dxm9shyDZR3QZ2DkS4vdpGqvvGTogV1o8/EhDJw
VxvlzU9HhT13hEbuGWBM4Yrdiz5JNyfgYGkl8YUCT7No/d/xVkyLAsmqt5iM01aVEKh0o/6rOHEG
/E5rLOz2qppl5pL1yJpFGFwHkmg4JBglLkqZJ4BQx54W2+nI3ja9abTfeo9cTon0UvQfWTc9RJ26
36UoL7i/s2EVwsjffTXlIjhaAU0NPpPwnUhI4MZaNz9OYNSGcBKNzja1HKcfupu0W6M2e89LIH0k
+gB/fjTuAEvwKW6OpCSmuE4hqz3qxCwU/QXW9cWL6pjYE/JZooI5QXavjF6zUh8H9IcioLlNFt4B
4jHiQTZQ+L/ONsByKGHtw0r8PH47uU7Mxo9eNkjLKEXwz1wd2pmRu9O+ujsvMICvref14jH8lD6g
3PyGOkgeFp9sb3blaw8LrUrAuMWVqN3mK3dkQze/VkYF/CW1vxwayEFRwW/AY0kiCXVQInSSHLj0
aFbh79hrYkFab692Ds7FHgNpSt/s20hRnI1PquoH/cXkkFGvbiuBAUhoCPXUZ10yfzz4ytU6XXkZ
v6ZVtrgoy6DZQr3oRTcFG/AJZonsTXI80Ik/otEymekaGu+KpK8TAYAbnw6/qKqECI1xxYGjYTrr
+Xb+I1+K+wFXepu/xQh7X8SCQkiZiD5UVNqN+cFmc1IY6jBDdie21Q79xpIzfHGlCRAGhosriRoh
fQOIWHLsQfRXSOBYMIzOtWKCZynWzO5gvhFV4fniTg7Q2r+EQCIfU314g6mtYP+CjQcqmrYJYlXF
89qUj4aMYUXjrotWrOLCSHEI9VfrhG7dJl08kl6Tr6yfFkn8V/vtf2AKbzsn/JvlGvL/VMZWhAst
UVLeRdwQxhYNftdCL/VM99S9lxFYWcfwfu3+TIvH5NLp/dbVLGg/PcqrjE7o6wu9YZ2fsVLLjirU
dxTmCMzpQyZFr1YwpzhXZwpwwmh17DVesa7UsVF/B3x6q1ZQttjoTDd1IRfl87RBxBFUuW1YmU8i
bMU5n6PCLz2ARd729qZS8nipiPnoYcKRYPGulIoSqyTcPO1UdfwYROmU5EIxY8JwPzF0IJjHRiN4
lMA/KmxltLZkMOTjMTnbwiglp79EIISQw13EIcsA2VdeJF7jNHe8wSrQMkfaeIaDc79IQm5c2uJ+
7+wdeklbBmGUJ8tZzX2qcuMkSHcT4ffvS9tCA4puZJ0GiwB5DZLR1tKVGA3PKWX9XcDdVBxLrL30
fYr8y7DrZskJ49KdlmdEtvSDNKS4qtrYvqotorz4rzcO9vPtD6Hj11+jJu9ff14LkK1lHoBXviD6
xJck7zwg6mT8mcwC9SOzDTCR2vTgMvqTiFK+8Vf6htKDjgDK3esBjVBP54ARqsTk3sNT/ybY7ERQ
S7LR/JwDjZQdlNNMGYJya1ptM3ukkG1xOlozZ2GK4+l36U7A02H9BvUbQcIkutJh1Hiv7utrzPg0
juuAPB2WgzslqIOZfx3r5DY/CVtMAMGMfUojxoCMNRS0FBxzSCnDCHA36xmD7mMueWoUDdbb2aFD
dk/G9B4R7+3haamXT2RdwOjTuyNTpxM1jCd2+3q0hOgm7oWJmFve4p7JK8Xi3v1eSJi6dl5MfCwg
Dkdj4LyuDPaanziEY+VV4sbakG+z/rNvZ32JQYqVuLDDJYElF1NidWJCkwSBLno/V2Aeo3dmZJDf
BPMZClSOyFNsX7l/JsTNuVUPI0LuIu4MyJxjJoeViya+Txjz/dMQ9ovfVBCpUr5NEFAOXn5QtwWC
yNUK0nZBCjdw24CXKiRTvcZlPEbSfk3Vf1GNlhenhjhMgOi6b8UYemYXRHGv+8MtYw75Vd/WGc9f
FDkX1ewLbm5qjaer0RZQXYnIJIGrsgZL7R5Mj5KSAixBIyCazuEX/ooPk4vKw/Es1gGRPzEJz/q/
zSgpCp89OIfVvsudGlzjKRQpFA/IqUdrcH+TI+F7+mCumQY9B4/V3b79vjH41No24OprN5ZsxsBO
sukFR4wuf1Ku8bYBje4GPWE6/xweOHtLkAnGu/TFIoz2R9oVhuTc2CTD92nEC/ffsBm52uCtobrg
an1fipZawlulttGmpEO3EDUXWLtjKSe3rzSJLubRhdKaOtvkypN2T40Z7rfJPr799MP+cJVs2wYp
K/TuQXl4fDTHvKbVquUMGPIpzcRyg5JHyxZ4gksFbbocXRVuaBTMmLTWGmxATCIq5LyoXjsrHhDr
tMbDCJhsERI1qecL/+xKDf5NNnKBNgLBrmEaEGzzvDEBmiP+EBrfX02Kw6mgnBFSjfG7fJTSsLnw
RedXX3S2CiA3ChoNtEGsBnitleqWUM3sFI5UbPD5Od6WThA3cV4TaKdO+eylGOCdAhW8+z/9QGct
bJ/ArtbuH4+1yrn0HRdT7MQh5wAEZzp9AKnKt9nmu2QQ+eW/32wa5L5rJIApAHZbjqCb1iNCtR/f
nmlMhO/tAih7HUpJ3Gii0yIem2o1YqNX6IUrayYESIOLzqPg9KfinzkhhbOEz9JfJuFkCxu3qm6A
Yw/j4CeKvq/cSFMEaWsna+BV4DYDu7r1Nc0oge8Z5EGgaILVi5i6Qh5V37gA3vv1IeHoMkwzvuj7
2fxWr+q9kJavbjk+i1S1W7RSWxo7i2i/+yBCRSLX6DH66yqAYzG76qyV5zzdb0i9816/KydWjWah
3c44GE6L5mbZxtZnayJTKFebP39xWsRLzivSIaLV1m3KP+6b4pMceG6c8UpNHBcm7rvMIxkPzYTu
kXS9gkjtnDZT+lS4kGpC4dVJKZopTtFaw1PrOckWGilT6VfelCr3iZU4R77kCdZTUbgBpeUiEG/w
i7ueRt74uXghVlTU05U2LuB7eFYJ/HBCVz6ffz5zlte2TG/0lqgrsK4Zss7LpiApeJd1LvCsxIQX
MuDOU0yhp5GHcAyNlsc2Son7MK5u9Y0qnZqTFC/M4i6uJuvxLrrJnWNjtdPwWfnrDHPAyLvUaFG2
96xOiPR/QuzPzQVR8fvTGUHz/86P27Xdveq4muEALfMxWrONYVhtz1zdW7XUTaNfXQKwijF5XT96
TkmOAfDASuCfK35oRN8Hu7+ipdx2GNtNQEHMFIEOZILcr5F0q1OMzdFOXnffbVo17Qbdjcq/pBt4
mfla+7KRn09pjtfbmvLVJ9WKAaOIrnSf/dTubn46mk+b6OhjNKJ0j2hvD1dlUoGLMIVgXFStPsWq
l5XAl2ilR6c9NGJ6CmQbFsl88NYgmSXJbEHqzbqquvn4SIx/mjPideOhdXuydndkdoiW86OYJJen
HF6hDEG8SZAk50i1lsNshdInNTUDNnrVgHKoYVQUZxIy21UhKRkNoNAN30bscjCsd7CjqeeRmSiC
DR0rM/J0b3a++5Z7W/urMuZUYvytg//3DsZD/RIdAjEjVVOdVip1yMZffTRJgi57G3XQiDKHjFNK
Bh4LtAaUEqBlGDRce2xPnPPx9s/WAMTDdsvUF+ZiT9lThVf0smSE3Q4mgfcZFMx9EFrMClztlVY/
TOOzTKliGhjPkwWlSaQjatPWu6IA9FZ/ms7rPG/Inuzl03S7fXD1TPKpTCRpDo7dPvLEQZjIP9or
pHGgXSv7RIGkDBgI1iwmtNU5OvenUv4RklxEkZ5jW8NGPy7vChQAQ4YeoHt2Dz8eO4QpTaSsMma3
ng9wxqhuYoIoycF8FhQXeOR9aL1Q8NZW2PMeqOE1LPhW2J+Ap9Y1YyoAdQ8FJkTQ3+RctXAWFHMG
jVuc0y/tGa+ggGiub4mOI4VAc3wYKnRpPfyUSraJUP54SOfspcd3YvJNsO82jywk3d9aR7ENbPIJ
V7Xuxj2porOcB1b5/8G6zteyverwms3BZkmpb0vXm6IVOVuGFSnrRBSgA3IrvhMdmjoZAesTd6nK
lLCL3LoYltV1G9rhUER3KQgPWjnNG33ybw1J4phLLp2Go5sy0pBt6c9UALZ76tbqR4ZBSR2elCe3
Y5Y/0Kg9QUbbPurktHBPGwXwABS16qTMY/sBtTpJm7X+Ph4dcEWsE4mF6kBsZWbiMu5ONCPkuPcy
5uxfll83Lx4E3RxzI1UsWMcP0fppmzmL1X6129cL9CaxLVCSu0bhoyv8w4Le/lJu5+d7ZFLs0SYa
Tbyg25Vl/dmQ7QT+SAvFjm1pkPRhfJAC2MfMrD5KSOfEtdIdU11nIb18ABl4L5dMyPIRTknawrjF
f15tV92ueX0xo93Ne1NnwS69F5pwVaZuboGhWdc/t+p7X0aSjkYkstKprv01kOC6iwVDaeuS8QAh
TKt0Whysf5CaHk3Qbj3+hcDUwFKfe1Q0bodhD//27lysAJiJy+qzush0M9dSrERns6Mt2abX1cbT
LdVypbvfa9wRly0wLOQMU6KShHkKl5Nn2m+QcPwy4fZawlGastbTdHuTAg0r0hhCrwqwgDv/48lJ
CoDthUwLznGHDUYFPO2daNevkWp7g08nWrHRb323FZbFNVCF7yiVmCu/LLD06zPTGqCOWM4LsUs8
lK4cajR05UGpNGARqWFVhHP5yPdNQOE0T19b0DqAeRJW65Ot8kiEHCW4ZgznQXb5U48qbtcNfPR/
H5b5K6u91f+44xxX6hw4LLJw8K5jl1vhp1WH52vxbaI+Na4H5xatCwG0WbrTf2CJGkqKjEHpoCqa
FoFp1x039+GwxJsv5Ac2LW/1tu4OCgMkAMYJLY/GIrccdZR85yj+lIXKSipqupLAWIRUlDRrzdvk
0bpPLoCbPlaTi4txeoPeCbOtQsBYCiSDYs/GJWvEazJqaJXr/TLjzN56u9lZ1nYm0ZNy02dywu2b
P1d2febTOilFQBn1IINN8jaLQ7hvkbG7we2dLIJibfGsV5GvNTr0nSeNOQmp9wLAFjRohr99MIiv
z79PoXYEdlFrm8tWbNYsYgtme2DidZSKYF+Oy+y+3hMGLRNkR96jTO0NTWV0yFRtDSuU2AyEp9ln
8qjmsLz3AxJAg5907K4xq+/JbXG4aa/1BhTYfQlZ6WNxdVUjuks7UEjibuSCC6tVlcM1Wb+npxw2
KPRC8lOQ4xeAY3pvHBCTHXZH16/mcI1jVvTGiDtra6QjjALHRz3T3hjMOKi5nw2ea0n0O9ZBPUVG
8NbdYsnHYrCj13UDGMG+Wq24aXL6E9qc2lNuUyvzbdpxXm/oOz7dwy4qOdrxkxHpTouLgfJuM40s
6Qxn405XEzRwhMq81g18FuKqaq4h0q8uCIJqLGQ2n0ElL6xRemdX2gFqTdVp9bUZiamsSm6WjplN
sg+yEyhfshEPXMW5Ft4YuM939mNTz3Lj6NIdbW4Ay+w9+1K0yC8C4P+h5glPWTOEhn4kuOYt91jA
phaK12Kl2wcOtQLVPKLXMzVrIXeoYyPfF4+yZfb2zBgYApEnWF2rqZkPNt0YChM61I7c429DT9Eh
akzVsl17bmbukr/lXFCC8zy0LElpy7rsN+ERFp6xG5WZvi9Z/K+mdeiLHxMOmaqFo31PasDW3k0h
91PMqtwVCMADF/3FSr31RWiuSfeZwp1ITjN5cQ7HPkj9XP47qyceordTWuxWwcWlmadN55FAv43C
ew8Hk4vw2TsxtmhKLRnxXEnS6lZ9ENcJETfibRIZZSuYCQd0vwK1HKkNximDH7LsmoM433Y9eE4G
OhiCIQwR9zcZln0SQmseWezA9barRync0luylRWEOaqCaFBgwHVrhK9OHlY8b9PJqjtCQfnWKmuH
KvwHTF7Wg5JI3f2IcOiwPlnrEKKhKe53MHX6cpRikHWY9NILihEs9bInQxk7wg7v4Pxi7joz8iPm
luqB15HumZmd2QbmZau9IvirZ/AG2MUUD7GS1CuiA7q8BFzTta63OVHNYOhwTf46RsSDnJVbMx2o
Wtm39iZqYktdJH1rLkLoxDfjW4TrcrT/iB4unAoCKNh4N23L8EcNyjA7LZG47EDcjGBsiCERFPTB
hWaomFJ09HxFwe2+NG8bawJsecAhuw9DHyJn+IYdN1jo3x7mZiMhk1IAfAQ90SgMIufg7f/4f5BD
SwCUzzDysHjEDK+oTKFxz3U0zEJTmum8bDNKkE+WtJtesMIEcof8TSgnGq3pBUeGW2IcnDUWuaZo
+2lwoBGR9b9PUxY4rGOPi443NSOkxLZyRPHCTWwdhVez0ogO7eBAmioQ+szr6RNcqpIUWH0bepfZ
iFfMYAdw5xd2vII+Gt7deIIWEBqnBN3wwQ0gILM7GS6xW6Aesn84N+i3x76fCM+Ck9b/Zbo/GHyi
ixNp+oxFkDEEJ6Z7CcaZCAh2buoh0alZXLib1mP/efGJ9yxKan7C196CRulz21FUyqsLvnDLxZI6
3jmd4+nWtxFG7bTp9K669CI7JswM2Rn34+cuQ7vhFP8nDkmSa3I2lgm4XOT8zmRvARoXQecq6RZg
anWdyc6qA2FaG2NgIF4SuNIAbUuiRaaZwxtdibv7RBs4JUssLFOmVPX54hFac44mEf9u9IPwA53Y
A+cFVNRhtR3WFBW3xAismNB36rxS3kV/sVEpCOHQBT7fbNZECMOZdv49NXvS0NHQ3RmztCqo7bBt
E4D87Rp030Kk/KjQLJ9+AJcTYy94NLDG3HN32lkzaKZtb2srPc+335H1eIyHNS616YDQr5RAInDB
LDTB9P/U/Mpg4ny5lR36fxx80bC1CJoEwmbSWUw/rg2TBfq5xbiXQe3YS5/XHAMAzsmwUmN2IuZd
k/fz2Dv9LUgxASiyi8ajCtKAT3JelTe8mPbCH11L/ywv4TmItWR2J+jqLTt5p+ObaRWgzaplwinL
rbMlxeG3CfsZEjrxuj/W+CamT0qHdd7rse1Bchj7Rn3EkXQcGT9jovWLcieSaDiAb5vXEaYF9LrS
pzgUrM243fQXYSpywOq+fO9tZTDXDF0mA9RpRIClwdhUHAhY9xi7upket/V5hAKkTJJ7eIFXc7rD
lvAqq43AImisSh8Yx4Dx257WLnowp64fO69jJoHzb50X2h61uaBUwShk2trqTccq8CFpGJbX+tIQ
TmA59tKwOyS8Cw0Ti4Fgz9aK/Thlcmo38Zt9CQaabQ7mH+u5RQ3RzJ0jxQcKcnKjgutymH7fWw4a
SIynVAF7LiaUDrkldpdV4DipsWMw5R+mXKTZpVexz06nZ+980elr3uS0WPqRODPAL64vomlfB/pS
cuQbur4ULIyZFZd5LrfHM8cZA7iLSzCrHO0+fmY6+re5GkK+RFEmyr7RvW31WeE4Dd0yYfGAVBiG
q5FM+5/7ch5njlewJ5TyeiUocmD8+c56xoOyCcO2kIlh30UL50l4pQoHjEXQvisWzcXcSJatwOnj
pje2dz6gmwa/uu8d5eO7MxtZE7o7V7DmrBqdsLejY2cAMAjOEIyKL4hQ2+Ib3/jHIRgJjMMEo8aF
8DqqXPr4myFDRbpsANtfEJd0IyqoLOtFYHTYqm6nf1bBZfDPj+OalRDZbpw+ar6eiyDMwZvnsle+
fNsR+KWvqo9Jv3sbUVNbfbNYTKV26QXRruxMxwe1B57FyygAvpbC9k9Ti85jGoykCDlnSIR7xzvs
GbEwmpadUo6fKP0xyPtVFsxLwbPP4byhaGaCOgJOpU8B3bocaGG099SgH9WqNXQ3f5LuTnKVsKIY
oc931Qhy/pTtHsKmh2PWt/dGje0Ymc7FDL2/XB9C0iRskoVEtvKey+hHp8l3Pe0Z+EUEAsFD+lET
7r1ZinR55cofZdTuIDdOfhM0qpZ4SjsfMMcWrAVm3sW65iX4m7Lpwvdc1JSmjvYyzMpMEATJ+VYL
CaW+zFDMLBAaYszpd7Ci/u5Hkkn9mXcRFXi9DiDPXCnL9AZUIHIwpIm/lv5VG6JtrD6H1IDbi9iv
W30vDl2yt65K7nZFXhZVXtWXtGyDKfRinCYOHDYaZlUSvcwxlEbG+aYaKovXEl6QaqdkmfhI5Oig
FF6+wqA/6KQUSHHJAhILxiJv5Fku/fyNioq/pRlt2rTqO3WhamH3rRwd3aVyyaaJw7SpFpFTL945
35mBs0k9MVrMABD1ZWzYLFn6jxGL/3jSVX8Ec+l4XXaeLUlTTTTpYN/w4dlc1Cy4ynKokUUkD+yH
pdbLSNozx+Z9O+qDqSeOXXj+nlxV4/fSGDy2u0Qx7nAiu9SE7/v91OdzkavHJs+h0/dMCrDJ2OeF
uAKqHr1nPyqu6W6YijUoYLLsIcrQhLmXSgcQsHaJt0yW6Ykvs4N/vCapY8aOfkKGAa9ffrXuFcvC
aX8UcviNbH4QBTFTxx+w4TnG3hwBjr8vEIYpznEjDS6gZU/WIsOqrrQf8WZmXYXHbc3cj4WFeXUP
FipAtsShN4q1SmUae+pbtqwPBRqMzs/XcAPHRf7DyBibnm2aAo98nGfo/JPWSy1JF7jj5HJATRmG
nh4K8ggeAaLSfrLMtkK946lGGTMVJDUCb88HjKy96ZR9owmMfNFyLIl8eZqJoeCr/zW2+W8YJKG0
fUpQf+ntPlkpsGnDI6Tj34CP7B/dwHIMbuX37VF+q40mtkAbKParZ5yI3r1xnzBMoinkVTuufmQ5
ESyifudgg3IvPcU30iQN+PWZngBMQdUXm85C+gRHrXePUfl8CPHZa5Xc7T8WIoY/UgA32spNqDch
UuX5kITHDdOKpgg2c9olrfSgjPTnmjKA//xY5RxqwFcLgFuADADgoe4Zzi38cklg7VXe6wrjV4/h
sXkrjfXdUoWqIJPRCCeNHvV9yLuE/isdvuz85yRq8OlEF6ICOMD9QmOzujJead+uSiuDARn3z1xj
5ComTopcMATJc1p1hMrvhQMLHGWI+P8P+9W2qEmBlfz3Rg8cUHM2R+owAgKE0voHzYBr+EeNzSdU
WT0qsuUdCFHWkiIKo19R3w+YtduB/jUOhhWghsIuNe7elL71kiUVjPgzQAlEc+M3w/RKdClTt39v
N27FCiGoJelVIoa7Gi/pOf1gTXYPHJwDAsIL4IJOw14gBp3+IfiNU5FF9r4fio89X0nVJ3Xj8bca
a5ZsM0+z3UJp5IFQ6p0N1Gc4JUv5S3oocoFAwfCcpnYwPKOTqU0yEK0Eih5rnSDnYBombwSiPoA/
gfMM9NrZgmlnPPu5ZyOn5QbN3PBR5l+RI7YwVK4zAadDovAQfetJrFvFG6CaJGgwHFN/fVHGC/X3
529dB4qWt34cCRe4QX9qOsEKN2H0dv0qY47/5UPtuFFDIukSnoZDlf+KgCN/2en7kMM5iwhCvNeP
tZQcZtTLU2Arn5uRYcmshQxyQbMfnw998h0UkhenvemKVq5lQh4j3cghVbwbuUtfnVsb0QeQcrgO
sKhLG9t9H68xqgcs/Z+VF2y1osJvEFcD2zhXpd4yqAiaBYPePqXcQexK9DsrDgtYGToIKirw1Zg2
Yrsv8uTuya0Fvf41pRZ3JQ+f7YqZ8X3gddmnCeTkQrJqAIPwruOpsNzEEGKKpBVxC7xMxLYSLMKu
qN/2u1Oi9ZAIBhA8/Et4vwKAQih7ov7nR/Zg947YUmVwiETAnwvygtGKXV6yvTK/0ZDbXkchCR9r
LFYUEAwW2xj2rg/iXTPNOE0K3DvWPZMj3zjil07bwysURhYnMm4BYk70hhorX33PJ0eKiMtQYaeX
HWeYcwf9YXLX2JghotpgMRG/5J5N25MYcFM5Fk6u8qGLwVjdzCyWymNk8EDNFnwQfPgTjhH3dSxi
4BFDexfjzkUcxyVG6P+cqVvv32cuz/llzpd0l8r7jOwRNYC4ewRyUsu0Mb3ZHhfRKDhhJRAIukNX
MPFldCo/En9eLXUieGfNahQ7nCWX7Qn+4tNybrQLZ7q3j/9gqS+ir7I8nWQ7lR8aRgdUzJTltNwj
+sY1MHgT1vNrNdvz3FIMR6iNniqiQ7QeKyivEJYSoWt0YkhyFEf5F6K8Dt7vXnHe4n7zyvcF9MVv
DqlMay9bXlLA6fUGPWfUTDDh+WF8MqIbGCYb6kR6E3fkTHvwiJuRUNV0TPIAywJSGfN08K3AdJsI
ATmZo5qwcTD7Qg7tSgGH9OIJmun9g+RSbW4yqgPXO1wOKBg5LsiX4w3qRi25v+LK+6x9gPP5rmgE
4vHxwDWYfR7zCzBsA6QpXunOj/e9eyMzAKdEsBRDF0R3gahBIKq17/WyvJEz8MGbcS8Y6hP4jn3L
7h0uIha1530m87FIeX9uz8hFB6Bb+ZIilf2z0sNF2pbWkhsLJ7oXgaDn1DZI4Gy8MFc3Bz3efV8G
QfYVMpNvNlnI7rtfjtyqhTwlmsRc4xZaD0+75LABqgo+p7dLPpnMzGA0EICrFDUgLsuSz0GKx4UN
9xiYtRG7Bdwr15Hs88Ae1RS+ZkxBZ1y8EN6r9de80zY/S+ioRekYQmioInTUoPNVd4Ic7KO8WDLA
q4zgEhaDmWwuD3cRTEcoFY3hCnZao4Js9LmLvQAoA1v6comZtAuCT8R5CVzVcXpUpEwQDWxUZyh9
4kwJR7x5P2dIUGeGk84u4PJU0RQgmf+JQjeksbGJLKV/oHZw1QUnfW3PY9zMMlWTdCiTeuw4zDy8
En5pQ2qi0waDO5XvNz+wJu0SAlExiQhjQ+0gHlEr8IBHPS0WEq+6hxn+PgAPfDtc7FUotvDYME3g
N64zWWDuQNKsP3cIS7QmHonf843ee4pYzchZEZJKarLz1iaUg5CwYz08mkONM8oyJRG7c7z6IltL
3DFYF1YK3KJqLQeXaKVSF7/igdBz+whBJn3Z3hJoqMB/mNHaziXv7Q0V6vdoeNPhOcXT+DK7fmcX
p4OqBkcJ+dJbcYN2nExxxmU+1KG5kw3eWanP26gDCgxnvrNkUlILIIgbm9U8S90q0W9JoN8+jHte
Wf0ThbOeRZbHQ3GzeH44NYMPk7DuIGy+92+bNkwRILed9GUM+AmFXdXjv8kI1bamFafGIYeD9PFq
u0dGT/aT70rIzirQ+7k9gSWI6GjmdYLQ+OdGno3dV5lGgne7vPAll5X3JVm1NKPLSkflD/9iOBXC
iBT3eDdNGWKYhragYV96xZSkEutAmoSgB946WCFrX/4fVlASOsvNBzMAXv1oA73/dIODembS9JRc
BF6QZB0uVni9RbZgpfSx05PvrrSLei70EGwyrU7Otgdvrd4HRA8FCAlgU+jZxHqD0VFmI0gdrYFg
9bws0yvivreYC8ciHWoB2zIbFy9WZ5pahYzWcNvAYJq/piJFQhDBmbhqURS437bx/mvvRjY5FF9U
D2pDzOSDHF+nVxLDkWFGH1OCXUfbzcd9mG9TOxG4y+fJudCZTN8krgAEDKBa68NFdJkE3qbgubR1
gRptKhgLei+9pAeLVBxpt3dKnRuDJ4TZO4e89FaOtwNTGCOGC6/2kKmaq0eLQ3s5hY3EHLDXUmG4
q/wNynEkR2gl9R99TPECyidZLO8GVXqsXYaHK1Nx4GTnf8x+LIFGJ9PDE+zYKwq4tZhd591mWshD
P2CpyW5Muj+McZMZIp9cycxzvstJTeKzoUxXvnsSFstLC8pT8uf9Lgr2MHIspIVKxf50/HDSMiSP
7LQ/L9t7zzb2QHuMQOje32D6IoHUAwT+Z2ashwMypc+eIo/jqFhY3tAOzYTuw1biZHDnaycuhaWh
LM60sPCn3d6PqAcKQb2hHWXA+ekOjCmUvZ5WrzStpyjKhroj3VgQ6XPun4QyKZvIfp+xkGR8ZeO4
r5vqUA4qRVUMjWcg/EWz5lwZWXf9VgV9ffabGQybcxBYbLVCkbjEy8t1LNwD9xL0cEammO4X9e2s
ApfpYedPS2wkn1ihEVz/xp6FxdeDKt4iUfM+yGgjOOVeoFGdycBhonbXyfIJa3fgvYbL5KMeolxr
uDWHG9j9MnWwdZ4vsadG6jwtI7DaqOzctlyx4Tzs6X5c+k5uxF0tcaaaTZJm1/4fU9eTuAv4y6aP
/qsBLVY2zRfBUktYYn2Ay8EiGJhhetMKh7ObySeoIfB4cqqj+IvWu/9AuwZz76s/YzKbR2pDJbWN
514pGgKcqIrecGmTxyHsGr7XrPp7j3S14BpDJlXbpwjL42Soj1RAkyoryxnikaFygHepEyk6+xoO
fbfCJrdp4DtsmVLb79dABH/ht50WVGHAlZWrxqFjjVdahcSqKYWt29+5lu9Pq0oDdj231Xw73WxK
Kh11K8YUzjdfBCwpaCFkkwgl83OT5pfiYwmAg1CnpznPUyBUo5S/0SnQoSiZdz1+KbsO/oeh6FVp
8Z+3IqTvZkJ0LW9lxI0gooQIwmtIPyEtkNSuQ8pmnXV6hK+0TpbS68CJr3hCfTwN8kRp591hsK67
PVaTwQoVEIeTOTuyaT2AU4Xxd5FhbT9j2x3lwtL7KiAylTb9XVhRjT36cDSFnd2VwXxUJFAakLjn
+b0ZZJUxPtVai6lYN0iIbzt8vqO2FeSlfh9rp538rYgIK/akYmDxCX9onW/TmMDigW1MhrtsOfGP
29bZXsKO7qo2nH/4VgyYFmSD+uGiI2ejIWDJbRmH6nzWBh8/Zdt1Df1O3kzgrqNVRKPodgfM1zzN
0Ft0m5eckKnc8Gj8jUa6RwMSrpustHLZUNOVrDciMG7c9OcVul+Im1atFNgUZJKn99ou5ZB7HOfh
Rczo6qdsfwyKWGaKmUUBEsi1OfNercV2c5EQZL06bw5VIsIY5bTjajm5LipyGCH1CDuN6TxFIKWt
jSxyD8YIpdpgOBr3AX7m3xaXiBC81uaPkR3I+Iv419k9mTSoqOteh7Rocm5UA45sTve1MVMvCua/
1qp4rOpeTDbZsDBVE9/9Qd2tFEGOz3os69ZZKpJTMbYzH9eE+d3Va3Vo0OW9Im1f5J1/l1TXoO3z
4wH5KwHMdTdVjVC0JdaBXxt8oQ0kjFXAriFM1C9eXUBM5KhMZRFnzgfng868Y5IOU97hDvaOeTaJ
A36FcwzlNfMW1XEKxJpX1yzI1CIRQ+r6Ym1itAFoZwVqLHEnFrOlWh0B4xNK522Ln8XlEBAk/Wro
lVKRHtC4Ew9kUHGIsuDu9glPycA6qPht5ASCR33qLb6o2FoMq8hIXpbmkuCTeQX4pmpzbZumqMe9
vEbiud25aOvTvJroNqHy3kY1aJzPu0oHzAxN5L9+vzvY5oe6qKA1CFTjCmHLbicMx3rO/5nnazMP
0I2VVoVCef0uy3eRjWtrHce/OETbT34DvSMC9lwUgGcPMHZ+XinY8yXH8RW0+81q4qE2uGyWAUrI
Twja/w8KD6mFrrUQUWfIzveVViq7UhKQfhjcypTt/R/Nx+5JCnRmZ5B9c04sUzv1KPiA1jOibxNT
S0y2qUAqAzpD0+irw3TtLWZOlyztl9XtmprfcziB5VD+AqmtJV7N2vV0tnqzPK1dfeADJPlGQNqB
T/9VNmPliD8Jty1M7+1s5p/7aq0pAuc1P2LGrjQUX3R326CYMzOVo/BHT1EnkPIFN6zF5tSQLtwy
i5lElXrpBbDnkHOunqiOSs7lMPvuSwE5wjuLSlg3s6yHwTH33IRNDO1p6lGywhA13jhed60QwNNl
IUk8U8jyX7KaL8/ob/Li1a8ka3gfDqUiqB6qgZouAc/fIgNt1vSXVAhLGORqaaIJvTblmTUJrOeX
CxwTQafvkwhlwjVm4EbA9eKwF3/4oYiHvA+jLpj01ahoXOyQcDhan4PY8/HLeTJEtAtP4z0zGTcW
jgwgEsJlDBwn+Di6vGQI9tZoC+2uY032VQdGG7su8c5o0zl0HGtQyb0ubzauGlsz5fYxVWPur4fp
faIdI/Bs9X99U0XXsxSrVNW20CdRVefIQ66DNze+8vY5mQ5M6TxFToU0eHDFxgs1SVG/JoTcl3a8
A4cKh/KxMeEIpXOVUAyTe+GSCqDcfr4e5cOVFlUEqFnj0gTBoPKFBZgNWYs92ikiYc5oIZC53MfX
h+wDvUS6e8+BDYQc7g73TUMGn0MIwtifzrh8lRFbKimpLqBxIr86Cvb2jk8YNG9/JotTVUwDAbZv
jH4sCdf+nz2kpbjBB5ABN+YovychNRbAtFxEmFAjQurUnMTvHms2v49XyGcwCVFYLbUBHom3v3Wk
TajT4wmLGTb16A/5aqLtc7CNk470Gki3vDtbCzqTvZ05MEXLTotWXNPUpu/gQ+dwMLek8lN8ZVLW
CEHpe5tPf3JflBN3CYxDqqns8a5NhkfHITIJGHC5QxqS/irm64lhaJ6yxAJRZhBUBUEmlo+VL71O
iAIT1KHHMF7g9ZEflPfuPf/dKPZiLhN4jj/5bBRiFkSggwd21G9qadK17oIgWxkENpma0KLEWVYt
DJq2Z6Jgmd4cC1E8+ZLAOQQU/w0PW7Gs1aoF1V3bpmJQIMoB5r7OP1FdzMhQZsRcvCYl8KmvXuYI
I9HcgXKOcg/qSjwtlsQh2esVsvWIKdYC0gZEpiLBbHlsQ4G+qiNIVq7+i9+M1KpVwF3FLUTgnxHt
UACetTWevpLnPy16vWtuPaidG7vft+5A5jqofLHMzvzkL2YvQZkTz7JBFytasj4kSoCf+hhcMuIo
aQEZ5bJF8stoTibvxpKNs5FPksq49FA8kaIF0m+bMqMFHZA+S+S6V7ztbqzclX9i02gMe7SamP4r
kqN6KoAgwaz7qCCleB9QoVH/Ij33l2Wg0vxxhOjwwAhu27VmWXxyYi1f17G5qolcs+GMUOms3sR0
2+CLSfIGrKtXzit2oymwgdwHW1DculluBj3Umg5250qd7didZwagohGmKP5dFfGTXe/m+Z8UNcCB
JCBPOUXbLDbo05+SLDUpgZtUd9ms5xrBn1EeD+syqtfXzHANSFG7WTiuIJm0vUWaCILWGRLoKZJQ
NojzcJ0w6gxcHGNuhi+ZwlhtLsBxQJQLCB7hZsbNvFMHCmkZHE/qviNcweAPXmPcuUtL/OQAmDhY
4FIicObLZAdeeRu2gnFWCIFsf/L5Fxa6itusIA2b6I9UZ7HcojPMmYAKbPfGYIkZBY9hBpwq2pCW
cBPUu75oMGI75ClEGlFaMJeIDFoSNObJskY1WLb1Mc5ozoC0h6sfOBahxRUwnbruNfl4Tbcfv8sl
Zz9Lnlrv0Wt2zwAxBhcCqh1gqKrkqXfLsAM6It19H2rxBcDav6xmCm+Jv7guQI/2CEyhH0aTLHJQ
tMDX1v02aVYRIeKOHtMbzHBVFeOJmDHr9pdYeKqEe3B/Rkq5yTpaLCBglVxwzUXDkUs/eV7BjQcm
yGr43JzZPtavEOFbZqaL3MI4xZomGxc6g4u+UtSqZto/BCX/cQ0e74mxRMWtobtzE8g9O+YKE6j1
Jaast4+BUx4ST9WDrgocFUvQuCOSipM4yQJA/SmuO0Tzkhuztmt5l1LISFkiwUqftdhdP86OSssV
olaqPx2+g7X2Wcio2G7iJO+N88IWcdgSD+CVFRHe5WbsAnmuvxVSIiMuv2H4rkyREmw1XgmAeVuX
txP82xQ2TmJGjNtOlN1BBs3CBLizoFz2pCBlrcEcoKFpt1XTE4McvgKkfsc8zuZI8D3ri/01UxVf
3CrtrjGJey4vPMqTdjei7nHViQreYAlfoZNxe3/DFzwq+fSXvwmFnwpcnqKuInCtnYq+XQfReE3n
GyZHTpMuMxSDIJn5do58GlXob7pwbAjfvNcUIrB3eAsUQ8NDR+eiiv7jT+wmWH7ckl66dkIRzAxX
b/gF3j/QmUtxygyV/YlGhZMtkN/FoidNQy/QqXuBh8BWnLjatWLJn6pYR+ToimdmFJ4uZhpebZ1D
Apmy/LMkddN7to0NzAvIJu8wvd5qY48oNqieXlO35PSbz3uOoGISTd/xxONphCs8ABy9RC2cprYw
WujP1xJmSakffLas9Yz2d9hoolbtxL0vYPeJURGbOQIMx1BYUxWZygRAoexuSjziRh7JDo9KVyhE
RhzQ1Jkgpk5//yjDstsfOAVyDiAlqVxR57gQAAqcfNV2WnraJ56xPlGHYzNh9sORaH0rOnni1gkA
hesdX5X+EcScUXiLseTPxWX8R+bbnF0wNukuUZbT01t73tp2o5Bo4kbK1ouMPrSwHXRHdGFInCYD
EUZGK6FXulu9XTpkV6OsKV1zgrWxTQ48w5aHg5fx1yiKuLbBUQTcJNhOH5hD5N7UiNQj1dqXBHSg
BIDO0eYqG9lZtsJpWXBoZnSGvr05y1D0GKuZcqLZgwvFSllj5/1tnU7zoFq7sIsO4ki4vxX9KAI9
c9ov0gwmBPG1tZcUlIUf0keAdp9+UMHd6l5XmVie0LUDuJu17rVEhnf6MvonXuR5blbpk/nNh8wN
PPVNEsDVUq3beAFzjvN8Q1ZbAYJjF44DLjKf4TK2VPoGnYvZFyyw3up7O6kns8VZWAzRP1WByR/Q
t0ETm+M8EYb8LesPMdSm4AUcu9qPxowwI3hzaRHHSr1KKxb9xYvtxhwpwVI3ymNegJliKGrtQCjU
W0He+X0Uck6WALtjrYxZjsbuLi405/t9hvfDDvtzRFdJyGda7tcIAyoilX2yNOAB6UiT7PNhYDEK
+NoR2ap49Vohg6YiI1YRO2uXtiwEFzvNLUClxryj7UJYmsiWaY6WX5hbG1j1qRMfmvT3xMSMNrZh
nYM2w1zeL1Qj/i14G0/SQtA6iLOyKs2Qy3Nm8QxN5CFDM/+YOcpf/v8cJKJqIn01Iw+M+YFHirhZ
0BfdDXojF6dKvhI1B2WF/tHU23NXx8KIzEB1f0Z1FU+N1CbK9wh3fuENUqCQcnfdoVu5nd37xmec
b4G03ahnYp5C6QyWeSbRzQvQVqRKfhMFWZrq9zZa605eEz4Q4GWrh7pWN9OjiS/aYadmnL6371VV
zyzGqf3DzIUPESOhFtdFPBA956Znf2HTOKwJsUTt3MkcSVeKashtiE2vCNA1D+JzJnXTu7TDKov5
fbb0e1VmR5Ol4AQ3O8p1Fq1l3F5ZIs5G/SEPxCE/aQ6ISYRcyuLhA12ptW1QDk3g6PMKCK6aOT+G
ANfm3Rsfuh3YM8f9oT60C45Myjf4dN41b3eb1vq7dUzXI6AHZ7fS98qXALRateUToQ0ysVKP3Q6S
XOXHdBfOzoaqBFolXP2GbYj/2R2vI7n855DSagtWomng6W+CMXxVecEUlsS8REaqrAVjWM4hwOMk
9jYiIIeXWzh8BgSPn9gAX1u0k0A/15acUzP+3py4fuTsdUszouxdQg1l6SXFrB9FFaSugFwMHGnE
nyGZVYDHSpRdiTz1KskZJcR3t8ETR+5//tdJSoHhFYa5ZNMx9XQs4FbTECQVkt+00KECiUF92TNi
RtufPMLFuWQ0hsBsrF4kdh60Z2ThUC1Ulo4PypFI6GMkYHrTP8oHJVmDYILZCLniccGn8CGgD2E2
kobFttTTM3TlQ1i+iETU7HAHxOgXJ+26jY0JyLjNmt8G4I4L/ZXFR+9fbxAv27Yw4VMInCMMBAvo
WHLzLOQ8OPeTwpkX8FqjprrX8Zf5RRyzUnWZpG9ipiquVHsac3MrbaS5JJNKH+UcKYzIjuwSmvva
modASim0VeekGmhpNpcl4dzTnXDfF6Xm48VQ3/OXd9EZJHL74QkGLJ4AerF/3w9k+Gpe7WXEzrbM
hSw4QvCRmvK44QulJmhsb6dv1yeILZUVo3bOWXlF5gjtts+CwBdYqkr/uQ7mCgfSzV3wdEBr82K/
n5ujtvOSfVU1Ak8puEb1+3vpefz6F/rAp/lSH4JtoAqXfMrbhysWs02gv4VWaU14Fq5ylUYJJvT5
tpRgOBtqOhGFANroIxFGZ+/Z1m9vCF/S0MBxMVh3nKcPj7UsijhjtmjUPPa0NDQ8XI+WtYhZkQaP
aVxbkuDGzMNZoVeqXqdxkTQ/rNWCYkh6Y+I+Rd6gAYT3Jd47Noo89O2CdQqyXGRe6DNWRT2ka866
SRn3N6sfH7qf5ef4FUX3aNopJQmI7ZJkB5tXvOIP4uSQLh67MfxeEHfR19JsVbtaHAapDAWp7yGZ
yq+K4Wy0CyrfcsK5Bx+lOryVpkvgZLkTGBGzwHzVj8AeGpqIRsi5grylj2RhGKFcE39tXQJOoVmM
vpHC1mDfoaBz8FCgM1XBBqBAEgYQ1nIV/DoSjK1VEHNQxTRks/neQ07EwS337RnldtEh7nMV8ZJA
K3UiMFgU+aX6NH5UuDSwEMqwnu8rP+pqaYNLmFIy+nmnaHKALQSxSOWwAy0Y3YAGoUp0y8+Ksq9m
rlcsOhWoYtU2m/Cekwlv+/JBhHn+jR564iRu0j8X4xW0XY7fMu7W3rDJ364R2r5xOwgzi9Y+99so
lC3m18UwMEr/iSXnutpGtV3OUZtsHvtzwVY5Wq4uaGSVkHqnJEZss2QwEvliCpuDeONupYQRi2Zr
izVpZaVXuyGoGirNLdhyIJRks03l/PVPSNJQRTvE0E6KB7HhbbvghOa3AlkZLlLbVU6d87uZzYbI
BPu3DwVV0A3Hebr7SJcLT7K/bKol56SvA3f1cHaTBTY3LRMzcuePCxiBwiHMW7R8jTtcIr8YZmll
hTlq7SmdF+edTZsUVTwvEK4eEZH0k6HpAMv+UWsbuTLv1zdawlo7ZnizcylO1S6k7U7W6nOiAdpK
YeVET2zpPa2m2k4na91d034QtkUnk2eY8e6sbiDI46b63+ZlnoT1OH5K5gx6EuiJJzcEKVRfRNym
eArtvuQB4+lt/FeFE2T6MJsiMV2FkhjuFMXRm5L51GpZJX3u5czUt1M7zXOvczDnXV/ehy684w/1
drtxhIhhvv5PV1QfRiCM/TbFl5EgjFns5fuwYaTxu2YjszeIrS+21YLAIfbczXcL+5uqoGymqPU+
H5ywEbYQ2tGxSXeYiyep6YEn+vm3vx2IEUOPgQ/plx/bphNR43zHi6i9RFw0GpUcQSUTP/XXzRAx
MWLyaEw2zoPdSmIQ8j3zPnQKUtAM2+BKvqcSlS3CpmNaTsJ7gSnJv0XlEGnj8+97Gaby7Q+71ykA
pNXMTvsE7l/HKxZHlj159mZDT7NQNADdBLq33UUDNOG356fuFteRR2nLAJw1qRbfgjim3D3zmXnP
XUFV/Joqh78S3T149XldL/ParyzdD5ZXmcVTPvsSZ5kIGDXUp8S9LLYOIbcochtJ85HuPPfO1IoX
xfWKM+/iAX1XnxaDQoNDJK3EBUaIugnLS2b1G+LjlxtXm/iabFpmmrXk84mUZSHNzftRcopHilY+
Ws7bz0FJcxNmLqa8aXi07kMq0PxNmS6Z2oMlhR5Nq44VvzqcxbRCJtfVAOSWwgyzDgcy8Kl0Qa9f
tc+aVovN7AI0MzEp4sg+yYN17q4Wm3ZuKwBUKPntTBOZFM4cI80YZhF9hqJ1A2pr430qINO2qOUV
ulTbp/7BfNFFlvIhrt6RNJrodTz9raJUKhRE+GUx+pKNuKdmjzgB+JCkM5LVKbnWg19O+LfU0N6j
1+7xEQhqL9Ghar7ZTi7EgFaHm4qLJ0YJWbcscODi+ydeDqFV547R9NtrEoZ/gfPCrUTVRWtItMoO
MRV5bu3sB2c6dtnl5Llh3sO1Q9X+NeFfixLTX86pDR+xiJbxaQVMWEcOM0pzOM0c65bBcrG4spw7
EByCLyDZkz+TyoyJpenaV+FirhLTdQnQ4Seq5Zzst3ovZN1mTxB0wzzw3/wngpAUFQ+0Kv9QVvUG
QrLU0qEiAe9WmA8LI7ME5CuJ2QHCbne8UswU59rrx4IzfeRkErZZCfUvBuif34xf49oKA1Pnhyvz
vEZL7eDYClAGeRa8vyCqJIp+mnJwpBN1w4oWD56eccGaRN4lPzbHlkdAFrdobb4kyLq/UxXVkpzN
gckCAh8qQKd9YpKq/gR/IfdZhWsWGcKiUyJgzjCh+h/5rlFMwEIFrXW/Ff7N+qp7OpWpYTSy6oMs
q/C2+V0iXg128etZU0EGBAELTD8SLlDnmw9SdI7xXXFj13FqZIhVICvWWIDr68PLY8KqhVhd6hPI
eKgO2mclWiZYqSugSDv+gnEr2jOPb2H0a4+c49GHw88NA+V0OZEpdHksv35/0JEzqMtu3slUeB7D
0BPDx3tDK1rig4+Ltv7MuTERmIrYfgSQwt/b9aSLSoJQ2jdLD7vNgCikdqnMsWpXXxtoH3QIJweX
r2aYPgwBzXXdbUnZvrlZbfSKTz0lhS8PXjqBrINEvSjNJlH9w6eB2CB4xE+EpQopgK5Y+TZyHT3m
Q61mE7yYpxzxfxNE5HR+CY5/drDEiL5EXKWB7zCXOyzM7foM9DId9Hvdb5ux7LAaKTWUvFzJ87xD
g8B7W7J6VfLfI3znkHeG57FiUMB+2g+0XHVfKYZnQl7/JC5vu0NyC7yiiVCnmLEM2pbLPZw5gUWN
vqZwTUk2jJDgEfAIyoOrP5lDD4b2U4wGWvERsszNy7JZvtTW3vhdsvvHfmrTHByj1KKnfkWmIP5c
RQmx68DeAc53CRbpl7bA6rM7iTi05Zp/kjps85RUbBjT7lf1A8qmvZgZ6cwya5jgq6dtXfhNICpb
k+yz8oDNmfiKSNI3n39LbTv9VjviEJa+pv68B1Du8oz2a6nbtg04KgZbDpadRBMMCmPE+I5D8uoP
jIdw2wkuQHOMAnewLts7pOZqGb3uB43qE7LyJZ22k9B2CQ/oBGNcAezPEEu5FbQv5o54kB+vlKw6
JzO4OZ7Ws+LPh7o9/xjqFrI6WtGj3Ilv1nXEaQunlbInw9k8IrvHN5INVe3+8b3+yNoTV1NC+eoE
2A4xO2pTioPjIazqgTEvfOh8nT/rNrcsYDYrHIrfm1xHpYoC1cGF5QsJ6wiWzAcYLvWpv4orv//N
NUbhi+AxJdp0daEF6G7Z9Y3i4EZ192W+l5AWlJi4lxP+C8VlFSlKdn/SFEt4xt4rQlR8x7L+6R2E
DyabV6hvc3m81O5DIT+ytuESY6PfPIkfm/PQfJBWbKXpNIjYITslqgrvQv/CMYUe7tl4gUazh4XU
Z+ppb2iL2Yfs03Ll+lEWLXo/oVgDpiT2iFkpa2keKXrD9c5IIwSWnj1ezJX2jyn7PRv9eBMUfpEa
fxtbMB92+OWuMR9Q0RRzG7H/Wx6swMd+ezVxKG1ONcVk7Vkd1H0H7KXHVwuMDQ/JmNXOL65Mj0Oa
6wxBx8yJtRAUY2F/BrW+7jt5TZ9z9mXty5LmCMWanqeEbdgz3ga4mMeIz5q1OzXHTEQKL+bF7Xb8
ms++LaMWdU3Vf+c+iL1hadno0B5CmBBuIdL7WEXIcoUmfHlHTUB6XtnnjANEyRpqRYfQZMmaS5NV
aQchbJ9kh/lPcAtF7DrxEeGLYIOdLwcBsYk3Fwzf1g02R22NCuedjbsvGRjBss2Ut7sj1JSEDvfo
ccGpPeD3Yv1iq+EFBlxL53nwG5U49KOtykj5JkmawRbO6mpSis1WvozekareAKTNsyTAkWtbfyv8
6tTPsWjg3r0xDhMtHOS88FMfWs9AeiV9FIGi1OIQHv8ah/pPeRMKgmaN4lZ0gBzE5D8c/4GYBF5E
WYRg8gR/moi9YSGCejMOBDOhPUn1EDWnti3g4WUy3XDNDrnQB9nodlOX68uFZE/cXQjkaLDQzF3/
gnbsYtdtVemO2lB5YZBVC5Cy4VklC8glyxgza0E5GNkHCNCDplMwkln36iLMdsPlDdDnbDkyDloD
AVW1XZWy70cZfCtW4x7vo9IqkeRi3r5IvP4NL/8cEDXHeoRV/Yq0KqAiHGc0P1s/3PCmaOqme/yu
cv5ENvmFqpJYKvSViSJFlRz1A0iAFccUSR9PJbzUmXv58jyZvMLV9J3W2rZP8tdCdw+ztgtyOyNq
kFmStdb4P6lhZ2I6GQeI5uwy4QEeYB7OxZPD57S9zCdmchusztQTzC0feRWISQZkxHSM2lnsWtk7
RI0EfXEVccSR1WiNTuL4OlNUmrJF6eIKKSEeozTnBR5zveI7WjMSJszHyJhVi5FelwMH28unqSUh
tMvlj7ZZYRPIFrK3r0GboPtF+faWEnXu8DaBUrSvRFLF8ivfjQM8jhI118sfSrVlFm2xcPISO23D
SxYZ2PACZZaAX4HaLukYf2Mhr99ft6YXyKCX3gpJIBfbmm6B0G0kJwhTSZWfkqRv7NQiTWOf03mD
eToNa13Vfr6uLYc5EX/l97j8tYrTHihtr6Ysr20W5gUGFHxtULtUrOZHhYpRMbVSlcQGixx/JAzv
4P+D7OIo7ciKSNZmuJ8MDgZ30ZpUMy1vkBdtfmWEj05rYOpfoS7JNHeCJH+snN0uUvrVaRfwtIz6
zql6j2P15B8sSBtcDRLFUqi3RVzHy5ppJtm6GBTAvdVcBWJ36Gl7sUQmaehB4KUPJ8MEBeerwC60
5s09EA1AoPWo5Era3YXzOzS5v6YLbogU47SPeoCKTWLxGpMCXVO/amTMlZQEmA8HaOvFpC5zn2iB
ROsk0TWbE/GYitWEDsnOqQh03WJiCtpIqhiwYGUdSXI/mI+rY00rozX/qB5umx8ny368dtj1BZgQ
eVibGFBxui79JTsR4ixR5pjcYd4jcn5e4mb94vGAnKM1bGpEy4MKHI/C4L/6d/lS5CGW9seEGq7g
kOguCWLsOKZpWVAVqmucZMKfvyJUEwhNQSmrofIByis4tw4U+Nw2gtY9prH0fYPLJ2GTDYKk2kZ+
vOEcBWX5qsDxWxeuBSB6gnSwpkv+z7QyZtYRDeKrHNUoUdN+/vbaZuUvckBqEirsh+/GFy+yuOZ+
nyL8kuPDrG0/B6wOB8kZj0m9z3WvtLcGTU6p6Xu3B3Oy+RZ5UYSztCSyGBCI/P1rGdaera/yq+7e
K6PxJgyi8q4oH/3AfAf+2UOHNMIyFHFrRv3KcsDlkBWEvuZazTGpL6p3SYYzyFcSSueA3p7ZY8s+
n5zeeayufEVdIKAkfEv0+lSgeA8TVTDykijukDCWupqS3smgCBIJfT88iaa8FHcJ3+sIAfE3mYrr
jcb8fE0zo9Okyy1nQja/1JlhzflOrPYwmwgplqUr1ss9y2XL4KKQFjje7XAYStld6aUsjJvgM30O
4FPfnIVrBWVxz6M6qkI1L52G5b+4cvLV97qbiOSYu0Z78kDWE21UPYAbj+Ph1cFdlUGOYHU9CUao
BPC4LSFuOXhsuCso4QqT2klKtSZAzbw1mvb+KZvMXVxMI20LVok5y+O4bfjb4UJ1ir48PseNK+CH
eFG5yBlpWuTXxdG2JL72fNiGFgb22n66zFhC4Vt53Oe/oxoxsp6YPRebIMWQfGUMAe4rzTL5AALn
+DgBCyBAe1JUmH6g8yIFhXseru54PWt9NAkyBBStE7gcWazx1eABqz0zYYMgywsWD52uM1moIZ3B
g8OCHzFWODmTmea8FKbk/akIMusAgOo7IlisCbeR9XvEWk2d7vf/DIacskSqG5fxskyhZZpuYMAQ
aqCXMaAcMBr4Yel/IHn/wyYP84trqXd8BR3TNVhuAZVqRLob1HH3wK+tO8DqXD3xf1Xxm6+ZovUE
MOGoIJd3KX785hiT/KsKi1TiVeRnWUoZEcNGZCu8RZYrqg096h12vrhrLTWVRXnjxhMdJbL77Ou0
hpEXJHL1mhFhZol2PP5z/uYYya8xp/dZywD0kbSVXMm4zDZgUePSZtpXpF/xRV9Pns48Wxm64YRd
st+uAJyEZJeKMFRxlJhyb+3rWOyylBilDeO6nLGDeoRlAh2FKUa0L644V66H6Yntq0qo+g9eTgpY
dgzBVhDF2Z7lYJCqp6fUqhSQ6PxHBELVbWuFaNKgl/yh4KRM+4xibBuQNBp7La/+FCEBiRZCnN4f
Me7gDS70AM6SjAtxRRzE2IQRhlZ8u2aPFIMCREcn3tEQEHiPWsPxxPTMfA5gF/uO27NFhEnIucL6
Tw7WyXaGkK2nrNPp2AQp18mUF5pCZMmbF0+IG6plASaz8mzHxkhFgJN+L3LevTucNXS3eQJrYpRw
GFCQNXtsIrtFKNz5VJjR7Cqdj+5E0Zic1phdjPbrZ/lKSeRu+j/lBzosMFNTRvBEefZftBvbUeH+
CW8/QvNFazB10iEmkoCLmBZxu6VmuTw9ecjMSxBOIpkBDdj+Hlq+DTneLGJpCS0y1Up1f0X/eori
dLr0Wph5bi6zANo2GoBb6TYEQKcP7bJetrYSzVw8ozYoj7zKL21MqEmGOWVOpI0UW33++P1zxa7C
zmrxCuorPjOt6Z31b/QjF18CMFcBm2W1gPH6l4wIYLLm21Bk/mS1jftxe6RZgNtrObP/Rs7AARnd
Wi3+N/d4zVMuyOVaIdrKCJHXytekdxhXArN23bvmE6ZwzT95wiESgeCBAU6ZwY0/httL7oFdr4ko
fbdsb0ExthA0TAR+1dPEqtYxoErmrNsd/755nlIpYi6Q46eL4J8520JprVbriJa0BgsQQ8udoGKg
nJaJI4CDgY3B4+EcWSnV0SMITJtkDAKcIG4pUMaclrGIzJ1rXNZLdC537MZqBCdkwMskuH7Jn0o8
Cp00xIjOxuMDzCtFT8hffwEWriCqcNWseZ5UxqA9jpOUIukvOJE0EJlpW0gBykavCOuNPYW+OOyP
w++qAfe6mknvtt05BUJcJ1XWEUVq+d4H9HP0vcCDsaIpxm/oVmt/bZqCidwYI7tumQfBXoG2OqRY
6AVrvZdOLRiTH0WKWnSQ7iNhVW3GdwSJCnp3D2ciaIG2zBcl7IlOqiQlLaPL5M/LD/3DNUlbun5j
aq/K4KmGQNdbNMIpO8oI1nTQL/1ULuRyGjK0Gt7ug6kNWSR+AMkyrUhajckuVOedWUgHtBwdBmbU
fXgeEsGBYHwZRQvyrzkF36/+zI9hMSJkq5TbMISX5h1w39MdZ5Hh179ondoVbMCIyrMBZeUsbQcE
AKczvoBNBHFwl8l34IIl/PWfMY3xN7osmlDc/ryNLAr00wWxkCqCej7Zk4eGu31qL9Qz4esisjIH
C8tOZcf0IOi3UTN3ZtEUnq2v024kuePYy/QoAjQWu2P/fhKg+PKcTOqUAdvdxlyGHwdthB+JcRKg
iybdG4KHC4Vw3eKlZ7L77vpqYdfbA+xBehplAXYZMcHOO79rgg/Lp7hTKckHNjJ4hmKkjXiiOUXI
uorT0DdS5U97pG6QdHpzbGHAGX7zu7ED49kcDn6AFv5Hh63mtG42JxkHmGGCwK8TacIkXF3Cr19I
DKIh0R6LmIKQEP7TwoBcTC0U8OOT7E/nArsTGgpJkYcFNv25R3mD2Mq3OAnFQDz/oO6BEa5mRPs+
tFoFyWhGvjJjaRFRs2/MaqGHTFCjLQmapNBIM2GXuJk05jZhKNGQPRL4oGhG3PAnJlSR2ncp5Gux
kG9CNtnsIJPmqngGmLNXVhYuXthd/Mk2Y+UjvprcJXMAISudvy2Wj4Hslr4MM6V4jljbXcaYwUGi
X0k3DDns140SkH4BhblkQLlUfF3YeoS1TITE1FZYhj0zCUJ6wMHnIHJvCXeFolQafAR5oTrZNR9a
G99+1vp061rNK7EFbAFDx7kYnPTSzQ1f0+/dEJfsWCOYHqNp/89kalwIhE/lQ7WGn4ggb7kBCoot
Dn2L8nmdz0vqIDeoupxbCoJ6z5G0qt78bF+lhWbKawI8xTFqEjtgplwHMCL7qOK4e6CcA3pyVlkA
xrhpxmA5m2AF7Zx7lmJaGKKXl/FM9XuLgq5UOpXhSlM2X3NBlkHukOY7pCe7zBOtkrAgC6I1yXeC
DoXuSRGN6F5XPInEYFR+g0Rkc9A8BebR/9rjxuoKgHDKgu7MfDQNAQPPo8ixNN/t5+mLJw9tpWIV
4enJL7Z9i7YLSN+ht+43ecMcnZ7q/TnjbTxRcuCpFoytoQWqtgoY1s75DpwSAI+N6sTjhRP2Mye7
JpBcAMsCulIbs5KO+04WHsvfOpdTI755Mbedndb7qUvgNEVOp6jLt3YeQ5YqcsqVtrYH6WLp26z8
BuLNtLK9t9YZxqvgC6h6litZzA7pbucTGGulxYMQ3wEv5aUyIqS93YL7x72EhMRiWSPmEZdQRvrU
Mo5aphN3aksMA4SVXRDqFITxjUHkArV8kYwII9nCmuLkfZ6Mzok5ryOWlbkxwmRJ55xN7PfACb1U
TxRDyvhZE3EDdb4vo+THfa7zrT8JaU64d+qBsbVaft18jJNP2LIOkgVXpr1KqUctcEzt66vub0gq
AvS5UGfKr2mrcWXXd36biJLoC0KzY6xFLL1C79NP8bc4HJmnysa7I1ZQ9sIkeIM4i46xj0TvCSDb
RHrLBfb5KlABrpRDBqveeoYmog+Pg6pPaGTCVBWDX7B6ZQvpgqS5bz2lXNfJrrJnUgYEqAUopR4b
wj/z2T2X9swK0YnYgkaoAzgVyGS5DpH3kS6H1CIsiqE2+otRijxOneNiOEIGSwc0SJ2VQ15OLNSN
gxUZTjFkTeHzU8A/Ea7lAg7Un6JLDpUNTkqWoayqov4NFnsCUQo1/+FraFMK1Mql6ph+VvwlqyP1
CWokCiOMpOkz+pYVFIeN4za11AX/XZ6QxiNrPGgVwRAvf40Cn5DT4jCFPTlNzEXX9BQHqQL2duA6
pMxr3iDEvEcsqhAXWehHmx5NqruQVhJa85acn5bKAll3fCKc4vNvRk+mNodO+IxPfJgvQkw7lTHt
T/2s40/5Me0cTaXb9z1HFLMD7ImOgtWXqWuMtbXmNEkIIDOdtTpD+Y9dTultfJJvMD2EzUD56mFQ
s3ZJz/tVWFf7xDcAyvZqbqW2VsZnRU2BDJU69JHIi5xHl75A8l7hdmO6kkZDeTRW+YzjBn+zEmo4
8kWbKorWNgVRdxNHFf5Q1Xea+eXWZNz67y3tUjC9CjSuag4E7fOtH0xqjAQZYCO97q5l3hPoL1Yj
i/0X+iHtU/H6M7xgiKp/p/HiKy4JLaaytRJFSUDrGqJNQSC6Mb92dXzHp3Y1eJaxjpj+q+cxgrOG
A38PXur9LlH1GE8rmdo2ZQp1l0dMEHj4DwfuZbNpR+ckQzlW+m6CGqplN9xqfxENruRzwBqFcvXw
7e8uczDejSrxatHWKyVwwSDT2v88cmJ9focnpY4n7rckosrEWKE5y697FcNu6LdRIheS9uhMlzvs
XmtZuk5FvLm8P+4YHo99bZzjH4WT0FGJtJG4ZuQDR3lbBAz42YqCpiQHXRP5GzYIuX+FaDgzkQeq
AdPfyLYxa/2vsHOapcFy2pd56zLIcfXRNrRsjqPQCGkJtJsTK8ZQcG8y0SFLUMN27tG9ujVVRGOw
s0qe5ioYCRcQ/Wy3oRHVG7FjfNQioyV4C0viMPhhUSP4MuL7z1hIWfGW33I3gQ4C/r2e4H0iLiFO
p6va9kGGMMEy/fj6QGqmGRdUxBXPeiQxaTzhwzHJJ9Y3WDZyuk5H+O8II2ZHwQjk0GFnFZDw7EkW
oN5SPs1n0+pm2CkmVOGYAs+5bbYxvopQa2Ic1B7pa+iar8qvsgklkB/IUmJdZw/A7MKjLElJt+8J
odN/QfzTrszEJ51qvGTWJGhPaLPuXVDJq8/ntQnKQUM43FwmT+W7ovU3D/aK87mb6TZ2LMQzaQT5
m9pPp3Me1XFS0kh+fWyL890uiX5lJW1nzDhwpfAeONFFUtQqnTorhhEs9SFbke0SOjB5FOzembbK
HlHctQa3g4AO+dBlZuuSINDJh2pkPkavydyjZCEW0Q86eiewij2rpxZvLeK78GmXnqYvXj0QpIpE
85r9WSBI6la2mrw/xcilQGiBTt77FKR/dBNz7hdCUz9cbc41LSgZUaRudUmpiI20vtJfgyGkkVx/
Dn+9XPSU5XpcO8tYIf3Zc4DmgqeOwgzgzZy0Ypd/KQGL46zNgVhPn4LhQhgh7AmKQ5kzVBASqwig
7GJh6PP5Gr4smgkpkOm6PRU36pGZcGF/SG4Hgs7GoMhtB2UP1xha/qV8KEJ//ifqeoqTB2ba9Pgs
LkirmBtekcfetJ/PfuvNtn9UMk6Z15CB+oriEdQ26ddPcpBK8o+5myf9UyRTORv0h8j7kEOa2jts
XhUJQ2TbD+YnP3ZTZfxjRmKB90aSSKrfrG6SdrUylQF89v78orx2Xhvppl/tpw/QMP5y7aejh/J1
hKrdFSPPlm5+lovUKsZbXX1ohdlKv888/PeytqDvYWwPCIx/2pJsQfCS3JIYUIwb6FthM/E+sRjG
Kt0k5E2Go6U/GztV+XEgRdZnKh0Z4rHRDX/04sfzYX4nqJl4IrAO/c+eDQiHyI5exqZIHth/fRh1
5AiFPJ1GXiybX4tnyjEJtMAELmPg11iC2isW8ao2TLcBu5LHlV3YBKpAQiN3ggGKrmd6FwwH5hD4
o5gFKbkW/p5mTkStekoGWj8n98Dt4RibaMVWmWPpYjOjoPEInVUt2spgEchJhNJBGJyTp2tJZs+f
7GTDbemk9+Lpe0ZuFFmAOZWrl6BFWEHd45eKFOWFW8HA3GSBpMphUUUftNQZ/EurDIiCx5DSujcg
Uw4/NPOLCZucVGsWZes7voHM9S85vlDyhmkTO7zezvKAuHtR180veVG05WdZ7WZ+2MkqtVOCxYEB
6yDkU6ZkeoEWAYjDryHqtRCtySEUJ7bsqXKbLRpBAKVpbbS2hWtFs+6K2jOKB73s94aff4lyTTd6
a/myxEpMoAhgNwVQeTFQU2zuAs6nrA8g8mdFwzJKtXTiNpbYXw8dq17hFVPSQFB3p7btEwKVAGN7
QWT5gOhbR3cZ6pEBW/xazyi7C4xUw9JD76RZkAkesB1Jlaupf3JLcYnMVdxHsgUK5qoNXVPLLSpR
XyPDfEC3dcUBFQmDZvT405Pgv8dxqAUMWXaPcaLKwryecNfA2bdrFwzWSu4Dw3Doqh23klQOSJmI
x5i77EsLrES7JGO19b3YCjIo6/LktGBYh//RhV3nv1ZLzrxrHkpvdTptgE4VWrs4BBDiLZd1sWh9
hfhv1WAIXSNmpigiz21UVQwH7ecl1NIk1LN3OOTwP7gcmryrFDe/ls+TjLfuqihqe0xxz2L8tNXO
BgP8Yi1jZqspVXZLzXV0Iz/Bsm9J+cQzGQpzvsZ0hBluRUGMpR3WTl0ZMFCerB8Qx6eRjXp6qHhq
gwqKBBcbz4nQ9mI/Nx2uHgI73RXY7tnQb1ZN7+mdfNLPR5q8zjYCFbvTld6Rr30x4uJNY+JjJa10
tRqwGlA16PQeiKlmRbxolZ9u7jR/0mmKODk+Ylr93DZXFjljDEGNwX79pSxZBQTnH8RJlcqDNYlN
REXE5u7cnmET5BLFDZp2Zm0B4OTNxwB7MiKUDwdgm9pDf/cLF9EYSq/VxrhG21qrbirnL9OB0NlD
S5xZJ+AWMuhizws5tuZDv2V9pgIbwgWdR8jiojh3nUEHlIzErAETqVWcXlKlhKLw7DW23EwQyTdL
vetE02kxn9CxiVsrHNWeJmyNlTjwMW933cqdPOtBSNL4b1qXPx+8gR+8v9jPQWHTYDHp3jm/99Ub
WdVk0ijvuJ5Ii7MMNS2ZiWdFnj79ycQBP5VcrIvp3/XVSBc22HRYLG1j5XU4y+9J4VP+Xi8SvC1w
WjDoUeYEewq0kPo8eZGRye+DK0VzrhPd+0M/MRjPXRR1MoyAZaphW18HZo6XZrax82L4CmO9MNYE
wcPrpS8Hs4BLXCEoDYHTuK6Rch+MP6/BDrAluM1mDYWqn3n3rlAnLdVuPAmrpAuWR8lq7EIpkR09
7qis6AvWyofO5l5Mg9AZ5CtjNLEshHMqDI1yEIbgo9RmrBFv9KsRej6G3QxK/U1HNC1q1kRuruqx
pGZCqPUJxOSXzQs+zaIz8s561zjovEf2tZtFOmaSF8vAEG1MuxXQ+5ca/dgAPqdKtJ5PJx9Dl66+
Cb5lOCEi8+8JO7GEvEwCdrSi1VuuAUHMsyLmqbmJGZ3b4M4tp9EKUmIct2rmKaWf6bfA8ZZNgocz
j2T2Cwuyk7pe58Hr8TZggGp+N/BrlBoLhJd4/pwq859ruR+YEYwodcjZdAiSs+GKdM69EEFQuYhB
eeRD3L0ZIvuKmqg/CZRVD/7QllzlZosn22kB6uhRXf3JXKQ+PRVa8FzhssaJMHdKiiT3hufHFw/f
2/kt+tqXoF1jo4NalDk+S9/1hsYYQoq9rY+lZOXdx7p5xPIsQ6iuF+DHgS6NGFZ2HW09XolkN5C6
q4qsJkV+sG4tBSn1P/FT9kDho5Ma8TVWZ9lM7HiGn18D1ZPZYYuaGo8PlkW3MT4ABlFCA3MfAD6B
kozCU2SNx1Cde3XO2cx27Ijy3gvXtaeaDH1kAjSwmUq0CbYrurOu9shGYOalSdMkgFKAQc7AtWKo
9mZKkNuPpA60S7NR15Z2WdB8jcSMa39r7q42qLUxc+nJt5d6vGnBsxxH1l0GRZvX8vor+W7XydLE
fqAajlmJpmqlIxLWWu3k931ujLBC5l/BrSl2W6kPDcSlq/ufHlGQYglg/cWSPV9S2axcxDfai2T6
RB/+/N0OERm49w7KbChCgFWNyYL5Y4PGw8Fdn+vr6B+4DxcZVxqqFSpcTyd1ppbVY1IoI4yowxO9
FBCBppcxjnB2xqbDTZa+t7eY2CQ83X3kzXVFnPUyVRLJDcL1rY1O/HZ8nV/MXNwINVaiHxxxOQWo
0utL8F95laEPqc8w4cFDQhIwl/LdG4TUrTH0DAi0fdsI3JHRQ8DD3/A/3y6kVZVEBu7ntaNoJonP
+MW0LKqbN9ZZjvjWKFny7QDcCyDGoNG0vIxmMYZAh+r+MmM3gXlO1d+uGngdsRLW2MWuq8hmtf1w
52zpBsd3wJdt3eCGo5sq0lZVj/Fx2Xl1Cd7sko7Gh5xEQp7267kz1bhkkcaAcvHqpwVg4pUOhkKz
0IfioO5V+/VmAR8Flik5lMpymOIw8f7DjI00M1pukKlzDq4wMQrmRtczG6NSVEaw70gR12LZpaZL
n61KZ+/ho95E5E2H6CCiDvgCl5/r8MquFlnTFi7bAyDIhZeSM8QSjV7bVtNTZk4x/6ubVbL+GIYD
r/iIM2H/Lum0ZYsy6WRmFzYSMehD2GeOHzRRTVmk+3WinNAOCpgTLpX7Nn8nVqGub9DW2Hj2RASi
3Jx79WZhUX+HFG1CMs3ZabILgn73Me1sCGuvXCAHhz2miQsE0/YYMZx8T3CzmOphKcoZeE5oVCJb
8k+6W7AzjvCtSxydZdTr4r5Kd2WpVzZ8BTRR6ugMEjd3cabHoBD/k67b//W494hvjZW/mTjkykQz
remelj5OeSWkgR2+Ht3VCzinkAxvFLWwgUgvw/2aHnsNUJsDXN/BWNoUZBqIkqjIyXZbuxdUmxef
Aqm3QU4yrNjIh20yFr+qwGB+eWCEJai28I2rmVuBmXO5GzTX0fZxJjUn5hJ1AQ41HxmKQfU2YlJp
NYAqKKnKNPWWrjzKZoHfydT++fr2JMJ0T419T5ehUpSwG7Fpg/YKYzaX3ATyN+RJSCH9MvslkuyA
8FIqSI/0tu+XuxGsTnRnLFwxatO7xiu+Q5J5kfwbN2otY0IaN0TJfu3dDbP3JxNm8i84EUQJ/Y73
xSr+vk5ueiJCZ77bwApHXWSa7buwg6DOx94sEMi7iy8SnySZAbDWKVzOAtLFIxIdtV/QRXuElm9i
tzFf1G/efl/CCTy7MaaAThI/GYSAyWlpzeEOTg5FyGnkJN8VMlKGF0Z3AVwmKrb/FcBHCcEzTOc/
gwVMae4Pr3hFWM4nRj9/oAzkoKR0WnGzmBoZJeAGKBmG3+m8NhDoQnllg8QUiZ/mxMfx7xwBeVjv
WITl8qlSPfHAiPYycss9hv/DPUrXVHUtLksTzuiyYKBzxou69jSrNjhJvMPJonUD3DLRE02GJOVC
LD+liWels8FD2FmA0RFyxOwtbaFEfKHBkb1bgYwHmMiP5arVoziulT7ZQtRu616bd3aSGulZ0C8m
ZNNIpW5HOTerusbUpCQWxfI5j7B3aBwl3I53BXZrSFn+1bFOiPlktgyu2kVng9Vlj/jAlimQGmnJ
ztgHNJizxAeP2nUMZ3LDdK33fBzXegAqmJKexv0f8j44gyA8MmloZOJP/K+Xmo1FUFB+uvov3LE4
leTYunujReFLrT6Y6DYeBV4WrdWg30qU7H/5pnAYFfs+QN4jPFTp+Wqjuig//sLkybKdNRzzzU16
1yClTEvDkL6ADyEnIX2jHpioZ964lpQJAv5vIKqWmYqPyFzg5QiAGFzD+DnqASbdQ9xiE4xLY840
rc0tlGs7sRNanBfqQqUXBqRET0B+2wJwhZ3/SwmhobAjtYFPrHhqWQCAbYoNixIxfH6UbI0o8k2/
/i2HHrwQ/77/z53bPaFXwx8FvJxGBiQnoc7XC09sUF7ekxLtE22rcIpIqFrFIH0zKYPThTIogCfJ
CjuqIhTKB4cmoGgFdh6rJ1DYb8QDn4+jR7cAgfWur7CdwopBVbiRhzVToXArdYQ5RoBjigCfkuOV
EdcoxbRPDXZOnjebEc53OvuyERaIACSv5BPc9QRAFR0e+eP7WegO0gzKnnVi/Ao08c+j/zRDKrxI
bYFr855RQoGKW7Z194oMAx55KDyRDtZ81e1VU61emDAIjzCpEFZ3FbcTujsLTiHecUrsMejF4PpG
Qlm7RIhhLk8kjVH+JJRUQbRJ6JW8WdK22jAP/0qFdX5mMf8T117BwDL5Ysaj2O8FV+4Fp7zEWBa6
IslKjo3HU4gEWlQxd0N8Ufmhrz2Ukx56zGFDcoiRkpn6m60vgKfnZE81ZTm5d8DoW1I4LGFBE1IS
UrYvwnwL2Rn4Qs+4mD/txjFtXf9gdyBkJUfj08bWpFUWil2E195fzJ8BPyfqEI289AN3RbMRlQ6d
DRpsOQMCr0WPXm8+LBeqpjd4XL8BuygGjjr+z5+xyQAunMDA15FRUQhpkqOn38qu0SBveHeRACc8
HPVfRFGloFsMa9SJ+rprEJGpGljQDAktMw5Gc7FXjfN5zHsHTJyMgemoAQ5AFcQqc8UaC5p4Pj96
R8ZVlOMpByAhDmw9BnULyLs/Tv/vkOrSbVMrvl1MKMw0shkTls409d1iRK2VB2yWI2zWUeQ8C1dl
hcK1971dnuQfdVSxWl559pOT9LdpRz2yfeQJ1tyZZZjw+6loHEx4x0QxeuwDzhFNhCOL7qj5Gv8V
vHggj5diZ//TxbqKF3QiiKwUgPUHFy4BVAaNIE/Eq+pmAJFzaNaevh/WeixuWMx98XKMU3lQCmw/
p3eJtbwCIHF6miLL7Zbhyx960qG9GKZPwr18/SEZFGDeex3oreVzkbQZIxi4p1aDMj2Yu388mhWs
S+CE9nPsi2X9+X8xSbGUHnlnUw4CV+p5bfXX+5JSe4lkyZTxKVGpcsS8ixIr43EQ5iqCoeHm3Ikh
UCpdENT+r9CfYVL/QS8MlPM2e0m74MIFuYdbzAmUtU0f/0b3KneiizqHPb0I1diDh2B1H5N5LX1r
FRR/yv7IcUpDrpTxGT4N9PucScOUuRRoWCf6TKc2mfQ8qf/mjvGPDRulFW42vMzCaVEsqS3vBsWn
PyiSssqp5d3+H4T/sBlDLYJ/KC5W2KNdjdx0AcdSxsKML+o2tZvomneOAJbne5Q4VbRJl0zXRT0I
CG4kGZ1PzMWhPUVd3BOAxbSiZTn2X5z/zc479d0K1ywAbnK6Zl9OZH2ryO73nRm5dKvnQdI8nwoE
qxXSehRRn7CGoLV5DVAj4dnImfyebWWMqbjF2V5agA0pwXd9LOrgJTGrH4qw032IWMKm6fHGhQP+
aL9MdlixiY4ILRYWjFudGJkfyITxQYfR1lYlFor1UnIsRQaMt0yVgSnyvTdHP6GrNf6H2dUHn8Bh
uyIlp/AuGPsAmEkaIPYw8KQ83GaD7p80EPHGnfAuryGLxpT81FhB2zr3cqNxQlYwRaNfQRn/l/cc
EN3lPklv6i9tLTTI650qKHacqdwYqqfcIf6Gi+bA6eWAcsDZRWo88x8W3dXVhp3No+79D7n5eozY
8MiAyRWdGs23Kxp7km9qEdpOZEbRUtU2eF3A3Wk4idHsc5kkRLVfSK3tEp9VOZg4uOW7mKh5mBzJ
C7VV/Y9dMQ+yLo6G9qw6Y9pJ+WEenEk0rtlP4Wm0V4OllVN6h2lkdMZxTljjwIeEXhoSLBsIuYAj
SVmVBOD9IeZ1za7z+icZOCMmrHdvxwlGadw53CF8o+m38E5X4xPM6ptWMFUwGLNKeMuYRmyIPMc5
ZH57CPckvIALJOijbWRmf/1W0qbWnOdqaa/yRa7ZQGNBlFkkZsr6axE31V+mplAbjXfCQo16Zykl
KETm0tk/AMoj/XSbkr1PbouOM4CejOz20NwoCSEaJtj+wg5R/wL3YFy9zudBy1M7Fyhw8HxWmVLU
qdIVxwTRzbc14GjAS6qV8+lWDeW0PS1aF8b3XNYKL3LUojepoNY89mnBKSExxhJsS2MYxaf+VQUW
a1FnXcSlhGyVwIs7jZaW9NW9RXRgAtZLxhu0WKvpuP0xOTyQehp/fPK4UDd/j3EOrHluXz60pVCB
lhIbMNYqyic5jnoRR/ND/RjceczCeO+5VMze2171TCDkOIunPeOuh+fQmp9YM4WXoK7PuLqbRueh
dNKvcm+8ZwBRcptWWkohu2CzaDhZMRCoORBHiuKjw5F3CCcmJ+ySB6sb8eBCcuy2dP4i2H2m4M9K
j1a8yb6IBC4TtkkotBjsSpK1nNuAGWPHKDvv3qFfVYklOnHITQdXTd6m8EXkTlDOMOl9jMXYf2Mg
t+c/FwPeD7mHv3PauVNQTf/nCSfVHaqrgjHqpTBze3kVQ/88nZnhiOGMC2Xmj3cdRWz7zEpjntOM
RqF27wSvjyzIdJ0kPSOC9QhuEaRkChgIyCmPlw4LZLrpYYvNHr9yO+5e1+hJryVPu94Gyt5c+Ug0
J38B0a6RzQ2BTMuBGqK3YM1AX0au0Ik00p+XL8j3KOp/UHpeWb7RoDxrZfnWZZ+laB0UalDWAYdO
m+RbgRU5c8OFt7FFIIRPF3lSC5mki2/aRbV1fdy9helxSC7oc11Ej903IPUrGceisyiXrY6Usxmj
gNZvkjBHGP8xPYc12Rw8M5a0MfAohtNqPBLa3ZrMT3ZP8fB5OQztKyOkAO0WXcmQh/ER7qdnRTyS
WwQtEFutXP2Ml/+4CEhi+34I+DD0zuiyVEhje2F5sXQNzBw61cgT5QfzuyUE8F3x6/TAywoNMfoU
Nw98OaaLqzGYiiiC/NJ3qrjFYOdITgq0K5X2GOiBdh7xRrtdNCR6dcvv7Zn8l6jwQqYXLEcgE3RO
jNNdwH1LVOvtDQ3HR8ffvpqiikwLvDVVBTTSjFaRcHvtaj0xKBNxLa1nVBiGydRKPE0/PQ8VSzqu
YrRVe0eSbKKrqXQJw3Nqt8GfoEpNr9zMXLqGDELjdEKHaKxb16pxSZBfjL0o0kijxnhLV7v098za
x02oWcIpIAmNQfkvDERLX2mqzEAbMoWy1vYupIftIaOVKdLeoHLY3RVDgkTqDVqMLcJMHpmREwGm
sGOS91/QWZooBJjBhkY1xwuwuzmsE8DblU9WPYCcm4N+36Lmi7+8bKDqDre/g680MMt8CwV/HGeK
uhTnW9SQlYo4vCA/W/hC3/BuGfyDYHfaUdNOD7hMxJdLdg9Zco4jEO+R1OQTnT+FOI0pL5dywJp9
ZHnGYMt92wWjB9ltOqlq9egjSagtu5DGL0O8qbjVbJa0J0NA+6b1c7CaKKvya8b18QE0x4XbLcu5
hDc93MUR1Hkry8V6O6f59Q5D1Kt8MLCqi/FPO6qXC1CeaPY3xQPel7lTGky82gIGKz4chbzjWsyS
9BgoSiyfCrPYWgjR1ab2u1tXSsyQRysW9gxheWSICISYVLTGBx0szjowyT+N8x8n29wOCNLW2QUi
eiyDhedgIhvPFj9IYGR5spm2LIurEGt86A8gi56azhTiTJUvuy9BpQZ+PUEQ9nQ/6kqbdOhuIXjr
5a5Ppc+Pe5ghPfse4VQtDJuUfXQe97t6ecMwVd29iKf8o9W06UyPihf6O+1LgUHMFL2eJliGfVVq
g3mIxxKaTJYMjETITyMM3nJu5x6xBGsDGGFRAwRjYAcQwoxr21dmEootF4dq2tusDCiWqtHynl0T
5U5ba6BXuqe/7jOBYo3Pv9Sr74KTMhdGq9IN+NjXSooaHcCgY0At6LB8E6rGeX6+WbxkC52jp9fn
LDwY9fOqx4EY7ostpLPeQnpy/tihp7+BCkKoFg3kf7aunBJj7zJ8uExpHN1cQshFvmk8muCLO8UI
Hh88MCyCdzfVjpT6Al9E3xsLlZksRpabmzLCopiczdRYCT2sl+mcAYilTOeQFmTEITS4wlYF1Ouh
aMFkG2lTcVL/dUL0IjvGZinI0Hf0F+4lGTex0J6p6v6K2JuvK6AJkpg4aQSP/TRJ1g6XibHvaIrt
1/DFC8U4EWBc+yekFw7PnouIZLXB6vaOoRzlWdYZB4WfI0MD76lAHmJFDfesHCUixWLIa4bTb2Uc
mUwrGJERwGwbsQuPv/0s8oL8luaVb2dllzK1myZNSSfdHwV6/P4OWi9hO4vIfLWCAA97XBFkhgBs
PXu0iPMbiDq3yE+ACFdHGQP4C0A13mv1DgAL+K9d7gO02gFiQDHW5PcxDs4dAwH5RzRCBjFBa05C
4DloRpQwSbpZE/lXwJ6eEtKPK/ebxlxe/Q/SODgRgxD7dxyYTtT5gFRZArAHGoVR8kMqhvEqJqiy
KyETJiHNERyR6KsXOXEpdc5TB3hwAIK3gAAfv1HyJeBqBimCevHm2lx5vCNWde3c9FXCwEmPjKUy
BOYQ3duWUuCujzvcKDtXPog7Odn3uM45GX2IOYiAiORrp6NmcvzdaxrwT4HPzujbI8kz1jixo1Yo
+z3bE7LdiZiuMn/pzuTz+s6ORcdwvhQj4MhvoJ27bX/X092djbT2GfFLlOVWEfKcNTGRc0dsrQZF
TBiP4yUmkcBuz2mzu9TLdoUwINCe6vDxPnZ0IisZ9D8ooXXvaLZto5r3Nu00AI+Yum1ixqjZZe3a
dkfPeqvIz+95SPcf8hhez8q1mRatoKwYDjwMBoFVkeirOUHCVxuuPcS8PoyTW9McrEUwpwrpSvdo
jgtHZiwnArlWdQIFZ1bJ+73l1lLmL5/uuFaoe2Inv4BijRjhIhFI/XnYoIxYEjiIAy/D5ZSnxcGt
EJ+bqw+4j3isnRDWnC1uiEvTkUmnURmH/PdNM4m/Fl0ZVXHZ7rELNSOL9AqU/KEP06MA6xDQp8KG
JqL4Xr3N6lOHotBLneAQENTMFilb+bHXyYRiX+GOI6PDrubN69d9i6kOGHYrMs0KO0jI6oGcia+W
Wztl6aIb0LQQzFgGpVfycYgfhyLJ31bncAZSaMZ16TQLAyTgODLrOoUI0Jsw7tEyZtAH3X9mfGfW
nBjZX0pLKXgOGNeVCOQSEExa6ILhD10Y6hDd/OKc3Q38FM295ftPhat0H1KpyQ3CcSPRQV4WkFcJ
XUmS+b0Z1l1OHaeZbKnaCyCjtILLnHuShNHA8lgg5V7U/AMnPbOjigWqvVqhMS1h3uD3keS70D7X
mPLcYI+/CfS3NuVFNyhxZFx3xPSayfFYKbyGROoDu6/U0Veq0dVlUiVFW+g3u0fHpJBSG5bhXZr5
2U1h9bZHwjZ8WEaKiJegheViwV+X2NRwAE64I/gXSnG8bnRKxp/q1xKyS6bjFSMgUEhIV94P45WW
Dt6k7i3DYXc0UjyWBAra5JJV+CMbBOEIIc49By7nWUlRQmPe6tYS/YS1+9rYAqlrXZEiws+xizvf
UDRp+lN0nRlVZIuPJg4eCqh401QpE7oox7Dkt6RNLt0JJPmBz4NMMj2w4CXimMS10E2/pWU4bvGf
5dk2CiLDo2LOdiCwxl7M5e+x3iWrg8SLeawFyrf9Bim/Uu2cYW+HYQK2Hib2D6DjW3+8RtlTo2RU
nE03iM0/MyQlv8cnSDPI1Sfi0bTCDTwnN9+rbZyK4NGl/oVFgzUkz+De9pWxnhoaHba8rUq+d9I1
4f/DVS5AnvADJKXXCiQjjLxCDdjBK3ZIFTzi+33GTDYW4qXea+Zv2DlIGVVfm8IyNNCoK+FyRQYP
Ro+EGeyHSqWFLyKgusynXAeq1GZWth26V4S1ig9tE1IDtGHDgFP/xIKvuX6wQPl1DzUrJfEJJz+z
sztNhfeihEchTgN5OFFmz9LmO2l5c5kQILri//HIlwl100yS/9rDBR29HsLLCOGIdVmHnMOIDNLV
Az0oPx9QEvlEDTvBxNellzAd6+QayAiTtaiNxI7iml1rxYnDALjQAnWSoHLoycE2c8O/C2Zr2gHu
g+zYu7CuAUClU+mPflrCcDV+jJGu/1fu3S1wYeJlbBHsQrwPkDYmZ7hnOZSH5pCt5Q7u3WhU1L69
L/HQOh56dtehbmTdkixH2c8mfVBNMEAt22RVFb0P46el3rNw4nZnnVgH1uAc/JC0zqs62dFkx4mK
GCLIxBLdFyhYqawgD6Yha9KWJRLihmvSsqIBQ82mzsYY59TWHR2ePxyhtpxYNsjQzdK3CgUvsxe4
Nwik4jEF+Ku3EXtlZnId4iOfa8Rir02vxuABBXULIDX62cB2lItNoViQcOvWtSVt8gTH7DFMAyOJ
BWrOgTdeOcD0eIjsLCGlSHhpxThnMle4fT9ZNpSEzSJ2n0y2wUqYvjDEuFSR+PAtWGUZLFcyilKK
bHcbBSHY/FkX2jyte2lLlSMar4pd9U01VjNx2W5W4Li87haPDm3JHqqCQNJ8Xl9v2Uk05qMAmtiH
oLLzoP/Ch1HC/Wp4nPDClkKOX6/7GB1ZrmRdORkgKIDq72bV1lK+qrXbudpKRFcRF1RkzsofxQPV
k8C2RildhiRpDwGd7F46iVGwP9Pv1gWB38Hve8cuUiOfjhsEXRGlBGvRO86D7ARfgLDhetbFGig2
LhhhhR357l1NHc8FqxvnlmToSo4svLU//EwBYBQyDZMBP2ZiK8UmwxKOHMx7X/UsOOo0XKBJyvdg
9u7uUKkFiHv3IA6p6rnSBcgpViaHpikcaXiHI7ARVMds/iLUj5oOaY0G1uZ6l21MPj/ac0/lUDGG
LhySBXKL1RifcHNJb3oEuV/5ZK7J0zvIyTL4WhPfD8RbLr4SBgjQH3q7tn1WXuQqvDK/Hjf60kFp
QLyKT8wT8+S5rzvabCi09k58muVFtWEUOAg2HdwHvNEennpu4O5RHFY523IE74HlAfM4O5SmGA5O
bkmvVyxXcKKU9uUglxV9NEvUObpbt9faUG9BAdmLfgkI+DYTRHULUgOQvIKYxEoWMQDThZrO5kKQ
G8fBghU2qCF0iM5kkQG83HV32N6wuB0nOzWT5mxMnn1tg3icwfuVLBkT94CZb7EpUBN7PU6CbAmI
mP7r0B9nZRcytnQNdhZc1RD3CSpG1dzS2O/mpseGs3tb4SfZDbIJNi7j+ypiz14p2fNBm9rzPaO4
ZXTpy9Hp1aLdtL//1ppKrYmZPwLtFCXkD/1hPq9gojeKX2wBAEmKJIo+4IiuLMmWDPp8dZZVR3Mi
gmsX6jqJTduCsfornami/fS1YHD7TwBwrDD3gJnJOqJ94+gUfV9M961VyqtunnV1cbmSd1ULBLSn
9qyGP9v7FAPSCkEUDzM/jE/cFkNTSWYq3I/G67iJ166OWGf8ifABYEEdBFlFeMq6mw4sArWj51r+
QLyBap8JyCL4mJQB+3nMMFY0SV7s2gw+08Ma8C9IcRpOuRF/I+1aBE3/i1R7wSdbwhXaN3+bO4EL
SPm69J2gob0qS6L9uubf4KFU1JtXH3fmQYLxVMwbg0iSpehR23jlve5xrpPQsDDhXRhSjs51ACe5
iY0eGR/xrq6vouBsm6C2l2NTqFjxPH0iZpYGfgasqYxg+UyxPQteHP1ydyjY9bj52wzX78CIlkDC
55vw3Ei6Hp4ZygWDgUxhQhA/xZjdDoZ7DOJGBkkJ1yXkPs1G+PjpBB7jH2VGZkofLnzxHT2E1zf/
0HVB8bT7feYtf/ZLvamKi2Mi+oE846j/P9Sd9GOJKgn0X740DoD9GiNxkPPdYzZfwk6ADI5fSeJy
6kRG+/hGzGvuSrfLavfOgWrOCg3Uj1VM1oPEfTBIqDSZ7iiYDHBkcJKDdgZjxgPS/Aouslsd5Lyn
N+wZY1OL09WJX0bUOf6NVMBbI5qtADh190j+/0EUykqQWJ2sREpux+tfMI40uNwJfgfoNHvVRBOh
T/Rp9jYSXgiItizOKGIzWHsV6nKrCKynABn8CYagnwrNCGdGnsAl+WJJUKyKIVl8mE0NPz3yfFe1
WU61QiXM0YJCdAiTpf5kG/5jScu6AYqzQEsJBoQsXH0cJjYH8ig0LDEKQ2d56cNkWsvKwtSU017G
bJ1nuxeUCWF2EdbHrTmUyHdTh+JaLpYkB0t7O7DggWysbr4jB6bRF3/SwFiCbEbKGm2PygIVeYW4
CQGRG0rSsNBLY3U6PMO2X8kUnwCCCyvhIxX89unqyqVAADwxyEuqvsHt3+5zLHtCLq8g3y0vfF6t
pgT9a2LkBCkhS6pgZoYYcjF3twdiHjPLXxX8YnN2V/uMu+ZA/0B59hmt1A6P1V80pNGX+JsdpApq
3WTfNJpFVWBIrJ+VSWzidNRRmt+i4mqZIRhZYu+U1mtBpG8Sc/Yn/DvMZ3baD/nveUrcd7+bBCVO
WWqEDXCgV5SF6jsFEVHnr5U=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block
Mk04IQYVW6VhUnt53LpmjIYyfspROEpRFnz7eAyouWRjJJpEj7/V9SLtRWUdFfZ4SqU30oBMS8WY
IchXlZhLki1sfRQMVtTVqDNsxcXzHsATEX8seIUCqHNtCYDbkzeCALuYdOH4KefOpztizFWk7Jnb
od94u/oQX7Snw23IfsSh0DRrbFGJgwARNeWMwuEgQO9GD3TjXwScTEUiMPZAwslXUYMnA1/GUXHE
qjqymyIBqNPBipGqLio2iYCbdMzQZNjt3N5QsmleqX5cFr+KhsOct1tpjiuId5BUSbX4FVXp71r3
mA5fgqFNqV4F791wpiCpIDSjN9K2+EabwK8USvi4Sl/OBIuho5aTzEvKPnRFFT0tCelzzK30j3lD
z7Jaih7A4jCkANkegfFz7rMQMsyWB9oCwjXXLIppY6DP+S+zbcIc5ZYePldbKKytM8Nv9IO5Lmm6
95MnEiVFroMtGNTV1j1fMLjDKpuqXdkxRnRWDMOIaM+9xaJiNWujszWHFJNUskrk8U3tgFhvKGxZ
1nmhcdd90Kdb5BVx6eSSXx8jSpTT98fA2mKrAqw8VzOfd/e+ebRBs830+W1wHZIavspVHDayU6Lg
DiVsUF3cpsxX5qLtopGEIAmAGFrsyCF4Q2p+GVmI2Hz1emUGZgIXrmjH99Tm5YFwPId3glhBaGXG
/PacXFYU6X3S9ctYm6y6RztW0R4efY/o8tg6TLchFKzq6fcPqEs7RYgQmDohVox2/3jg9ElfDbxd
32uY7//4PYgwsSdRRXmL0PFsMhHaqKnMerpw83NvkhYfu0ystHo479obLHaxNsMIsS4SGxQDKiDg
5KPdat0eaUpaGQjh4wMOBcrBg4VvpBwu0VXiHFKs49msIMXPH6YX3ocRlc4WkyQ+p4UvLqa4z7Lu
LjCJ66ocqSO/Vy7CoTTh4cLUzgRbDkxf0gYX2SqsfnbIxItsge2QtJWA0aVTf8451TK0BiMoUw4Y
yZpCOUXi8H4SZ2Kbyz/VmUdVPVd0oixOKctnH0iIEHxlrez0ReXyYdXLKi8UG3MhNAkhHJfHsFYg
skLyq+vTjjs++paqO5MG4VilwnFQ/Xls2HrQ/GkDv68Gyj3NEPB/PJFAi8lsNMFcDU6ot5xsSUPR
sHV4HBvsD0A6b3zmk/tMitQ+DX/5jRuV0TdtxRPI3KKZ5l7PSF7jm4aqMEIUdSiVocBroSPeinDd
UeX+RAhuVIGuRYRDUl3SLo91jyNYjntwJnBxpZ3o19ouZKfC4rFMDwlrmQSVvOtiK2G3H5QGzs/g
bvPD9st0Irjghj9QLF7HjXYNOPwSiRQ4ellHZmpq9h0k6i8SO4PNrPfTwcaHAS8VdAG7e0WyncNu
R7E2RPNlSq8gdL0hY8Mny/VZj1CcnnKt51juyxjIYeNhN2PPHlOx0oC8OrIzZ5Y+FsBjhlk1iCu6
u0RbWWEXbh/LSO8k+8Jn7ZxGn8WaXu8DuQZIGxFF1MKS3Sk0ZwEIOFoG431qtkXAHmnN53lzUfkR
i2BPHbLouDsTLLEwympQQiYvojKvxNweXcnYIyiUQYifUIjr0sGET4aXSwJF2JEvQes+wg5drHPi
f11PeG19E1acvkJECKWZ1O1hTxjYcC3uQ7698z8Wjg2aFTNQU4Ez08FrvAx5ebGRnqquCaSUTskG
0ZRbWDzMjf4NH0kZ4wD+Lyo/izo2lwapYRoNxD2l7gTQDpyHv4CGAxB6dbOMBYCHl9PbDYu668AK
3zY/bxLHD8b/vz2I/T3S1uy2YqEHaJ03MpPxfwvTUTvO0C7f3Hryrxiju4PqxCIsftAZVB+giPaj
D6cqCVlWByg8LFpdwmht+KkFCXtW3YITP4YITLLoUXEFloPRXE9q3LvUhEcgI8dlG+2eZqCMvoBo
dqmCisgodHN2nogTaXZ01fbT/eEjbxnXOhW9VaC6haq8HaLuLUx+Nikn1l0CC7ie0i5EUHpfexX6
AqnbHJQx85dXdTIGz+F5G0zO7uvQ8A2KOfozCDdn+Sllb3XLDUTMrgNGiIzotoIu4akAk0Kqa3/V
z9Fp4iEmZyZMjLQJhnyBd9pcgS89ZD64TwOzcEatCx0H7GErpHaRh3kaDmuqE5Hp1PEZloqVX05b
Ys/snnYb+EPN4F6iZICqeMU5FGHLYnV1T59gtuGHbtcx4GxZfnr2VVpueLZNMtUAFYbg6/X9+Hm3
gEspi05s+gB6IHzrGQGvOaiE/HvVaeywD+ZPGz01Ba47DFXBDQo5Qz2QQXLyITVDSU2tvT9DsiPN
Ou/Bg5NBDFludXPN8XUXgAIf+xVYoWFFKDLqHghqLmknDsaTIVjjR4FGwIQnGVUtFKlozhrUt4Ao
P1gECatRqsNdjxLGHv1cFvWZxNIZlR19/B6CmdQMhKj/y1stoOA6IUhFYc7JL8rS4kbah7uDFIf4
VOVKcdfhhdEcdBj4JvBdn9NH1IOYO2fEDzifFvTgLsWGY4mTB2QQ0HbI6NoQfFvoktXnopcbfZD1
sok9aVtZ7Msr+v7Z0B+TKxV14c+wZnxS4zjIzbPmTVJWC02dWdkIz4wQ4CuBMFHGjf+rE2TAdb1g
UNPk9qIYPrp10OPdAabwrHsmWXrcS3tp5jrh9sdJpKAClaGxttGoq0CauzhE3118Vh2sWyIfnqvi
B7p0geDFHbnoXM/RtVS9oyaes5FLictaVq/E3JOk6VFr/PJeocciPLfJjnE9NzjbyK3vGhhUg0ul
UjiOt7SmJwbV6SgjcoAIfyraKDP3IHv5VbKi1rBlywJUGnbw8AIdEbeeMLsfsu/7VWx7CyLzxK5b
axb0LijD9swZQttM0qzwoEQFX00rkkIJdEbx2ICvVQ/eS90E/S2chQ4IU2ZH8tGwhF6LdU5cM2RJ
LIzsOB60JeFWloOamU2BPkJFvekFWRF/Bm8liyDn6JUkakYrnTqshRcShgrKDbP1Lt9Y2jbrk2VZ
wRgVpoTwkw7L332B2UtAG0RCQVN4nFbV3s6SFolvEQVNNlZzCXL5hsBhz2A5JR6o+ZcczTaepikx
QWgh0ykI8PaULBbpkn+7EQ41nJ2agqmVhQfFub9Aa97BdWOMlwBs9FpaXQYrBQULTuztoYQ4JmG0
Sy05XqAw+0WQxPrE4savS0hzqqXMCs6K20jCJLxp5kNxLJpd5nu8awex4UugyQXBDDpSZUs8fhB4
2rcCDWP0xLMaQKdNMLHXxKOTHraUoq4rSLAVGlw5k5JYTTAPTij7KFsfEM7NdZBM6LpqnNN3fb9j
YxtaSQt2OwFO+PCShcvd8Ir8JvIDzgITUXx4NMkXtktzbulnZxp/3K5ykIpVIK7pUcDxr5QF9UoW
rKolNtty8aS9g1EWgc4AFxE2JjnIy4iAI/1WbpOpOYWVCUpPIbcKmjtyjTsKemZZMHF/z9jl6lkv
mrWaCUo+EA4d1MRpzJsQ71T4xOuJk3ln54jSvwo9cN3WgvZMC7g7VvptpfWjL/Q88Myke6aXqu13
OsA2TGJGFdAvsG7fUFJL3Od5KTW77G1Q22s9HTZRlTPiZoJaXk63EATCigKvydBLrmRQxtzsB7dO
qSXC0ZVOc4IkMiM5nw2I/LotG1UhoXL9KsH9ciJBMjxJ4KhXm53FrrDc16bq+wet95sQS7eWrAjs
oamjbf/xzH7mX/BSUU0rnSj4p6sIHaOHZxYlUX3PQy+m624Z2SR/qFWdj/tzyW7aBBjBIsjEZtST
7t4Np23H0d8iCD3KHXoHEkOnJe/UOoDp/AS89W3JHLEFae+Zq+Ftytza3VNGZE8TGM3BGsqLZEzH
nDeOtAdm6NHedUSqUh9qghUCOBkqUrul+PBWb0tG1m2xcsx+JClYI4b9Jx0dgJGu5/Do0N+zc9bV
ezYOMrZmczw7qTTV52He4yDy0kiRs1gGnOFThxw7C1JPse13Hb7uOLVFs+0MzIl8Q2IzmpXuNwSM
MMVXOh/zutt8RsJmXMR/zPgHDROaJnABCYOM9mtuw5XGK3+Hwts5+ZIBBrAm1bgj8UXsIlgfsZ8v
4rgUf7LKfg12GXyGEf5lQ60sClTy8cDWz05Pt+NPhCSgsDrWnRu0IPjqgRlVrv/PZbzmuNjwK9qa
4JkLEoWbIV9qvj8KT3J7ioebOg0rSn/awXY3b8nnqlSR3BcZS6w90P53+tKjNsxb80vrSRefQZop
JIiCss/OYIhwu9FVE0jTH9qUdcGdI8FWwDC1WrRO2QJrhVQlb8GL6Qw49RbHAXKFK0BXz/NzjNWo
QvIQrz/8b77niYXPgDaL+oN54HBiRshJTHxSS7Gc8b/L8dV5pfpJrP6t/I9Ut8ThckBhUhRoCve+
rq7XGoZ2Rt6XyqYldwcFWsNRtJ61Na+y+2jSMjAypZ5RZVT/f6zjHqE3aMmUAbpl4u76hXfy6K5R
9xSEAXRQgtaWa7hkNfppoZBF6SdYCfvxt32Jv1PKLY9BfgvCfCmND0jXtpjmLyR0Zdz8Ueas1QJ5
GA8hXxBF/yz4IpVYS6ODv3IDUl4L1D6vWvP/TA/wfZxSQD9zdZI6XVsl2T0K/2kZVJLhnYe231/4
0fZhloPC1nxp/IGHCHON7RkaFv0Gr3lrDhXf2b/HUA4mjyqBwNhyD6ICrKk+56Sb2M0YqDmvP1yk
xS5Zh4nK6galinVvgUlNrOS0/aip8bi4vGT83H+S5tq9kvm+/l0HbADp2dB9PrYbK9Jh04/m28DS
OFwKFD9TISA/PZff2TPWZfOatwh8HYUKri+Sae9Oifa4H7Ym5vZXzQTV8ah1uvyoHw7yCh+cFori
WH0SlrFbuhM6786cc3OCKqgkdj7BZTcj10FOU/6YQ9yeqjcEnEQunR9VqI+i59vUN5E9R59KlLV3
UC/l3lr4+zuKKGuL4oaNIl5CjP49N0M2pz3T9EkWTzucRjwFIBcmf0CkTivdFgBQBPI7K0fwD6Z2
fv7qFWkG/kCSIAbuzoG5BKsza3ck9b8AUQfuH9xOWBP11ET4o2MIL9rXj3JANoIfkwL2dB7tlcCL
Thq24HPEV+jerRFNhn4u9myrJSac7QyDzWXepbSAiwumJ9c41WaBOwwGXf5eEOPpk18oov9Vai7X
cC5YjJ8shOwbXbQI1d0wVdxVGpfdJPv+eOVrYFP3Y4SB7qC1+kwAurZTTQT24X+P7uYf4o2qxUEO
BoCbWoSZLqmm1kMJqXE3CEYZZMToVRTEwysrRdhJKMlq3+PG32cLvaOcbdz/cHkOmJeKhx6hBTJ0
i+UE9LHzQUMRXFwBMIVaUIy09bQsrAb4+AttiJno9owwZj2m0/zWlqXeoFl0SnkcNjAsLyP9meqy
S5bgqykkDF4YJUDjBJ/fQ9fDAHn9C/2n/pLB862yCzy51Zl/smwB0Hr8F7TeDNieSRsB9oWrcNXG
rngt5HkPWfyjS1G9IL3rCEj+VWi9V1OXhKe2UctAIKGY7xfJlKk9M8JLrqqVNIX0f6zk+DXv6iNg
8mF0SE44NgQ0Ki6c/j+W/YBZD5zWGJbpugXJuJiql/2wTyBVPNkhkxqzeT/isLFwFSCSuSJqy9M7
39Rt/Yo/EN51pakMy7vlWf6RleLiuJnvTJg4MvQKUWNpslE6oSKeA2uOI+Hvt6dKyu3I1iRjN9tU
0QM3FpdabLK7ATEjix1wrxGAd5l7fxMVwzvBCyTOB2oRCLAUZJnMKX7qbN4qo/Z/OkzaAJCFQEMR
aSXQbOZIAxPdnoJKubClKLNAwlkVG9pfb4yk5os+bNnTFKHBtykAUWTYfLkSu7DJGCwSZCsicAD5
y4JSqEH5CnhRDvogFydvUvQma/De9DBR9n03V2IIzaq7sxya7eOPEweVItfDXUokE0NuwduvHNq4
n+YuxiT5LMq5Wny3QLUfhy3b0fs/Kp2C2K4V6grNwH3F7jVkJ4LcsbUCHjI+/Ju2RwV8Tja8ACKd
LTZOk/CQO7gqGFXu2tjjTNhwu6ZVumvU/S2LO6uGS7LJhPCzwlF7zqjgw4yZanYFaasROwuLhAVN
Ydz48VQm7DqVXIuT/hP3V8pFwysZPsLrxDomTH3QLRuyPRt8X+eXIANQDxKw1Oj4tccXdG2PZ93n
E86quPqwy0zKhGkAuLtoUf/q6vE9cn9+M21x3tbW5yAGQ1rzHCpXxXElSDCDdWHFG/yKj8+xSWPy
wo/9H18i/oU9S328jryuagBCmxy6uK+JZ/Otj0uqjjPQrhYR3JsMZ+/JpSQPFuFGuGJIzhnPXHGF
1UNTCIGZbY8kgQbjPLJehsZzcbiRXRPNoFpJyImo7gbrsoBJSjg7zyY+gy931IWKsNaVBThEx4ry
tkghD8r/5UVuSAFlu29rqSdRjIMOp4Nigj0NMCkrlgfc9NQGz0ANaaniteQF4fc30X0hcH0OGV/V
qwfqaVgIiHNehtod1t75yr/njlP/KTBzjyQQAmopUR+HaoK3uL8anW3inRqcqhxfnxXqNHegCFdu
F0mEmDxe8H0hqXttPVwz/2mJ5EQfesmD5HM0HLYY5qTxOw3SM5lXu8U131b4AhV3jU9AKJg4rb49
utG6bxAvay1mLtYsEpWPc8aooJKh2aKsXGj2RscZ7MtuC1H01gG1ldzFAHdRHfjHP0D8MgHyVBxh
UQsZGPaeu2rDuAFxHw/2ilPrhHE2q0rC2o8ym50CN8ZKtSG1kWLx+a9b4qqjsed4/Kz6mxbfXiRQ
PFDLRDvsPP896Dn7WMdMNutr2Ghr6B5qMr/RUyLB/uiwtXWN+Qm6hFGX2K4bl9LUjqvQlY1BzMTL
myzpl8nEraTD9eWC7Y2Y8Czx4Z1e4T0/tR/eM1q9fUhTTV8PIRTGYILD/iMWJZnxAXQC0avUhlMT
6tFbxfY07/xOno93cSaby1XHff4KKQO2XJDhR9BYskigvOpfv7Q2yyYmVRrOF/N4gQBFo5y8KBnS
n+zfTPhUGDAROTsD7UvTCmbO5MUDpjX3LW35MJfz55ryGrT4iMeWkQJNJnQHn/ILZSRGxzY3yZHI
MZT0dXqaRBNm0YWOxWG07l07vJ/iI2iA7nXEv6BUrSeryx/RIZ7ulP1/HEccuTCrptE+XWS7ti5N
jvq3zAynG+lsR0DLwd7SznQLpIADBokYBwSm7+ajoQu5X7CwS8HAKDjZg23f7yw5GV4gTCaR1KGs
6iFrwL3g/BqnJ9Wcmfvrv7XiATESNzxbvibXzCMm5QrsqnMMiC1dGbAo70/ybgBc1Fq0H1JExvIO
lPC/gvVUkCWbpzNNU9FFkPoTVz9PDgH3i227Nj7Cb7vHu/Y+MtvOJZQGUYxDBpAQx6UR23+zVBly
SFhb+IPwYzEBJlEcIAx1JRzKNnnqx4wwkskz10k52nLuYiuyGIez9zR0lSxeqKiyH4qsEEG3/diq
SxucWUycGMGBheie/PH2JqHxLsB0ha/OBZRnpYhL1xSkMVSXtWXwr6Ix/a4GVWAUKqtyWWmR4jQC
JPOSUh9LGQYd0uqV+pun6fpKeSub8/FXkfU5F4u0WnO6co3cTVSQAkreJiOafCyOUKTYR+PbgoTe
6G2p8LqMRMqbU0V4RHwy4bVuUqHdX1fpHHh7NKTDZEapfl6yA/MN3Wo/V10sxCFHLP7mBza6Tjt5
/K+O65h9rlMQ+NAkoctEEogdcZxI0KpC1wRJho9P4BszFRTDWUzq+G7cA1zv9HfiovFS5TRYq0Bj
jendVibHI7dKG0/y8/4WceshbiDrnMN4ONOxVTXcTom0sIR2n0rmkFFfY2jIpUvHDSzOy50zzMoh
jr6OGPoZQD4lObnWE42WuO13Nve5+FVr7hhKdblg1M9V0gf5MhZCH7x0CtTVcAYK+w+Aaxniszi6
0c6H09d6YTzaIoQL/gEXDw0b9CFUZhf0og5FruhJJK49hIbZhpyI/9X/txq7vPkBXcno1hsuUH4G
2e0kXnIE1fcQboqK8FJlr0hlvL7y7ACaDjoAHLyt4QOr/9isnP5G0+zlytIt4akf0qbqG5THADDc
ku0ABhW10PRUpbYD3X3fySBDKUgLupDlj8haot+SeXhAfWUbDayIN9Ef01lFBYmfpJAVBm877rvQ
mOTGnfVedPqtNrbeKO196mqbNSVTPghEiCT+Np5THcx4LMI3Y5U509eWfxzqPieuqMlAkmwS25qB
6lUmlU8OWFvecCH+sLxsvM9+tvCG0TOfBb7TFVp/qLK/UvByGuQ7WNZrAf88WHV6ZTMWg/pZMzW4
TjYBiDYCh22mmGshU14SDGQEIo5IvkH5qZBxr5qhaXpoiLY4SIJFeU6wAAxCEfx4nRasvq2CAsqO
Efky3PaREyYFYJ0icr/ZeBmUPDtY+4zYolxulrfVyUJ6wjKqmVoxw68pJ3uq7vkFPBSH6cPwWPt3
HaEXMbvyzog1R9BLSe/KwlJHINzIpzuGipjX2iWukYtURujy4o/mn/d3GRiDiWQ7kN040ohvDMUf
QL/DGh6SjAO+XgCGUKO9ihXGVHsYTXVn9glWM2JZH+AaqrpCjqgsV7c+g2B1sH8QOgIt74FIymsa
r567zhIk73Z5Jr2NUx0r+nOR2Il1ihIADdbuXxPeN1xCZTL7UMgq1OLzmTGHrjXPZWkzcgxlrC7T
yf6Q8H4eASRhtOP5jo208U2KBDAURoJRkGadgtYPR3cQowv10mcnFdj+3K/W1EUyJhtnhwEUSTaz
ylrCP5knDN1+/9M8CYHkk7CWKHd69qW8ZS4PwjkWzHHBUK1H001vxt/dX/Xnm5Qd237ecC8lR+PO
dwsFcelWEEKKV6bfhwSmscah0QnYM6pzMD4eYSofV0WgqreUjKg76OLNpUptbKPwqem/EBXnC0sC
J4caLtbKJ4yUXR5t5mLdDqya1QbXaYlAxB3/dNGJap5EMPw0UseaMwmzlll3tXdRhe3orbOotYt9
6wHoWJgbQHGcG5X3E+HIZS5IIa75vmi8qbl9kxYpuY1aLTgbIVkmI7YiH1+80GfSMhEGrQeZGxim
Wyon+7b/FGLW/w77+uPo4cpkESyq50a/iviktf2KQy7LBx6V4t7Ue2thtsy7PhU6HVIhnk3PT5j4
9t/UTl021IYsdDDs1J9Vels311N5G7JXEfVbML35cdhZyKkhwYJSEkEyS+0+fv9E+oH6nIpR24nc
0m6Txlu2W2qAx2yqhSe6aV1P9mV3v6jrpHbjI4At5nBLxyAitd/Jly9svEJZkUvq68qMsedCkDbu
Y0x6sxaw7vwlDEoEOUOigXajFa4RnN/ELUeiMatJ7+5+VLTr7Gzw7TZ7MQbiRKr4qcu2GwJ4Jdpa
WFTwu08YL/rELGZL9oyi3hnfReqgBGmIrvzMUrgbif6KbaG9kuubR7+Dj1x+UFj9AomgCVLRtQpX
fCNasTTEK2Ictk4E0k77Zrq8SqLZSl5TWyaqaY+Yl7LbtZ6sXvJHtlwT0Uswbjd5irzkUBPeUpAd
QHcxeQdj+BnI/eszsTaFug1nw5ivI3vY73p3AKO+9kZebzB4EDNtleQhahEtGOLSEthReU00bl+x
wf0O0xvovtXD2t0S9teS1MPV2RblJjJORMIxw7MGsVGyqH3dxrzrnDnJa02oMJJqKddS5L33weaQ
YSFGIvfGRS8jjCPXTwhzgAfkB7BmDY0BjnKUWUc+Hgu8ayel7xx5gTzSjYPx23H+p6VJijXxtppy
f86Z6ZXZ/vX8FsGmm4s0mQ2Dto+EScBMKCmlJ8Z3nqO8fTflGvL5cQpFMxZDLHhC0DA1gvbY8Ogj
+t8EGb8iFB/yBJLLfTCsOoJ7Av4jyw/YYLwlBwi2/l6YIy/zHinyoRDpVlQ+pBGLXr/gURxvSokE
XVDwSbtleaplGi37XRnh4libaK24tZ0x+C04RzyB5Xy2WeZy9ROgAHw0WOcb3HlELZ9V4Dfj9+7s
iFba3K1DUwGUnANAAY4TmpfTaPgfEGspsiKwL8f+/TAjwf1toPMCNM/CTfiENJLYTucZ867AdnQW
tYccNhuAsKmQZh2hLyBhFliTXRxzvLnxlqSdmNy7Smj1rbrnjGk1i7P4vrZLvWuo8KXtnDMjymFU
ewT/ECov79WS8AqBhnQ5zhk5MlbLmEH7D6ftxHx3kayBppavUmL8Yfwyb2mVbHQTFFd1ps8uLOd/
Gf96/bQvT+lPR//1VvWdj9Tl5ZjKw1+nqcrzcrq/qJ8fwJC0m61lA2FC3KsWT7CaYDgbAcNIHdhG
cN6tKSEadkEX7ibzSiob61MKFCKdHhF4u3aLwqljhdfzCl0o9WYijjbfLdRYo6EenmxaWw2aFoYK
ZnkTaDRfLtgfybUQAV7dDGVvVKJ4uzo+NoKsR06pCLZG9VWPtSkHLWriGcrxsiP+LB6t7qQ1VTSe
gTjJB8bMiPESkINKaqhAfoouv25AC6XOKEB9nURmdn88gFDi8XHplKWKI6V3mZ6wKn5A8AfhmPLB
1FQhF5vKl11JKGGMYZaY/EV08omkGPOpum8UhOHg2umdDy9y+HhNFC4NlI77rttsIf8ZKPzqMCC7
lpJooTP6fp5V4pniwAUrG7bNTWBAQVrGUnLfMWbv7Hmp9VltkJToPrqX67Lxf8oxXIvgR6q9QyPU
uZU3njryY55yIDkJQX4iC2vgfsplPCB3XB7dfa2mAJtBYoulpAC/9RVnNf1b5DP05A5quqmqxvR2
nAvRUV36d1q8gWuA2J+HolpC91egsroSBdMPfav8EnHGMLwuF+vqjbaBq/A+hKidXXx1YMb7E+Rz
J5XMAGUTwWq3WnbyJi+EUcngqnh6xwzSaB6pggO+Rp8sEixw4aRAUb2BpwsYlG/GnwSMaZtu/kRZ
fAFKcGCzevfh3Fbt4AjqefNV14FcsErpauvmh2IpeqrbkUL/14Q6ohVd8NsN7Yet4XlsIf/MzTbQ
BSXKyxe81flmjGQNxWPDwxFDwVs712sIP0VLE7rRh2L8Aw9O2jcRXwqnUiaIPvyp+T+vOU/qkmPN
+Vy0vOhpsvikI9nps1KYK+Ag3deE1ZS6IlRKilADBVN852tvpldql0rymIHGdQArvf0AfqRpQhuD
SB0dPb2c4lsHltL1lTJUvzEe5I38Kp3Tcnj/CGlv01kMBSHnDQ/06IvzM/qK0uE5SzxQ/0qY+9Iy
jmJSPpRu86Uq5WlfRY9FCZUOml6xkCtZCENMf3FyPDFJpg0KVW8A9NGKdIaGgfXw+Ahx0mLt01Dq
/4vro5EvUnANhq3CROdqqH8520fyjmgzAxiF7WUDTHRWwMc6vkZYsqF0XKt5P6SPCEymiSaGeh21
wrrHV6mow75w1fuXjKlOvc9TFW+JO832L/EBX5bDZ2roMSNQrbMT+pg80WM2be8SS69nIa785m2F
dnmn2v0paSf5Cw1yDm4vtP69MPhe4YSf5lAFm0POQbU1dyU6L/O8WabWXg2uP5zsBzN3mSTBjBt6
YXKwaWTY0VraXkLOvu28/QTH6LykVyGjI45PhqykYXDZUHvvlHKH+kKoQgqtDFbjP9rUV0UHZ5nU
Y3kQ8/aLgVBbeUNZcORFeQ8m82lIX1WQ8qfyujxp8qVwrZoitGLJmHo8cRotSBnqIoBZUa3SkYWn
eLvyIkmHUXhXWNrY0i3DB2GbqyCqx5Bu0pQfuSzurqRA3ttaZudSlHxI/PtvIe6h5HCxOnJFSheW
KMtoUSIbMmgW6m6nwcOW2oKSg2WGQainYIZ20uykO03NAtJ5xYP2hJ2dS1jplzvPPwvWxRxUjTcA
ybfYqUW0xqHYrFNcIjceRm+vWNwsHk8ya8Xw/1cVDneri3NYuMEoNTZ5ExUTH4NTZKiMGyWBpGo2
/leXpYzHR43D6vRY/kZ7gwFkomgaggtJ8FwGpb9OQcVTVZo+lWc54YzDU9Mk5420LSZJuk0Xw/fg
IVbJLF3MS25AVwUjM/s6j1DH0epMS4X61Bv8wV1BZRWXlpgUdOrNSILALht8jMKhsU7USfAV/orD
sY+JnVtZbHECSEge9m+KNlDAwjPfbp3NFY30Q+ad9/M6jJpy0XfRifyUOQpxa9vhtTNe+CPWNkD1
SqCZ2vP5msXITOpgwkP9FkGotkMeYxFyZn78l/mAsnXgDAID+vHExrNoJqhRfTc8mmT6oz0eIzlQ
LNDEr7bm2hSQdWZu/9/UCXQClL4evEE402x93gMh9uAE4PBEzgUMcM0tLQJ2wp20Q8FsIevNtPSv
Ex3bxubI8GQ7UDkY/uDJdSjzTNnoIbQdPZOMgYoXCrdF+qC777LSFB1LwOwCUCPXpYyeWseNWozf
3B1PlMTqklVatOv7qQ5mWvyUO/IL+Re1kkDAA9lGY/Io9if98+rdMHIKu1FlLiemtTFBDykBTQQ0
3TG0JybFUSxczTgyZ3X6FMkx5ru10K5ycoerbsNOQPcmbrneZPI5QvZMOvJOkepm3RuNVKsoGYIm
URlAaS8To9ivJdkicuOTY9yBPdbGWamOOwJkFXbu6B96WmCnVTm+U9SDO64iCpWsQHXLNfv42u2X
vu9/Kbypl/bqJbh5kaJ/o4pMso/o8ByV9KWW97M4GNnCAmxvkIjzztX5q9Wg5MTKDhw7r35h3ufZ
uL/ltxjqCGGLhkzeN+D+86o1pLLhGSbF8JZy2+WBpq88htYiCb1s0HerwlCHlMgT32FvoS7IvOwW
vz+MF7TnFBG6WqdaeNff0wSnPZi9UgsORKowr7FYUHVu9x8yRuYByscpQ8Gg6O9kZ/zk1uRLuTIV
kx4H9BT3p1WbfdY1VPPDQzEt2y42/ebFyKFk85S6NRehVo8N8tU/RS6t+/ZtJwo0dQS9hHisNUod
B8fTWwAB6Jtkw4P+10mMLCluNX9uWL9ZM73WjZMndldZjTyLmuu+RKWYudO8tmDyHrByt2lzP0hm
3wPOKtqKMX16m521vJ7mhmdBmJMmsWP1rBTpkrMa7KsNNVveCAaKuT1maH4jp2GRUIz25s6XWAmJ
QGT7+2+/2xcgNNmuqF/w+xDy3lOAnpaKcJcDMbGtCtGzJXK7vGymk1CxIh64tEl2GBpyH6zDJ0s+
9YCsMxsx9QsLIn37AwzluFdEICs4yLnOTOakce994CD7r8wgpFQ7B9B2EuKL9J/G9lbWGaOmx/gN
6D0Kc+zj+8N5xyFNIx3hBJkR0TZYfWMVMOwfkLGAaQThYvcya7KwygpyK1/5Hx51DR2jhinmArLI
O4O9avHfEePh+RNLdyezLWj2f/7UPuHkxAjNlU7/zPj5XnEotD5xBazfZEDwjyHlQjbJtNJCm60p
oXTDPFtGpWJfbVVUpIsNvFzFxr+IxituXTlfK7BMkWZggdjEnc1ekfgoEMngivNo56ARWO6SsFcn
viBXE333H2iOUX7TzbUGGceB9c8I7yBAuobRw2nCRQsO0FQ30dC5Q9cIlKkUtHU5q//XjswYVMQK
F/kjns/F5aS5tdzzrxLrxyLnyO+TLrOYeyuY2SIQi59iWdXPj7KJzNXcoHpasIcUzHm34igy33jR
oFcYkZC2EofFGcsWdj2JQwo6E5P2XpYYYd0+lLOOLwagzrII/xxVKSHJBF/pbtVANAfFgQM4eo4q
vIIdlwWd9MHiRj3Ak+gxmyF1mMjB99cILAxA50+d76sHYfIYFZOpwVc62wT8kfMJM+D956btFQ0U
dzqJmRqa4Qo8s+BugjHMBfApqKUq9MnyvrAXxI2yAoMBJ0vDevTvmswr2PRfuQl58NHhPzxcdWFP
anvMs3Z/s+kC4iXm90Md0nuL9pobYXpxZijA4EvOOECZLxNNhKESpg3HArCf8KNRdtZ5wWGQnXiP
YHwUWoFvD0bopqM5iTb9XPBmh6mtqn5NzL34WDZwlWuQA6Z+kKWRcEiEDM9/pyGYQFtio0tnbt3V
gr3MzNWQTvrph5araiW1PtgyMgsmdu2CLA4zS7S7fvTeqqT6JvBSLl7M5t02/iNHMNGGl5ho9NLR
pFS4McBLMAnBTFicbWvpqe5mit8d7wPuLryIOLD9eXeTT7Ex7CXE722Pv5u9X4vlTTFm6AvDnU+B
qvdIuT4n0G2noKhBz0zCHvdHkRR5bGc55Gf9O2jbFSHK43Cm3YxafVNEHaj6ExDD+4GMJZNTmtbR
2nAKcwz7+jHEeainTtnD+KFwFkGArm4FX8/IAR0QOhi+rDTsgLtiJma2RJ9BJVlLUKJicg0tCB2R
qRkaZH0IgSAutAidVQ4CfCXx+DPIPL+h0Lb3aFnbWedAqNKhVm9zTJnpt2ErChxyN8ouZyHfONB0
Y8iEW0n9SS/JFEMaaJmZdL0bIrHql39CByI3qrF3tBzdUQc++CMDTLPDfWVr880uc/dqhpRzBsOI
mx3K4ZN5kNY75/kdcbbWLlKW69GFLUs/16TdarClVz+bfaKc0T8JZYQIfSJKyebAh0/CwsIbSm63
sx124VIhB7OjsR8cKSf9bH0FNaGo6fph+TncG3TOyo2IAPOTOIT/lyui/DuUyDeJoP5xx01e0KP9
ZRUXAvNowyI5rd/LkkiYoWqQQ+bNAM1ySROoEoux169MpLoNKpaC5nLr6UED46W+sVK6r2XbG504
0Q3FzaBqiqd+cAbHL5OSFpovIPIOZfI+MXWbWLYQa9P1SwbDS1Ql1kFaJInNmXsWzLk5WTaGfaj/
XxDIWfEIGlfoW9aufDy1MNjIYUv6CvW3K5kJejUO+lZDIyV5W8trj0Zl7t7a9X4pysDuEcXOY1qw
uEO0c0FkyCWIuAspZHTBoNxEoIbuSH7YzB5jREMxH538dFmjyoJmRKKuauIVzl9fqOFnqZvuEQdv
saAPi4+0mAa85jVRcdQ269W6culMb0avK5s+omyQq/VgWI5qV6YqrptnggWPfjWT0k8NRqXTWuQp
xwKCknHTsl3bkeK9YxAOXiFzP5cfZdDxaYPutK/K9GYJjVg8tQ9PPwF3P/kKH2iP1DURBKCF/p+4
XHqzaY92ppHMP8cut9XfhNqqh4kPgE3bKfoGnCByTD0ccIaE3vYizex9zu2fbB7qFh1IXnBx86cs
1B5QFSXKM/ZqiS5BmSb2O16w0gvKTuYjRXKDcPFQvP/ziIuOkcdi2D+wATaCeK2jNFzEXX6Bb2Sh
vb41n0IVxzXs2xdZj64/RnaNwoktFeuWMiz9sjt84qZJk2AeGZ+IgJDLQ30R2wrx9JxlS+ostMlX
WUM6JlzzQQ4XqeBgtKwpOLHaLDBb/6JzZhxKctzaR+zEo4hhxgZx1HnK/uSnKANBJqXKNudz4Swr
Yjh9C9eC1pzUeOpzAKXSGHvusfirUYmZHHGur3vPLh1TNDZFd9bXhB3jMsH/Zf0eBvAG0qdb/wGi
W9qzq8McfwaSSP+n0XUZhjAs3/ZgjhyA+NRhKrjLfvYF60aNia6UHEHL/V0R1/mc/thKHIfLMpZY
ZFcGNLcB8OhsTIEOZ+B+ZhfDuEqc6hYqLBwTVhGn2MxSJl1nXHimBNLK+LWZmA429/ZtIGTwzw5r
x5g+T6KRnVbuUfXAMkC1gTfWyECPn64fqbGDreGXo/2H/0Zn8YHIORfS7T9pHUhYBUVdu0yUa4KO
noAGL94aty3qyd+q5tNFjaHQG2eMSTYKkSy8ESoI/grVbAo2REYmSC1VfZ1x3ISK1biMoqodVoAE
H4LB3eWAPnTv4npSvz+G7FrtBR7rr4aSz/KifOmUAb8dueWO8RLTsavfK7TcIorQePMl2SpExic0
RIEf4PWXEb+BXve4LDF029A7FZnr0Vc+qDrNw6E0jtWBScFhzzeRbp/Gol0wr06RRV7v8s0iHX8Z
ViT/mFDby81G9Z9cTKMoV/hzjkUTP8trlG4TNbmYGfWhIVqHvt1BE/XaU6YcASKNMLeK2rbf9ujY
wZxQ7Sm3VjEuD1ThNqGsCPzXWJsgC4gSXowM3L7hQ6hbcB1dyM05ieqpJMBEjq0HhmdQRgWH2HBc
KMZ0JLGSEboH/mIzZK/AxYzWeEGdcjpwgi7tRfNVmjqM51buS/IVF8PVJlmObE+vILRFbgtYSdZy
V5rRzxNM7ULst4R2SbSD7D1ZapXHd8MlPyP52u0pKNShv+KoDMTv9x11Q1E0KnSeCaPj8/FD6XN3
eDS1i5ww49bB3iL9qWsHvyFQnemOSZ74NJ/Xe+pkuTgF1Mce6eOGpQvBpYj8gjdXU0/9d0XOBUN3
j+ks4CBI4Ig89qkM36xb501VZIDviN+9gTxDUfrWGCsyCoy/gA82prJuvWeoIjATV77U1PvOtLpt
M4ee+3OO6wRCq15Jbf4x9yShF7NRHlgWrbRfLyiz1cs97FonE2Z3OmIYNfjj4v5+jZfX101E8U33
pY3D1hsemQFV9Hk8y2ehHl1oTVp2JiODLSyY4KEVMzKxFJjPU2Ale+0N4vbkxwLIgbPicZyz7yKL
I0SAiGlwToHdx0mBcASw/VmW6o1B1Hj/07yQEK4JlRrSKXTrBmo0apl0Ki4z47OJgDsb4DWAcsY8
+1uXqSO3eoyYeC84WcCNKdncGxueidjefhwq3BcivVOfwKHd2/tl06d1Y4S/rz2ECqoC/4/zxav4
12COW3HvIiUzewID4LWCMjQXvBnXvOxbWVgL5ifmu2hA6jkZxxX/JU5JsdWKk3GJEs49XhMiKJAT
WyLokIEBLxw4qJANfdScGxCQmGOKr7fD6Jzs3aMOj+snypIaMINvzn07lk0p5Awo7E/W5FjdAppf
wgKhMZX9exywO2roLuNKv+be42N7rCyYn0OROn9RdAcDLSZeo8dGT/kuARs80C71LQ+mzaEDTCoQ
+1k0aAP928p9PRfdhRF1VcGBMcJrDwNCeX1EMjpTnx9QFca1x1eKkG/3yoBOf8wj3IymuR4JzKwI
P+ITXqKpEeo/77xhliJfVbFQxUCp9htmU9X74ywkawylnoPLNyqQYWhDoe5XLIY6ctQhncuNB9zb
skPQjchnAp+0UxPxmyaE0VCPqZt3OK+NWLilGpbZAM411Ms8PAvUKbi3HmHcYvDprarxH0blcQiN
2M7rH90A7m0Eg/NSwPfamMf+5BTEtyVusabAHYHYR9kFZVKB9nCVVIScCK5AIGPmSkXE75NvSEyY
npoo89yPafRUOyvgLsmgaqL+Iq2EJXCv++dZw0QXHVne59gDs50yz8he/yWZxX8ZXI4IyJyWY4fL
Rceeb5CZYVybuW8uEJDS5XNTmNMhm6h+f+G53Ar+jxsferW6fgymez4tPkFfdAjmt1bTlNHvx0oR
Q2Qjy5ZfwpzAuV1wF840PNDGBhhzMaHIoUiQRs/IbAcTdiyNNnJ8HAcbvlcgdnvb8LGl01O8s/7C
UlzFiqAbGsGpt7LCmByFwzeEZsCwUb7ZbCMYj7xVl2cgyIY77Ar0Y5K0HuIBMGOEP4OSC6Mtn8tG
XImKfQe3PT0I59K6EDh6SNYySt/FE3WM3fvZfkuzPPj6WhIWvyJDmFbiF4L3Kx/zQUiuCQHdFjv/
y765TuLhZugZngERZ5Rrmyznp0aGYEX5kCEhdw+wfPQEF8JoSXv/E6ubqatA0jLv2jsldCLb1DMp
zv75n6sAokQP7vg/I7IxzWnH5nWQ20cFXlkiV8EgauDDwTzoeCKolRRbq+xHwlICwLxDNpeKWQ9N
zu0USwCnpy2gfL7yhTRDQsyMQH69uyewFFWo5TTt5Isxz+1Hpu9NaKPXhM6FRGKm9fCArsMs+YGd
F6ctQKlqloYnx7MY0M0wblvDQZD4fqYorrT8zU2nQyfJ1VzJDzQy0jdAxfDMR5Mreq1oG/sQ/YWR
wa4ULQiZ8h2FtRXXIsS9IHk8g3HskQkv7cntCP1hKl76GKXmA8vnKodF6F2h9K9iy+iAyY0uSmJq
nqNYasywJZPhzR0uzDzQhcZ+eHpPcdgTut9YPnyLOM30AgamUFa96r1qYkWFNRB1e0ulOyIiZaKW
+G8dZBhP0SQSQCm7cReGPI040+iUQBDGTuk+i8XLEazG0Y+QOYtKAl2ZWYN31mk4wBYT/PXkDr+a
iS1zF0YN5D2UQT7YMd0N/lx/ankGdgrF9D8cavwLe4WEFI93TiqQ/zMG54CD4lIW6C4oec+naakD
cH8slYAmOSDnRVKFC3lGGHQPgneCdAXN8qqHQ8YidrKdS6C0/i9KB3gmKvFMTfRhJNhTIQhWxig5
2bzuluOi01uQNPVL+H3UzRYU56cGAM7I6CwryoduQ4IUgCNfohkyubQ2AxGpHtX2OV7A8XrEGOJ9
h1TZsE4ti19aidBMeIPhAwDxTHPi7XDsApANhZt8fcHeSh9QXr1vK+LjmoAMy7vQvOWFMaL6smgn
4UZqacMnt6QmIDR0aRFe5j4IoVniIWrH3/RoN7EUWga2BFCMHCza7YP6qz1+qYYR9z+hGma2z4qa
3nA/tLAmhUrglvuLbpc4ahgfeWY3GDOqT855gy/09/XstllRqj0L/VTlU3Ur4ImrAtqGUtelcHzk
4fu9n+RTMpQmppKCWkGw+3aGLSlVeRl9CMuR8JT0jZ7c8wqv8dk9rWH0icAwlluX/Y7ToJGmS+tW
aAhGPdaJfeK3VYxR852A5jACHw9R2UmnsCA6MQE3kVFlETlmGtacHfpAnIMZRdFvToQsaAWSmxTc
dXsXl7gStNGdu7BcPBlHuufhJAeRfH1BWh6Yu0bQeSl3sgp3c3pAGq/b3NCGEYT05dRcOpe5Nl26
RhCcPoT5HhEWM127nyBdDvlwI7ClMvVhxfnht4GBTCdAU+rDNgp3Et8NejlwRPVCKZ+VraGTy5z7
sYk581ADqQe4/9kF6uU/j554fQooytBRkfwpHlf4MydlEhTFAfjeEa44ViIQJXkSOAHKP2m5uDsf
U4fAFgwR54lRcqCS4eWolj2NDx0QjMitV2wNz5wB/5XWubfD1lVRd/5ywUn76eti8iXQPWAMbVIz
AoFNQL5jpr5KqoeTvmZwYSrxr742lhKN4Gf+xSZRDT0CMwO/lvQ12cpLxocKoV01an7aIaJeoZUw
QG4+GKYGHcLz0jg5+R6qHbJgDeaHve8NRkRsntW6j4ZhasEQua4a5LaqrbCB5+1OGCG28bAEb1KV
lY1bSZdAkj1yDXFSp9PS86DTvLb2pKuY9pEqTo1cbI90chhZBjjxchFeysbtpC91pzltcYSU8+uc
qG+QTS1y/Jpt8kV4pr/MJv9YHBUhXffYW1t1BTbTwmNl6mDAPNzMCscIwcHVjP4m8frEaxaPoMcm
RRbOkGayXNuqZsI73H4YLkM3wqwtH++hK/XGjxEfTZWflpWJeCfCVUwSXZAtlBELxbE/WXspPwU8
m4l8d/eBUPOE/187Z8uCb87iKG2HjcQcSDSYWwCPGwtN0VWH3AqfDFx8kLncaR9k6nALsW83CaWy
KAjYfFStZkeH0svJ36i3Pc/I1Fmnb80xJshYaT5EtvbCM/pATImKZhk0MggCbNCVz3Qfo047KH0Q
JyTDzrRntECH6cH3fpIemhLhegDCQQ7fyV3ApO1DuhojmeOGiavtLbSW7PjziYYkShplzuH4tLor
DVMw72Xr/1JKiDZ3suH0lYP6tt38bpk0Fig5L7llt7etxS0O92Jb7jD/WcDKljP7P98P5AHfHeN0
eIJafkbvkgtSVtdnrQDCwV3dQ2udnBZ6+5orfQsMXzKuldhb4s5PKdw3/uU/PdCOBpB+jzXpcKba
t73BmUU1FC1ZFQZb0ZsBJIzeZ0J2vaEMVxQ1FWx+ZgxDmlpoVBPHmLysLcnRzkP9gppFd9R91IWj
BCDJqucORpdLN5Bg/4lgRJbPC9pJCjJkjAmKZGjnpc6vxE+zPEmqFXWPPAP82eVpB78wRktWOzVw
kPzIjcjq1L/sDBQFt0Oh3dSV0Ww1HKTRDt8RHz8rBkGqMuSmXL0y2Nvzo6PUc6P8JGiFbsB9SapJ
WnUUn37Gdo7kTBdUzVsH//OAOnbIht3t4aoAAkd7iHeQdNecrer4k6Z4ixuHJ3OnynFLFHV5uqEk
PGEuN662IJAhdUOwNLvdObKnd/6PTlMMpxwQsJmRUi/yqjWlSMwpUI6CBSl/MKvRoxS+mwJecCgk
TMIDkExHISnH5dkxShCq3bFujbdrvAy36Pu+OZtuwS5+oqVS90CO2LTWnI6LMfy+E437r5BxIzkd
IFG/FTsb+hqdcPs/Uopxmsf+VBHjHB995ssy9S8a5/BAMyJqS4kQBeyz1s2mTH2fJsyl7LUhbK40
vmK9ZWUUwC0FADz5YB6gvZqQHQWMORtatkJ/aIyiSiqSa/nsBhixh32PCMZV3+BW2cka09v/gWCr
aA3VJlWPleD0IZBJY7cfsw9lc8Cw8Ak/Tjz+9s1AWwYUPo17FzsjFu8YqFQolZK6JjntxsRib17X
KV57l2aIFhMwPF0l6Z501soM83tfXN+5tg7v5sIMa2zCjPFOT/EmdzcnXyGu1JEhmU8e3s+UDoje
qqfQ3LykLqogBtgpvpOyDZw2DLHqhK1TF4QyUZfHDmsi0kshL9VbAbnookja4w1oc18Q6ex7kvhG
I2TvKeUnhLHuZPM9C4JDrHPquz0uFIaNdFmk24RVpmVczm8W6fNLerd7dQswojdFwLXl2FcNXk4p
Ut9XNnv8OXfS4dyeTVOpmawWFNlJJUO+V29exbR8s99OjGXRbnYTBuIS9DAK8h25GBzKCV4jWVHq
/vaiK7H8HMMeT0kuRHiaPgahTpr19E732qdgyg+SW615co5SU5Jye3JhrgCI9ChPXaMIbHFhiUyu
pz8QnpLh2JH0DFsiGpNcsbuZn2GsKyevIr80YI6xI8uHLgK191MWsKnjdafsCmoglJqu41rqMwYd
c4ywAoSOSVRXwFrSIUkIFlRhuhg0a6FhqurOKWR0wExyS51JKm0fidehGYvywptaUbEPkZILIDQv
WBj59ZYofQH2WLjWoy5HQ5ZEG7sVxtp/ag3Qnk3gFmNELTbO6E+jTBO0oDA2JjKS3SQgVWwC+dZt
vKy9HSkRm83ji+jIhr6bQsIxj7U+rjYddUsHORG27MasACpPqILVLE0AEdlbaqJWh360ISMadu/3
oW/iSz1HbsygSDuthSlSLZIlIn71gKHMsl0iWl9VaC9QurhWPYGaQbOCSol0IxqXu5PwqbCimPDz
mYH+R2zMNb4RNBnVQuta68jqB/VzmzPnFrSW+AIMmfjIg7b/sWJfq0OuaEOXJpWKThyNBEJnfztG
i3kvOeEfEYUl3zxLVLEyZ0SWqtTsvP0bkIEj6eOtjbuJq15HXAckRk3US2WNkRzUXkxHtPrEs5fJ
ApEERvN02/mICpqHiSomlQ0LQ9E78e5gmfa4T3w7RSp9aVrMOPzGGejCS8/AVYxQfTP3icozaqAP
HIk7Ch3drovT0SH6PWuOSHh33/UFPG6tBdPPB/P2lW4irMRA3vbZWLKFlhXqPLI4Adc2q9v4aF4T
GoEWZf6kIWkhqx91IkZu/qfwiNmrNO9B79E3F53+nu3MXKY4f/iXCfKHyQKFfOO5gAXcD6gyva8C
tjoNGTZAYMUxpMqtxitFePOC1+52i6Zq8tBex5xWPAIMZHK7LZ17igS/IEyj6NyYYrYuTM+GrTYw
mD49pJYOIYQM0BXjHwX51CkLRk331ZVBERjCPJojgQqR5Xo93Ol/nyXE3drziTXTf1VL9CJZT40m
6HE0pOVYzpAFUo1gFPzo3P8UBoq0FQ12zgt6PNgqYsAPi7GQQPi1DfwjgggggD1LzSqhSNXbXYEU
VT/FuKsXHQzadgzWO9o5rWhO+Co4YEWbg3//BrbXauBSEkbaHWMjah6yWo3SRegl+QAE0lBYrie9
fI43N6vgw5HSv2WK1xoOX0jFdoGeTxg9W5gHjJ1Ja/Z5DTurXZMhOiCJ5R1uUtUMW/XsqIyjHaJk
evtpFY8ge/NrWQmUdzaQX2UUlcWjvc4Ut2kW+X1MDy6noZI+vnRz79HkrxecLjCG/BIPKFTCjJJu
ZVcT5C9xahlQwYtKhxwDvJ3phXYFqEGAw4el1q8MuUlcRFSXPjE3NJvfHKfODF07zDfCPBQw/JVj
sgAIPs2x9wX9V11rn1GivZRdqiR96myq+hbHGfSy4nuQS0BMDAyELmXEujhncAcbkwYIvlxBy6gH
NetdsCi0iCxAeiUQAUkQ90cvl1ai3K14QyMy4Tob9LHWruQYatMjNEtw6wGyzV2hqxF/HYp+fV+x
K7QqcbDX0C1RI7Ci+pGPanJ0NWH4HvDocQwRc6FkbgsugNFHrElG2k01kVdEmNrCuWGBzBA+9Krf
IsP39NQ0wjn75A2tCieir3pXGsF302koaowXyHJmsgmsB7FCqzmj32jJz0Jzxf6wwG2KdV0CyNE5
Bot054gQS92K4XuqxfM2LqOol4LH/wKIa5YCyAf5Y8VjaVOQouw+fD/g2ybbBohgC+WVS1Auf4CS
B6QTo/lA0I0JfiPyQOWRfzZtHYFzmdx8X7/mewLPIB40PNs3Xe+W9/nBrUHjfuXsDT5gvjP+MCdg
i0CdYZh3pctLhD+EcuEMw8e535RcnND13ynX/mAnCTD+PXHRybZcXbwpe6XZ12jKUBR3GA7kehNA
3EJzgK0+8wY1a1IWa9qjXFALpWcsp+tn0xfN1u8v7PXQRLUsFixkkrVUYDtFP3pUA/UoE07GGkq3
GF0BJKQ/jMKjlok4jVZu7kAbzQA1DG9oTePW82IQXxIvtBQRaxlFkmthhqYBMikrIG5x83F41NuY
WArUxbl3SrQbf+hpuzevjkURsOP2MmEyP34CrfkTk+9xykw0GRzctVvXferByRvAidVkeiT0rrMJ
0Es1gUPkxCLj8wbbiydWK+d3f/tzbu1yJpeB7vnLD4BMZi9EvCpnspfNyQFWzqQ+wLHzOOC7hVov
Ps54Cly6Y78joVWPFm9Npnm0EHTYc9tkatIAYKE5Hf4rbuB6JwsqhDAObFO7N6QBuHJKhkTQTUgk
V8iONRd7yVoPn+7FEiurGe+bRnsS82dZmTp42YUTOSa/f4WQw/pI2Zp+OzdBjemoJgnYYhWGI1gw
/VAzw8a6iOqiQZMB04/PojMKfdDtuEUIeC367ihLS0i4mO6sfhPhnydjuABxgkkp2PxyGkdVqoDU
7Im3qVInBS9QT/s+oE/tnKt6Yun/l8eIzWmEn7r+WI6IOifg+KU5Tu2iSuu1ZAykgSKMLk2ttvCD
eua5g0zTTaZE5Nb5k9ZunrKk36wEEDKNdsYf0lQf980j39YiOhl5WYQRLHhizCUHvPRHCxpXL1Ej
7adC52R44nficEb+R1hE0dur61isOk+2t971gkH1bSuoMmeqDUNL0U831EApKPnC/a9X3QIGuDI8
hI9tMKvIYUt6uh8XkyeF81wzdLe1zhUKtpy77VlrZ/AkuAp/Zyc7tAxzmfd3aZvPFWsKTXTeSs2o
OvLrR7RKiXzpqS3w1nLXimgnP7p8emUYcJRK21XM42dwZnFSAQloWTNoqMbMkcMwwFjFVNehrlGf
vlWk8QVFwfZrNKFXRrxwfrRvPV+yy9mp+axcUu8sJ7ssRZQG7/n2q1iPmFQPoxrFCIetyESFF+7L
INQZxdxpz0x1XtWVXVUGMO8uo9a0HMOmF6TK8MK5ki5VIJgQVSW3C+r2n3bBy36gJ+a9ET5ySWFy
iJpY+fm6aX08E3bc82RjQu6zwK90T5z2lsFklVZDT/TcC8QHpIYzAe4vYEOXDgIT4U+7xZfJke/j
jHETZ99ywYsuVCiXdxH7s99ywnMZozn+7JD30QaWaygQPqy8oI9JbkfeAtk7e/mWQor6QW5zqtnc
DP+FLiCYC4Mb7zBolzhnuEi0r8fC//RWa6U8b7jDjOSEM5o/GVv8M98ebcTYbfMMGvNyeGNuSVUo
R8Q6vpUigDqceuLe9IQIAQPlWlSUB5r4XJrBWeEtEBqTHgzST7fDk1aS1JaWzIMUaNS2vMk656WV
WWmKe+CNqyFNpxWfShv2+hLPAfUQP8g7aLCEBLpehsBkHKP+jbOLPT9ft2TMg9Pn0i1wsqgWH+/t
/Is6CuUU9lUJrbNf0uxDJNEGvz5lVob64StHo56b+Nck+xNYKTHuwaEPVseR1wF5RLcPON4D9PcD
4MSvu7T5h0fr+bElvZbjPzfwrwuSJ4dfI+6tH2zMSGyHR4+NVdTEkvYcuin8SyzeVcu3nQikkucM
s+POZroxSrY4kLRU5iF+TexVvabxyyXkYQ8KiNAPvA33PEor3HRQkbMiklDDhAs52Zl+BnggFqrk
rX1J6r8v8keCrmACRAlnYdfxa2CqrSeARGvknzKvADMYH3XicEUxo4qiUozikydHUN9b7VqpPhTK
H84j1N0JHR4AdNDkXgncU1qiZGIYAqq4ltSUUbgGo0OEmWqiHdBWewzk3uUtYsuxBd5dP9MIblVh
rIWrhNllMW/VhQRhD9DmuSyKOthvHsguQUyRES7kttpQjpPzw4V6L/Yi6a8i9aGTp3zuQ3yKekYZ
/HciMprwDsdxf3ARZsMsHZkqywI1o+EbUBgGJYb/FJgvjZGY1WK89LWOj5iJCyjWb9EAhDWaScg1
RGjhJoyoGZKwjIZCrLd0ZSdS3Vnd6eY+m/pXBpU37di+YLYu4aEW36oAZzUPIlF8KxZozlQbziYQ
w5EvSDnS2kRsZ3ImYJ21b3IoVUdzDNuCH/iY1TIR71rXTN5+rrWXL0H6Lq3QXkReYxFQ0tvmwpTx
4p2Cb2tkJD3tWXNQ/timh3/gbLsgS70S074Gf6wJz0KtLA+jQJBTJKYGChfD7YG4+IELzUs0KB7G
dfcx1fQZto3MP4vJZABmxobrjwM8O/wNput2h694T8OP4C4WI0sc26+y+aUJQgz9+nyx3p209nZe
Pd6Q8BZB/N9kwRRUThdBaqmWdwu0kO+TjhewE7nA5qx3PVeEdhNZ0/RDUnUOzK44qoFhGdwNdqtL
MCoEbJTAyDeBtsZNoVn+3dHyce8VhRhuZcZrNMzzEzTQ9b6NZQe2EdtoBrjLGM5GTTo0co55bR8i
mkZuogCHQveqMd0FpPeNiqXIOoB60dOjz9bvFqcbWiF4HZP0duw/GCg35YGgCKtFL7CYIlNCXCIa
NhnRzIQCDcV9Y4apHBASYLco2pFl5KAybB8YiWlSOISYWLs35bnjwR81gnJIuB0aWO6kaCxBvRkU
6R+PCqfmiecSk6epkMOXCBLgsA/7lxGlebwdwtuqBvT69popFIFULed1NLGxZU0X77dF6kYdofvP
ukoD4VmvvHwGcI9nhkjgmm7PWyU5dIdwUfuuGsF6WZvUaeMmI8IaLuvaPKvSPpQodOYCLcx6PPJo
uvMzVpF420q+OoTNXU/uzMefuEzETXgco3hxIvZa3jg2KW+BNn05IOAzcONF3r7MPPeaOGNIsWGS
GonobWQMQHcWT3WaEF0EHpqzKALleRuDoUud9Zv8DXfRnNH1ptujRAwOHX1xhaflG1XZTBxcvC2q
JpdFV2CeX8o9y0KZcrCtwjdANo4faclS6xvoxVNqkJdCzw1F2/mBw5b+iTJZ20zc65EqQOZCKmPU
35fonk7vZovhLA+Up3D5fzwgutVzxwC/80Q8xToum+6IC8pMu/U3nr+iEzRDxpVdWBH9TucAfny8
2Aq2rZkx/q4q3FGVZrafpX730y70RUsKYbW1Xld5j87XEMus5S2HQxy/1pNkHoCYC5uT7gwZSDqa
8BnCfqeGDcwSDGD24Z6RX/emM4kB5c57297t9IIiVRm7JwevjSeuuepdQvK17SXYATWNV4exHeVP
z8qb4nKhl2AlQhCNKhaQIXGPU/lWR4yrWE6ggIKB4yxnaZgOlUykyQ8PhEff19hQMHLQPzl9UtEC
5jEgrdu+UldUtYtraWY0nKiRuz+C4Pz4ReNKEqKaE6QlB363U8z04/6+iNJnrNO1K+HU9da+UE2I
WDQz1nqi3cQir/brOuT/l7CsEUELZ9CNAMGwDz1dv9ZdkzaqehHdILm57umsZ/MUF/8Jxp/Up0nv
Nzdjx2xOUrqcFORkxuywC7hYthZRL6we/ypAGPy7H6aiU+5lbXWKqubp/kTyz9P8uqpBLfO+bDoo
ZdEXpix7ZjWy80Qdm2Wy9Zw8Zv2GJUkG385PlzW5wYPJpJTmtmz7W1RyxUafQFqFzd1mX+x4MZa8
g0cxtQXhvcEKVgeNneQxG1nWP2ndeopg93LtDqkh8K+uS8S2ynJG5Oh5MEho2Kq1EM7luB9FhwTj
D035xTzcGos3fPTGV0DT9pEkoQ1KhoiukJrzgGLXteV1B0g5pybuUq7LZmbAnbG4xiLkUwQNabiI
4ISw8mGPuHJ55WeE62Ed5FU8muXD3906k24mOXQZFjTtNF9rAyxBtUmVJEPOXksuzRSp5lkzZrxm
r2KLmTHs6kDtf7fwX4hTXNXwntNES44tnQ08zgMC2/Z48/TwASJh77wulorixFUa2avX8NdaTfE+
pb3smYAqzQ/AIvXvL8TYT2RrNr8LwMGGEhgYXH/y1mIdCFlFCx4MHrSkZ5yWCn+h/9iLrhM451V4
OVo4lkKYI0cCZFXZD29kkTgDVve30cC1bTPFVYB5CHjQvVvl+5+NHj4KSNv+fRjmF60Os8RSXuh6
TfvtphdHwmcc4RQG0MHX0NrUZHzp19itQgJYOoCMlqwy8YcNwAm1XaaGXhOk8xa+TWvsjuweGS7T
fSdSXGUyicHgb4JQkn9iiaz6s9fD03WfF1xU01Ie3dlORe6UpfibkO57NxkIi9ag01Sv6zKA5vm/
ooH5Y4uJR9gds/G2wrXEyIQi42FHmGygf5AApCFg8h7zTkXEIUXiv8fZnYnAwL/KPjKCqKJJ/gAT
ytv5aeQKjruGHfiogTSphmujwqWd7Rk1p0oXrDfNRIDOwkPV/opaiASG3yOp6xNTSKE6tLnMarC6
xc6uoTpViUvfSSNHrPEloiTj78PM8pqDoKc7PVFUZXex0qo6/sLAefy3acWdagRdU1jCjvKgHVCK
JuuN4N8YIxI0ZgiHPUo0W8vnMN8tKBcGL+uNV4zdY0kZcM0WKiaoOHKY5zO3E8r8C7HDGUbAboyH
frzzrwQax6Kzg4tJOsD9rEJVPh/GrddgVbBQSx2/kmsZx5DFVeH+begetqAiu4buBgoE7uYK01Mj
8TMzA+ptvqu4zsjpLvJiOIAgwWNcAm13fYVadZ66VmywyP7iOxIqKVf5KkcB1bz6EFpE/3XgHKtt
M3aOtfiGP4WUpiglbT9An2ItBBy224rOnDbmz3K8TUauFsYfRRa3OJqc7YAuABS9fTGN0k8bO9/Z
US4KRAjWWtvQD2Yv2Bv2tdF+2hX5VVsSG7/j5Lm33jK9jRrO2iaWSvlygwGQQXipb2aaZz+MsTXO
nHX6Bk60j/0wlL+qEEA1WC0utNgaLFGVIrDxPGf0/5t32QzKuuud0YLR7o35b9s0vFbm3d3eVjZo
jUCUEoXmWDWWWINc5geLgIEKDnKF9qiY9npq49UtVzJczwHWJk32LOMqs8Nq+DH2itVrB4Urr1k/
CuTtAFVGPFUJgg6B9LJd9KN4vUFlWg8ZLrFgZ5V0AJM9BVfsSAKgO+YkdmK4kNiS8lUqMetN8Uer
Mtq64/fya+yEq2mXMiicHtnHnfknjptUxeUuaR8SBcKBdaTWyqOllMD19a9x/ha0nLNu5KvHHG8a
3+1Qo4R41WV4idGIgIRlsqkOkOwibjzyFjT/WYNx4pEjYfrn2vR5W/uW8p1Zp7n7VcsI5HhmTVpH
/mhzGvUZpqhc91b2iqDPbLoXReWWUH91xlO2qPJfNsZbIxUlsscIcxIZRbXFrvlRZN6d6D1+e5G3
O4dn/Slh2klvSVNLr41eRhGQerFqx00QEc+RCZt2SDOlg0TBScyJpBe1CIamW0jNY2KhNvdkzXUq
eaIhcv+p+td23s0x5o7FdTT66flikE7/vD8nYBpT4l/6zxIh7uKSmUvepmazzgl+Cojo8iY5hEJC
+fzc7Oh37WXEPwYVjtfg6p5ysAgLr7iIOwUEXX2bErr49++QAY7VQyNh10ndZq/z4KaKKVjpunDs
6qhX+USYl9EcgiB15TByRg++o/54VDwqd0LYIM91xx56no4r0OKYCzRQLCgTUh53znvgvD3OA244
Odwjod9G+hRkyRKPk9S9T0GNLPNDtk3srKwMHDKhZL38KAzsd/s5G+nFiaPnI+2w7sSAiyD7t74+
rPIh+6P07wmR0W02ekSYSvryDN9uN47YOHyJuDXLd5HM0pd+sNi1gCy0VWwHVI57MaEaS0PYAlpa
7+5BoW5GgQ5KFWNvWjDp1XEYALL+2j6bsEw82e4hH+cNkVfeDLA4tABJQSvObena+qzdu8qZNHHc
NoU1Rn5nRZh7LsCFhke6xippj/1MmLx2hTkfLT2bSnAZpmUuhfIa7kEwBaoP9sBvc9dvmQ27khxG
hjpuynFtaxX6roo2YjRiue0WHESRKBqmkL/0LY2zMIUzhrEz/MrgrHphJtivBbO875qL0hLXTdmP
kRI8Gag2s3zc737J0MBrxMm/RtOjgiBrdifFQr8Uiat7cA/ehXhyauWEm9KAR8eqhSXWVDRgU4uo
pEBMsTUoW08smJrCWpx4fv8EnXdA09YUYUqV+fRNjRDf8tXuDQAnMFmXd9AMSPH/3aWHKYhIDByF
ILk+eEIkHlWC5xFDp7V8NmIGFBNY+FMq5h+8kOauakQ3KuyFp2T5omoQXPQYIZHRIHkYm6Nn6uzd
XFVb2OqCNulGdHXYETz2KJeNdThZaT/1WvE8cxSmvWTHETUJf+k9aARItf5chZRSBAg2peCKmisH
1HPLQifITPpMykVT2RTQqhpWaA5hefnxOcWEVBdca1/dDUgRvJ6dxwWT5I29l31/gfTpUA/K8jcz
Q8b2EF2Tc8nAzkAxqkQJBwYUmgDZw6z+oaZAWkmY814NBlnWcyNiGM17rZHN3Que3Vrcg4SjRUTO
KovgEchD2go9/PPvTXQ0qXAmBntXC+AZFkmvVA7U1eQI82pX1PfRJ91vBYWJPMkLYpydbuOCrB8t
QI3B7tezJOlJUIzrVIoGQ4yppQwHlVJnFBASKtnqCE7XLRkPx9B8txYJ/N3hftQtWdPbHsets/3l
1P1f8lUtnl1PonLhW7Spjd8trkOZ1r87UCAKMpZt16WNRABTrXLiemGeftPpEOTtxYMZ2s3FHLKP
zPg03yZp7VUiMX36a58vYX6ANRmnZNB6NOArzs0V1O97dSNV6uuA2xJm9TXFrykIYohq3U3xp318
lP/D5SbXZcMgUSqCA4XKuW8h39/O+Vt2zEg1vjzsDzEa32/AFgyi5SsCMjQB4Riiz2VgfZNUb0Dj
jZTVqF33JNyYsF9ATDBJCcVPUffaiJ52Hbl3R94dT5Dy8Rl6DPh2RtukkabobBdcgzUgg2HEdo/6
yjcm4qiCY2KRf06GbmC9KCTcOLRacpAF2NQdi6TmuxWnrCJ5zaWRyn5QR/yepFgatwCd1HoHtEP6
NUkkg0O/CRFVCWlMPTBqQBre85SAmasC0DINC++39l7FoqkVwsTsAbOkuMM7vlcWvUS6KOlNsieu
F+zEC0cauu0Yu3avPHrXanWOGN2fZxaUIqnVJEI+dSBsXuIWRokd9sg68IJXmS/VTBEXwAAS3EhT
3zntEvZGvNcIBsFXvFLGqhX9yhbE+jp/tlc5AIRxtbkt6vc72kvEC+UiCg6oHWD04v8Lq8Uxy4UY
h+WGfI5MXqEvBWJM0YYt9mDzYtGYIrAdoLVmAbAfvJYnHoqylIcAYg5jhSIQLPYnWEApH5+5JuyE
Zk0MkD0MKZ+YXjc/th+vKvOapZMy6+sfDenyX6uNzBEXszvv7ZVodG7Qjsxx5jOJOoy6Hh7vCmGQ
Z8alD7NwbJxD9lzk1KvOOH5mgTZjekecj39ZY41zPuDdX4PVEROk7Rvy3nZpqMTuDdcHGTuHMnqV
B/9g4bMLzFpv6TzH4rr3z2egqIJcOIbfkOd8vvnJD/s0L46FTOsCQeA3Rc2kDDHDb3vBEhV8gxVq
Cu6td7YGxRZk0FZTVJ6129z8CPQXpMTefvFlc79NYNXQ+Bba1od0RUw63QWLQlh6obIzDvQw71Qz
YZOXGsKHsB1xz9tByBwjkhyqvwntfSDpsVyuT+AllHiIgrKfjxYJaqxUE45Atee9TaFJoPlH6Gx3
C+SwVQcK1E9cGB5Es2Z4adaZF8r9CF5+F3MER/4B1Sh7GxCXn4puyxTGOG8Cid2u6L3e37TMJ4uL
Ggl/RLEIrAPDJ9r/nDpMY6KzdEgUM/6t/75Zdu56ILJxwq5w5tMiGhV3Vw/bLwungezfUosXK/Tu
a966BS5huPl8oEsA4m828AHQe539ZUoHz0bWRhWlDPkaoxFjBIzR3dKMis137bVrLvkG96ULx4uw
Ov8szo2UAqc7v6WPUC2LTbV57rdc/YIyRXPEecYjZtyISHoU5y0IyWmJ9bS30Kosw/mEVxl4wtUC
KsH+aCiMYiTwJ06Vp8cauYStnnXlnkxSF3SIfhuCj8JwcclklU2wsXKrhXfBIAcszXM5G1mtAeDy
fZvorP7c7XILwzcGmfay81IKb03KRj+QgEi4Do7X/k8GpDbymekw4Nuym+aM6vi+v9d2sYdFvzAq
+Nq82kSGLZzN3cBmKI/jKLZwB4WVrnkDCD8f8tG8LTxxCWQNM83vQL+Yxk3aVOrxMWr7m6xDZZ70
ANWsbYsTXQ+e30POW1bD2IjsyAoghOQpHr0VvEz5pu3JD9x79w4kUeng/2RvrNN+XXxYMv/XlEr7
LCudnX7QqWAdIdagzbhfeVQNQQkG2/Vmd6x3hbWzd/kiep8WKrem21UHEsUSaWlhnX30MaSdQ89l
PgY6qVmnA079v2kGBd4ef3wHv8je1W1vvJDqOsXWWoxSlDFJmYHMO0InQuYi/YpdpiLG57Mr6SMw
PSNQ/gabxN3SUnLYBLNj9X9b4qrTpzGnfo4oL/RRh4pkD+4vwGVZjWVSsfAEY9lOTrmf2+hNvnTF
Hs2udg6U2EMHLDjaGIgU937H7TqK0Sd0TGNh3oDAd3nO7npUORpVFLjnxlWj2lqS0fozLtj8tZkv
fdE292QsVJI+60vfDiEtnNht3lxkZn7l/cfh+b7oQoJ0d9gpDW6hYJrh5UxtuF/63sImBKga5HUx
onCJlZTvA8tje6QDexGm1oejY2Qg2VEabju6nivq7EW48YCVRKbtRHO7Mnii/w7a1eRnCSHgDlhr
9lbo7YZvrXapBuC7cJoj9TOctppCLvKtWGw/n9UZYAXm/yOHZiMQJaf/o7ENwJwGRSleSucfQ9RK
fzIh8NL2MIReNAxMl26qWuvGA2BZhlheYXmg3G2Gaz9ZrqBsDr8hovdlkrzUqmG6Vn/t8OAP3X4s
+TLvAyGMV+mI7sr/XH89ILOWLpvZFIadJQQBvsrS34n9vBTk5UIqjTbAY+EoW+c5CC3iIi0Uyuf5
2SYN2OhfNzqCLh7aW+ERaLIxWQOhDrDTZ0g9G5i4hsBWR6rpouw3W94oZl7YM0KwzsMAsP3S68jF
ElguXoGhiThVscWZ7qRoJcLyVPIjFbaVmUzueixUacbKO3VAp34LZmsB/XbHg/FxuIiURvF3T6t2
oYCLZve5G6uLsGNhCN2noFQNszpAeOar6inB3kw81Z50adyA55bzkXadhuyZ+zUha0/fMZ9V8Hkw
mdVyPmFYQ+D+Kq+P+uuhXZvyap5Sod2SRHa7D7vQX72MGvt2cC9XT8WN38Ubnrppw6O/Wjuht2rF
W6PjiBtZ2QfTkB3szvxsgGqjmu1uAYgjnwelQvQZdpgcNErzqqBmIhToqaglh8z1PR2f/Bo+qhmy
4WV08GtU+GmswvyUHETvTgA+mgjP0A3222h3tkUac0TPuSJUqi+rsn/jkj2WgPoow59PvN+2jOZo
y1TIz3SEHVUA6RtIpUQlb62HZ73UlO5vZgbF7oY5E9ObAroWwjGyFZ6RTBt0y30ErHp2L6bF4tcJ
UcNPDE9jHlhSy7W3nqdP+RjAeuUZR9H+o2m1+o1nN5id1zo7Q10rcYesJ6a4cJvnd051P7vv95w9
gz6A8x618t6qVeGRd9QZg7FyvSnTzjfaMGh7GIpjiAJ4/iIivEap2xEOiPbHfQVYfJebLxcDdSmD
c3YG5rHVdV6I3u4B5BZVH7iKf9y5iYhgMxWhIRgYfaLrTEjyvkGHXSZuiYzIcENb1MjR6pj4ymQW
22MsxEIRdsplwLjNdnRYt5YhZri2qQX7J6/sDbah2nGU1unLtT9GMFy575IF2Sz34uojr096K+LT
URVHui4IdSXyLEjgKklQqlcBWw/SZP+2l9bIFfEFfp8QFwxHcpTGjGjugyQcEw0J7IjH1bV8BI+3
l3QgFmEAwmIlh8jaWjYOKh5Bhma5OK72D3TGIUqVkh/KAh5oGsMmrCY7b7j3Rwtfz9DAIx3XDg+7
PJOKnGvD0p84JojwdFa0cJdtncPQDKYUA8IG2l6e2DW0qhwn2g4TNhzM3KS3JYo4x/xSWiSNEplP
4mSWBIK7O8KTZ1V0fp52vpSDJXKm+lfpDOR+AfJmQ2XnHYpPrqFULNjjBq0/XT0fSycVsGVTifrz
ZLKbrqhL3DY7YR2nXRnoH4fwlt6oRRCWkfFmFNCQ2KFNj4hGPtVJHfVmIttMcJc9iV+g2CiBCbdE
mIAo618SEBv/0EaSXbev0Hig1DffJxB2f6n1k2RqvJZm2YcA5FOQRmD3yJ76BNb8Cwjjipj6tpcf
IbwrRarGBFuJfh3JJBhqyXxNIYUUCduHFaNkEeuZIC1im8Sxib6mSEOnNYQFzBSXUOxMHuJzPsfo
Ki8YLzaf/vNRTFswF5MhzJkyCZaT3jBXktOkKyirEPLf9/zS6YWQ4GUQdpmWiTQlo1R/2ktlfdbU
8DmNj058MHLwxYTnDKQ2IWrl6OKB4YHlhNZfVhy5QIstR0b07jsLAJrQgjbvu2mFZ/hVG+CgM3NG
d2PwyfNa6b/GviM8jSs8g6mmuvSrgWYF8agSxS42guK4LfgroTfIoR5dawNJwUbl95RpJSiYtXnl
mis3fGPtF/iEUd44aTkvzdzIIHknghJv70YAggK5Bja2O6GVAsqGT5Rz5rXAsRN5yopgweJsxIuv
JYKvi1FVHdu4mv4OipsbIyASMICrFn5Q0tpPrhYWG8Ccs28FbsKzbhzbc3n2XxnBeXtLx6Vscx/U
NLbqt1Bq2K6sjU2w4NHhTnAU3OkOFbukQ+GbveKI0k7kz4OXNEQq6wds8fH1vIYNkjSnrSGb4L1P
0TFLM8a55BBNc9xnFfeA9lMBxSTqj3Ua41kxaCZAo6ve2TZJeA8ym2Oy4Yd9dqqd20ZuyCCKWlwI
xBX4LF6DfvHTjBBaupBlkjvro3H6tUfINkHizK+Z22W2UZg6UjgieyCu462SCFCu/vMZBehEGfg2
ZN3stidPwZfDx7NK7il/k8bR+HfgWnbhjN6JjqyheFFhoW3IhRsTUBbtGiSGSZASD+bjsKd2VQAC
ZJgV/egssqb7WbCyNuikKPDjtowqh4eO0HrmUHN78AnOm3Ez+i+HjgVxr7GwdOIBULka/R+rAxlG
F8IoQoxuBoPPTc4AtSllZc8sm7sIQo88GNcyxyEq0a8l0mc+bPlYyy2YbaSTXMTftSONcBuHgGnd
az4Vt3pki+z0u8gNM3z5Z5lGOZlB1uLyS+NghtkTJ9o5eGzJNeviXpwZO7kvL8fKCpYyxrL8LsPo
2a4zumwGz0n9BV167dHE4UPTFNzd2eurIDouE1+3tSGUNcP3S4eInf/gx1swkm33Z2CGFNIAEdu1
13TcjbvaZ5JPenH0+PwL0UhJZBpRHofQ+E0MOUizBe2hd8Q6CcsG5VNOZw0Ygh7adSw//oBUjRja
zAxf4ICvRLT/Y/5lbgdo9taJJbvfNMGA76lQ/k26J1zi2XybKdSUi03jOWXFfgQLApaGBCFPMr91
sXyJ31cWvRvFJ5HBThCQP9mL2+X4laLJ5tsw+nwT0PR+4cQHNXI5EcQZAlwfN/zo0i+AqfgOYU7s
rAlY4Y0CfkyENwwcNu/QoC69EHJ9fFh2/5aWVtPRshxuwaJ49zNAGjP1PVWlRe+ImyW0vIwXBO5l
lkg5oZPN6yR8awQXS86ZwTwDAC8DtvtYrgJc7ucxLw/Zs9y88zEdHns4zMOuzyR1sy+Uz097YFwH
j9XipM4Gpnh5ARZJvwsy/Y2iypC6YdAlybVdtRIfswf/BYDOA0x0Nxy1uONkqnkWcWMpC6KIAjW7
Ee9yBKbHNtYxH3UyEpzNIWIt36HxJvNe46UyIxfcpUpmmFOXXghn4yJJ0wmXm4mAWi5W/XmD3gh5
aegmaaq6Md0/JGOMPmpJTZM1qcCf8oq14/1p2qdYd9uiLR2NPTauPBq3RVo/rFsJaQ0xusbYkcw9
OP3GIKjwqTbOQA3xPFO3BZN9Mwb1QUTL/M6aGfhHhoWAdTSHwUWEcsu3JCsg4PiZIuVozvzXpre7
wREAPBPXBI6nFuy3sIJ/q1xgrNKOj3I7NgLk2qPJOf8EG+KHGhF4KzhySRYI2jvPN/PagZkPVakk
ZtqTHq8rTRKLbewMKfNYSPmzEOwR5nz2wAQAZjjuZTZT5nxMNmBb0G7mRU7INz/zK/zcChi7OuVS
x5zwg7WQ0X3cQ1bpvxKivzVQ1WXpsc2mY74DJPRjkJ8aYz54qhzJknqX2s4X6HOvqkQ4mel5CnsY
BUTXyKw/jPnREyA2fYHF5vlO16nFLPZtP4LHJFCYfVznYjY75krELfA4GfenRRk+KpV0ePm2lFhr
/Ga3TccMYnDfh+aTBMTMjPYRDYZkWkdaIaVVow0L3j0t/wsKrcRkYgHCR7hHmvMAzX+kYcDANY6J
ypeULzdWQIQJrvOIM6iIWIVxb+v//AnC4Rc/+tg4XUxKpBAGD9U7p5L7+FVhRzPmUWKnwUDLrvFq
r+t+o1ZaeRT5Sxbs0fbSetV9k40lEdYZAbxwb6n2jFqsCrmGhKwhYt9H72dE/mgrJshxybCDctaX
YXfMxO8ril/ER250o4TegjX4RXg9J1DMumd9f2w0a9JN/P7pFuYceYso3NfysE+5j/BNfgQNMGJn
0NrWA7FLxK17WiSrCdsjsHlSvHNgq6ERFuK6Jji3RrDrmi4bIp1IU49GOVUvvS/q5AqpQQM+ZR2h
Cxxs+uPaGJfplexLFIxbwm0sfV023RFCm47m+ub+P2qjrv5YLxvQz2ZKshdDR/rYAjhQCBES8PDB
8BZxOudGukIx40vvnn002f0E5wnJ2qPzUnvVKc4g7Hep2xSor7QQIZNwT+jnqyMIDM+YKUl0zJS4
2G+gjCa5CR4I8cb/oUfDvGnCMgFNbOLnAeJG7ORqN95Op3W7wt1YFhid/8PUguZiuF57AFuLObFp
0GGS0r/5r+OiRx6q1A/wEx8FML3jVKu5TYUAttmVTDwHh5dBbSQ8LACCI5F3g7GFdWeYLBh1m5sT
JMyMxStJOWOcbaHQRMILkKU5dYZitlljyVdOkAzkpRqBgUlHqMykAQtXPOvvgN1yRjYXU4FEeb1j
5BsbfaRdQvoV1+iYS5Y0FdvRPB1Z2bl63lADomYwZTIBohYTRp2LFoQr03b29u3Uc5xdP0Vh1Ztz
3osFF0zAd0eley5hF+J4qikadSZ8pH69H+TBcDiUL1nK6oL8bVdUR/P2i9ava+MUoLZ4nEygTQQg
hdLookDviuoM0tnLqMBYsEEc9T8P5qUAegMnwLJqv8llmmQHeiXrgtm4/mKnwKVdpKWFz+Lyq6ku
7G+pckSxk3dF6lYbrjyzswYfFVEJy4hRLykAM2FHgHoYclEiC50TQX++oo8mPsliERDw4fYFoGnR
B3//oBHbGuO8xCu8cv1umxloYSuCvdNYGHpblsb1vm8aej+GFtJN4dPaESvbfUetaL4oGrAInu2d
lbE+hz95Ug+9xCka9WpLrpHD+B5JUDV1hlc0sYVcmP2eKZhFuWboK9EyV45/4yCS9IP4J9kBJbm/
y9T20+fwO9t1dn7lK6VZ4HEbsOljPzKa2rRVfrN7Udt4RDnVSXAGluzmrFAz+mVl/RdytXIcF6ri
+kddnKWFU8bm641jTF9x98A3qEenE9Zh5M99+VTPsYbIW4kz3rLoUMVv+9MZuELmfE8+DEjWjVXx
uKnJ3OMQUQNaH2irvwjds7Ud+FisTQstOCbcSJ7De14gMko+0+BCXPuoS1vGrIvvkf1CRA9ryL8k
elVVqtg9hLuVrbKfpWQ32lB0GFrIc1dw2iVOfsI7YpQnoy7P4Tsnf10aJnXDooNf9qpCFlUyEq4y
nn7X4yCxRfkfwCGuucyR/sVmDverruZtSaNg3mBFpm9Wg+SQaO+C4I5kOiMZVZRf6gnnbyBjAYOn
F2a3VcXIVIMaJrS05iHHlfHamvR61AheucY+5bPVecYGBAx0lNmaqqdzh0bzbjdwE3WsUkH4kqBj
NFd0HS5sbh4/myKIcdxsH1dVutz6GKfrX2OTxslzO9r1An32uuCWQ7eE3h2fJekzuVYWHkLmFAJs
uHISx4D331tYUyBCCAjA1NO9W63rMePuxSUJZG5zKMNKVBfJkzBkh845C2e0xMLX0TKxzeqsMAgn
vGOhmDyYPsv4AZnMQk0h+i/WiyUcB0rDjIQjx26nqAjpg/uLcT0Pmn6PkEpOXw6QAGxTJigG8Ceu
gM41FGrFkxVdu+HrFDGYmpwHbaGsCdDyytGeaW2394sfa8tyv4lZlOQKjaE1nRhyCaKDjwgexMhN
EA/WXdPk2EvZhGgZXEQK78m3STDXcXJNv60bqRsElnfKHdsaKnILJ4rnR/Js3DjBnXWPH4qzfU/g
LW88kloBvv99s7jyRQ2Z6fHuvJbWzs9RGDr7AtUHls8h53Xc7AKLgc7ohPdtHmGH/MZ/1pawacpO
WL+WYzHBY6fbw3mvbFRnGMajJRBa0hdmaw2bhD8WiRNEWTT6axoIuLoi9kGx+wguKU5h0YVj2S8R
CQX3JuCT+69xJUVKLsXK6Sst+NoNNPZZARpzVqUur1m69RWqOtLRMZrmgCcb+ceJn5wj1CViS3I1
mSnziqzi6mO+VpWsatXnOkxFeMXn8ujwSOzYwB0fOM15HQ8MVTsMSRLQTlgMkBJC91i3NlXSVB3B
GjDEJWKLHt6kgl18ijTgudMOWPu3gGHbm98NKHp0bGHsUfvCKkUvREqbJd+KyvK//7yd/abXcWPw
ZgSvna40Zzxu9JZjYMBorIcUhtivcKuP2qCX+BSn06HAiRuEBb5aQVRi41uUw91/wS/KMzUHMjvV
k+9swGDRRlFuGIG74nch0iXq1f5i75w/a8a/l8WUo7/+Oz6hV2vU9KzBHGwt+vnvRowrm00Z+F6n
wK/q6HJ8plDmkQA9mjGTMS3hszRaUxWk1HKcEXkaFQuBdeffhEEQTHVZ6XlRULg3x2Tx6KExFUdf
jXJeoasfsLwlTT2wSe+W4uJJbbgy0PGSZ/uI/o8mcKukLDnwehlllWqOz0qpZgcMcaI+U2qlXgrA
uddhueQy6P9x3qaoVuoCxuGqw7Cr7iv7vGx+tXaW5Ju9zSrTk9J2cqtPTQz5eEpbHilwiRR9LFn1
9fG8u6tJN3KEcbZ3gr+Jxc77IT9eC9gz5ZYayUzsYX2asIS2NRscaCFghw6ZljjO6DaxwvNPH3Hf
5dGeL2asQ575KuC/kH+CI5xke+VZjrkeaLi+C0xpowhTU0HGkLUku7M70n2ndqEEFS48mEaZrICB
f3v7ann/1k8SQwGFzmzoD4rxUyVEZ/C+QzGUT2d2J8onPkcMCSxif8wNRchps1J6oIy4yOBgKsIT
XguKGlxT17vc78zH9u/0EiTSIOi4uOz4MBAmGlQFlcxzM/WffsKSlFr8uiDSSVR4eXvEq3BljwNr
fOkGUB2GSRtOJldLwIVR/O9s3Jex8Y8iYI5vHl6SeLwWbVclwJJV5WeZI9q+0HB7LyL5UKXOT5RH
jRKdExhzLjNyUqGLFiHCLr9i7Rw2PcmW5LCCJSpQ7NgWUKCAIjQI8Iq/KZFy+TjP1g9nXWiMWOKE
Ne8RjquEeBhHUYUra2pGpWTZDAJabh1SxcPJwxpUnJjZS11vsVSsstbYIecTDj6kj1T0v30exVoQ
WRHwCBRKw3RkZYILDHmWqDWoX6hXt4N4odq1sxnIJPBj0X/15XlCsmJ4H1VNv6ykN0rqeKZfdNra
5towlnL+bi7OKDQKVemmQwQp4h73e7xnrzjzNfp3iRzTIl7FCO3z/5dILu+J+42Nw8rt+cHuKpDU
DbXi6Y3okjSJ0wCJSg3cW88xglH+lcKSDiwqUg53kPA90INy69UfO1WJrAFYBbo8NqDw5Oo4rbOA
L3JBYxKLeZmTDXCK2IO7NXUsKFLsjTUQY0FRzV3OjPonM2KyUS6rfIJ18VlXxh1Y/9S/kSwCm5vX
CHf2km0Bref2jSY8h+FiB8doHrRHY1a8H37RU7++fajMODPlCqzhD2Up8K+UKCF8O1BXtU5N9V6R
ByNjpv3YrUHUgzFZOxHidu2WpfFmYYQQM8ly1rlSBvR9zxv9QEd5wggXGooZgb0hmbfFetnqyd84
jhjzwVA+RB10J+cXIujMv+Cn3Ax6RsiTiDx9+0I2EANmI+WB7cfRGIuUqdfgJmdkM6l+zX2+WF7Y
TEHOB7wVqVNlWEPYRvg3c1h2Yd6Qpi4UdC5NEDJovpRjyxFirQtwH+HT43vJys7m8Q/L66JDPrjl
mTlJdNTNC96uuSfQlquiFQxG1gThkNchehxphqU4/945UYqSFPY2QroMHfBokMAayoZ3Hp1+tF4Q
vQoiHfg6MlTeekXhOb4VnAAky+2qzOSmKeoXW/R+Mgws5JE+vgZ3OIK4ofq7zA3d9/i5ecUW0E7d
8P3GAPWRK32M+cxAJEcKKPSbKJC34uUOzWX6yGDd8MVTi8Fj25Z2GVvwJWcx4nuOqvpqxxgKI8zh
+cs14dtGh4hfoxSgh12ccFoiQGB31XVJ9FsREKaOonb0BCqDs6o9QbCk8WdALCCX5tLJ5MC4Jyw/
BrQYMQYfMq1kFs5bLFCDEKa1KrXKXdt4f5sMKRVgtx3aRhVetaIh7YbZ1JQen5lMbSehMpvE3Xy1
L/YY2LG/otE41X8wmDu0ZNXB7GLPrjmk/ygtQQ1RB38zOcLI8UYe1gMLBEDaOg/abLQ7zMqEkN1v
igu3jo6YX2dqdvLJfQQQSWHNhS4RpXC5vXvoiqvqWBQAAEJYKLh2WZj+wCTFRSkLeEGfFy96NUGh
0d7MoNGaEY0IKsM5Gf9UtxHQANvbRRUQBDz+nlCJPzTQATUVh0WR118GkcNUx1BaEMJCTw6JUj0Z
3u6UEM0/U67SmSuFbmoPuGM+bnymQi1NpHt/sYTK8Tx8qWWqfehOqXIgvGdFy5gRd4PPSH6d3BZc
BiosWWRQxydbMJUPWcsCAib8eYoOb4GDedF7fuKi/R/zsdCkzM9ZFMN+0lzx2d0HJcxShVqT2VE8
yir8j+/0ENh30RHNniWrjPuZ/W+9B767JoUovYDigDx79VdERewt0GuqHAz9ukblOP0MBF1bWzH2
RUPBwiu6C5Y30qaRzm5diwOZx7AuZVGXw88U6KLtXAb8zUoHlZWBRLNs9tjjakt8vrMpk3DdLejS
i8DYN2ocYroPQ61UH0vAjy0Ai5FfMwrrG5P6WJoYe6SSXei/vQhYD1u3AuRd0SyQgZpnLfZjHDyL
v2TCDsjmWzDFoRXrWZ12pQZJwh/zYKKjSuCt8TvJ+O8OGn1gtDXd4DBzaS6GTwFAh5ziH3LNZ9+f
DrJug/6TnF/olhM+k+DLdBA3BJk78wtY7uZsISv+RvrsUsCL1tj3MMCowHMzRloEwe2+hwd0DrKg
8jr6y8fwfk8wZ8uavHf2VVOxWVm97yeTvTAwsKGdQAcqlzcd3DaFWKhULNFOV2tt6W5rbZoMQJRB
EFQ/3Hu5sENZAS5fNCZCyYwvcGK2z+x6pw3zTU4c7SyathTvIq9tklpeG6IxAqNzDXbEPHWdzS5t
F6UZUH1Ho1EUSp2P5pkm7q71nvRKOlSoH4FczyB9Cfa16fvohT3+63LSYBLxMyOYjh9jEtXfjUlh
bo4EExCwq4eDsVoMR/A+xV3H0gwbyEE9LQt/QtegS+6uecKzCjEd4BsVFaVWx2QH65RPctounTk4
jesmjzVjwWmqAYgs4ZE26npzzamloQqVVHgPZTnK/NJesStowD7Pj/zWzmYJIx+roPe8EbvrK2tZ
obys4DEXFgtg2KssDAtPF1Y8i122rjbMsKDN3ANH0fJNb0FN5lz0fGCJo2eqqS6CsooFvrB6maP6
oUQOAFVnek3V/5YtXGKyd2dodla4Hym13opdwxyGrIbtCV28jIps0dRISqXNdIjmFMxaz9D4d46i
ndl4MFyJrx8ZIADTKuHCcsDvAH6nq6GUlbHPuKG9tY/mkvKpC1zYFPlq2aUEr/aOvjTHKHrpzGKj
w/+usUOkEQQtzoWglatEEe5lrCd+k7kkLj4yczW0vjJnH8Tu/1eBRNa7InVMM+0Iux3dkHe8ZfhJ
QOGz50dF/UgazbXwqxjgFhE6wDhnauLMC1Q+3WTxVYGNPZwGfSUMO6oYRf7ObTdF8kWTO1dpLZHh
3syuh1dWuPqBWG91plIiWEsUqmK5yhD2Tq5+37zJPPfGBpPZNm5GGTOEHWTTEvzpmmj8i7BmorP8
0nMFWdMQ1JNY6Xp4sv69rkY6tupQB6X8dYoUSRgGAGO92h9GABf8t4u96hxvVo6Ycf15fsULnKDI
CHFz7WptFGWVdqjJ4J7mDCMzVVlque4wQlkP+Zfu6oufGSk22/6L/zese2kkHTPo9mEuKBBXrgCc
1Rog+g3N7+xfy8BpbhfOGGrUFnFaI/j5nvfgrbLSdgzJMk06Vxu3eP2Jo1slnlRoo+2nNOQKK4x6
L3kk3X2vVc/8DGd/LZRyf3zcnP43oAiQAIMJ4Hk6DTHks6dBiCRAnmLP9IRiTyDNnhMqBJ7ZR815
vJZ/YOWNUgSajSCtqiLBuapokocwv1EIV6rP6MNu5QZDqAJ0tHoArz9hVcYoEGgLPE6Jn1BCL8c7
q1NmZ5pm5DY3DT5i5EgzyJhRrtyXtF++VOMutW8FwMmrZirCr7geYPWP8jnlTlTFzXn+pCH60M+0
T9TgIOijN+s+pW26Vqmuu/oIkEg2VFHfhfaFToBVCU0u/fWFXqsBSUcZtMops1qcFBmHeiVLUVqG
i2vBD9B/Wa0hzTrPLXvUDcDF5yAkku9r6ro/1nIbKbTzwQlK81+OKYmIjV9a90DOvBCJ2bQ1dvBa
o+NIS2utvGGkZbTB7dDlSZWG7q7JILiqDUHN6bW3xaJ206WlEVxZq1uSFohp4X0UHZd/e5KnlGxw
OOR/oR2jdIqCjlPeiI0mARy3nt40sOJGWo7y3ICME/QwKWcs2PtWAnQszObUpIR+3xoz8OYR7c5z
VedFwuoprKKx4oiwwc7/SohxUBUxkfAV8d5D2+95MQnB4ANW6jvX/OGzJR/gbeUnt8W0Lo+dbRFd
saWfq8pPoUO/mHQv921fe3L6cNpQ2SH/+rS6Sjqu0CoZrRZTOQ4OMvsuIWGwlS1OH14VPmyYSaBc
p5SizBeGUV0TsjJ035A+bvVlxZi30Y9SY86qrP7KbP/rX+/OCh4FNT0YMLQhSwjdbDqOUoGlgJUd
qXNDkZKsUQGyuOJT1wj33PFTuK9yCnzD9TPyKqSNIVywnq9SjEeXb+SzN0U+gCjXNE3okgmK4DUm
itrLD2wyr04FWFJHAfmtJqjDnfvXDax7FfBGk/k0WS0pvKcRxiFjKFXk7DOW4z7xRQyWDq94Nqig
tSbHMFc9QNEvirMlCd5Dmw5hKpiXOVRq2rmZ2U9ycO157KElRTJFYgz4eeAx9WcUu9nMBE0GEbBj
I/00YUvSCF0ByILC5jIQUEqZ5ZRoA0eCq6zRO027xt/+2SemUa5sAxb2LzrSaAclGmkEsRNtdvm8
KI38SEv+o9Dd8xpmDMLXuapnVWHgdeWlwNrcHeqj6+ZVBAZNzUuFyZsh74hpJNXugWq1D6sQA18/
IhbwcwVTGFqmKVYLlRueZDgobeMvzFBzb4kaL2Jn/bsuHV66t2KUvu4YVblI2cX+3hrzwldPb4e7
xairihhyKbwz0ZC7hLPJG4C7eM5Dx4GLVlLGE42fM9b86JuiMYLIiG7jzvia7tmRO5oPzLoJ1btY
BiVXUSWzzHbkVRsfEY5uWUISBVF2WXbkC4FPqZWTX5cJbCBc9iGDgoO+O1FAMnLPZb1Sh/YrnoXz
TxEVLI5OecbvwSZIfDAmJ8HtA8zZLkgQaQh6a3rSNIXxTekXkEWHG/D9HOJtajx5729rQFg7O8DF
/1ECHPmEBrMiJJCh5JTL5WOVaXnpPZBoD+uDQtnsYfdQF9+HpW/XYZqF3bNQqjjUp+x/6f4EL5Wv
JkqhoR14Wd0jirh92mR+lH9KDoyDYXEIN3gVty5gydQvEDgY7/ltenueae3SlJzD7cF9rcXmt4HG
XQM91ERMtFRXT0hHE5Jgsh1UM+MUDxbmhjkIVcECLBOVrGbsxhdEit716rS9CK6pxqP7J5AY/KaV
pE0ya0wVAUUW6FHtBHpTShpneldHGQDNArRUhnxrVk3XTTrajnOiSUD1cXjwz0/Gc36xIjxrEjNK
g4qbf9jhx222K96T9Egi5iIb37liXum11EUTA3ToL9DQkkLX04PmO7Gf4DyzbH+b4JfTc99nu+Xy
7iF6W1TJzrXSL1ii9hvLUlfNfYSrXtmvylUFll73Nc1uXzOIO2fBv/XSUYbRBqTxovPk5YWxEUAT
y6JRsgHgP2UpD2DyDq7fito0XNFgy29RuRSameaEn0W50OLAjMKpIy2i72dSpf6fuBeoNeyRpINN
rS23fGyvrYXuBdIcDnCvlBobFoJyynvPATMXYRj4ERwEu9oMdC3H4/TJDKKqrQOyw3rWAQTB7dze
xvkck0GmoHMRF3s/4mMKLNYGEDbn/lt79hp96/cCQ3n5DtqOsYSk2TG1hplAAgZGwnNuqu+j0yOZ
nJlXvZMHfOLFGy3g75vpGSGJVxxcKhDYfymf7M/PBYYjKVZF09Um2uWAuHJW0YTeflIaKzzfCGIb
CfB/yAcIWZ+C4rYvaw8Vm4fdSy61u8Cj7yN/QyK6XKEPyeDnHYqs3VymPNRLEYvLml0jwBL7PxJ9
SQNGvAEfBjtD9zBg9XgkrERPNwTo7DK7Gqh25S0WIUguZWhlwZS1gs3+cMfBKQvFRAzOah2x2Yrv
yCkhmNfVoVZw9sbXEUUOKdnaX3pqtw+EPplYJMWQ7dkJ4Fh0T/jXmpGcVoRDpY7QCBkIGARRV20X
rDtRd+CvPsOwnNJjP19ZOfrOBEt3LqsdbDP2+6JJH4YBFEOnW7PPXbjsOXFUNakildyVrqXl6fbR
VHXEZs8NgYDY+bdep9OLb8rcTxZahJKs5L6v6srugWMoAy5qqCJ5EXle7Un+nLn92M1rxGue5zQ8
rxgV/Ickreq/CrhJEhJnQOAiBVHsGgZiGEaAsqlN7GXGdEnQncWYumO3h6xezsLheQXqrLZZA6iR
AL+WE/1AfNhiDSB0W3zoxw5zbSFMMet0ocxYEi5OhXL9tmeGKLTio9ggolI7Phf2UB2AJBjo+L60
K1NdNaOAAVY8e8pfXDVWz3AkeylSuNkdYOwMhmVMICxipjf/Ux4S0SrZA5P9Ao+gSfDhhQEMmPnp
tj/Mt0iYpJLbYFAQIyF7XANm7YtBszVUwdrpkI3pr3JKvCzkcLJJCZEp/q3lyJS22yrZOc+mYbKr
SnbPm/lxp0rXyHj0ihzbALWPJFg5gVs4f4brJVGE+71ctN2FA/bg0PHySkwVdddBhb7PljB1/nsC
+b3vz4EHDi3hfM07YW+chHUsExcgAqacNkTSMmf+wT7/IPTlA3oV55DhVZ91Zeo4o5oV7ahIGx+l
ulfyCfh2ys6x8uVieXg/ExYPTflXPUEWAbNdWKH5Ny3OGVvGMviPAUqvLls8uES1kdDHrAWqMEjv
+vlSAOL5PLirhvTARU3UVGJbfIW2e4tFZaVJoQq6e3HSloWNckiOhOp/Puc2LPThMMYx3nQNUvwv
TblST8Y1dOU0mEB8ys6Z9MkBNQ2VnnSluJu8Ej71SqXScN6cbOzpL3FZOOyAZGJQ3ujqVk81giZH
ViQ0FST5FBmd7VKNw5Tk3xnFMfP+LHrJedcICjTGv1WHaZGIRvKKy7C2uZpjZuRL4Um5rdncV7Gg
CTBlDmK8l5UGvGunl3jYM2PpWw0+biBgTq9MgGfs2Z5UZHwki3ADhHoprpcguLkEVyzVpud02ti/
PfMMGiFyH4kSKSnuhs2rau4MLiL3zxw/yuU12Onb84/OjzcBZRec+DqBeK1pYq7JO84MJzEFyPBX
TM2wSc97A71sHFYC+z0RCDQmRVs/sJZDeMA1dJO7CWwqrr9fhlqaWhmDGxZRgHnseUvC2t0WGJdY
DmhCNwbDsnPoE4mqTza4tDymsvYdTmYt589p4Ry6UQx1x+NDtsAQMv0G5wefxo1Xg2NguJl9RlR1
ivwJlVcyydVZ/OnCSO5UuaE10dwSFGBEhtJgkCcVX6zYBIq8UZ6bq0NuvKCVe63o/ti99UJUC29j
b35p6VDyoHP8E7IyZsyu5EnTwcjgpNO4QwqsPfEI33wH7P8Huq2YE+z43PAjaO5wDIeslfbmAsHE
O8O/muR6iIqz74fQPTQnq48P8ZszCg9PbsPwhnv3ugt0wJEsoY3joo7rzIL5SbS7P9X3KswTmLnn
xy7p1IeCWI2MDqRbSCBOubR2Yy2dUm2wLTfdIL/RYNtAJNT580706Kzn68bKVMAhpxi6IKneb+mK
/RM1Sh6O53TNuvgw0RKpZoOwOCZj8bbwmwLvoOZNjYEFDnc0yMqWmqn+ba/aKMy2yEZXagPkUYFl
uSH/g8HLKC/9x/fbuYWQ+VJ5g0rsImry7PHQYY5Bx6l0oTnZmGLr5eZj5lpMUfb+Z06yP6vdAlv1
0bDLON3VZrayokIo3Gc3mTNTv1U5Vug+NtDixTr5w46xcaidl7eFY/CIL74E36KcXPvPNDUwQHGW
9skPoroP0TjUQ/aqhpi068/JT/ocxROELiIsasE16ReVtl3ms6jdfYmqAFQBj5DHs9fx+f7bkRzJ
SZC5IuoTrEToqmhIzdQH4SlmIhCTx2Tw0coPhv14MlGt7d6cxAUFg7Zn0wFOIH/JOsFrfI0zrcbo
be8x0S4XwvZiAw5+jIW6erVfVqP4PCJc21hNELDV8E0YyItauTSCWVvsyIw9QFcpkRnKGlgOKXGl
q+dKQLEKhOHHzYdnZ3/9j4b5fvfi07Rv095RxBzi49aAUlcQadKRu9YT+z5A+fo7oArbQIrKREih
f23WpXMPP/iskOnsgdbsH70IIcq40OgJv4CT4GFikwlmPuojHjDoSYlmfqjq01hRAfGDY5JBPb54
1BDmLm+McHzsIBC9Cmx9s7cq9OdrVPJ3OkcH84jN2wm7TSG4qlzKf9LO5tuMjtPqtiFucpMA4kJU
tjQdp5vDYdmkW84tAPZqmzZvtfRtUXP1V4nkQDr2ajy0BRfzNmjYE2qrgAwPjeq9n70YP+FBlNsm
drCB1LqDrVH8O6ky0PXvxL6+AKTAyDoBqNxfqBp7Ve5o3X6+tNnVzEFXVqndcPwK6M25ckKDrrpN
pBUaG4AqNVkHhrpC2UVT18Lk65Iq06LyX1AebCv8b95tqux1vKbCGJLeXa2sDMT6Cim5xzaDgWhl
yYxXHl7EYigIwFwawoqbU/sR9b+nJ0zubtn5KRejKuWClTMe5b9PMLQvazkWjnRkSZKT62SgYmF2
K4knpbExiV+ihuM2SBVasR4qYEnTQ1xtY05Wf/yJ+46WWRWQG3dUUrkMl19wbzc4saexnBydau9x
3JO8mxHyjcDfjLxm2JtcD3nNxwllMP9vUMzB++FsaJ93zv9kyAT6yNFp8SLhm6HFynswQWTB8RKH
lPhTLnFjsG8tN+0mrrOvIXkzpQdOKvllfWXCnAET0kXpOWvA0bKiCigse+ZN4uOYBsSUQZUQnGk2
IDHtkww5ms/i7XJ2hes4a1WujkXjiB8ODvpBmuwwN225bxcd01dZCvUReHbQkfVIFg4sLxUmSwTw
PVntnmF4arbzxesFBFuy/rYqXQjnJvCFuLRbYBmCYl08uQlk6AHSZhz8Mf6il3jBI6hAzR3nuocq
We1xFa1AWCDNhdSuBbJyAPDcqDdAkZV3e1erroqAr56WONpwb2yi1GBNpbYz2PxKod1s6l/zyo71
EwqipikkzI3DEOu4J3rknBldZvXpPhp4N4bl7ne4TJMgWq1E4htfVxKNpOB0wChNv/ND1/q8gugx
fqnyEnSPxz4FvLOZFq7LKbxy2y8TmtXPl5Mntm+5bfX4iT2DJWsN5WTcuVNPSdn/j7J7+wDSMlVj
pWw9n6iycb+yK5HjDzIdaFOni8W5y3usXZbM/AtbsLbCgqrDPvuOJJZTpewwJU9FtssCZCqDhbNi
sD4nIG/u3vZRCYX6oF14zkxXyKBY/EWK6obXapNx+rAyzd3O5OvmfwVwyhEsYOnhTOZsCUFDg6UD
NfMGn7c2Q2rwD0emrqH6pofdfSt+FKKq0QwGUnJ5/Zb0e2sKSaOSxTzQwLb98nUcDAu+UzdKzWlO
+AAZQp1uavbRHFq0CTvC3h8BAevT1mNeK3Wzp6qI+4bh2MNVL9lCULt5+m+Qwr2ntErJqszYHNrY
WDrPedOoKO5cXiy1N5+PILp162b2cntCiKwRRUnRSKVZNFZcNJMSy7G5m3J9F1d4xqDVplIntV0U
UWHBvi0bo/PLGOr/S9d2UFaW6lmNv/m56YrpzZrPgR4HC2bD4g3x+7kRJRNyX/YEiv17VMCTQ2on
Rn0rnMby00uJs4bm3PR/aJN3mJOEeEIqD36EM+6XAX15P+xwaSKGx+LdticUujYrYP0CnNwoA2h/
0OE928s1geo26sg7m23RcyXOevI8u/pf9SI9E1SPVJrMYKFaOjMuwZV0B/Gi/+bhfvkKs1Nx0qZZ
CWtS+17jQo6NIzbGVDRii+3Dwv7b8Zv1npBEo2lvNScuiJPm6tUdkb0XpobwwRCsN7WwWE5SACuw
TEXp3pP32pV5JlGFlGt0nqL325u3Dlc00L+Oc+u9m8hk0vSZFgV6GSEGqlo8hPvRibOqMkH7xj0Q
mtdJmZ4eH10jwpAb3NgpCQq64KcBZnWV9lehJ8MozsV01v1MRlS4mq+ZEoH+200HxZYp0Sdib+L6
qM6D4DeKmQ++z/d3XcrVhFm9mUaIrPvH4GS4K8S1cf+7jRZABxnlxsAy8PaFCMBro4jX4vkI88H8
8V2Evn7qj3GMnwJfVv6UDBnTQMMgdJHFltdDqkjCdd+uTlrX2Lbm/RM8ZUdJu7xBubwLeGCbFhV8
C0lwxJYBarUlHjp54aw2qdofbMfvMKfldExDJeVm8DIpUV+X7eMSlgwpGxQasyt/0OsGyPSsGDGf
Bt9IzALUha8FZJVwo2HTiNobGVK5C7xd9+CtnUDiSrBC01DbFVF9J4hpt38DLIsyH0Op5YB0d3HF
WeENUz0KSDEVBxVsQ0WaM0OuTT8hNaLaHJrQkjLg2HG8xw8ICX4yOnMPzJWA/2XOw3E51U7wRroL
a79shOd+YhEmrJCKpuh4pun8Qslj57DJo/4YU6UZNdYdrjHoX40oT+i9JB8R/CuZty221MgY19Q1
Ij3lC0wLeDRcmkyP7CyHuP7iTjgOs+57CnkO1vk+2LBKaaWIJFkCciMNg9SK3c/TsMsuFbAn/JJE
wXnSqBJk8XCog4JoD4rN2kyDHVXGunFkY2hUt0YIh0jyltZ0Yi3JDIPI43TLcjZfHj7QGmd9FyqM
J3hUM9704IIlHNPv2K6S7F65P9YdP52tRIiTFYUaqL2CEYFyANY8ohnzVmB6FX6qUR8x5qT2Ghkq
dTV16vTEw+KAHJaGpXyEr35ba4C+BNUmMV7zZtyBNKxQ36GvSGn1MmeCEggj6ArWmOIoXMxWkQgd
TJdSMes7KhzHIpZGesPnBEzeVD5V90h84LhG9KBAgUrL11ZB5+epb2zLzqoPzlGoL7xD2cH/Y1KQ
lqQWG2hIGlFDdzLIoLuXpMp5HOu8IdGG4KwHzDMih+vWRmAH4nk7HsQ0sW+8s341w3HhzaVjSJfh
TDowwIcBPIp0oIj28RzMuiSH8VJkgdiLkRfiL9m1Lnmb2r3XiSai7StIm2afEUxttslDoBUCEG/7
8sRMcQaKsCuKoOxchcJp93papIAOh7xzNctQDeyuQLrJ0vB/ekn95C+4EIOwUBwlBtIq9T0PM4Ny
Cm1Ff9JGcQFiDdwvErgAZJWx0/d349whUCaCe2zLINNiUlPKXiqQ8+hVlUpY8zRXwpJjlANl5ks2
bonFJS8hcC6oJesqSEh7oDKvhM7EK/3nQJQU7VZ3N4LLjtnFeNJYdTcZRtdheXhWqZYEd1f+ytFZ
mA57laLzWP9lkCRR0hJRuh0MwI/1OBmB5Dk7odqv7kvoj7DA8bdGwwpfu1DJOgBo2n4at00KE+DY
P4o7tgQTGto3zL8+qVu5r95C0sumfDl9fJi4FwVXsJExDmD+8Z15U49ZM4asVe+QFyYgqSmkvsyD
ZebDbrlZPNymnxnY7Kkkj/I6LzzrIXGOiT2z0uR4wsmOozAx+etUFIzkc95HEEe0B2XY8kAdva8T
wl7xvrUXymvVzgppVXlWV/kmaLUbieISK3vbmD8+cJP4KUCezbTygF8WYWnwQC7vh3e5BWTVGjY/
jDM8aQ1GPlaLuk3k2+UwXCQGOCxvWqV4aMEbe6PbSB2HeRqiVEonnR8nkYWHMhZ+FYK4m13u9kPg
UKjJ9DIpVbzKm/UKv9kt0X1w32IODS2YdCxVP1TJpBisrRLz25+dfu5a0xArC821sMwFSzFwS4Ub
VFCYowe1NcKw+AOP3pNhr3zz2LJBdosg0Co2diAoVCHC5Ry8PKOp4CRtGEgTGne7wkrbGwrfB26A
r2+TYlQs/eAWdt54N/76pGVPMz4JZAhAywxg0Pbos+J76VNE1wOVuIncHCpaFX+N4veudoVRJWfB
pe8Ys4S+RDWkigZb7qv6/UF7/V3Tj4Arw/TrW16YG+B7hFVaAb4qsjXo/F/pUaA7IUocr6pDQp7F
DbmZzebHlGJuwPFuPXKRXpptZw7DMfcIym2UY0dsQJHknGo+ML+aLouv3/4JA7it4Ej32apd4bn4
DPk0IrGfHte9SfoKCH1slUT3WVRxqbNxhstErmQ1nGviydbpHvuwd+tMvjgZ7tVFrLUWZUZyNKEL
8z6lK3gwaJUnN3I4cUtGLqFTWvqO8dCjAqvHlCI5eSzbOOvKwOy06i+SBvZB6xVLEvJEauwwCgr8
gzZiWXe03/58MZME0SdZihpbYooT9SScL/yINJnYD1tUa/F+czV43wt6Zjcwc/1Dx8P5Dly3Fsq5
tuoVVrANMKFD4AYaUHvlDwLHSb4d64few1IRHeMlV1KwT8dnTGcN98NEkpIh7bFLzxCwTM6KvPkz
5ardcHK1WZ1lN6c7bZw9W89fENiJ6yx2DhDPszdKoaK3yyWzbQ8ONLS8DbsztCskGO9R0YgFFSsz
EkiEdrwSz1xPlojLX4VGkyB7IYxzJpWegpUKV09eBgP07E0lph8Ib+1jM1HPBHzV3qS+HBVEBJvS
ZPztDO6bnLClrDlhIq6IuY4Jeu5Ucaeo4JJ0S6rApWpghNYG7r9M5m+xT7WNnqiNp/8HCDJu90lK
effYuQGGct8MI7lWIp3G2OWkcx/F6GsRbjUoSzvndEWyWshpqsjXYA5A4Rko95IRbxeVW+O+9wZ/
CCjvrI4E16rGMJF+km/EgzvvQa9ybBiYeRL72FMFtk5D2K1nKiW1jh3bnrFMEu9ICrk1O/HcjBWJ
gJU0KakaQfeIqEPX438DnwvccAE0YETSrcYJKs1EsfLQOeCn6cwkdQ8SXhyyMo1GR9gEMfsA1WlA
ArGg8JcIdGU1bCyi59PBUN1avllQPYFJsSVWBQgKY7R6VtbyahJUqivezgqRdaKaUoSDvidxs2ne
+fFmo8D44AS4n5gDxVAkvQg+W46sFNsEtMX74EpLhi6dwP+alORLsMXHIZ5147RwY+iirD1FeZIE
6QOi7rDDPXupbGoUywLnvf+fyDUdYWnVm58vzwf3WVcugLvijtJ98oY0iKD2pIgG9yeBF7YGkYwE
37aZhC28eAJYO/0nVqABdO75vGrkvdv7IUxgZBuVVA3uUIT1nye/dZwRtK+y4HxxbSm1fXTb79QI
susum4w/LuxU16IWC3i4Unrdloi6ODGN7b1t+H7d48hWZhmOHnB1xIPTc+UaBw63XY4ww8JtHo8V
g7Q8dXnYR7u1xUNSDnT8pzRAVVkk2dxCvDQ/pfmfP7pSYJ03cfkon4rgZb1iHYo78VGG2o47Koog
42j5AtJFUDSx/sPFP+3fsn8J7x5ldg4hKR42QN0KBjMOqhsoSbpNQbHRvFvurA2jp/fRrvC6HONQ
Z4lwRK0FyrMX4+8vEvYO5+5G4cUISxIASL2+gCrt9UtIs7W2NNbQ7bzMSo2kn8wMSMVJn1JywSWq
0RLArcZr4nfXWrPmc2OHAgcs/NQFaIt9p7b25Hu5f+6yYu5pK1X0jfBGo2MUghlnCrMymDehv+ZK
UeenAJdqt8i3UHSDzS+G26eDMtTcepFyYuSqtdUolhMsUKb6P8eCFqs9nqAS/U4kZ9RP5A2kbF77
JAKKUhYxn1oEGCleh9qryv2IZVE7EYwl8qjHMEwzj+ktloEnAZZvcpMmkm1PQSnXCaWgDJgShNe9
kOgjFF2IoyARgQdPgghnFaNPp++fblwXsElsgtsBsgvqiPYwFLDJjb6F6Au/2kpbkiuAAzdl7nRi
LO0enNQRcFtJ2c02IZYxLQ/jQL+Xet1Ab6asdDAFEIe2cASboalVcFxhbqe68LgUL7f3v0zGqa8m
NaPnyjSSLEUZgQqeVehMtAUKjk1vYZl8VcLQHTSlkmV84ST6AsibCqTzYfyPq+QgeRpnOk2zlY9L
LUArFF4nKGFtlljqc2Rop1WZPGhLNWoOPP8sSBwqbyYHElWURm3E7A5Wl6s8SZ0/ji+Evu7nrOCY
TU4SrwTc0jhejgUCaBttJw/LJgVAInVAB8fNVqgvxQ6mhV4y1/k72ApE0Xg8pBGhNEFC57CI0mJx
RRUaJ8x8XnhqawGTD6lerfOl4QJUSU+SSG49AzD107nUo4zo2U3gOatVl+yxLy+kPdRvoMNNl2dv
FVrrCSmVsL2sbNqmPZvFNwjyUC8HIvEMNexe9qE82IQ6RQMbKCmm/xRRrOVaVEMIbZXJ17VphyiK
hYFMLg3zd6ebMwadhbQg4LmUeoFZ1ig3BxsbQpy8kHa1B4xTah8VP7WFFEHi8YrBmXv7A1bW4tqe
wTRBGbu2h6YoSGtFzd6awmRqdpOnxAGHI2LcW+nLVxNUitrRSowVG4OncaM5E9LFNqC7SR9bFhZI
lOpoibnp2VRanaQOkkkwQ5+c/x6uHmgcAT2vqPO8YIcVHU1UpTZDWDc2CYa8XgWen0LX3HTVseiX
KPggbh9ko7GOoen0coxY81GZKkj0XJ6NuTe1R7ssy2TzIjWoyLMTyOi3kMwcIhNVekQTi8GJlO+y
5KouhiLcbedvGj29BS654uinTu5jI26K/5EEqF2C/s3z+JSOlvj61h8wsAD+aRXFIR4qogS2xPsj
GJV6dDtZpT1jn92dVRvpDlLsseWA1gjEWlAuqk1urBF6rHxnGW3kA2bZ3l6yjT3dudavqTdrpW3a
JcH14rmwYIk5DpOADSHIQVzsqhY0p9Altt3GtO57CkXbdqNkh8NZ6NoTYYPZw0uIA5zKHhMLzTJe
GJUFBEDKIvg07HBvWV096O1CA1tbujB1Q6VPMAZgch5mGUrXY7WhWGlpm5zut6/U2n6Gdr/6677K
80CFVp9nmRp6NWuh3IOIEpEMG3MViDaCdXZ2p4A/IjYjz03MPpjRQFs9mFOJSteFCeUv+4dAUB4m
EkOrUYyUETwgWhPLGiemVI/bg+d3htVh8v5lga7SAOwj0zq3Uywn9C+79KhiV2z1IqP9M7Cd8XqR
Lh4NR50czv8BroyBlNrJp47u11a9qraJy2VoQSDenyDsCXlYqsiJutgtv5x36wLqnQlNoJa6ihJm
KaCkDwLTNC2WuPN9DHIIUxFVaduFnsdNs2QOOipn1ZxUpsZekoO1Eag/itGPT8oLFDAlPC1QEtSk
XndHqIv240CpAM9B3JKiRKVuHtKtIbyGLYxSI8DrSG2MfFp9CyQBBzRw6lc4j46xaRg1M7/S2XWw
lYntC30eg8BdWaOw8qWZmJaJDivV0rSleNKrDJLx4SSrqQi+nF7UOjjgKG1b4bMXKup2+yHlMmAC
LUn9d9X1pIu0yOOn7YbV30xXARJ+7RtZUGjcRDYned9KZRV42wnsGqylorajpenymtCeRdkgpJoB
LpZ1DaLwnUyHGUbZQUXD5srVioWQyi3BWCV0DPCgSrGCsW1bfnrTC1DvRtov+B5LdLE65OZxFoke
RhkF8MWbSVY4kFf798XKF2nBsnfElwFLZ3UAWP8x4/w/W2/oCfdlLehKpLvhE6/dud6gYY+NkYjB
ZJTdKZrGZULoLSfK2kh88N5mpggJ1pJIt4epwiR6/WJwzOvd4cVKos4came0h91ubgPAq4q2kLmM
OnB8Nrh6uLQjvBdDBNajNTCFfniBaEVjJNSt8hJ9AiYOghgSfJbSbsFq5pxrxqhxxGMnbTmd9/gs
0rxhq/LsjthMmdztrqBYGuM58bQkOQOKm1DrUfV2jup6OEIel6u6ONAGbXLf0ptjalsZIzdUGjaf
YmdwYrwvvEZcxB1DGecU1pVr9lDIMW7nOFVbX0NofWMhj1LjmgQc1vwK4K5xdxMWr2SJbMo4hUaR
deAcWMR7D7+mLosUo0d/Ecrl4EL4uF8jqjnd/YkYVwhfnb8QtfEbLNoLWDTjN+3HC37k6emCqtDJ
LzJVFcL+6fROL1acqEo5NEXY4xknLEs5Oxoiqc2qVMxEay3fWP2lARzdt1FRWic3V1HDA1zucbuj
1FsDL5BOIR1KrXpiU6f0ekofimqXI+69G2Q4Sz1jpQ4bUckPvucmVitW6aiXLsH7G3WFOHQZCJjN
FKqmbLsveB2uhF7SEdGuYq4rX8pTMFxrp8EvAOo/0+kpBfoyhuFno5FX2MOkQhF7gS5kYoalWP4j
3oGwti5q9wJ3Eg3TJgqg0QjyKVwT3+JSzH3y5vrXTn8NhrfT5mXW+8rWw5bRrj6lW0FnELwP2eCh
9/D9F3Q7QPh9qlVOGgeNkwxKBXy8MPBhIliITlgQUH6i9PYROzwwL8ZxHKDCMGNZyjDH4lCVd+SM
D/0HHKHZj5W/rVpaJq0TLDkzUB+O6ATXET6DLY1W/NPwNB9ZlVcoMcCLy7Cfqeece8vgpbuaDGP2
VEnakI3ZzFz6rQmOKYp2bbriaSYlWUL1RaFn1Tq8PHZVijWYPvHHaQvtp/l5ns7DkBRanC7lIAF/
+1memgX6onOBAFVsIE4dLG/Dp8FurePB2vLIDXxc1CHFX9/xBsVjS+GTIXb1j0+OXl3qra8MN2X3
rprVsMiFaP13sxp9h9NhQZ9qSoS7fwKauJX+x7iXI4JtKssJDg4y4RRyRv2i/sEogYWKbBAvbc/D
WJRV80ClAi/HilY6K5bp1v5rG+PguvhV2C1Aum6zDJE70/7TG6DydGqPffh2YA2ypqoTNnX5A1Ak
9Qoyk4saBVETO89wkoDbNaye7yCHkCXvGe8brGLOkyKolQWLPHi7WmDbBG8X27N/h7ZR1hcnGiwQ
7wpM6psUHrgbPnfma71RFmFLZe0G2cEsTNf0LM2akMhxIE2nN/Bp0uN2u65aY/Ri4rHS8M1BPp1N
9L0EyAnrYEK5QXK8cSk76P0GY4H2izO+Yi1sDzY2FC0e7StxkmonpvcrAlaxc2mfs0uzzCo9EJZV
WgrOcm+kwB7xXlBM4NAvS1zecwb3QMSJLVgFinKvVAk4mg6rcGPhi1hkH5BPgJAWDziJ6uZ9jFy3
eKY/ZuWvnFsdgLL48B8hA3Ug302zgbeqkVoH748e0sSxwDFsjSsDcexlokkTb5tWvuuFUD0MMKr3
xLT3a+AZZkgyrD3RmkoijlUCZQ55PgsSR6RdV20ywpwwImf/VB2RuJ6khrKwaJ0QFrhwSYhLlrMH
V/KwTk3nPiaEjvh0nLQP5VU8viALaQPD0limSwqE5u0eCM2Ivf+yivlZPdn1fHpV1wG8HV2hL/e+
COaWhULrRvk1oiDCgTFChvGf0BfK+3qOl/y6PsukHGarwb4sMgIOuv5edi5aSXUYIOYQevl9K439
ChCEL9G8NckZCF2GgY4AB8CNPL1eDFxTRpahuNTSkjcGPra1rSbqAudn5eCTPf67p+sGAja/hsJ3
UU/8N3sfifD4MzNUpDiZ+8vSpgWiD5y8JBO+K6WtHyRMs6WXbIH+9bHmMZsPCgXeHRh/C8rXu4i4
Rcf4dx7EsDk5EuRc3HnkXsz/xBuuiBQkGz295NJZlh8QCeFG3pZqxJb7ibqlJr489zqAzN6WOSsm
RsFxKPj0q1QgEvNjAv7gBqwyTzmK5klDq2G89hAIi525wBil3/TBPcOBHCwkStexp2UuBYOtYuZn
20m8U0hVYx9kY7RRkegFP/ynXNw2NU/Y/fbdeRN/5idY4XWfwEP4nEo09OqY41JBYWpaW5zo7yy2
uO8hBoMZIozpM6kkSOw2OBQE7O2KU4XsR8D2vjPEIgoHyo/onCRHX1QIPD6ftmmKxqI/n2hnBVtO
syXDqtYlxQbGJWn1bFS1Oe46545ZNB9o71OssvoqUAEtYbOFrcmbR9+Mp67nsZ+YLNpivH3f0RHf
WdpNHBljncipfBGoK644RKJOjQ8h9vjEO1+AxaaMz3g8z9pNdbGOzz0DRgacEFJoa4iXPRgu6Jvc
GnLl2pIXieB550kMHwgY0c53gXAqePd3b6EUzKalalDxTinpKSTwNaLjsasbx5yzZFICID2LCmTR
7f0yEz//VJAO27xEsO9lADWg3SlZ+/w/N3m7tcy6z9p+ywahOjjRZn+YyGt882yRLT3ixGhdqdY4
+lPCPHkVz3uPL7icCL4tgUfiuRXD4BjDlGyekS62/zuS/GHzSbOUD+ME3FRMZlqtaXb8VTeUIKwq
7NRpDoWFYRpziKxEdHs1yCKGfYUCLdf4L6HqSgVL9VRB/BjJ7cj0rvgMT3VQML1RIqcONEJInlNZ
mkVx0ZLfIh3eLHm7DAVfaMxDn3nxMo5XWogXLVEoxz6iozIoDGd2gF+FLf0sx+keGFY8fQhaVx6K
f+fpp3s+3+9QdDvhOFimQIEeNkf7bmer72yGUx+2/ntIyCn3YCbRP8Fi4QqYEYFCVihXafeptCq7
8dCJuwTa3ZrP9MsrrgX1xZJDoEF70ffgSYLWaau+UeaKc2WH9MTrKRhi89auzpfDa8usif17/78n
da1gjg8uJt9NxRp1OqUf7Q9KVFIz6q4auR04EzWJT5LX8vwNVvfzQOPMny2ugmse4QeX6vYbXeIc
ZLy4QSPLmN6tG3mgwkN+rNPj43mr+KzyJlQZ3Vn9Q1FgZoLi8i55UnGHFHa1lD3yrTxqXW9En/M8
42oaSGshkmENk8JzTgKUHZX9FZsuET1FZE/uBE9P+PFgwHz5t7RC6QShMGa+90CN5fPAPLZH6qFl
tO5mqMTuYPjXwPvkx14ZbL44w+Be4aCzVF+1NcXL+AeWoH4k39A88ibwHd9I3u4hTtNlMoZykeId
rGQ+Gk0UX9NYoVbP1IUAAh4zFo+3CfXlIIGEuKNzXXiaH0bPF+BfcEosBHply1iz6eiDM9FENeYi
bExU1aUAoNlR2DN0bnP4YSmxl6trds6fWPvR1pwHZq+Pfh1wO4eNHN0Dxu+DDKY8GAzEXLmgs7ST
XN5zUFsBKavMrAhjD0kcc5XlXgWIvxcdXTF8y6WJWfcVEp0EamQmy4LrD8xhlwZVHX63VNn7S48k
GYWs4qZ6Jb5gYd/zwFZX7xSKedmiIf2n9PEoPq6g2r/6DfIYdUXtwivVJNM4wr3Z7ALnnHKmlpf2
Ym1baRRw+05mHNzDHtSdfMKMHqxjE3/31VAB8VlAxwoRt9Km1yVsrDMJ4TtB2c+ZcVahdoAJOw21
9Qe08ZKrK5uRrHFRal/j3b6aQtVOmgRCFQmfZ7W870LqOsiEqc00wMj5NZnvrnhCudbTj4ps0VNG
8ZiPS9/uX1sQ3VC+1hXsLBCxNPLDjhQgoPoYZbqSe7EmQA3m8/CCIn3pLt760Rh4i5iOiN2P0Are
b+7Lihw9GxuI2C9IxPjPbw9+Gzzqwsj1EXwrZ+zzPzbbSMi9WN/rtkTYRl5WWeIlCblzCDjDADKX
7EX7c2JU1/tEoOSQBSwKaApRZYICQTJe2faY04eeV9PS+N+27jSfjZB4ZH9BYhledCdLSBCYUrmU
1jchpiJsgOvS1JfrgBwvicjPk3jxyOlzjlM46RqPrF3b4Z1t35R5yFcxcjwRi9UpGqhzlsJIP+Up
mCnwIzaky6VyYmMEP3BLFNbZDrDe/8r6Eit+F+QJ7ZQOyjKmxoUSoqy7r12eiGuC6KXvlW31A4DK
gtjSnFT3V8JlqutWifOzli5ZlCaNsfVe4NZrJTCs0gniA4Sp/TCpfm7BwL/Y+/xlf6EtZ181Yb/4
B3a7NLhzWHUkheB/8rs20liB+RvR2TRByb/L2bTSDg6896TjLzx/2SuwBBIQ9K5zfg1umALZXZW0
JRTuKJrse1DTko/1sEs2TEX2zU8RlxbMGbQW577CXSskPYh6w0gnT8Xiyj/5tv/zRs54BHFre2R5
8g9Oafak/g6+WRdgTEfPflHWLa86ZouPRja5fbxnPePaiW7ZgwY+oLKDD22yIg805hcyL0JzX/pR
1/LS1xowjiWTG5yKjdmJKSftxs9LvOo5R8vzIThW4OJl7FugZVd4C2gJ5x+FtP8jHngzndHakcm1
9E4IApGEBEg3vEopEgeZ1C3kyC+X8tGCh3mLRFLER6UtTTa0QvvoLRbhYUmdTRdzygdlFG+pTIQt
62A24Bpeose8SZSW8wYu/TX9jmEoxW3exB7QGOU63YL3mhXnRwEEPTvFhP/m+04Wu5+pyaUgCWtc
ZHh/Qk/m1FWGS0H239jibEZPd831aygjbGIPa/9Rwrps4hEXVxqCGxfQMnRN9gWX3LUhgCH9Vl5V
cRTRDxDKTGlOsqGAQwjroMQUCF1P5Eu/DbiPdnOl3LAT0dMhiPHhD7nlURiY4YQ46x5YyVeRasEW
lbGzcEfacAw2uHPJulFLUYWw6CY2o27WPynV+2+H6kI2c2Lw5ge3krXZkb7eFPsUCVKP8PXfB4XN
JDllkehIE3MrotxoQ/K9MLSy2rMLvkm0sTG2YK0fptWuc/oLLqxs2Aobjc3Q+BmOR23DLngdGgV7
sNC2iNLnjMW22gz0dQDiaxMk4e2YLFc+/1KvH8JSxSpU9GYWoYpsJCo6lZUHmPTOKSZPYJw1XH2o
8YBPPFsLH8lRWGDn2WH9YV28tJhDtQ/21LbftXZdmLyTBY0XPNSUIxacbRR0HW5O8aSlI57WP8M6
CxuDlKWCgZe3h7jpx0tdwnMvRRCpBZJg4EjgBE0FnXPMaZ4mPuxxF283yN4P5bWxbX8ahRE6FfbF
RqvDbY01M6cCgYqesxupNBS7GwdKYQ4ojJDdWZeqI76vRot0l9Wb4TjwLIzm7iR1JH6m1jSb0uiG
tVq6rID7X5RS0FZdieUqI4c56bd/OerqL6LUv6nkP5ffoPDKa8ryh42mhW1te7SdyBnxkvGPb+hy
IEpyq7oORBS73aNQCtzFfPNikQlLEwdrfNWw4DFdAwRTyx5gi8kDEu6rG8QB8f59lg9qqgoFBbM7
kToeT11nLdgNG+vaCLfW6na6H4Cc05bvLgtdLnQEfIh0/71T4sgUOWtQp+cBgFNG/q8N1/HD13o+
3f+9eiDrcY62CkjgeZecgchfKam6qD4YMacWr+VtCNxEN4l41Bt5LYHkvgnrvODJK+DbeFVMRbJk
8DRW1l7EEKM0lWMS/k4vlqVWdgphqmcL6pld5/DITakn0PCcuHksBRhd3Ju/C+zk12kpLi62uLtK
EODNi9f+a27NDsjhM0ouC5dv+U8Gr58pMLA7NWTP4reSjxANbKsuS4HVdBmU9eHIJhXTkXlcIkMq
14fEnbGqovRaLC1q9bOylEckLRCXhPV4hkIY2jzL0+OaHkl41XbCGfkbx/v6f/Gtkw+R0QmOqzzq
X2lSh0Jyfdza7gKf55dyd7jqecUgV4NGLwvhsXoU+XxVbuiJV/o/C+1U6YcCXoncX5LVCwEgpKUh
iEjn3a1oCj2yZWQt1vtu6wvU9ZfEb4cKFtb33opbgWAJzZXUut7YDsvmm3MwFPW19S2kll8Hq9Cq
HqJFJIVt2KmDNfEDXYolqPtoEP4Zz7yjyH6Ec4UlGhkxRDjkQkw/nPH0slXU71PsxyCIgsCyb2sm
bxT43En/+cehKQQlv6qoMWh1nSfrbYstSRQYviB9euGs0QN0retGB3mZj/vORPOvmvJrXZI50wG2
knRMHm2TOeMv6B0sBnEUbxfFz7q82Q5aRTVLO13/v0DncEmjVIWhnYfilvRU1BhQY/vxHFMDFPnw
ULfz3WiaTBvyor+RacboGpVMHqLxAXTJX6ynXYV37urcFJRdjXUgfFl24vK2jrhsVzESQ2YXiuMz
D2HfhFtXFtwu9fiF18UbYeIYjlHBq0sxSp71jya/vjPDDvA/anrt8J1Jyu/UmV9Cijz3HSJNAswe
S2wtOoxkytBHeCrJcWQsB9DVuZHiajCZaJ34CaJfnFCl2JmzR7ivc6kRrM9uxn01eRkLkMHAXzmJ
GWsJqibG9prvj0vJzDMGF60UncVXi6MiS+nh3UUPLXDVOhY4c7G13ZE1sWHLm7ZPFdjfGd+9xfEQ
xgfR+7D3E6z8Fzn8w+Iffo3mkCPhjtk40gUD7YXkPRdc6cL7shQanDWUldx1ZTi8Aka/RRCZoY4l
NHek7ADEPXUEJ1W0YPUDuelF6OwJPMr45Fqld0M+MPcq1JtEUlekHvdoPDrHeGGmhaIF0M0+Sd0u
m8Hj0WwgBeGWtPsjA1J4fTPelajPcrt22kSJtHBWdXd/0HCRQVEPZTjjMW4onJZX0MLpn1rReYkh
Qd+zesAUOTb8W3/bnbynf/QBmAdaTUKKhTM2lZF0z5CEqIIHrdbzaIgPCTDrLxz1snFs3Jqcd9JX
07i8eDrArV/LVcf3pd2Sptf11yS42yAS5vvhmsIEet93jtIhDyJ62IMyQ4vCmCX4O87QGqapCWNe
yNMuM/5b1EoRbI29jMqSthjrGrBcz0DX2MOLauF7NmWQJj7BnSTVPnRHuKPkG4g5KG4JXWgl1VLy
zZ1dwjMTKRQo7h+eDJ2fwvS4P1H5RChv/CgRLoM1rb9BXTDmd0C7G1Cy+Iuy02qLXBGax1D9MJ4O
bJS6pHliksAxKL+G9/KWQiLa485M3+dMsa7EpbOJBKAYIq6AgHKOlVagCYkoROXst+GjMQx4VoaZ
X78ZmnogJ64LtGnbQv2g1rbGwWqQhGC2boIOluf9qrpyOJN6YC2WCrvPJNmcEvcbgXo39JGjlBPM
APr17ulJgGG/wRwEUZykbrZA+Ru6sW/uxZCzq4I3T4E6YtICl9sD9YLZV0rU1qV9vFSzfRG1cMg1
1t8/mANsIJMkGFr9WwDdwSLEbplkaz7p8Gt2t93TJV15QkhZZeQQaPtvlpow3utmv0CHqMnDsyhc
qp8GiY8OaRgw86nFVIDlLUlUoF0cW9lcmIZSdLzUo9FulsBOAfPuR2rVj9vRMNwYZITWdV/ofIFC
mDDZ99lL1Jbue0Ua+ojJRduML9DKxpA1RX1F/Tuj6yAl/A9mUHrHZ+ta9wSuW7UHCa2XHHqRaPpF
FVspLazILApSbH1IBJqv4wB+S/el8GzIhuJQaFXGbBF83XUh9xLLVZnyWo6vOfKTHINC9AMZrLyF
P5YghK4YEYISgyn6Dqt60ltSKnUCkAUTG+oCPFxWKSG+qxxGWfdFj9o4q26NC2s8ywybsEmufI9l
HLE49aic2d+Ce07zJxVh6cQjpehLxestxNJintA3+8c83Mcoj9hIuAUv7laUTcyS5i5VY7GHvtQz
UlXj8C6vltB4zHPSsMJIb85xPMsy4pRJ51QuquuL/tTRLSKaOpFZ4BwcTV+VIjM67mJzCASizktL
BZmc8AtmepiUC+rf7q4ccDNoEPmtlafNrWX2iYRIYGrye+gpUq7V0R5boQoOP0uDaGXYKGWUQwUU
KQv6pM11Y+kKH63HluZzrE9kQLsmd92vn4zOJziOOKmhCmmsZE1POK9yPoX1jcWlTRvZRN+prjny
t2FcD+2RIHh9X2aGIgnKF0SgtqcCAqiDQHE25JjwdIhvIuwGYsC3v8Eu3iDMtLYIe3XiNU1rA8hP
Q3tHWpIb7zwI+cLzKkC5iafbDHlSoptrUcBaOaMiRVqmHAmaZsAqXEV/y8u5+DsCUYNBklerfgjM
qwev9yWIkgOrGqTlQjoaqJ08hWWZtO5wpTIrMrY2qG8EXWtVixZRWMfn3meWi5/on+55MHhAxg+N
PJyuM2V3GHGpkEoKXxR6DWi6/2BzUZ4qirZ7EoYlP0iO5laJKPyY2PJUs3QmdCJK3HbuqkWwqaSN
F2YsPUCNYFbXt4wSkFXbaHS4ziUH+uQaj6W1siYPJPbhApXSiGH65zA/4D337Cy3C7iNJD7Jlu08
VUT3AMuq08KD+XEmCmfNaIe4VK4hBtzAjSYrJvqxRgnGmMZuexpojNue4IwAA521j7LWwShC2BCH
zSTXwqe4bSuq2/lHaBt6ZcY+Yzk8y17mHzJWcTX3G6BElWpq5AUAmpbjEW1tt6kxkFToX6MWhoIe
Y3Gf7HvmaL815GwoUKNwEoyzIVrBOMFxg0DNGvqe6bywnzJf4jcddXeR8GME+L5apygDFBk83CrF
Jr79lRiY2h0aMqYx7oXgd04h9UeR5NmVSIDjUTejeJW6L26jVdGzNffWr5/4NocIdpzNq061IWy9
l6pYrX9xDhuqrX+lbqN6Kp/hCktNywqtaQRqxfDC506jvf9ZuyyOhCBuKJy9wj2gPW2A/nZ3Np/O
s7XbLWbZBO9/+nLEdX4HOPzQKJFZbF0TDBagNweOhuR1h/B1BV8OiIV1eoJv2ZKS68V4pcZu8Rj6
9/LZTzaP+CwF5uY0hhIl/I2q5gdJ1csUGRCTwA0r5dk8+07f6wsox7J9/PHkKBmWb3CUtg0MwzEA
Te37p5UOK2C5e/evDWrmMZk+tA34kO5s3UMBqgc4roXWFB0zy9LpcBIgDrudF7Q+9y7ZoQgjMRFB
WBrByonOyghLsPZOCa96BjVezvEXRiN7qShFRxw3jdFDD+oE+SAIyxlK6tVNO0FsccKwB9aPZ7Qw
86H/T1GexJ/cjapUqa/XniBLvHAykhx/bUYZ9eJ9+com5eqtzhnWdEaLHLxt1plfLtNE5/XmfGeJ
MVERcbNCo4w4IQ0FzGQXy0mtRfgvB9Q8AMSIEyh8iWB8V3eWAfkRPo8/rxNsDV9LZQknUjN/OEXo
H+a4T85SVyGRTnsi6XsBTUBmC+cwPU8Q/4kpHpbGub33WR5RR5ML8IizVH1p3lcmCN4tlz1Pp26f
NQ7P9unIdUPrJ73EjQkUab3DAIMd0ppcExHWcDfVVIqJIc+rra9uWfZ41Rp3Z/VHwW0DIdMgSmoo
MKPBq1ycJpcrBoULcAaffT4E/rwnXwzj6d9Box8wPY2u+CjcWDgzQ5V80uJgjN4RZBYi5dn3iu59
LpqVabj1qbIZwd1CZwHmUGLAyC7Gmk2szd4jc9U7pcVCfi1xhrUEaLfBP7q5aDnnZEsOya1RU7c7
M3/iWW34GMMtf49ZqxQ2oJ0wwmOxgTOAooeR7G/dE6KTy8rms1rEi2yxQ0sUlRRJXRm96HNGMKdb
xuBX2Bo569GZkeDDBfRKuxEK1GlIch1qyW74pok3HkL7JoqujxC+9F81TmUR7PEShwFloV+X8PYl
3T1o1v8LC9V+4Cbe0/zvrZCGhthSd4mn7KYRjiX+MyfW5mn9lXAt3WUUFNd/f2TAcXERmgiuDioc
Vr/YDJCWsPH8Xpav5Ig2s6COz30TfF9qBbQ/zqG2rNcBUW90sw/HLycVQHUd9lSaAhLG6lTBqzvR
fQUHnUfCgJPvNFaTGvZn/jIE+W99+umUswBN6g18gdcwXSJTXlDD5MUzc+BhiF4NAjQQjXTlfQKJ
T0VcTbny5z6k4Sg2jfkAoyAhzy+JbNgaIxYhgWW9rNYuHwU74akuxLmYoQjpKmYSF3IJUvwhkPdG
hu/TSN1yDWXhQcwBjqBNE9vfSO3Iiy9XVoDlmoO2YUtcPCy5/8EIHDF0uPr3vO0yPJFg3geuE7HL
amFbntsMy6rlvbsAN+Fpz+sXwAMyUA2Hk1vQuXY8mNVCe7WN4Rok+ZAkkxkT8UxF1UKzgZirL89k
AB06kxrHon+RyL878o8KgGex7yU5dG+nGYwYDsmZkiZN5fbgI5cpMv/2R0L36KrOWW6TjgrO9R3V
yRCuM67ApeiNzmCro5emxho+faxoL/ISxeKwtomT+19m8LDyL/dn8F9nWnVsL0yiw/KBaCytLP0c
vwjNRbG6HFLPhV90sIey3u8vpJZcniDihxwD3NlJRiVPgTNoHhg8XqIhrpP5F4+1KfV24cfkyyF4
Mmu77MTLun2DDF6sp6H3xoKGYvy3lJUSXL8534/E3wbxLJSRjt85UGNL+jQoElN/yJ+Me78Tia4I
7lGQqbt/qsbk60Qs+aGwY5fVPd6IIM9Jq2k8bWL5/y3/a9d+vPzyankuWd0rfcFfVFTwpvCCcKMW
tvkrazmxLxGCVGmD5u952plcsLdyFHafOYULX3lM28yNuf+wSBjKiRgml8kZnkgxAj8iL7L3VqvD
HgK+zp8fZ3urMowVDB9rA8Q/BpvnLcGB09igjdrZacmjgZHAUEFELKEv6NDqmnnLYMXfs6RJhoXh
Dq7LU77nO0UryaqP12+Nan6L8DwUbH7SAV2xuiBdMLGxhFMQEfw+VXHpY3VbOWMCGRAU7Ti9Nw6X
D2itdnkehLUjglapU/G3jIn9tHyNnQH6rcTJXcVilDk9mlEwJrFpJ38/5pe9WCHimxCchlOdHD+o
B2omncKss1FEBbdx7bmUMToP9PevNszF9vXkBypYon+dZMU5VlmcJRjcDOjkQa0b+/ne/TXQ3k+t
3nz+MLamt0JobygZDI624al6HsLWUlYi1CESlkhQzu7A/hR8mn83ZOrH1X/VanUo9THRcLCbXrXH
gTV36uv7YbRsSKZD1OeFRUnWRp+SyscyyvR/Ue9xzg2WkHO8o0Q2H5gyVgMDREtSkqoWy9ZLZ5gN
GvehUyB+rkPKZQTSC89cHQkmAe4F3GTzVe6VosJZVndhFEEz7huUU7crpYhgoCTLWO4MqDAAvYME
XOGVae6KR/WoJhA8GLYbDN6qDMKjnfGQbhqeQNzSEmagXr0GH1kBrico5i5YfvZmXjDwLpd/8Pbj
Lu5kiEBIkiaRhUWonVKpNUZ35OzQl5YL9kRfR3877Q/2VaBobSUoagZEqofhykXJ+2XwaWWRBjME
orPrsHo5mINe4MIDwUD9wUdcmA9HRYDm8LZXhBiyrH8LjEfl24QhaWKgflR0qk6HlfxEoTMO+avn
exFJScyIkOoheLc/mE5uf02Phr2U417TYeAXun+MtxLRW28orwa2CgW5wOzZTakGFYsvgbUS+gIT
qsUrwKOZ1xij5M8MSD8LCLSwhiWf0f0Opf01MZHyvBSA3Me3meumttKQ7m6xH4x65tfwp0Vex+wS
BoU6v+vejJrIkmOPYkvEjSYxE7jeKuk+TWlBnP4Eig3be7BPK/KEzl1Sls8ubCQnIxxplxnjXZtb
aAXgeXbT5Rdq9KfWQf40T5laycGF85qaMx5QtP6BACoR57rwR3QxP/FVY8TeOATCTkNrDZFYe8Bb
KxCXQ6yfSPT68qLJPp0YB5+GdwmkKjNI4Ho8H4+QaIqpygjwLgI/2VYye3ouoHE2MGaKnwfnsgiL
gWsBHN3lwxkCmzhQ2CuuzpbchUiRrDwd2siZ6M9zBB58/ZAaGAf/QPVyxqadSw8X15o4oiv7wETK
QTLGJaa6SooT/Ds4s2/Bi5P+ybW+NKNBH5B6Y2puOCXoLLx7WWlCHcIbVfI906bIcOJkSTBxLsWw
CNtXbYeQkOycyHgX/gWfS9nV187WdEBC4BN3hd1MDmN2A56oljnhZvm0IXyiU5Dv1RxfFTJrVrrv
MgAsow0dYsGNe9d1rEMrkFTSpjaXJ4nMJdK5zeRIAe0UM4K+CBQrgh/NztXF47hjB6wJUAWpOxeG
ZXhimSEZS8mVRMssWpnEZrOIHh2LjQkdtdpMmIM+z3/1stDBBJ6It0i9X5XB1tapwCs8gkcl2Aod
3U4Hw74TRPqreXXfhRrWYOAPH300Jiu/juisk3NzIZs0tWucXmMdzxmR1aIlKrR9cSWbb0mfoXG2
qf2ONe+x6/WhoEfN7zbiifB0rUfOnzZe82mYfxPS1CyM42LKVk49Taho0GXaqab/y2jEAy7y4mT8
oNF+b4UB097X7HqsZW33VxU0jiA5KRdL/dhtbuSAp6fY/ge3fS3CMHNv1YGgO+UozvZstQ7hx2vH
7ITZF2AvZ9vbI3EXo20/ubzSMpBaSspWyKhO5bPjRcjocKga11hM1Zau248w+NrLNtyE6Y8msNvW
Ltj/ZmC8gmrIwmSrGBQ9zI44UYQF5BxthHPY1cSesFwJyqgQjMtUbo8+zkiAvUD5bPbJQ3ibfGmz
6B2ZYjyIV1VtB+feUG19ZHBhnfjZCItacH8nSfCD1FYMRRV/0gwUyS7ouKh+jLLo4oJhTCDVR9KB
sWfrEXkXAOA4+x+yrNFjtYCyCqEvN4C06gBIAwtU4LjIVt6gtgrhgEpg/9dT6T5KpHmQteBqBcfY
oZDKgBzRUP9JSQynxQ8le7AbgwzewchqFDY+3cVocspWlA3DohRljOfji/Ba+gxf0UnZ00LtwJTj
8tYh+b/6ghJqfVMo3Kmx8rBkI7QnjjATefWJT7zlPSBZLuP6Xr2wuqoFITyZxXJ+m6zahVMSu5KI
XI2JoGjlmuED8Wp3TgfPKy0nfFhv5beAjXDPfZELL76/fcfnPG+jNfIXBslvWpOMkdmdHyOKi1wv
dR3On5XLtz/sgoTkRWCYsnSxigMIs2tPCb/zdBrNrHt/8QegRfFTBXYqZHRnMEEUO8SHwiZ7Y6IV
tZAyh9b+l2nXcVZoCVc0mPgQ7OhXyFzp/I5E3mRBwPuU0/+a4WkGIt0zkdX7czVczg475szerLrX
fifG1w6mLL1LrPV33q5IvG8w2uNU285O8hA6m6IebOd04B90W5G+5Majd/LAeQXGLAJXDUJGoea+
VsE974vTjKXWpgU2n+pGhvwyl1cdiP/IycfiFy3d30tbfEuj32rhEecWkc3pMLyDWwAMJnm2Lr8P
pOOvSJLSAsvq0msUBeOn7KsNKFx8h09vRLnA3OZMDjro0kGgfy1bYmgYp6Dlbvop+1wfc/cFCmRU
SETMtb6eaarQk8QnVzgdE2ipmGYcJU8jG3vRasHkuXH4lx6jEvfNNfofPwLHtWA444DBmCRjGToV
yNyrAQ+pmS1kvUr7Jm5biN7WqcHRppoxh1VYPOhDMixOgtuRmgIMmQ7CvZnGQttnUWjnr64jXiNc
AbiR0q1xjVuRevm5qCKSmb/2Tm6SuXrwtZvy7YfS+9MoH0+IlXUG8LGm1bMXXTMLfw7GH+wsu0rL
EtVZFsOBl0jnx+JwaT0if4gjRX6BbD3uq2m6JoO+7JioOEt/lGLZvyYrUia8de6j1rr3DM9AoEa7
MkAT/hLzkfKU1FTIzz25FxCv3qy7xo9LwutY7Ab12s4szFZwyVeltud2CJ/MT+PTzJCUrgIgd33s
5M3hO8hT2nWLvdcFBXaEZUJmFdN7WTCPPUJT3zyd/61necf0ueaAjx2bCkC/HK5CiaL2yZy6lZX5
eyoSIiGyfFOnamxez0aabDEj7SgxLlPoNehelMhF20ALSmPzc3qQSJp5k8iLkXbsTz3f/MgMStLT
UuZWxQ7s4gZrbH6hQpkG45QA/KBy/m46zsosLe6J/APVcMkeUNqJ3abRDmDr5MyXDrEMnFQYhmu5
VAKUpr7dz+iN0ofwl+5KEmwkYksHD+mXL1zAbrKWRq+hOhLiI9aM0/nCSZPhn/qxyH0H6MGFsPpM
MXpMB3QGO72xvfV4vXjgQLD1PyCHMWEX5bkN9NJE1SRDjiuEbZ6VMTSxQwG4wFOXWmzF+4ZP7062
3t4dOpvgKV8JYGXaN6BjS9qJutBF2F+KXEP7LSSi+PjN5X7afRn/+oI8Y9K5Lx8J2fmhnO3g4BHi
xqeVxjnLaJYsLTh0a+7h370xOVnyhmhjeTtqWZi8+3yQ70OodBUZ1GpTqq5FkgCOV5v0Bbb6jXk6
S+hbbywhtYDNaGSJadbgnFg1VeiLoSR3dfVd3hRVF3uobH3wLVZb9HHiwZwk3JvmBbwlWG5zNivb
/zBrd7cxqEA2vnmDuxSPv+mDGXwdw7YZZ9mci25t12rKaRNtkIgU+HcZOGbLSNEwGB3RRIKh4KYF
UkH8ya26hHtYMWBK/RikePrnfpVfCXBsOnxD/LfHhghCngOcHcoqwpj03UXz2IHwiSmaFH4BDjBm
WERDW/3PRZkDgHoiSWUvhcB7k4/LWPs4XLx7HYUoqfZtA3KbctBXYT3kver4ZyURIJO/kIGh4oNd
R4h3awJQewpYx6Qha3qqXpthjiQ2JJaPQbJhzSGW//IQac3DnbNFbncNxb7AhuzLnQ2/pG6ooxfA
8BmeMhc8wp4p87gvfMCbRYAWs9Svjn8c5ampEjJBoRoTT0Q20wSYCG8L5+TaGO6jI4wjdjstOycI
FzGLd+SoK2fnKScUSUEQB1K1zyF6A+gB65SHJ9yP53jWoZpDjUPkIvEhSgCjxtJbA6UcOhXJukw3
mswiskGQughOIjciViHQGJGScrd7QXoTpTBO3l1sxZmDkhFuAHe4qGyMYv1W6zACl0TM9v5XRsLe
pWqw1fO5IKuCD7RKM1jm+eXFjf/PMd+nvvJIIivMJQya6S5Y5+eFHmptiNjy2crvjO8VHxSvrVCM
NXvntFIx/yNbLpBKMcatoqoHhg0gHuUwBAu2UIpw4Nmj+743Wgx4flhfo3dXgod41ZvPdYsB0eRv
Z2IPZOxdx41HLSl9lhAC/W0j21l4e8fkPFo9GnceysQx4GHn5iyM+nYiO0kcRwZMfNt7mX++5nau
af7zMHtZJxCQwexPthxR0m8VSZcON0oBowgzPJjAsfw/4gZrS6tiGIBkO00Ks7izLzIBlCXcHJHX
eUO8eBoIY6KQE0pkjVl+QlkEYd0CdoN3yiStjz6+tBMaWOHkGx9GWzeCq9h4NEjXGgSIWAcwhTd/
YEIeyHjxSY07xqiq/Yi8ku4d9VVlRC+reg3ZisLE7BOoy2m8F6p+DyNU9X8U4Kd6cGdDzPWCcwv4
9Dpnd6d90Xkl+0aZBGGhQtq+CvO21EWLSQd5lnSXrptZefG+XgGLqH/qxBi8LRA8HHWhU6VYKDMH
NnRAwDnZMWqQAMFxCqEEgJ8HZYMQYBnimhmvQbjHp7eLeYV6gUey2m6FwSO/81JMlS8YDKQ+nHHO
ZlJNeL7qcQbP2eNzQYuph9ZPy0Z7ZRDZqkgAmSHcomce5JbCovQi35Vm75gj1cV4/vN5oellGmnI
r6yW8Ev4P/CaE9bMi8YbNh0wmmCbbxM7MwIEXocX9STmsbEaR8zXS56FdOROsqAv0TllzZo1SbAZ
f+IYyOheAOFAe51KbnhU+u1eSGWNDWlQERIxGzqOjvCybjeP0YQqxg5TD+YWxYaSi/3dAm28f9IP
TA+weZMzQtd7n5xXliwc56dcOEAyymiRPm+a7e5CrZr2HmbUZtvX4x+BaZcLMTBLCCVCj4t40NiB
9A8A6CchUkXeLA1BsH9DPBdL0qHVbQSn2hUB7FUCOE5FWn8Hhl5ridqCI8FzTtEDnqGu6VijBoap
cugM9qx3VolZGBOV7Zbf5szBF4e2BkC+ddXLq2FwWDN9YJSDEk+SbYz7sfr/65WLkV6hu9LHzkpX
e+juDHZrz/9sNAzW7eAO3cTBhaRpv9uOYt7bi1/iJBwAfZYFnfr9bjjRoHmGChT6S3g5lBfY1aLP
9rrXxWX97myfyX/FGEhAY5aAkB17dJv0I8dN0UO1gfmvOyRzHzq4RhLXw+RhsU1NJH046RskZS58
X1rxRTVMKWtHUjDISWzb47evCFkKMYoK9BntO57dI7346IJKz6NWXWEu93J+z2UbfgcxfNziQ17r
yBA37TGpy4y6fWjor3pOlIQDPVMOIQbLqGhZjAtrcEqbIO6L4yoijV66RtXvW7+NYNYFNmOQMkx2
eGbw5vGYJr6mEHOQSm460MYaFCgX4UQoIKSRqa5lp2mK1KPnOvrUrlJuDazfMBOzzEF5GAwlXXex
CzF0QbDMxi9IEp1tcnzcXaPwd6rRb1QQs1FCGjEE/LdkeORTk/IK8a84pAzQcMnyCfs6AYUSuo/Y
tDqC9rnQRkHmrvXTZKtxF0gtRGgEaJYM16PnNXxJoBOrrpgPHRQMXkoAa51LI6JeufnuWxlKVU0h
daB6F1fb19UkUexw9kwI1bRkQRrUXYP5M0JoyCt+h+NALx7zZO9xRdka6c/+qtUY13BKMuGL80oH
2bbqgXWt1rZ6qtYajCUDxMWuCi7TGfypEyFoM2EEP7vUNzwlqx94U0AAhiVkAjawBvVltgd5dVTo
y3kxeMeny+/z0XpRIxg0vY/h8sPIhR4WkLNnBQj31mBd7l/EEjcw4boICSFLJOous0GwvO3j99S1
i1Of0HwA35mF9/N0IjEQ/s6fQ1YPk7Fy2m6mO4xmfhZ6U9EmaZQKPpIcaLcVk+pTgsBGLp7i390j
4FVDy3LjHZmao5CtCsyBtOP9BqsS340bGVYT2eermLpt0Bw8dNBpyoPgFmSxHXG2xz/ZpbwMDsBQ
ayN94d4aBbkcwIOBL1QziOzWo5ktyyGOUAj4KLKKZzC1Jo6ER2EBNFlBZMmenoo41d6aJHx0X1UW
t5P/um4Go6L0N0hCftjzH2aYKSqE43YNm8LvTzWFZcbIi5C39Y3azO1YPdPGo253JH7OKChtgIDL
lb649a8GGOfNj4NSCwiqQqw/6M6943RPJAum6TWNh13nc7mv6TkTAuH2MVwO0v4BYXjjgO1Jm4MK
3VXQ7fnSSGOWgxbD/ms90V/hfYN2LXB8V5N/I02Aj1yc0I9OCJinElFjRt6lM5hn9F0MxpUoQd7y
mI7l0ymacmo33fye38YoEDIGWW7ood5/ZsSSTRh9Wicfxsw1lK/eQlV6FRHDMunhZLTfITAXnl9X
L3rYjQWf5kCw6sNtRBSrrAcmhPShIdImyGNYZbnZumVyVV39fCJVKXRR0PHucyFXDdfs9yaPdCNX
6F9Kst9Zid4m2c0zl6a0Cni0v0r8p2dK9bTNGN+RC6F0NdioBqMj3QpoMPIDseQtcaI3QNNC/ChZ
DpuD39BnTNd9bshO9XVsX3Dtsk8n4Vs6i9/yCYnGa+a7p6kGUpThkiFmIyjt2L+WvMwsfVMGBA08
8eojlHyfQIjYuqpCUqI/ETZqbzOmlYu7zvQtO7686w95iXBI1eZNq8cVkuAXMc0z3syRrGXT80bh
OQGuAaEPg2cJHkpOT8wh1CuDYcMPM2K4SV1mdA4Y0kLmbO2rvF7u+y4rby48x+P7EFyHdw9usFuX
cgnGg1xcRUmH0ibFonCFhhmuZqt0uD9LaPyULHRzIIhYpL+L6+y4RT+EdJxYtoHUZDVD+S4FicFA
U4havIyoujguKGkhP8av7bujg4rzuRxuIrEQeCU00JpdlsNoEmr2vELXL1fLaC4HvJxH29D/oJNX
7AMM5y6MS33dXOl37ZYBu315xiACHYq9b6l5hW/dfXJTeiyT0whfe4ro1MHbPcirQuXoK3MqLbT8
0It+cdwgXx/V0tOIBFv1BCtEvbssIdo29oZ9DUXeDFy5LZlbJH2BPloz/v3iWET27sB0ZrvtYJsk
L2R7XDNXfPcP+vuqjUtCc4AXIjjA/EYNUca/q3K2LCl/yN6QmSAHvcosI4mc3JmBg/sNvel/0vnN
ju/pO0DmlMg+Rt4nsKg9bgHePbG2DdZ96m3smc1GDTIJQkN0gH9XJ7mYqH2EOaNJ4Pcr4yL+39Mp
aMG8VfYTZVf2q4EM0OMjZTIhjzi40ebfVYoK7XEhMPoAhWyr3dBCQcaCgue6miX0/GCfEXggb7sG
JNZeOiVrf298rhDchOTpSD5f2s2/bJw6YpuCJSTwCeztR8fVOdmJAuQEHIP5lIuf7h0+pIrbzJsE
XkArjMvXdlbIC3fEPW9RJnidTWcvjV+c5g4IdibdSzRlaZ76K08EW0LELSwTd+6YnEkZ16adv4jD
jzJzz5gei/l9aFNh4K2eGA1Zvwdfv4Lhjv+kBstHuE8MkWQZV4ZuFCoePHnXW8VVqLP9MGSgLq8e
fl2VXVcEMQvV6xoxxyTxv9u/Mm0fOdixDZU/6dR1METoKzIafu1wetfs/npfT32zW4wgCERn3PBW
By4rEnJ5bUcfhVyqlmHfvFHcJpeUqVYBdizkEacDXt6NDVeixkVRwAJ86s55YMinUfJSIWiHjrey
5t+rsYqU8GtEsEId+3742ubdWUF1BzM2hd8m4H+43FSESkYDo7cZKjl0UFP+04SSCKUiqlPwyWQh
ohwJpMzlHaPM5gqV0QYhvb5VbT8JZtAUrPdeY41vneBzUcipxJu4K1HKEW52AJIuvPB9wUlv+LVX
5KHetHhMPp/fTLM2NG2nVM7D6GjqYUE9OtNocpqUR7tqpx3gxDE9rr0fMFOPFqnQDa9oWqfm5Qiy
+l1nR8J+bVdGSyvLYafePjQOqnrefDUJL0B+3lhkX17r5l0GF8cOM5ShgEuTUUOIFDo6dHj/3i4a
n4Qe9pxK2fsoQzrVcAEomXoynkJ2PmN1klNE63HDIW6Ir3ZIMHXaDMbncDrHa9HCBZxx7HB48hNp
XVURb1CxBJyK6LCVbfPII7abumID7ZLYX4KEFe4Va79otlep/IF+xvuo/MZMQ//tz0s/Iusjj9+2
/0hlibd/QNfGazeQkQrbeWSbDeBuubrdc6lhU6UAGcny5dnZInjQ7MyMnjcGim6dz1LVVodfQOkp
iYN1HyqjpNPK1xi/gI50Q1UKf3uMSyrrEx9tT20wSJCQ+3sgp8PAtT83Qp8yxa4CweA8X954PRyj
SRrnM8dvwO6YtHR/d/G+1l6v9Ey+m/7ASRfQkb3nTG3hDK0FxgjxxCZtqd0J9y/mSQDlD2ikyRJB
C/jckhJl51m+wg1DdNDUkhA9kz4zEErbZdEoIhAwnIYSzx7sa/yyEDLwoErl1WKzoZNZwjcbvTx2
ROB5fcSpV52LMlCs7FM4XiOoflOmEmAn/CGLdtZKnX0Y/CkoQahbFTdXvfRLMqN/pJvrht6tMsPq
yqKlpSoGs042zGnglxXg+OGnEnTu3zfyyxJvvFFsC3//QLe4JEO1U8cDseoobMU4wRfHroqzqRuo
JquaEotGQ9aci0Vm9Kdcth0SekryqtR+ZJXNy+EYxDpts1qLx5h+AxxC8rwZ73XzUEilnEs1z0hE
CohWBMIy0Ic5s37xGcQVQ0PlE9IRmy7CF7Uruv/7Gi9iIb5TLnN8rOstBS3EIqTVWlr8ZL67M58p
5ljTXdv1J4Ja0R6yJGgPm1esfQJ82MwmmLEqrovMwI3V92UhgCGIR+yVjzF182tsJdBjWSjMMlBd
oN2uy9wRj7jffwMA6tQ0gBbcYoXcZQcU0cYN4meAIGYYbu7FwhQR3mNZncfaOXO/EcgvEHOkTPGI
FkTbgQv/xeAu4sQynuHOaRTNSjKrXo5lOUst6aBNCHGCbE1yb7E6IxeOVEoMaK8vX5yfeteLbw/A
MCqt+XY/zOdA+Cfbr3oNuIdkp+7okR5YlNaPV10kPVHef7Ar7nlBI2k/lc0P7NHsYtZdwfI6u5kG
Vkajt9OL6Vr+WlRJUtSnazXzv8P0SmhppUz8EXZleyiEOPNiJs4csfwOHwtbB6MQRV81bZskfJOX
rAUkMuXzfCCkG9FgfpSitDhIMQy4mi19q2Ckx7UMPuttkTU0/7RRijiQ4k1NaqP6tuDvgVHrzGZZ
/Gq9sBBqvv+2eFdHH+hE2xqJI8Nleq9bd4+DafP8L4RDlZ5lulRjIzywgOAxgvjZh8FODuJfeBUp
jc+T3gIyR6Xb/4T9PwMLoisrd5gFNopbgj0rUGPd6I5PEPxn55RNFECosObR5k22tAFgQBr6RR1Q
co3+H0b5evLu2k8qIk4hBDjPZ4KyzP87R936mEIIvFmr+8WGXdmMw3HoRWrTSS6dTonAI6Xjfaq4
6t/RKl4PuXzw6bDut4bNYaBajn7ZnqgUMtnRmu72Tu6PkIw/Q5CibL0xL4awJbVk9X9piu0JQvMd
Tgb3Lzca6B5U/lBVcHtUT/5Gp3P61fZqPh9Hx8lb/u5Vwbbv5grT+dBY/9mAlebB/vpj+RH0TJSr
rCqEtiNljFOfKit7Ggp1i+4sPfl1hqtNsGu1zqzCVD7VQbc64GyKcItYodin63/6vLgrkXuXvbQ1
GEfHQ9K0ev/xVxFna9u60StN0Jo52ljqPI9QLLG3qVB75t2TTSeESyc4OaAoj/YNslRo4z1dn8DB
HaMqECcItNXxeno3Uhp4oMRa6lAXbNvv/GQiZo1pfYDfhQT2lurIo837UxiqiJPHex5A8UsU3wVR
E2B8Kryl9jitAw0Dn4L3PNtgTSZM9mmFx1hhsXqmVrrSc71k+54W36HYVFP/Gpk2714kWvKfOHdb
mUMh3pxWhhFwyx0C1CQEe1iqn5I/CkOMfwrcRFTi/WV9dii/7HkWfw2UL7FFfUZNhor6VO2RllUK
EHDCqsEyH7BgAgqSLnxQrPWY4TbkpG5BVvS+56+JEcj2xstmiNEjXw86pW1+bP98LMkOg/E64DzM
wTYQh/PLuK++IyQgBLqRNdi2rMWeEvsC0ck53ZnSP8ryXwM6R9r6PcPhP8zEnHTmCOLGxTmWtlob
VUG+va5c1VvRGPeA9gppEFxqMTsd5NIysUTgY5v0N2xwdaWBsHKUw3dma/o62hHYjz6G0c766Poa
ZAwI71MwcdVogu8WMgJWjRpvF07pb9F/pkEVFQ4z5+/Rwm5Mc840kgDrUKtQ97O5Bpl8n6YQRMRR
+EpElgdd0jKa/w8dL+tBIVeFjtwUBi3wrvilnIsEmCerh12JLsQNTYd+Cb+s/5K9NGacT6pmJzYm
rc07/czzJldIHW8nUFXCtgORYl6CKHK5/hm2+BeNJp/1TzaATd0R3trzzhBDSwbq7rPUJcwbZVj4
eseVUAgQ+GXuvEfW6OdIlX9klXUFPoaTbnmlo+VjV2+wNNdc97/bWM1CEVPshrkUNyKQoB/saWD3
D9C2vRmUZGF46UmnYP1CHLCVZDI10v8UXtFZYR42Dm3a5A70PrAKPABm2cFxEjG6a0Cf6pGnvjCb
geTdLdpeAmSTavsdrOEWY1ayJ9iqC/5SxOKLOswJjsZalac5hlydcwmfkXY0DpU6osofhDbMsTZ2
LDtC528bbQFRQ4dKll2L7oLUbbJ97Qi0WEWVOt6ipqxmRBMASi0JzrDkwEM/oDxEm1CuxGKUL23m
oECBbCGoDG6CI2GNmOVcvX3Gx3GGmelX+b7fzKfGr4z5KdUXfxl3Wt1gBAoexPeavKVD/K+ZyM08
7jbKD+x3R+KVa8pMndGTjC/ffwg/ggMEMngqsINpaiOpM7uR7aZ88sGzZpTiTW5uNbRXf39MZPtg
vbZbbQy1og/A8XfHqn6POesncnch+1EP+g75TycWjcAjCaWtqFwWEa4HHVyzg8QIZruo1PUNtd45
kWVpMi/Rc5I4egE4UsCtpPqVhqIjZ9hDLDtMQajUIiqPJJbGnxY7i+G0CrXu6UDiRs5CKkEd6YFG
v1Q400NErk4JZwwRrl2E83L9aggfOoCOgMl9kwrnRnxvSOUe/Wg5fTnYXMYZqw07eSG0u5IpZhL8
vnoITosg56WLCr8h1Wfnb2ySmZZu5E+y2LpT9vLuTl7yxoQQrwaMsm6Bl9P6NQoAAs+dKTFbFfT1
LXT4Cj0tjH+nzDMSb4tKIEGa+p5rnFmPwipFHM/e/Dkjhsz1dcaP4+RpKDqeTBG0V4GSFtOJkmZs
mrCxmul7iJN8AgRKzqjrPa5MiONhzrWpbT/G2XpK4Ei9Z8QOpHdBYjG7HqSo67/BEK3e9qMDpyCo
forcdzrm6M+XFbkSKl0OPMCgFWOXExNlEVomTKT/jNajZ7javeFsCKyF5h6YgOQfopd+p5rbme1h
7dObR5y1d+sApY6N2CREpJFWQJSJVwd4+Nik6OC/8MB60KrRnpDNH9QLvu6XIiQL3pfMf43KAazE
/Ys9AjPYRsaXVPgEErv4PEndWIrPCIbhCvEmw/ifs82wI/+n3LxLLZMCwV83oRUiRhWC+iXK4Ucs
/YXEt9n/OMBiiV82HeOZpsUbXp9JLWpgE/TCGf1ZwPMuWr38reHXrZ5MCqOrqTQvH8FJM1WGuNrv
wPI6C2GHE9Z2Y7o1JYHa6CVHBi4IloaxTfX6oSl34TZFQRyIvKwiRqK5yaq3jLHvEzNxBdvKtU5V
4QT9O0U60OEqyYvqgdMvSJ0TERFoQ8OQzebpXqTR636MvMQeNyI/WWWAePKJNb9FVExwcpsYMk4V
EwXXT1mkDuRSJZRyeZCFwU/zvrpU7A9m9IczluzGuPrPLWJx1x9285A3+cG/Iup5y+l6tyJeSDZk
HNiin/jzP+MhfKR3Lgy23qp5pRXtjD4P9LNXFHjz+CHxUk7eWpqyNzG6diElN+DSzwzxCvfYaLhM
hWN5D/ud9Wyz9vcauFALm36HI+8WaNTdpg6/gS+KtvQAHIPDqX+/5OnghS8SDyR7uVC0NCbJP/fY
J13eyL2nlWlrKu6a0zvX4e6A5IE0lk/LkYoucyi3yhsH0tBZhXDlrmZWKVHixYdbVRpo+9vxZEfT
/XFaGMA1nLP1o81+ydX0k5hzOa2lQcAf5LSP7LjBAtMzHd+DRXUwfpDuXZGbXQE8rPh7ReCojcbg
rgaazX+NdEFpO/+1nZIfH9F7iYZjZCfuntBK34bP8SwVwX02Ep5AmbFmbBDyYmh/qDZbiEhlYsed
tG55JqHbnUslj246zMD32RVAzHigvtPtBoBfccX/AdCNYJm+1s62s1NPH7PoB+6LCNr4nCN81cPg
0tZTtDwZNWsBjwxGUTuMlogTHzpDcgozpqtmvCz180HMdCxnWWdV+HjTXiYVj4po6y9DSzT1HYEJ
5voDeh1QglmD6f94F6h4XRwpO1IOHYc64FqHYkXb0b59cYwuqAq6+Piu9tjLBuA1UVOOSb1e9DOP
j8xK5A4+AGicedJQKq8q5lsUyOb5km91dCwQk+RpzMGxRA2nFJNHZkMAIKR36RExaHH/vnLoCm+g
W7dWGhYx2m5A3DVD6ts56LpTlAuO8rAOahii5r9umPdgl5jEQ6jDq4bO7YuAhcVEl/2s6LA18QvN
lgTYHpXM0umIafdDMzJfgpPLVLzB+rcn40iNav2TOugJoE/hPnwACKWamcfSK4/dhjsoXKcnbCaK
0uqfRSQs4jAI8YMtDK14CNmQcdV3S0XrWV6hFMkaWYxZPfA7mFPlhNnB5mUrlzNZvy5laUwXCHjh
eavYG3kZBy4nHXzwIPoFt3MbnSYfJasI/rAtZTsS7JtHn2S3TL4WWCxYW3eheZTxZJkDPlO1V+62
qZf1CmJloen4GjulTz1r5AKAKL+wRefunKNM2Ds1xTqRl+xeo1yWdfqshI998Z2gSuXXQE66vvDz
szV2zrdoHuo6Do9IblwR9UcsPAfCGHIIaD5Q77JDoeixv40ONx7ux19NF2zapdkrsFD4Zzs03nJr
rLiyVeimScrN2CJNr/u49Cd/GB18kVMr3FNy8Dc5QA4JAelBxiWf9A96+js+jVuD3KQb1Fl15PLI
tQ1TQhG/swrIH+gavT3VAiY2Nb2UKLoO2sM4h4Ygjjf962Q+vfDIkoc0xOxQvhTReOIAOhtGFgBg
cm2FbSZ9eK7F6GUeVakdl61a8SM1IdJup9oDWnDaGDK429jfGabIq13h6E8gxVsjVnsn9X3iNef8
m9uQSV/7hWsRHnGex5+1tc/ZSV2LOxTkHYIzH3GtxTbSyHsRzKJZV8p11WdRwf2NRbtmEOvGACS+
f2Jv7iE1QCkQfTORRKlyfLkp+WSwOE4TXb0PMiomFnlqj3q2s4HQ263VOVxBvbMbF2Q+KDnXSL8M
hfIuxronuG5AqY01nebQphvNF0Vi6wwfJdQV3DBVDI/g7VSFqC4BKXOUVgnlzRDg6Gg8hUE4DNw6
GjF/9Zo85WzJQZuK+1mh9Bc9JCXVG2Xv2Pg6AM4BTDtY1uYG2kWfQWVM16tL4KuHo/43jcKc5HIu
5bq+eJpI7On3Eqis6rohuIbGuws3pgo8Xx7VW4iad9UzksXeCHZzhoDuhy4XTmEpZqocnjivCJ0G
9IAw1z+LZEaYNpobKBSJuDq79/ADB4ZtYnXKDC4TemI1enbdcM2hiMGl0eh/WDaZc8dJlk7BdzVx
ka5WH+Y9TG+/EhetqlYUlOulyCdxOvAgg/kjtTeh8tGijo/eRbIxMQISE0HwNtg39S5K4Y3vPdAq
ZMwB/yn5SEs1yIOGxKCljebF4HWSOL5yeMUB1+05AgIDoswiVGDexxQZ3u07f1X8nH8do0LNqEAc
6cGunJI8OfYapTN719hIqf5WdiaRmyUWS2QeG5F9S1HaV0yMcfvyqmiRtDycxeSHFR0xzy+EwIA2
afJDQzg3tyidBlqjfvxcRNM2PAX4Cowh83xHGrzk3htSEX+pzOiVhMzHkl0pfx7ofXANTuwpUmw2
P+Kh9pHzjbK/t06Ri518/XV768lpmPr6MXFL6W2TrDjWXljZbevK0JjwepYnCHCBRFFaVj888dIn
6leMwvoGp6ZNtBiOyNdWl/gVOEuUdvpcDnJ+Xv8lYpnansAfZuhixmC0x1mrSS735DvVjLaIfl+6
l+Nroc4XYfRDszOhQ18rhNEt+IkY3I5z/uvJCJom/B5rNxc1z2UJwJ1JeXgh3X4VueIxwBx7dkK6
HahCCZ7enycb99Z8Di7AWtRaYrtcYpWsIS7c5HVQ+l3kQwhJR48z34ZbXcLHakt+ixfGwN1DmkgM
hq19uv0i6DQBvKzsu8F/pW0pc29kIxsvE80vUfbXoXRxOxvosO14ArHf57wR+/PJqb1fNr/aW3CT
NKcmBXlCbJAlfA1UZO94TArkrKg1A103qElArMdw1v6ABiAV3Hw086NU3xJDzmMThfhXjJrgIx0D
qH4H9ugLIQqU+HQDSbznlQ+pR/ZwJSC/cwBhJRHbmnttIV0KXhZIex4JG3QohqyC31kh+fogjr1O
GsJ/8iZPi2/ZzmAKIZ68X/LZSCaAJSSowlvLLp12org8DKa8Id6Qa2fHNYh4eAtjx4sutZgbbBoX
jw7lRaW6X8wgkFwJm9b2beZeem3sSIA+RUqTCps4opOrFdsPHpJ4EtAmnGroYBziasee5pufupDx
j3ypgf4CixasItM1cw2qIAk4Ug65ViHLHdAbT+bsJNG3mz6fhdQNbK3rKj/OP9nmrwzJ1I/+5Kza
rAAfeUpWxIXLfT7JeDA5BUuaerpbJvJfqoqCATjdzX0psm7IzDx+XOUAmV9b22pgr5DxsC9OcUG9
T5C+HS0tU6veD3UAe1kp9h7HXSSNNx41LuwUmuUkpDn5ozrLxwPWRYHmq2pLUECe1dd0UiNGNUJ7
lwU3CQDW670+k+KsSN9MwnnIuQexjYMdyaO4KV2SxNjTu9bc+djfaOnTC8/z33qzxWP6SibgfZXW
jtysk23GKYUXEqPyNAfO9IraYvzOwxs8dUr/3/iSZHKpGlkpqcFeWIxs6ZlYQJq6G9qoitKaKw65
NyCg+EnkNzyh9n6Dj3O8cbZrxG2fZ3c7W+Se6UQQwfNeT+v+H0AMzJi0AGLzLPvXd+tNonbtaMPR
zf9TkglrkQQ0kfoNudb5c7IQK8argoKgBotmnl6DwgaSZp4k1mGC4y0OTF3lN4PAbqpv+ZLZyeE4
H9QO0NV1sgdG6BbuGNfTs2zoJSVBZAVjhEyyPq5sf6P/i57JPmWZNOmAMQEATaeXoH3nHnvJu70K
DWAEsAdZDW0y1n5v8kKkpqyiQ8bRUXZ4HYzeD7QEsZnt/tcE4QyeLz6A86jkd1aVDUSlaYcds2sh
Aq+YvIcMGMvGU88Bc/y45P6wo7phXE0d48FwwFFidozm2CcEEa0cn32itBpHHdG/CYFMT/kOYIug
KhKbh/Ujf5G1KcXbmD9pXOB68ZoYqZkchlHWb6oDCFGjiaU9TjMHI2CTsV77TKm9ED2Lkv2rx+zT
Z7QA8TpS9tT8MwQuyoAg+5dv2vi1ws+d8FV2iiAHlCVUWAtv7YsXiSKIVnejOw2tSKoTHORoR6p0
o5lrpXSa1KZ3HeqjlYa7B85Y5l2LGN6MrAi61JdR4W8kzgctGCO97LlypLoe4Ty/rEhxmePp3pAm
JbtvzBGy/rpom7Cu48ArLQdqnnl7aqrO+iU3BskCcbGXfn0/CWrYtOrlXXI+OfLWNrs1mAD+IIy8
Ltl7KY9b5vxJi1ojT3bR6wZn3LkfNbGdn+Ec1uCPBHGUWvORF3bUXBMjClZvLyjscTI/9YGeGvNH
kdEPcNqVzQPenyRnXrxG64X47kmzyDC9RK74qOQ3A+KbxbeVh/i6TuN0ztZvrZwIOvdRtVOwhI7Z
XD2PLWRQhLgVnmOqSOO59bdI0bk/eWJCap6GhXjrs3yPfIIcf+LMGMG7BBg/K8JQyRi6YJNDSOrU
HX36MEESPZtiRIj/gd6ghRV0hVxlS93UXyDGGfYcYFMuoBBr82IBtQ0GYqYbn9aFd9eVaWWSVwXw
ZPvYtQytFre2kVpZCb0Gmczff6WCCGWuHvkAA25oc784HSdmcd1qOsqD31zMPvShHUei0PCCKhYu
Ky9REEvaqzeFNdQwa3aMBB/ddmITJUORa5TNGz7KOFglvxUJEnePgFJRjYJ5AOV6m7UiB8Gk/of+
B0nXBJi/Zl7WryAyKgyz+2MCOWS49RlT7IneCja+8eUlltzNeuAnxdby2wRTVxNMUyZPow0zaYbN
N5CP2C4MLjrCy98t/tiZSka+k1zIqjp59oSR+A//oFJkXeivStYrXYvt1qvgYeSfSx3acVDv8kn3
e3KprwOYv/lB8crShfBaHMHLf/6bKqIs3U1qQ4i8dzn/8uqF12wLdbXUeHKTfBPdupnCqkQgcEr0
MjltcR6ewi1R1AQKl1fFWavPUVW3qqZzLnAx9ZHAjXvM+seMTvkoABOBalz+KkCO5pnxWD/qM2Jp
Wl1zz+v5ICLnSgr6QvtjOf6rp+dqCth3OidvYexy/KC9uOurDtIAB/2E694n+dqJUSSaYejpAMdo
IKXS/rwboHojs7gdyRdHnrcf2zRrgEmlhamSOCpVq6LY4+vrTZ0ixk6jrGv6dG2RSbdboBdwAJvg
ULb1J4PVJeqIqaf78oQ6XYyO3FczUHObySDM8k9WK0SKXFhSD3K4zo+9uJ/d/gsGH8Z/fnke0WwT
al7atu3LD9NJUS16DLp5Civwxju3PaCiWtIRrPO54cMI+mAgSCYf5hkJ3I8AIuhsl4/T/7yfwJKZ
BOoVkLPtxVuD2rygEPhLL1tF8thwhvMsvoDeNZtf+81Z1xDKnuoqeIw3CSI6KPbTGDMizmgF/vYU
tq/9hLqSyxqVKrkC0fw6BA01YAzIogRczImcjEQGPhh3tr/+kkkD+eA+p//GxeqN7UHMx3oOtvtM
voRtP4bplMxC/YMh6Ggbeh6J/7b3P6rYltLx34D61hSnrp3ce31drjCPxYTC4l1P7rrN3ppaUcy9
jILQI8fWLlSO0mnGoTBYfCKcL8OGuUaJ6Z2QbXD7iDVbhIkeUJWeGe/wtDuN9VSd73CURjUI0Voh
Fl65aOkXhMw0HxZswTGstB2uEWo7eRvsd5FHQicVjZOwcHD0UNapxJabDx9IBwXeZz3SoGCGM5Oe
hLjRwA/qQuIRbkpkFlID7s/Q4Z9Bp5gtIocD45dNjCWSbAXClDnHBkPx/e8t1LbPxsJXaDPemrLU
qdm24UIAJihfFaG0iKGMi2J7CyVFTDIyTCjlGuMCEb5O+djm9c6Gj1n8gs6rBUDlcVcJRIwQT6Mo
VN6adebHZRa5+U4fvri0AtYU7ShJtG3uRUSpn+QukwWu9+AeE/VyB3HyUgCzPLEzuc/QYTv8znxw
OSNzrphCwu9SlYxGwnxlrJp8TGFOgtDZfsl2u9+Q78dWXg/BqdPWOsx+Lr5Q6xbEXIK0nv/NVTLo
XVQPqcm3vRfG9/uewuPjkA/cc9RxJ7MEnZGPCOD8d/wtM8WF/P96cltPpIU9R1UeghKr2Qi85H4E
nFRSWK6C7rBQGoVrzUZRhu3E9VNHKySb4LX7EA710Ge1tEF5+NeTM6bOWIp037q3CpXaFG5idRj+
c1vpaFXE9mOuLW5eF/Q3PBknc82AP5v2ZsfH1mEOYBF3Hmrm/y3e93x8Udw0xChwaHgIU9q8bOsO
68YEvXvgZUg6v2xbPUTpFWmoAMtYAlSqBXOVeP7PrmzyS/3ms3l+mGFdn28kgvV3IaS6dIqV95bp
5O8TZ5MaAkGzDrJT+EZOf7oSsT/ru46C+xm0kHkaPlDvoGzp6J29QF5GG47a+QvrNKQUk/6dlIsL
DcCxoHtIKFLuUluCVaXMaID+4jT4SLl01Bst72yUpUfHmTj4bgSna9hSUCCYoFUTHawz+kZquPV0
Hjr024M2OH8USykwxYqU1okfeu1DQ6caQ23ZCjLsrL+dGDyPmdVaxhkvr8GJd5exPrFoo+OmFRIt
4yvZE3IcJVgWCH1ZLMOKH4i/bR6K6L8HjbPaf/cjXUnbaOwFLn8OJv1f7Np0tYbEUne19xUDblsT
vPkfv/Lkvbp8zfb6Y4/ck7F0iMQni/ObyiNDAF31GieY+q8gqvNQGvO6jQT67KtdvgZi2hvX84KX
H498xOkqRthbY2L5dEJIZa3+UOxU3I1DQWlgFCCp6cLy4DcTNkiZgQ36y+aMDaUxKhgDFwJJBQsA
1QBAYvlyabu7yUiYu7o4fuDPIOsMXLt+Kw77Vs49Dj8SFu33HWB5wIxkFwrIm0ieREK08K895Qk+
Erjxhp9TGCy2DSR1GynLIWjfyEVXE8vqwhxKLKK/YgM5A/8cVrtwwNJXdPOAZmnAFSs7L8ak8lCy
h4dVLBXUWMCLXbwBR6vVkAltvGiutEUhUfwGd0npcJcvepXpS/2Mkvz6qnG+4F2i17aBeWVza+E5
uVLcS/MzJlgSe6gDw32ffmDRiSJpGAtMwQ4SeOMg3PNqQKG9tfw8xK04+j6tEtbBMApJhHdj6TLU
yMhcbU+8otFYmcw8WhF3E8SsT6FX/mrVQdB3CysIWuUm4se+XSEJm1ZGtDGaUgNaD/Nak3Figj2Y
IRZccIzcmn2MAOApsfJX5zzxyD8gHxpI513VvjtW5P38dj5FIn9pdbzHJahNIeGr1GApcFB6YXGU
0ttScm74MxyrptTbMqYvTikj/DNiC/T7fAJYoedyi4f9Kh00p9bniXQhBZaViM87F9me0DYdJbX9
nspgReHsVsWBJvi6vvOgqPYxXrOBr49lpOchlVCt0D5v5E0yj8hC/FI26toMRLWPvCUfN7jFYpnG
ew7OUwkyKlHaQ3nJaY6AIhjDMEZoQXcfSK4R0Ycl1HHcPTGlLlTSkteRB48zGS75HCfHXj4yIwe4
t698wdb/sxFFCCZsGd85kdLm/MBeDozw8agP/SZaFgLxXIZOg9Xft1+eM9CS8J1SEu+Zaz/kCS/W
a/i803RROFBNAsBoEIJLsh7m1/z7P8Le7gQRUKlxZ1w85C7C9Z4HSPSCQfnuzSFW9Rq2Be+65kS5
ziZ7qRrJjb1QBLIuwLXuU1jI8sj9u0FDZERu3WG51O3l/jCOLlfoNB0l25twwmmdSESNCQTZK/i2
D/U85aZbz46rmQ1PkC94CxSFEh2nLs653bO71Elz13DYsZc7UPUWdS3Y7wchFQSUL0icVg47i0UX
MmJDVvQb1jyBz5/VNjBVRFfE2sgS/d/D+2yOo2hlaTo/jjDZ+LcX+UA3wJh86Cuyup0zNbpfMYJi
KeKzvzZeEEnYVFlqI6yEc6LYZ9ZdKaKb3dZtiJhinZgwp5uecUTQD3oIWSql3YJCX1Hg+DAmIIsa
DAUjHvc9KKvdnOU1DGE5gmUcn6U3mDywdIZsr7m2Z6SBu4S9tYv2ayvORtiWZthOK7NjPiGLo9gw
HVsvJV8HzDUtEl/sEjYY0JNJAXT/WNlx01DyQj0C7gZjYOTjzhcMkiBG4r40gTQmOCMIUbPYr62v
zYm67bzBgk41KQed7x4pJB8i8bDGr/WDtXzCA1co9bNFiK+aOukewm3AaH8e5I7JDYS54aID50QU
ynlryNdrn0OVe8WPaxjrXjb7Iq2tXeNGEJ+Guc/YQuDU9P9zu2lM2gtc/l8i+CNSVNoJCCOoeZsG
lVKHE7Ey+PrkktY8MjP5uqUXToGgfMvNabPMAToQ+Kvi2O8pwLGq6Y5cMnERA22xDaVyHGmyraw5
QNtfEpuAShwdRRxTAMCoDcaNuQiwQ5Iuf7Q9MDvKueMSZ7WdMXuz5FEgKwigr+MbLKEdDbHn5Xjk
09qesTnseG76yi6pNlPYYfya5as0hw6A53OhjfrV6QExxKXSDvqjTtYoL3rwZ33bHj2fqLzsivx1
p5ISMYfREmAyizcVxefrS59qkLBfGGIyhqXkEcuG7lVFbTAEDx9U3Yn3y+qY1QpYSf0nw6HjFTfz
BHHU01f7XMyXDacUFOMCJnCZ/mjOJazmMX+TQ6DkBdfdVJSvSiAbEgxyu9XwoZWa3+eHpfjZH7XV
IozPszTdR+9G2dlOYUt5Fkme2PuajjetEzKngEckQcBEKIsYT/qbVOH6ycmaD53OsZUn0y91cr8z
e6B8ayuZitcrrEW6pFY+5WYawXaGfj6RiSGwuyQ0u92VDBCLRpl1HE19+G9COJz+8+WAXRwh5z8U
a9ov3Wn+bR4a4KcdSaTZAG9Ua7TtUeNB5zX2Vc/CALZEIzIF664gvrvCqu8BtxfcAcWiiO5NQHFa
cBZfBrXxy95/pweb1ob9qFMK2PigaOGwA6kbXJ7A82z8n7wfEENiEp2DTk55KaTNy5e45ofMKATB
5ILaoxV71xPf/GrHgC0G5Cym6jXdF8x4/nxhrrRQyX7Qn4kvgBf4l7hdnYO/FuoBya+zN/nFl9Pf
47ZmyoWXPHhCFZuHI//4rrzV9qQphIyZlil9DPh0xqbPA28SfryGoaSUpV7Ump+U//wBXYbOzJrB
ApfdJlVa6U0WZnJtiAsX1jRBqqipdqXHoqF9mhSsKDd5s8UCG3fsmuYc/1pKmDG7vkUFYbi2ULiP
z6pK5mNkmQMx+vWLQRzlNXkBU8sUj+q0Zt+4Uzri34jlv2/ivL0TcylWcYZuxfUzXzbP7q95PTNA
dHcUdkEg5Q0ejcrjbKfolkvzq6XTrHfuGHXvFVBr9+iHaL9C5QidQsxxF4AqSrca6yqX6v9jlHyB
I+Isw1Va7taO4FI9rx8KLN6pHXRyX3YBHBWIEPcJC+b4X1njO5klpKW6jP8lCLUCrUdpcL6xUY8F
QiWGLRFY2o/sJzmwe35+ajmTjMWMX/N+MaTtvBG/V3+yxRbHmvLPvkj/CKdWY6PsFN8EvsJHDtyG
D8MtmPTJiSqcfcXxCRd3W4E+Vb6muYMBQaQ2P4lmAI7m9AMna64QVWEDHjSiUnzQN38PyMmPF2qE
kmWAuwX1CpSU8P3QNncn42/BVX1PFxjIeS2m6pZsAkInB4USYPp2H3vXl6YB8sbq/Neizotoauwf
FJV2AuIDIQFDYC9S6luKo/SwcG4DwuGoOjSnOJWYLYB7zoQZeqQFxv5YGRcgTWppddaVV7nzK2j9
6ddKKU/bgzIEx8XS98azpOxdDvwYk5G9K+NQ6iCq0Z4Q4w61cK9uEp4ggroD5+tfpAV3l65vR3hL
rPm564xHVzHiifBukXMq4/vdk4XiDu9eO01aHOdFDB4uvgOhrBH7BoBm0ebHQuOyKvOurgXnI1c5
OdIyzjY9E5bKtKLFTqAqiqIU5r5+qNGvKaBQgqkg1a7bCJKCuApwZ6iVWpc19dqeLmxXQJbOlvrL
bmY4Oaep34ytJ+td6+nDLze0S6pgtCM5QaM9ntnxK+NdYBJAKpTnA2OGHbBBziDw58M9bge92xAN
W9w8nwp2lf448KgCnWChEyu0Qlx0TthRUkrn848glMRtQ+V2bq8aBgBaszrZdhByXa7k6I9ihA8s
x3o72B/ivvUJoyrErsfty6ioqX4f/8T9svG01Rt0M/CXeNrNM8o88FCGPiLUxmtq5PnR2COfL6m6
ZVlITNaRaDxYLu+rR5i69mVme6K6CbtIJmrghkJLyMMlJrPTUdPeR3ngWBluPVIx7ym+isWQIj85
2Yg2ghkrZfnBt/mYajZyXUtob80c2z16kmUtRwCcqdA2zsPHKm3eVKkaiGSfzVvQqdurDDxVWS/C
Of6gHd1B6tFuOb6ex+Ij7NcvTMedS60Zg14Cg3hEZ4aqCje8HXbfr3KdDWBvS3zZquYB5dSk8elM
XFne8pxomMYdPAC7QEcfbT4pWJNjmafPwuoCIucGjVEl96a+3B0f+sTpPLgL9i8OVzpp7ULKNJfB
8mv/8fsmL1iN32mVXdWcv3Knpnbn0JjSuskksOZCYqI983UwpSb7aXoRD3qTUrE0x71Nm9GXUP6p
rzGXd7jp0f3syxiidRPgPXqSyOjVSu2idSv1x6Xuw6/0EzQlEm6g/zS+b9UJpkrJ2k0M7e2gKsLo
7e/z9LSrEp2VcpIzK3bzYKzYVLw9D5Cdej2kEJHm6+LXA3hPpmP4kCxmIAua7+yD42AmxwsUlt1x
tTOHKz5E7wqtLkpKVDQJc0Chgmg9Cia8+tI6OMp568TlPM6rwZ5HN/rdgRubHv7Z/C8rAOTZn3xJ
gcaLKs/kRRtv/Pk+w0/GKfHKxrQNo4nbJol3bCWoZGp29houyi11dcoxYmTCXGyHeBiCW8Ykpd8w
BD8cT33wDv0UYEiCLSmBDYXwRJz7fikDO3D6zuKWqygg+QhCaUH1Wt9YrI/i+NPuLEOOCtoueKsl
LXdyZoM00TR1eVYPfwV/MbKhJ7w1kcJ8lIXxqn+VRSmXf31DZey5pi2Fc4NHpI7W25kepnmxj14G
wY7JUzhvM2AfCbBMXmJXX1WuqVqPZgzzOKFROiq9IdlkZpzFpMd/NwcFDhcirtAIQNDp7JQTr6IL
sTzLK6g8vD4yIOH32HmgtqbYL1QESvOyyoSyIBsfp4BJnCp4J9915n9C+jacEYIzi9CxTzi41wEk
0bhEDsMmreooKQV4/w5cipaKTEwYfIVpXX6T7pw7N1KVsb5IVFwb0X7zGYOky3m7aHeywPCoHCED
Y5JX/lRzii0Ki0tTR5uj1AVQXZPAX6FP0PWEFCWzAN6kpjlaRcT/uaGKedxetiEAhHckJaXWNkON
4yU26LbXRXzPr67AY1OLbKIXllxMwciW4FEZiAV2T1SiOSkE9i2bpk0wpgLVPAiEhg0TMbVp1PgW
YW8ppwJdW0+DgFnSWh9X4F2g6nDMYdduuGX09stbdV6UPp7IyxbJnQ+8d0b4YHiKIfp0nZKuDo30
YpB2y1tSaHQ+qycsu/C0M8+C77IIGhLljegRRht981rBicQX867Ll5XbWeqazP68zvN2SOHG5d+M
16O2QFKykioSevqZDFoXRhFmigemc7JL3SzAc7DZt+duAxHNuJuY+x4ma/YIewa8W7TdKvSaj7TX
IPjhCpaaPT+3YrK3ONCv5Y1vQIXE/H9vF0nz7BZzg2+4eyblPFZlPom+YmmET1sNfT/PW57bEE21
N5wh2EaLILbzrJvsAiLagUr4Rze5uAYIh0Vm+Eb2+68Wkb5up0L+yK1Wb7zWWNnSK2uyihUeKMSC
NW1gt1QA+53Vl0HywpXcdv5uPtAW3vayvZsnmEH1i+rmuCyBJ7bHSAoTV9DT7aoS5LSrfXGgQ8vt
kfc2GQ/5d4mV8n199k3Q7X7O74GoOBCU9VxwQWWdxwpUpZ8ir2UoEsFbMd4aJNevUZ/cchmEcEe3
FTDiBBGJRO5o4NuzqekglUZbXE9uiwLARSf0kytUYX/SYdeNh5iUqTRf5SEp/KD52j2UJfqZqnkx
Xm1OxZxIC5BC70DCRv2MMOVlHq6900MAskTil/tCbwEGMTr0bDvPXkKnbpsKhbXlyV1oaQabzi0b
y6dSWfa8+FK8MxKeyHGe5C3YPn5PMKoEqwZpzNtZPdard5T0QRwkYEaRatLvJCG9fDIg/qBPBsP+
rJpf0QkGrj20U4/U3Hb8E3dbIOez498hvbTt6Sl5Vyn8pqqWSwq2+8SoEgrjVBpTbX+cCZRtclnA
x11KRN4r3OsF6DHRPnaX3WV6TfQUzNNK3qzWMLHfV/4FS1GHOsrnUYcdb5492gJDsHblWLSi5UxG
8BH9+AmyhQQTUZsBAUEdWyB4mJ8iO/YdIYXvDbGKrDRh6ZU3XxnivHVQZ9ohGoqZvHuaqkXQb5wn
ns9Tj8rbKu8Nczo6HZBdDwMGJENDF5o+GwkHk6TthlQ42iBAM+8guAM5BS6Ui4sQD9dqA544G+OJ
khKEY+zCiQMXgdD/Ebys3ocOT+CwFbPcjPxqJ1A9nD/K+lzYXPxUfzuTacqe6ThmgXILhmcCKod9
jAMsS4vG1b6/SYauPpDMK/GWS5350prmyivJSbI1FSWM8SFgSOq5lZjtMEvDL0sYtHwnYpYM0ibL
TZD9JwfVkFYBZw7ugoKWynzEjZuVi3XT89/lmLMUB7nvLBoSYi3IGpzfNEMMUc96WGhIvZY9dMps
w+C9RbGMIN+HUyipyIph6DvYmp0amFxVJ1GELoZLyCPgtQMNUDvTqZ9WtjAKvh/YqRZuTpEOfeaY
c9pD0ijOucxtKJzY/fsIsZi2QoDrlYCk5NKdtybb0cA5AR2bOqjko3p1pVjcm2m2fS3UBjFqQXKW
D1dxKN+ATLuSgK8D6Kax/R3wdg3WdDb2weLbdGoUSPal/3+GDTduJqdbuzfq+Yk7yEvXA0hDFJdu
ij9Gv6yH09RApZXX736kh3YeslgHSVkQBA8Juc0H4sOq8Ap08x3oG/TWQhJVvJwU0NMiJ22mMoyP
NaiHxuN1oSLaG0wiV3LepSDnxJ/XR84JEPQwiQZmU4MT9MhNoyduHX8izbtq7lm9RyBEKP9Fh43u
KYOBae/amZFAfF9wE99xqiZOirrxO9Ceq6uTNuv4AOycupr2M51b/jF0iQaRrhRmoKCZY0OYuNEl
R6NMcIEhkwmMh9MNIzYvcPcjl1mcG4Lkm//yggH1eDTyaXaJMGL5N9GFBbt4higiM8ao2oxq97i/
Tnr9HdVClF524kSoYPiteJ4yUn7xRy5RZ8jznQqS0Y/iqY6JJyBG9R3VxLYRaoJMhdRyQoAW51ZV
Ep+6zvrvMrKIQZPp4dsfNheC3Pc2G7PZustpIiTg9kR9mWu1nscpOhiyOtp1XSkAWlpes/Re4Lf4
3/ho3L9hn+IfeJYSDLlqPf4+ttZhw/ADI7xXTWV7lOa/NO0fJMe/YrvqQgafPMVwo3XspM12AxIq
0Fu9/hTE4WLx+MoopbNaklDDIXYR10FgVm6zpoO+ZdsCbvor8m9r1Fyt3B2s4ev5G4lAGdO0X22z
Vf0cOEKG7rmxHd3QRLsnVqBf9zcao71vOaJ2p14LZADsHSFTjE6ypN4xEahAs72+Jgx9a1whEzXI
ICiqIPyLwL4BpFppiwR6SbgVSR9Gv1VaaF1w/5uUxddml92IHbXyIuc9wBpokxFV6gBa5u/CI2AS
CPHlUPIoT8tkYljCiKFlm73+4Xezb5OgVOO0aM8LhPTm7+0WMvrV55BfNmETgXVqwgp0eE4G+jfp
uT2OH8hoIe+JGP/2gvBUWY8XSKzn2FqPC8fP7B3Jv5ZeF1rUMSvV/xcy2i44hVUoDp6jcxXiaJ2C
J2sa4lPYQejZP3K/5TXUvz/m/d6G5ntDjm/wbdu1M2pYG3aQ4S/M2U2m0BNfk+fbRmKOV+9QaePt
VEl2wKt3aAaT4OSPxiEJu+x0UWY14VbB3TM8swkf58gpQZnGGOaS4rrtJhhfwj0cgE3Mx0T+k69S
7rOtmoZ5G0ljAO3eRdzL4dcV5u3C1LYOJo3R4zn86PZJNpjrq/RVsW3JAuOJSXk1qIxf5LkhVygv
3FU18JXds7eNeiA0D2VvCS2msfSiuV4fVpPbj0GA4cVn4e6ILYus6jhCj9qwSv/uBq8vfr8+MM5i
w7NLMTKYa9p+UJhASBn+cw1fojK60UIqJx6kX9rGjNujccft8tloK187EKE62WY2IPraTvzFsEI3
pBvj+0OkhbkWFy+HTKNgqcNFLHp5tglyO7s9P804zYU0ov4gwDyLkhwt8K5oKDEmhng5xm8b1P0g
0772PTzhLo+GVJQVKl4ux5nKkMIVQ0TApgSSAzkJVJgcsgfdH/esh7N3jS/bm+hB7p9pup8Yd+Mf
b1ndnpBXPpAnW9qlitUNCI82U/9+a6z1KrXuD76aV5pHiSUys6tC47kFpXQC0vVnjsnRrwOEHhaF
NjUWeggGjrfLFxHJ1KyZcxT61XY5AoUD6PrDoc1j9AqUtAWUB3i4Zh63xtD298E4ZR4PdLe0UZ6f
FoYiyQU5isWM8O9KzUZ/mVVu9v0AnFwwdoEFnBfUIq1IvQvO9MwSmgRxCkxe8O5IoUEy0Na87drW
Ayxoz3pJcaVSYzy7kPOjyXbm54RCzQVGOqla2digAVmoFdi7f/rvmiFNOW0/zeRw67Z3KHowp29d
NmrreLqYqlsSBPObf5oWbmlgB362XS87daBllDaqbdBTgJyOZqUd2quk87jLWOS8zeACk9Dj8Mbi
kBIZ8QgwPBiL+lT8g+PkWkUUaL4OncfQDJbiNaDFXznYcabZW6DT7pEASLPhLL09PUhO7aPOQLhy
iY7x12YHRwNWnjyzHaXyN8Y0miX0XINxaNyYhrAgokuKw5987OpN/yX5hBjzTp/nxp4SncZyzdRP
TL377SOJAK7FsDkGR7kGHPqH6t9DW06BuhmRRr24YklZq/kmwFwQW3+dY+GOwLxa2H78jUAtCm/Y
ST7zaG2r794bddHkKYh+kQtdkRuCVwRGwdMPRmJSSBvoNybb3PvqsqgJcm9UVxwpCO0arurok2uS
y9moK1m29+ZMJkysq/ZKPIgp17tUHj5605glsIxnfd7G3hWdFYBSjCSdhmgbFlTkiOj1SxdY/aH9
O4YijPKaw23UrZ7STnDgwCEYntiLcwhLFwNipeB0Z/HGMXXZbX9RQqTZ1DSn2u16VQ/V0ZJUX77x
eJ8a+i8c+8SQkBdQYkYKKI1E/E5HgxVtPz71fQN5KWFL8Wulguup1Z9SxiSz9F4B4tmipTPAna/p
HgF2JCFgdSRQ2+ds3QgEGnznoRkbLm/ku5uaAiVU0LujuzEKNC2r+VbyuM/B6BJMvyLIN9KSNaQp
nCD4wYXRiS6GqrRkh3B+AsS6fusfLlVbvVlE9/JF1E37Pj2XMZ0ttPqQyaHXcxuTRDg64DGaD2xT
fTRZFFZHl6TbSNAEDskSf8A0utSQAyUJ1pvWlQUEQRvxEZbw7MEgHPha7Th2f3zkZuohy5NU+3ty
lcAuddtKRKU8E3lL5Vbb1daKuwc+U5N2Ps2l7iSOPQ0TdAVtN7SUosI5gr5P66+kuWEf5Tv/ysUE
YDCT6Ro/fq9q37sRQGF6qCVr4UnuS3QHnxIF/kwWl/ULumjyVAe55nR1rjjP0TnjCYLleaGJ/r6Y
K+0mSvQBYoijcelOlMEl2Abp6kanTlplRmVBt4f+ywiQ310CFfj/0rkcH2iaWsb4fO4Il4rOutG9
mHu1XHoyvCD3wvZjGjCJgBsEk/OAvSGI5/wiCJNmNqViY7564vL+t1k84oZbs9GU8rlEDcOjjG8u
ZtMl+gn47Epm0ncx2Car531pARmI0kwK50iDpzCWVkICMoK6iyWXdZptXwX9c8Vu/9E2NIs+1IgB
eWOY0LSUZdyZUW6FG4J5f/gAZu6EeVzVJEYxSVeqEe6YnZu6+2qY+vUhGPL6aknFRsWc+Wxvy5Pu
e5+4kQ4HzXJhAta38Ff6Twmbc1HHGWprCh2N6nzj1i7W0npa7Zyj2qjg7RH/o8B0odz2EC9ilNez
CIqujW1201Nm8gH/NqeQdB473SZh95TUrTYmsX6NZrgMDxnj6/2dEux1b6T7iPiYZWbqE5pdHel1
1nmk0o8ScqiRI0z7Ox+GhcMw1EDjqr/tPZmj5/XQG/dT81CIupbmu7HfxorxxpvSLvMhN9ao4vWL
I1/9cEbS8PGvPJl3wPC8RYhm66f0X7mOKfNhHaPSj/wpQjdhr+RHksfRdE0fyW77VypCqtGgD9KZ
6LJhRwutZcSneQO+R4r2nIJ/oM8FwpOS5MIThjJXeW+cgw1xOV0Ja/96XN0C/3QHkiikhLQBuR5m
dsb/ojJH2JIfJREyODULQ1YVWJSmKDdMVY2HdMEFSCI7FhIrqx/KtGPPDWVNG6CBj4qjULJXqoAY
xMWzTRu+DCDVHSiNSk/fGYBPY4kAsg38CX/Xhqt2NAHlUpPBaO/qFKIwtlvXAOX4wYhrSekNlyUi
rrPt8oH/Sqymlz7pi5I5Cw7muSIC4EQyD5SRD41QWKCOdUv9KrvD5e60fXqJRZJnwIYYl5bayNRu
jPbAFc+DhUjgCk1uwjwkjEkL32P0Kgr3DKzVnTrWOPV9/scX3hKqMKN1zxv4LdHQ6UU1MsCih6Fn
PObCeF65FEG9oPSJ/p+E+5qXM1v8EiXjJlpdSDUYQ2VpujmSs24WG/knhPPxUMsySxk5vBbgKV2n
XGgQeUfHroyxF4FLU90XG7MGtYbT39gXInmBfesHzjYRVEW4pkyBCe8hcCaQgXXoxeegMMzjJfz0
+FLCzteWyY2nhAt007olK1wpJ32QEd8y/j+gE77A6+gC/rPA/7VB+NXs63Jh4EKNhEQIfkNhMb5L
+3dqdJZSLfsgAsGeaBv6J1ZdNY+495U7JoFlhFjerukC4vIB5hkq5CYShrPx+eF3eQ9Nbzkb4f2s
hK6GgzPETgiaI9Dp3k1BHSVX3tlUVWswdtBKhLckA+VwUhItZyvPFqA5WVHEQFLob11suu9PT5n3
7uc6f2+bsWLf3bmINGzB9zEHEuhTJdQoQZ7MiHdzQQ5tvq5UyypwynYH07XNDkOhxLy3qbw/1huA
OrSuGYW6nbbw9Lvue4OhmnXw7QEhYyXywupNd2v0QGi5PB39aNVOZ1RmQp9haTs9dUyBRF2p+JLJ
evmxMudw1kKWBAowBGfzogV33GQp3fZTWIsU6xlww2ER229Ax+JI3YXRZ8rLsM4R7qX2J9cRRn3n
yFIzxhTllsyvKoZJYKXm255fflisvoov51+guwvR2HBCD8hJbWh8DTBUVPUYLrtZ+bgIZguivYax
HXuvhA/LErPkrp7wKOJN0nxBosiueZpcU+8qdVZeZC/2pRcHQS0HGK0nFBGSqqUwOoKOhym6wf2Q
zVscSa+QY++6EcC/P60tjmtZP2hWFBOBDj0t/1GQdZDrGggUyYtp3Q6F7P0b/isPAUwYDYArwZnU
eLuPuxAUVGETyXnRNaskB8cpuCshHl+CwSqCzK89wWCdYm71fFIOKhUs1gDShXsKoEZvJd7ao8IU
j2Y3zWhIGTcZeB7PmrAJJjqie2S12akpxlbwv+op7MDVGYU3NXwxlH/U76nuujhhNgcRfmAmq5by
wquBk2PCeBjHXxXK3W6GmX/4t8g3tp4SOZgkTSQNfWYhTYOIKBtHyT+K59L9Fo4eGkTjWHdYhRaK
PwjP8nGMz7QfVVz4YTOnrbxvv7QnI3gV+mo7t4soKBgz6UmM8rrooptBZuazkEmnKlUdORIFL14w
Emmw9TGzmN9SYzvfBHub/CsvYCJttvotgs9FhHF3/bUjZPSpFYedohBSh6SceRE6wvjbpjteVdfA
Ziuf2kuMU7jHrDNd8T7wJjrV6XyExV5621scJn3xgQcnYp+JwIc3vCp5UKVta/TiNTZ0SllGNjWH
nRrdK/JYxm8brHZ3alvfMSuI6Q/9pO/R7mQGtvsBuatIt8wDBUxP+CVgQhGGE6nSqL40pUbgcxCh
fn7WG6Wdw9I4RILuBEAKcjHGbB0SXd1IhZ3VXE6s6ft1CsD/rQAicASDcwwF8nni+AdNLgQD9ZO7
EvDPQ5zQ5RPjfOd5HGOOpSnC1duknI/HKesCRMCuhBJVnuytzsmAleeZ5nNGks/GntD+DhPSGrCH
QIOopnMDFYA0PfVG4PYDmb3dYcIxAjSrjXaM0CRaJOQOk0IP/mPeKCEXHa9hKPY9yFgqHbOMGwnS
ig4uLY7nIhYVds9i89b84IjAXrUk7A+l70mu5ZJ3RM1PVZBdWFpw7rQr8RGOnM675Jx9BJIwfiVP
+8lAxGCrpe6LyNCO72BeCMdeImdLGZWEG96yrhd7qhBwqUh31dBX9VYxpz2QR0yghjaEThArZ/8F
IiE8Tidsr6aLpG7s6R7fySKn78FEFc63NlO9lZnAhQMEipURuke2leBtI0+DlVz8iL5ap1fvR439
jwOnkf8qgI8gCtDXkvIspaNuBN0ok/R5um3g4nIlZDgbunkxbtk2ZOh0mrw4mRkulWYM21RVSTUJ
/5rADRSlmkbGK70ibhe5gEW6JL//R8dJy3F+QgYZwNpEXQkjPxTXIp4p01bOd5yDk+yn2qIVkslr
zJCZVpvGk9L1mCzt0M6N4pWU635RbNdwc6H2WUQFec3gB3noQA24OFEynlr3zPm5IILPx6m9OpRz
Os+ieSt/vouzBCjFhIb7JghVVL33xetxS12qLp4193QNia/UX1tOIT8vtTkndolF2UFIetMZW+fy
R13dFAFXP7ma5vUHdvQ2pRyN8vOScI0d6pjtynvpik8TivoLmnP2f3f2NhWAJqyRbscuTHOE1++3
l9J7krNwFH+ZIhwpCcl2xvXdKkw+1mhpL1rXs6d+X3Rwfj0XSzDSIRv2f6TpaI3pB0V+jHmpnSOX
e7H3ibLjEZdpfCVPLxOK8Eg5minTVtTfxbbhOEkx+1f2DQjmCwUB0kqx7+xVArY1RMVF0YzJI0Ll
nQ0uSupVRblF3jbPvi2hvloJbw+3mJIrmU4ehJGn8/tSExJiMdhKNquUR4dGrgg2zSacpnpgH0jB
naAe8aran6/aSl0JxHOOFV11LRiXzGqHd4fzzKYBKJ3kfBxzes4cdpLqHYdonimzAI6znMm47Fgr
qgAXvOmIuauiSIS+a+AxS1CoWAZgk+Nzoo+xQrjYcpORwKRAoNDX6pfwxxxI+i88WB7h6qL3ocrd
wKFVKcBir9xXbjFUTV4Hyf3hVGQZru1RmDYxK3BJMyU+Sgx8qHGm7rmG3HJ1KUyUtx1fvlbJxi3O
A5CdWCtskOdToOb55zUGhzzwrw2ZqolO5zWGyS7WTg1pQ69CM5cSiT7R7I6vqcwsVRnY0Oai+tZx
n7m5z0rFklwu7slWWf72V03Vme0UeCF6S4n5ot99heoUP9t3oLf8Uff741QFSM6pAQFW/Otd0lP4
y/4S1lKB5dcyK96+d0yyx11vwnar1oAOmfP9oa3fCaRPiLteMNkNGSJk1E/cM453KljRBAHuITgT
+TAFLbfnZdmr14MihL/xPo1Bbbri7+sXi+EHeJlHUVJzJbf6Z6d/AYNGPwu6uDFR6ESxP1WcQc1E
IFeeift9UAcZTB4mNFQLeUgr77u0epH5LBTIgtIiRWmBMcU6Nod2rLI7AeU3aW0txASIggYd1Ke3
7vY/Gj7BL+QvvWy2P8QHxmD2bYgzzMMmLj1AU/sY8j9OHGIfvtWphTBTCq9IE4dWOgegq1wX95Z6
XLdMDpbbxK+sMeQ/mJbi0iWleCbGuVtzN2dQX5prUiUPtdIxh76FM7L0juJFBjN/UQ86McHQSMyb
Dd03SNOH+48yLgzLLAAafW39DHN0wzgr6x8yFwNaypznEwztBn4E3BkqC+0FUaiChOTqTWfkMt9I
SDAvknZWbQlh6Mdya9No/h+gAtvo+YsVRvJZuMm0/dRMFCxq1fLilvKwpwy1AyHR06mnsPk/08/b
dUNMPx6pv9WO2JBhPRt26rifCyCDnEdNQS111+F1itwqHnQoZJqwmaf6fRoSvQV4WofhKTx3S8ix
3x7UrtQCjUBbWivuPYWRLhFOjWXuAmI7ae5XwgHCv1EkrhQ4Pus0HlcCsp7ep54GdJK4iKQStZ2X
lWwM9yklmnVtaE0cjQqYY44oURT/FPr/fW0jx0ByoD4fp6Bev6xzge49ioM5jl2Eq8DUjELHkW6a
cRFWy8looDJT6dUkFQYJnj2ZV0S0G9T851rYKz2RAQoUaVlNj89sVC6XbkEPib1sKlnRh1iQuuS9
E+9iK5eoAcGJLbexQ1HD7i5NDJ7Q5gyunA6HQnbLAN2uL3uRLKoY9M3/dNUSDTzs/cuOAJl5jQYq
5BNMV9P7LVNXJO/GZnUy/HqzpYjExLc6kmLOM1ZmQ72LX4JhuRXnHEr6ynJpJf0a3Si0c8XJWcbX
qiITjXvb6WFOZexOx5CziXBCh8Uo2A328JIsiA9e10nDsAG5FOyM/XyZCjIXm4vIvX5NkWl33rE9
CfkmjK/lK4+SHQYyx5zPOfFc6+B8c6yonaOyUALS/C4FRAShYH9OY71EHVOwb7+7f5hTKdiC1fLD
grzIYZNQ1caRXk9ec5YlvMWqY1fO687hH9vpcHVn7n8yq61TE7PC8puDDK1BU1hyyGxK92r6grHY
y7k3J8Cfx6hcX8iQr89PBs0GN/ZgzLw3ucXhTEphP68XgDLZnpAGZF+HeZ8PhBQHWr98M9Jkbw6k
NAv8QvAcXl3j0rskNgmTtZfRSp1ETEOgEZEmQOzG2WanMU0WPkE3ezy29Iw7F97nH+qwcI9BLrdB
REEwDVwZ+YTxe1/8ZKQvXACyIU1lNjxUQO02mPrW6AbpctjCrt+S1+d9q3ghL4ZDbUQIMd6kCQJ0
OgxzApeWgw+/2VGriJHoX4Ibl1YwA/3OAkVEC3FJ+34VfYVPSO01/zZAQLwu0WF1Xg/qt1USY1cZ
OL16jdQdasC+FzsWcjM6cuYxA4keJqSDNKZKZHVowIfB+Gh6QxsvDfOUMJSjF/LX4T+N41QUgkk5
gAoYmAiC5dax3cEYZw8UuICApk3IR3u8/GnzVuFcXbHrDbZf2LeGGDrshAvBEBEBCk4CYWnc6DUh
rx9didWy08w5wX3gJu5wLgmQJF+sCVOPAFqBl6uNadt9l2FI00xIiHAg/QMKdVVeUgkvV8B5x834
Ls63TBXwS5W1suhz9CfQlV6xorxPdHbvIPyEP1QnXT3kcFZeLB0sCWt4gLiWRRfCMJPOFdSCiv3H
DBAqB1EjvK/b4uFeQI0O9czGxg64IGHCLOtfJU2UUu5yqrcbTHZUqbQbs0ogkFmFT1meJwbTTijf
+uLIggYwTLR8TQxTlWkpuGrbiBxP7UWiR+kD/BTo2Fi1qljrpa1TvFZs4v608e2a/gUZCl6b6ZHO
IbJbcyMX9CtwDqvKjTgV95TBIcxOSevNB5vSIpTCbD6b0AdjaVUubfzI2no2/ZCw7XhzGQlErifJ
oh9iMK6+G+obPKeyXBIsgOjfysulHe1Zgu+LKPgUBhJfRnJUADFLk1dZOk1Faz1hHNBUysdFfS71
9ZqUEPdZocrYqwRa1pOHjEcd7Yj4bnm0b+FyEfsiwj0wrbW87GDyPPV4bLwBzpeTT1fWLGT5JX9R
WyXdoEwmzDvClueBkpOeafbZC4pu2svLz6bjVj7hTJaaCPIZFBwbRZPyPG2xPmQYUd01OfgYZD9P
394vwicwxNW+wgToDeNybqb2U7B6ftA7OlyPnFwhZtVczV3eqAFnDXUP/M/WFhN4zWt1qekuLAM6
zP2PEZ+kQ4tsLbx3MnDTQgdbt8NYAi+TNk6lJLiTKab/X2lzQ2R+wxpBpY7Dg0xT+xp68F0QRXxb
9nQUb4qr/k4DV+Jr8H+3IsksXnr0rk8dIZBROMydVcU5YiVhWq3cGxvg2r+CArnkzZweX4i0jRGo
+tAJOVxSXJPfrVlbxCq9wTPIL8vE9Z8g76EtnSUFnjACn0YANfhuK+o/1ka5KxUi5BZWkkLLI2Ua
/ZX4/dDwqbhHMVdCZM1g02GON4AjHWcofHVhLz/efIUoPXiMFPogmLebm0wj62uAsVucfzJmg/t4
fcE6T4C9Hpj+r8Pj1yi201AAMzke/NlRq/huWZGV01/afnWRbvR9BMV/vfYCjkbpOxb/5jcCseX+
CriZnxEdOqTfKwqLjLZuAeAPMedvbXypBv8DyeRBj9X+qSOv2dZ1OhBjvSAkGNy94xcXp/3J7YLN
6ltRMYWy2z3IeAoj0inX2w8LSx/JReWvwPbHLnnx7JL2g0qQZnrepl3w3A2UdzABQJqh8wHFk3PB
Ix7ETq7qwgK71KcOOxAVTsaLCq43c+hH/IbSNiet4HrTWURhRDY+TFkR/hpOzYMRkjG8/zMAbhBp
wMsL0F1DkvYO61pWd6EMCDDQTYQ603jwR/qS9PDBCV48knHOJMe82V76qbaZ7ot1S3XhwleNW3lO
ogczXWLOMzr8vESHEcYeXUyh1UzGtqYv5Pd/lE05WTNZJbM9m6zY+2kJVA/0CGCj/5L7xpIklQE8
G8zLey6FrrZS/HMsTo5KFnE3kUZQT3WbooN/bDh2MsZHPc3B+XDmw+3k1mD08Tm5NuGTodtadJ38
rSM3id9rLLgyaCyU0dMygysNH7AAQEqzZXiqwIiEHDe5bSjpAahJfe1ImXkcUpBpg9Z8YDWcSVOj
NLskgc+iP8NKLs+QzU2ONkfuuBrsaCSvZXvBdcwdZAKxINNCzC5qZQ/PdQ/D/Img6fV6DZVWWLls
0QIxTyqxPIN1ohgj0fQUflkomYHm7IfuTKTyVC9cqwUsrUf9jjXFQ0t3/lJTnFSKeLY2WS5ND7Hi
LID9i7J9fRJCCT1Kug+zyofLIMa6fZnq3yyiDd/2cmJB+8fC55rvz0xUMxh6ykuoltEg0v0hj9Ga
dE1OWfEIHi1hrG9t0J4+ZMPM7J2weGEKT93argR7+OUkTsMLOBsMfy4DL/AnbP7CgBRBPW/sAd2N
AUBRFvXKMwlDxHqzd5hSiLnrI46zhh3NFoVPCCdGLxX8LtIcGG2KIrrtmm9WLVMAVE+K0Zc6E6Cd
t/RNHedCB/Lt216yh8V/NYnsMSTOtbSqdr9zNdVhlcClzRL3bbGS3tgPXhkq2qaBH3L9gDlLJPFm
G7wlTv490KLZqI+xwh5D3DphQr/inWd/WEAICidTZU72lRAIupnw9MNBIpm45erEgsGL42ODPOPe
tqb/zvVIUxsXTbHRDX12v7HJcuyIo8CzRQqQ1wFxzDvgcHhquiI7oFCl0cAuvoWXPZ7qCzA4Ts8B
IfhGiMJiJjxzzPIdRa6k43CPecgnPdlTLnmZ0tsYM7G5KewG3WJV/e/auMLTc4tHYMkJJeymbHiy
z0EmT2xpUzXg6zHd0pKWTy3Z0RvIpQ9PDsHlQlRnzsHJON+IAsY87lHypGwTAWMPZrf8aEmiAe9g
rZm3GfhefgdCTRBHCBpG7ufrYPWkdY+ENZC44Y15ldqVy/UWp9pXAnFAHCAp4HUpfi015TGsO+P0
7tlN/6Mig1OkhuC8x+AwerCGMYAyDcLk8D1i/GDq3+YvbNxzLNlnJS+Ws6BGwQ+cAxUongjiKjgi
9Ks0gt3BBRFWsizkfmAa+1EDrTGQI+j92ofHyvO6bk1XQxHpzth+ES8R7dUXWWlo7j3yD+QQew/L
k3mVc1LlqU2azh8UQ6Z0QvapoTNo5ic7o86W9diDEu4pPqMj9bGaPBHxb5hW8h/3RVOjt5S1DRVa
dqV+pB3rjcI10XdUR1ILUhND62nlLrbPUmmCEGVVgkzScoONRJXMDSKlqoPhZTOf1/mmr0U7SaKH
7VDOYvixCEmXsAhJt7Qi+0ckXo6H2C9FYO5T2OaflCQffBmTEmeNRQbCEcknGGfxQ09wZz1rJ0iX
1MtivZt1zbuQF4cgAxolJi8JiGEAOJ3PA2JhPAQOHjZM7beiQh7Z09gwLJrXtZOZ0kB2AUVn8MhA
K8AOU2hgKPmLZuIpARIKie6oilGCvvgGYvO5/BJg99I/zL7Pw/ZedN5Jotn/GpeFX1H0uiiLaWJ/
E2uQwk7St3C3b6u/vomTo5UpKu7oZWwsf6z3GAIw0HqkuFiKwqlEEQfxlxHVtch4yOhabcDxQHmK
gvHxQg0QK7UKwgQ4aw04jXBiZ/o+wsctYLLPpkzR07IUeR70xchdyYr2TzAoPIuky0jxVEvcu44D
qe2ksazEWQwBWX7EP482SfPqqAMMOYhJO1kp5jWdjixmO9XdndxJbgJoXXdZHicXRye2qwHFpOAJ
9JQf48KqZ92yFcgoOQecGqnCz23Pnu0SGzFcUbXM/qwUKkkg1qJVRZcwO2a9g1j3pZg5T3SsVyi/
YB2dq1eE/IR1y5pcabfD9sc3GZk+UA79yQaFCLy+h8b9dOFPxImnyw+sm+TD/Cu2sz1mBcjuh90E
8yFKzn1jDyN2x2saxDwoRyK3GVzSpzoM19lKqG6FNvvOk0cCngYXYAU5vj+oNCHZiitZ1kXnY/ZZ
7mIfKyI4TvLNAHAHU1Sw9VLsneXuRDXYXmXRUd0qtdj/ZvgdpfAfV9FrZXtFJZUbdpkrUKAn4gSU
l87naRxLzndGXbY2h5fREuWQFCKKFn4z/TTufwVyu7lOOeAF3Jjlsso+C21HCgIvaP/OpDeKWLBD
UUomy604M/xg2YRAsAT3B0g0K7lPGB60I9GBziyD4EJg6qS5QSMNQt5V0GrTur9EtdV1s4dTv4ud
he+0sTuRVtUW3RihK50e/9Iby9A4NWwCKWlcmw3UY9LtqnPlskeUlUkPdNfdusregYA9RsPbtUQ1
mOIFbSjoNotnhfb82oKUDcFy293ZC3RSEkvuuDb0aiGIOju17ueOU3X6dI6s94XcMt5neHJS2vVL
sQY1q/nHjGVGcgphutLi2CyrU2XVEoXxfXAeFIf7G1c5WqHWD4h2R0kiBfeKu8JCWSREPVA6Z0+z
w+piZZvlCUjwGSkHZjTwCx7CKsR80rV/uz0stNBIvHPi4i5hdVe5d47Rq7qNH+6h1MSAsrIroNpc
pa7kcLV1R3Lb/aJNtaqaVpXwz2SMvtTYQ++TbjSCP9XSRCX/MFqwFzyaqpvY49i0bA7EOUSlCKbV
QTWyLxjou3uqsoUBfkP/lUS0yd24+MVi+iBSAveL5tQ3r9DkkopJNh4YMQgzMU3s7qpngQJx8Mek
7BEBPGQUg+uIp9WRRRhX6ZGK/BLuBXqCEU0Dx9Y1OnFFcqtwqDfAD0xUrR0RNnwIXasJgsolyuMq
9ef4uhcjt2l967/iQpuKdPan0SDy4jF30PRg9/J7CY99EV8llcL2/DbcgvIQ2mB2KNRA3ddZPYUs
RzD5sUtlhuwagr4wkwKIcAdoNq4WtUqKk4KYVMPe+NP2uNlULcSh31UYoqvrJt/1eqBbElcExEl9
HNyfvL3s+lybDWnj2XxX3ZVoD182IPchxel+zIeDY6PfQ4Wlz2XJDzdVm+jLqRoLrC83YvEI+d3a
hCNuYcYsCt7FDWP8Lv98ssKrXwiOFKjT7knDKl8Pf7fmXD64n+Qu7BLjVjgyJWvc7Be6w0ee+oNr
R+lJpI3QQzMm4vnomM+024ikA8uZUt/0xHdDkt2BIlTSq1Vrhxx+St6kulnUfu1wxv3/x3FG0WdW
goQXm4umdw3a4BsBduKbX+HDy3vECPCPTNx8lEfLxJhW2LHX1TbcBiL79PJrw4dudNHQ3+QGvFXK
S7SLNmO6mhjnaeyhSQmvNPXdUuoDQoLd91Sd5znotTC2wR83pciWZWzxNN2prTDalUdcdfEYtk2c
rWJhl/snBs9vSKFcjcYEFCQVI/qzrF5s/LoDyx9ylRnd0navC+h0FDiNvfO6kdwHXK2yhW6OtiFk
4Xse4wM6t1DXuZDdP2tFFytdOX61Cz4jB6Z2GoZtd/yUDWy+AOXrVXwJdRnD9z7Mp/U4lO5LkXdo
WRf08ajbqlNhSwylLOuss+IzL9EE3g1PVIPEM9XPUMqtZovg+Ly5kQBTmaXRbkJcfVzMCPOR/2/a
I70fZPqihMT9UKO3MzPYtoKgJxmKhE13ywyWzL1ZRV614jqq8QeGjjUj0Q1yod0VlrY5KrLDiMxm
MgoeRxlPNYRbCE88ANYbDhd/rebQ7jAO1Am7h0RK+ApxlXjhZUAW+pK3vzYVI2lLByWFlduC/IqW
N9D9LPezyR2xmtosALgD8IRSYQjylKbxX30u1ay8yCOkN2Yl0iMcjw2HgvcD7eFsfWUQqgetMMct
eBi+158Y08GSFu0feKwFWDqKt+GIF5mIcQEWQ0cmrxLoMLCB68D33eNeg65z1vXZMhe/6qa5gVW2
6HIL6ZWlMCGoIZ92gMQkl+I0F/jP7QNQ6OCnPaK6bNbBcJRyM3bYT9LmVFksG353xw+a9zSFpH+G
Elv8yUzcE7lBEr7tcnI/krkAWZJcD56OwhqzT0B52bdgqsIs6m48CHFNDW9tDgP/cubEYt0RTqCi
UOGBFbzwrepnRPIXLCgCB5AezVYbocLDBjLnzfSOHs/7WUxn6w90kNE/cxJ7uVOJuBtzQ57i36m7
PBdi6Q38QcycvprduM76sORqjNQ/gaFq9ctYjMzyFn9hcF25tQPtYsoAMz6V5CFcA3ec7b+HqEG1
S+Rg7AGRGaUqMwcpUX8RTzjJ34F+EGBLSfpG//foPU+jnx4TFyrSjjzUgrWTc2wKt2uIJMB/QyL/
cbv09C0SMstHuV/l5gD1QYWgUQOvNe4sEAW1O8L4YYurgGFXtu5NzJKUGfByLB5aGZ5KLsPoAdDG
NM7QDj8RFt278RZHqyPeO9kaANQDekSGDLjz8DS3esQ+YlzZRteRpTO7BlumUaK5TDZYZeft0+XE
KyN8QCPz2VXJcs6ahB097SVOJZ/SKXJlhZDwrMfiWSstkRqRlFgLuSRh08GEgHHX9LbCv9ZkuUjy
Gp1XIGScSGkyA8DB/o3oPwdMD/e7spljD4nqZuPz5hesu9a/z/HEeS8fuVstqsdNHksk3Yn9p7XR
ihS13p2ePnWJBCeLSALiYxAVX7Tz2RHosv8eITJtT0Z0FvliauC2qjVBjFkQQ5nfh5XtG9cORerj
GzqkQo8tEWOjHrNY12NQC0f0XGJBZ0yZSPIfl4ZzALBm5CXJ3rjIetRbrsKbAiQckhwQrbzS9PcJ
bBpOlds7KXukNe+S/+AWc6097HY/VFlfmDX0cNQ4p5rtA8UAjD5OB84XEIWLFGBkb/2GC97CTjES
tzIcLj51Kvcrw+fbAPCWjSdn3lR8NSbrVANyVikW2/Q7CMW5FpOwBfRI9s/yPrHZZ+bsSgft2Lbr
jUWvKvcS9pw7jm2R4tP1pTX4+wJVvA1kDKS17At52zcAE+c2xe2njhl4BPogGNuS1PmFDterG/6b
kvHPlUlWsRhB45iMov5mTnyQUUxeNiW/FteQ0v4OK9ayekz9uv7tAQTqtBFh8YSHGHg+a3AnAnwC
KcrDdhZOMzNWys1II5FVLZ8CaU7MeuGvheqvyYni+aJC7K1+p9DE5FaCoD3tECGQrfw91+epRsVw
DLAxkE2Kl30FQ9H1qE08btPCIIxIqwVbK/sam32c12ez/zgHTlM3c8RTdUrPJi9MnBimu63cBW1d
7vWty30gt6E/8r8OxD4L9JvJAAS/4vImlmBWxfEYA3fAHLLLhO/ow2exfvyGKCgResscQJSKmPL3
p166MrIJulkjixn0X3ygsQ5i4wb7EZPw62lzK3nYxwkV75r4s0UBrDpONZTYVW3SX9Wq9f4jphsg
M2noxvz1oM+HW+nuWnbm8Xw2PXm4FCipT70kWVHdC08hi5JDs3TVqNR7cCVUgblSS15PjSjP8b8D
Vn6JXmeO/NoIMuEOUt8f5vEx4/m3AMivYRGZlr+T16QF7LpRahR2SiwP9qBp3g9RQP34nNXkDVzr
yCx2UjBhobt62xFaSjRIbuMoXIIbtqWHerHCh0UbEkh9Fo7/ykVo8pEOrPFHf9we+v7OR5iaxnzG
PBA22O1A5Nr4mBcIB+PN5tFNCcsVxMEnQLsEyVFnPkM7lSw/QTb6E3P06xNKtuCM6ynC0uRuZloX
vKbBS7U08MJeqVZSJmt1FmKsElHM4gfNenB2YCdPJZE+axWMsKla4wbaqegbR926hQdoxg5oYiKb
YY1+X+lDkh4qPHV0zmGHcLYs5SbqjKYqmPKaoKu28G6+UlY+oiWQXraCZI1ubb3csaCDHbbs2wFz
RniRKfiqXJpa5YrV1C9EpQ+im+adiE2hLsUfLPsVQkLPzYXjkFnDz1mOsCj61CXVCZjguRiH4+H8
AqOUYqeA6RxrfVztb5cPdn8fFb33NhOyaUBaOLSFayZhnsBlRkJeMgcSjhmGuTJrHZLwrpViNTQs
rzZtzVPPUJzXTbItyEeFx2mkqI50V7vbxReq8caA4S7TsYGyyXBTciudc1YnACK1dY4euDqkfq4q
iqFD2G9QEITIdEMc7wJMT+Uzch63+i+quEjUabtOpkwLIon4w83zv3GsEQRT3dOYD9ntOdjfdK0a
lR1TUiYug47Q4s34zArC4/DGbbHud7I40jEPDj2SYMwrovHc6MqyBWSZd2bxg95yRV0hXCYCv8Mw
ZQ5S/4sD8OK8KcEDkVbncjF5WCxWAsBDuba920BVbB4xvaErKpXdgWNON8Z4K/lkYGNZJJw4GUH0
ouKX+SQ69oTtSY3dvMaYaWAIhs1Ca0LT3qY9X29tzvHUevhiAjllOTExv8UYxXyBvizEUUs3Eak1
765MYa6qlYD/eLhtg0NqsVFdNs0mFgYMts+K4tGT3BgzVbTLqsyU5njH9fxikc1hDhCa7rguxtqP
xI8SzhbCqD61LYrU3154/Q52sV4i1mWjdgd//Dxm9shyDZR3QZ2DkS4vdpGqvvGTogV1o8/EhDJw
VxvlzU9HhT13hEbuGWBM4Yrdiz5JNyfgYGkl8YUCT7No/d/xVkyLAsmqt5iM01aVEKh0o/6rOHEG
/E5rLOz2qppl5pL1yJpFGFwHkmg4JBglLkqZJ4BQx54W2+nI3ja9abTfeo9cTon0UvQfWTc9RJ26
36UoL7i/s2EVwsjffTXlIjhaAU0NPpPwnUhI4MZaNz9OYNSGcBKNzja1HKcfupu0W6M2e89LIH0k
+gB/fjTuAEvwKW6OpCSmuE4hqz3qxCwU/QXW9cWL6pjYE/JZooI5QXavjF6zUh8H9IcioLlNFt4B
4jHiQTZQ+L/ONsByKGHtw0r8PH47uU7Mxo9eNkjLKEXwz1wd2pmRu9O+ujsvMICvref14jH8lD6g
3PyGOkgeFp9sb3blaw8LrUrAuMWVqN3mK3dkQze/VkYF/CW1vxwayEFRwW/AY0kiCXVQInSSHLj0
aFbh79hrYkFab692Ds7FHgNpSt/s20hRnI1PquoH/cXkkFGvbiuBAUhoCPXUZ10yfzz4ytU6XXkZ
v6ZVtrgoy6DZQr3oRTcFG/AJZonsTXI80Ik/otEymekaGu+KpK8TAYAbnw6/qKqECI1xxYGjYTrr
+Xb+I1+K+wFXepu/xQh7X8SCQkiZiD5UVNqN+cFmc1IY6jBDdie21Q79xpIzfHGlCRAGhosriRoh
fQOIWHLsQfRXSOBYMIzOtWKCZynWzO5gvhFV4fniTg7Q2r+EQCIfU314g6mtYP+CjQcqmrYJYlXF
89qUj4aMYUXjrotWrOLCSHEI9VfrhG7dJl08kl6Tr6yfFkn8V/vtf2AKbzsn/JvlGvL/VMZWhAst
UVLeRdwQxhYNftdCL/VM99S9lxFYWcfwfu3+TIvH5NLp/dbVLGg/PcqrjE7o6wu9YZ2fsVLLjirU
dxTmCMzpQyZFr1YwpzhXZwpwwmh17DVesa7UsVF/B3x6q1ZQttjoTDd1IRfl87RBxBFUuW1YmU8i
bMU5n6PCLz2ARd729qZS8nipiPnoYcKRYPGulIoSqyTcPO1UdfwYROmU5EIxY8JwPzF0IJjHRiN4
lMA/KmxltLZkMOTjMTnbwiglp79EIISQw13EIcsA2VdeJF7jNHe8wSrQMkfaeIaDc79IQm5c2uJ+
7+wdeklbBmGUJ8tZzX2qcuMkSHcT4ffvS9tCA4puZJ0GiwB5DZLR1tKVGA3PKWX9XcDdVBxLrL30
fYr8y7DrZskJ49KdlmdEtvSDNKS4qtrYvqotorz4rzcO9vPtD6Hj11+jJu9ff14LkK1lHoBXviD6
xJck7zwg6mT8mcwC9SOzDTCR2vTgMvqTiFK+8Vf6htKDjgDK3esBjVBP54ARqsTk3sNT/ybY7ERQ
S7LR/JwDjZQdlNNMGYJya1ptM3ukkG1xOlozZ2GK4+l36U7A02H9BvUbQcIkutJh1Hiv7utrzPg0
juuAPB2WgzslqIOZfx3r5DY/CVtMAMGMfUojxoCMNRS0FBxzSCnDCHA36xmD7mMueWoUDdbb2aFD
dk/G9B4R7+3haamXT2RdwOjTuyNTpxM1jCd2+3q0hOgm7oWJmFve4p7JK8Xi3v1eSJi6dl5MfCwg
Dkdj4LyuDPaanziEY+VV4sbakG+z/rNvZ32JQYqVuLDDJYElF1NidWJCkwSBLno/V2Aeo3dmZJDf
BPMZClSOyFNsX7l/JsTNuVUPI0LuIu4MyJxjJoeViya+Txjz/dMQ9ovfVBCpUr5NEFAOXn5QtwWC
yNUK0nZBCjdw24CXKiRTvcZlPEbSfk3Vf1GNlhenhjhMgOi6b8UYemYXRHGv+8MtYw75Vd/WGc9f
FDkX1ewLbm5qjaer0RZQXYnIJIGrsgZL7R5Mj5KSAixBIyCazuEX/ooPk4vKw/Es1gGRPzEJz/q/
zSgpCp89OIfVvsudGlzjKRQpFA/IqUdrcH+TI+F7+mCumQY9B4/V3b79vjH41No24OprN5ZsxsBO
sukFR4wuf1Ku8bYBje4GPWE6/xweOHtLkAnGu/TFIoz2R9oVhuTc2CTD92nEC/ffsBm52uCtobrg
an1fipZawlulttGmpEO3EDUXWLtjKSe3rzSJLubRhdKaOtvkypN2T40Z7rfJPr799MP+cJVs2wYp
K/TuQXl4fDTHvKbVquUMGPIpzcRyg5JHyxZ4gksFbbocXRVuaBTMmLTWGmxATCIq5LyoXjsrHhDr
tMbDCJhsERI1qecL/+xKDf5NNnKBNgLBrmEaEGzzvDEBmiP+EBrfX02Kw6mgnBFSjfG7fJTSsLnw
RedXX3S2CiA3ChoNtEGsBnitleqWUM3sFI5UbPD5Od6WThA3cV4TaKdO+eylGOCdAhW8+z/9QGct
bJ/ArtbuH4+1yrn0HRdT7MQh5wAEZzp9AKnKt9nmu2QQ+eW/32wa5L5rJIApAHZbjqCb1iNCtR/f
nmlMhO/tAih7HUpJ3Gii0yIem2o1YqNX6IUrayYESIOLzqPg9KfinzkhhbOEz9JfJuFkCxu3qm6A
Yw/j4CeKvq/cSFMEaWsna+BV4DYDu7r1Nc0oge8Z5EGgaILVi5i6Qh5V37gA3vv1IeHoMkwzvuj7
2fxWr+q9kJavbjk+i1S1W7RSWxo7i2i/+yBCRSLX6DH66yqAYzG76qyV5zzdb0i9816/KydWjWah
3c44GE6L5mbZxtZnayJTKFebP39xWsRLzivSIaLV1m3KP+6b4pMceG6c8UpNHBcm7rvMIxkPzYTu
kXS9gkjtnDZT+lS4kGpC4dVJKZopTtFaw1PrOckWGilT6VfelCr3iZU4R77kCdZTUbgBpeUiEG/w
i7ueRt74uXghVlTU05U2LuB7eFYJ/HBCVz6ffz5zlte2TG/0lqgrsK4Zss7LpiApeJd1LvCsxIQX
MuDOU0yhp5GHcAyNlsc2Son7MK5u9Y0qnZqTFC/M4i6uJuvxLrrJnWNjtdPwWfnrDHPAyLvUaFG2
96xOiPR/QuzPzQVR8fvTGUHz/86P27Xdveq4muEALfMxWrONYVhtz1zdW7XUTaNfXQKwijF5XT96
TkmOAfDASuCfK35oRN8Hu7+ipdx2GNtNQEHMFIEOZILcr5F0q1OMzdFOXnffbVo17Qbdjcq/pBt4
mfla+7KRn09pjtfbmvLVJ9WKAaOIrnSf/dTubn46mk+b6OhjNKJ0j2hvD1dlUoGLMIVgXFStPsWq
l5XAl2ilR6c9NGJ6CmQbFsl88NYgmSXJbEHqzbqquvn4SIx/mjPideOhdXuydndkdoiW86OYJJen
HF6hDEG8SZAk50i1lsNshdInNTUDNnrVgHKoYVQUZxIy21UhKRkNoNAN30bscjCsd7CjqeeRmSiC
DR0rM/J0b3a++5Z7W/urMuZUYvytg//3DsZD/RIdAjEjVVOdVip1yMZffTRJgi57G3XQiDKHjFNK
Bh4LtAaUEqBlGDRce2xPnPPx9s/WAMTDdsvUF+ZiT9lThVf0smSE3Q4mgfcZFMx9EFrMClztlVY/
TOOzTKliGhjPkwWlSaQjatPWu6IA9FZ/ms7rPG/Inuzl03S7fXD1TPKpTCRpDo7dPvLEQZjIP9or
pHGgXSv7RIGkDBgI1iwmtNU5OvenUv4RklxEkZ5jW8NGPy7vChQAQ4YeoHt2Dz8eO4QpTaSsMma3
ng9wxqhuYoIoycF8FhQXeOR9aL1Q8NZW2PMeqOE1LPhW2J+Ap9Y1YyoAdQ8FJkTQ3+RctXAWFHMG
jVuc0y/tGa+ggGiub4mOI4VAc3wYKnRpPfyUSraJUP54SOfspcd3YvJNsO82jywk3d9aR7ENbPIJ
V7Xuxj2porOcB1b5/8G6zteyverwms3BZkmpb0vXm6IVOVuGFSnrRBSgA3IrvhMdmjoZAesTd6nK
lLCL3LoYltV1G9rhUER3KQgPWjnNG33ybw1J4phLLp2Go5sy0pBt6c9UALZ76tbqR4ZBSR2elCe3
Y5Y/0Kg9QUbbPurktHBPGwXwABS16qTMY/sBtTpJm7X+Ph4dcEWsE4mF6kBsZWbiMu5ONCPkuPcy
5uxfll83Lx4E3RxzI1UsWMcP0fppmzmL1X6129cL9CaxLVCSu0bhoyv8w4Le/lJu5+d7ZFLs0SYa
Tbyg25Vl/dmQ7QT+SAvFjm1pkPRhfJAC2MfMrD5KSOfEtdIdU11nIb18ABl4L5dMyPIRTknawrjF
f15tV92ueX0xo93Ne1NnwS69F5pwVaZuboGhWdc/t+p7X0aSjkYkstKprv01kOC6iwVDaeuS8QAh
TKt0Whysf5CaHk3Qbj3+hcDUwFKfe1Q0bodhD//27lysAJiJy+qzush0M9dSrERns6Mt2abX1cbT
LdVypbvfa9wRly0wLOQMU6KShHkKl5Nn2m+QcPwy4fZawlGastbTdHuTAg0r0hhCrwqwgDv/48lJ
CoDthUwLznGHDUYFPO2daNevkWp7g08nWrHRb323FZbFNVCF7yiVmCu/LLD06zPTGqCOWM4LsUs8
lK4cajR05UGpNGARqWFVhHP5yPdNQOE0T19b0DqAeRJW65Ot8kiEHCW4ZgznQXb5U48qbtcNfPR/
H5b5K6u91f+44xxX6hw4LLJw8K5jl1vhp1WH52vxbaI+Na4H5xatCwG0WbrTf2CJGkqKjEHpoCqa
FoFp1x039+GwxJsv5Ac2LW/1tu4OCgMkAMYJLY/GIrccdZR85yj+lIXKSipqupLAWIRUlDRrzdvk
0bpPLoCbPlaTi4txeoPeCbOtQsBYCiSDYs/GJWvEazJqaJXr/TLjzN56u9lZ1nYm0ZNy02dywu2b
P1d2febTOilFQBn1IINN8jaLQ7hvkbG7we2dLIJibfGsV5GvNTr0nSeNOQmp9wLAFjRohr99MIiv
z79PoXYEdlFrm8tWbNYsYgtme2DidZSKYF+Oy+y+3hMGLRNkR96jTO0NTWV0yFRtDSuU2AyEp9ln
8qjmsLz3AxJAg5907K4xq+/JbXG4aa/1BhTYfQlZ6WNxdVUjuks7UEjibuSCC6tVlcM1Wb+npxw2
KPRC8lOQ4xeAY3pvHBCTHXZH16/mcI1jVvTGiDtra6QjjALHRz3T3hjMOKi5nw2ea0n0O9ZBPUVG
8NbdYsnHYrCj13UDGMG+Wq24aXL6E9qc2lNuUyvzbdpxXm/oOz7dwy4qOdrxkxHpTouLgfJuM40s
6Qxn405XEzRwhMq81g18FuKqaq4h0q8uCIJqLGQ2n0ElL6xRemdX2gFqTdVp9bUZiamsSm6WjplN
sg+yEyhfshEPXMW5Ft4YuM939mNTz3Lj6NIdbW4Ay+w9+1K0yC8C4P+h5glPWTOEhn4kuOYt91jA
phaK12Kl2wcOtQLVPKLXMzVrIXeoYyPfF4+yZfb2zBgYApEnWF2rqZkPNt0YChM61I7c429DT9Eh
akzVsl17bmbukr/lXFCC8zy0LElpy7rsN+ERFp6xG5WZvi9Z/K+mdeiLHxMOmaqFo31PasDW3k0h
91PMqtwVCMADF/3FSr31RWiuSfeZwp1ITjN5cQ7HPkj9XP47qyceordTWuxWwcWlmadN55FAv43C
ew8Hk4vw2TsxtmhKLRnxXEnS6lZ9ENcJETfibRIZZSuYCQd0vwK1HKkNximDH7LsmoM433Y9eE4G
OhiCIQwR9zcZln0SQmseWezA9barRync0luylRWEOaqCaFBgwHVrhK9OHlY8b9PJqjtCQfnWKmuH
KvwHTF7Wg5JI3f2IcOiwPlnrEKKhKe53MHX6cpRikHWY9NILihEs9bInQxk7wg7v4Pxi7joz8iPm
luqB15HumZmd2QbmZau9IvirZ/AG2MUUD7GS1CuiA7q8BFzTta63OVHNYOhwTf46RsSDnJVbMx2o
Wtm39iZqYktdJH1rLkLoxDfjW4TrcrT/iB4unAoCKNh4N23L8EcNyjA7LZG47EDcjGBsiCERFPTB
hWaomFJ09HxFwe2+NG8bawJsecAhuw9DHyJn+IYdN1jo3x7mZiMhk1IAfAQ90SgMIufg7f/4f5BD
SwCUzzDysHjEDK+oTKFxz3U0zEJTmum8bDNKkE+WtJtesMIEcof8TSgnGq3pBUeGW2IcnDUWuaZo
+2lwoBGR9b9PUxY4rGOPi443NSOkxLZyRPHCTWwdhVez0ogO7eBAmioQ+szr6RNcqpIUWH0bepfZ
iFfMYAdw5xd2vII+Gt7deIIWEBqnBN3wwQ0gILM7GS6xW6Aesn84N+i3x76fCM+Ck9b/Zbo/GHyi
ixNp+oxFkDEEJ6Z7CcaZCAh2buoh0alZXLib1mP/efGJ9yxKan7C196CRulz21FUyqsLvnDLxZI6
3jmd4+nWtxFG7bTp9K669CI7JswM2Rn34+cuQ7vhFP8nDkmSa3I2lgm4XOT8zmRvARoXQecq6RZg
anWdyc6qA2FaG2NgIF4SuNIAbUuiRaaZwxtdibv7RBs4JUssLFOmVPX54hFac44mEf9u9IPwA53Y
A+cFVNRhtR3WFBW3xAismNB36rxS3kV/sVEpCOHQBT7fbNZECMOZdv49NXvS0NHQ3RmztCqo7bBt
E4D87Rp030Kk/KjQLJ9+AJcTYy94NLDG3HN32lkzaKZtb2srPc+335H1eIyHNS616YDQr5RAInDB
LDTB9P/U/Mpg4ny5lR36fxx80bC1CJoEwmbSWUw/rg2TBfq5xbiXQe3YS5/XHAMAzsmwUmN2IuZd
k/fz2Dv9LUgxASiyi8ajCtKAT3JelTe8mPbCH11L/ywv4TmItWR2J+jqLTt5p+ObaRWgzaplwinL
rbMlxeG3CfsZEjrxuj/W+CamT0qHdd7rse1Bchj7Rn3EkXQcGT9jovWLcieSaDiAb5vXEaYF9LrS
pzgUrM243fQXYSpywOq+fO9tZTDXDF0mA9RpRIClwdhUHAhY9xi7upket/V5hAKkTJJ7eIFXc7rD
lvAqq43AImisSh8Yx4Dx257WLnowp64fO69jJoHzb50X2h61uaBUwShk2trqTccq8CFpGJbX+tIQ
TmA59tKwOyS8Cw0Ti4Fgz9aK/Thlcmo38Zt9CQaabQ7mH+u5RQ3RzJ0jxQcKcnKjgutymH7fWw4a
SIynVAF7LiaUDrkldpdV4DipsWMw5R+mXKTZpVexz06nZ+980elr3uS0WPqRODPAL64vomlfB/pS
cuQbur4ULIyZFZd5LrfHM8cZA7iLSzCrHO0+fmY6+re5GkK+RFEmyr7RvW31WeE4Dd0yYfGAVBiG
q5FM+5/7ch5njlewJ5TyeiUocmD8+c56xoOyCcO2kIlh30UL50l4pQoHjEXQvisWzcXcSJatwOnj
pje2dz6gmwa/uu8d5eO7MxtZE7o7V7DmrBqdsLejY2cAMAjOEIyKL4hQ2+Ib3/jHIRgJjMMEo8aF
8DqqXPr4myFDRbpsANtfEJd0IyqoLOtFYHTYqm6nf1bBZfDPj+OalRDZbpw+ar6eiyDMwZvnsle+
fNsR+KWvqo9Jv3sbUVNbfbNYTKV26QXRruxMxwe1B57FyygAvpbC9k9Ti85jGoykCDlnSIR7xzvs
GbEwmpadUo6fKP0xyPtVFsxLwbPP4byhaGaCOgJOpU8B3bocaGG099SgH9WqNXQ3f5LuTnKVsKIY
oc931Qhy/pTtHsKmh2PWt/dGje0Ymc7FDL2/XB9C0iRskoVEtvKey+hHp8l3Pe0Z+EUEAsFD+lET
7r1ZinR55cofZdTuIDdOfhM0qpZ4SjsfMMcWrAVm3sW65iX4m7Lpwvdc1JSmjvYyzMpMEATJ+VYL
CaW+zFDMLBAaYszpd7Ci/u5Hkkn9mXcRFXi9DiDPXCnL9AZUIHIwpIm/lv5VG6JtrD6H1IDbi9iv
W30vDl2yt65K7nZFXhZVXtWXtGyDKfRinCYOHDYaZlUSvcwxlEbG+aYaKovXEl6QaqdkmfhI5Oig
FF6+wqA/6KQUSHHJAhILxiJv5Fku/fyNioq/pRlt2rTqO3WhamH3rRwd3aVyyaaJw7SpFpFTL945
35mBs0k9MVrMABD1ZWzYLFn6jxGL/3jSVX8Ec+l4XXaeLUlTTTTpYN/w4dlc1Cy4ynKokUUkD+yH
pdbLSNozx+Z9O+qDqSeOXXj+nlxV4/fSGDy2u0Qx7nAiu9SE7/v91OdzkavHJs+h0/dMCrDJ2OeF
uAKqHr1nPyqu6W6YijUoYLLsIcrQhLmXSgcQsHaJt0yW6Ykvs4N/vCapY8aOfkKGAa9ffrXuFcvC
aX8UcviNbH4QBTFTxx+w4TnG3hwBjr8vEIYpznEjDS6gZU/WIsOqrrQf8WZmXYXHbc3cj4WFeXUP
FipAtsShN4q1SmUae+pbtqwPBRqMzs/XcAPHRf7DyBibnm2aAo98nGfo/JPWSy1JF7jj5HJATRmG
nh4K8ggeAaLSfrLMtkK946lGGTMVJDUCb88HjKy96ZR9owmMfNFyLIl8eZqJoeCr/zW2+W8YJKG0
fUpQf+ntPlkpsGnDI6Tj34CP7B/dwHIMbuX37VF+q40mtkAbKParZ5yI3r1xnzBMoinkVTuufmQ5
ESyifudgg3IvPcU30iQN+PWZngBMQdUXm85C+gRHrXePUfl8CPHZa5Xc7T8WIoY/UgA32spNqDch
UuX5kITHDdOKpgg2c9olrfSgjPTnmjKA//xY5RxqwFcLgFuADADgoe4Zzi38cklg7VXe6wrjV4/h
sXkrjfXdUoWqIJPRCCeNHvV9yLuE/isdvuz85yRq8OlEF6ICOMD9QmOzujJead+uSiuDARn3z1xj
5ComTopcMATJc1p1hMrvhQMLHGWI+P8P+9W2qEmBlfz3Rg8cUHM2R+owAgKE0voHzYBr+EeNzSdU
WT0qsuUdCFHWkiIKo19R3w+YtduB/jUOhhWghsIuNe7elL71kiUVjPgzQAlEc+M3w/RKdClTt39v
N27FCiGoJelVIoa7Gi/pOf1gTXYPHJwDAsIL4IJOw14gBp3+IfiNU5FF9r4fio89X0nVJ3Xj8bca
a5ZsM0+z3UJp5IFQ6p0N1Gc4JUv5S3oocoFAwfCcpnYwPKOTqU0yEK0Eih5rnSDnYBombwSiPoA/
gfMM9NrZgmlnPPu5ZyOn5QbN3PBR5l+RI7YwVK4zAadDovAQfetJrFvFG6CaJGgwHFN/fVHGC/X3
529dB4qWt34cCRe4QX9qOsEKN2H0dv0qY47/5UPtuFFDIukSnoZDlf+KgCN/2en7kMM5iwhCvNeP
tZQcZtTLU2Arn5uRYcmshQxyQbMfnw998h0UkhenvemKVq5lQh4j3cghVbwbuUtfnVsb0QeQcrgO
sKhLG9t9H68xqgcs/Z+VF2y1osJvEFcD2zhXpd4yqAiaBYPePqXcQexK9DsrDgtYGToIKirw1Zg2
Yrsv8uTuya0Fvf41pRZ3JQ+f7YqZ8X3gddmnCeTkQrJqAIPwruOpsNzEEGKKpBVxC7xMxLYSLMKu
qN/2u1Oi9ZAIBhA8/Et4vwKAQih7ov7nR/Zg947YUmVwiETAnwvygtGKXV6yvTK/0ZDbXkchCR9r
LFYUEAwW2xj2rg/iXTPNOE0K3DvWPZMj3zjil07bwysURhYnMm4BYk70hhorX33PJ0eKiMtQYaeX
HWeYcwf9YXLX2JghotpgMRG/5J5N25MYcFM5Fk6u8qGLwVjdzCyWymNk8EDNFnwQfPgTjhH3dSxi
4BFDexfjzkUcxyVG6P+cqVvv32cuz/llzpd0l8r7jOwRNYC4ewRyUsu0Mb3ZHhfRKDhhJRAIukNX
MPFldCo/En9eLXUieGfNahQ7nCWX7Qn+4tNybrQLZ7q3j/9gqS+ir7I8nWQ7lR8aRgdUzJTltNwj
+sY1MHgT1vNrNdvz3FIMR6iNniqiQ7QeKyivEJYSoWt0YkhyFEf5F6K8Dt7vXnHe4n7zyvcF9MVv
DqlMay9bXlLA6fUGPWfUTDDh+WF8MqIbGCYb6kR6E3fkTHvwiJuRUNV0TPIAywJSGfN08K3AdJsI
ATmZo5qwcTD7Qg7tSgGH9OIJmun9g+RSbW4yqgPXO1wOKBg5LsiX4w3qRi25v+LK+6x9gPP5rmgE
4vHxwDWYfR7zCzBsA6QpXunOj/e9eyMzAKdEsBRDF0R3gahBIKq17/WyvJEz8MGbcS8Y6hP4jn3L
7h0uIha1530m87FIeX9uz8hFB6Bb+ZIilf2z0sNF2pbWkhsLJ7oXgaDn1DZI4Gy8MFc3Bz3efV8G
QfYVMpNvNlnI7rtfjtyqhTwlmsRc4xZaD0+75LABqgo+p7dLPpnMzGA0EICrFDUgLsuSz0GKx4UN
9xiYtRG7Bdwr15Hs88Ae1RS+ZkxBZ1y8EN6r9de80zY/S+ioRekYQmioInTUoPNVd4Ic7KO8WDLA
q4zgEhaDmWwuD3cRTEcoFY3hCnZao4Js9LmLvQAoA1v6comZtAuCT8R5CVzVcXpUpEwQDWxUZyh9
4kwJR7x5P2dIUGeGk84u4PJU0RQgmf+JQjeksbGJLKV/oHZw1QUnfW3PY9zMMlWTdCiTeuw4zDy8
En5pQ2qi0waDO5XvNz+wJu0SAlExiQhjQ+0gHlEr8IBHPS0WEq+6hxn+PgAPfDtc7FUotvDYME3g
N64zWWDuQNKsP3cIS7QmHonf843ee4pYzchZEZJKarLz1iaUg5CwYz08mkONM8oyJRG7c7z6IltL
3DFYF1YK3KJqLQeXaKVSF7/igdBz+whBJn3Z3hJoqMB/mNHaziXv7Q0V6vdoeNPhOcXT+DK7fmcX
p4OqBkcJ+dJbcYN2nExxxmU+1KG5kw3eWanP26gDCgxnvrNkUlILIIgbm9U8S90q0W9JoN8+jHte
Wf0ThbOeRZbHQ3GzeH44NYMPk7DuIGy+92+bNkwRILed9GUM+AmFXdXjv8kI1bamFafGIYeD9PFq
u0dGT/aT70rIzirQ+7k9gSWI6GjmdYLQ+OdGno3dV5lGgne7vPAll5X3JVm1NKPLSkflD/9iOBXC
iBT3eDdNGWKYhragYV96xZSkEutAmoSgB946WCFrX/4fVlASOsvNBzMAXv1oA73/dIODembS9JRc
BF6QZB0uVni9RbZgpfSx05PvrrSLei70EGwyrU7Otgdvrd4HRA8FCAlgU+jZxHqD0VFmI0gdrYFg
9bws0yvivreYC8ciHWoB2zIbFy9WZ5pahYzWcNvAYJq/piJFQhDBmbhqURS437bx/mvvRjY5FF9U
D2pDzOSDHF+nVxLDkWFGH1OCXUfbzcd9mG9TOxG4y+fJudCZTN8krgAEDKBa68NFdJkE3qbgubR1
gRptKhgLei+9pAeLVBxpt3dKnRuDJ4TZO4e89FaOtwNTGCOGC6/2kKmaq0eLQ3s5hY3EHLDXUmG4
q/wNynEkR2gl9R99TPECyidZLO8GVXqsXYaHK1Nx4GTnf8x+LIFGJ9PDE+zYKwq4tZhd591mWshD
P2CpyW5Muj+McZMZIp9cycxzvstJTeKzoUxXvnsSFstLC8pT8uf9Lgr2MHIspIVKxf50/HDSMiSP
7LQ/L9t7zzb2QHuMQOje32D6IoHUAwT+Z2ashwMypc+eIo/jqFhY3tAOzYTuw1biZHDnaycuhaWh
LM60sPCn3d6PqAcKQb2hHWXA+ekOjCmUvZ5WrzStpyjKhroj3VgQ6XPun4QyKZvIfp+xkGR8ZeO4
r5vqUA4qRVUMjWcg/EWz5lwZWXf9VgV9ffabGQybcxBYbLVCkbjEy8t1LNwD9xL0cEammO4X9e2s
ApfpYedPS2wkn1ihEVz/xp6FxdeDKt4iUfM+yGgjOOVeoFGdycBhonbXyfIJa3fgvYbL5KMeolxr
uDWHG9j9MnWwdZ4vsadG6jwtI7DaqOzctlyx4Tzs6X5c+k5uxF0tcaaaTZJm1/4fU9eTuAv4y6aP
/qsBLVY2zRfBUktYYn2Ay8EiGJhhetMKh7ObySeoIfB4cqqj+IvWu/9AuwZz76s/YzKbR2pDJbWN
514pGgKcqIrecGmTxyHsGr7XrPp7j3S14BpDJlXbpwjL42Soj1RAkyoryxnikaFygHepEyk6+xoO
fbfCJrdp4DtsmVLb79dABH/ht50WVGHAlZWrxqFjjVdahcSqKYWt29+5lu9Pq0oDdj231Xw73WxK
Kh11K8YUzjdfBCwpaCFkkwgl83OT5pfiYwmAg1CnpznPUyBUo5S/0SnQoSiZdz1+KbsO/oeh6FVp
8Z+3IqTvZkJ0LW9lxI0gooQIwmtIPyEtkNSuQ8pmnXV6hK+0TpbS68CJr3hCfTwN8kRp591hsK67
PVaTwQoVEIeTOTuyaT2AU4Xxd5FhbT9j2x3lwtL7KiAylTb9XVhRjT36cDSFnd2VwXxUJFAakLjn
+b0ZZJUxPtVai6lYN0iIbzt8vqO2FeSlfh9rp538rYgIK/akYmDxCX9onW/TmMDigW1MhrtsOfGP
29bZXsKO7qo2nH/4VgyYFmSD+uGiI2ejIWDJbRmH6nzWBh8/Zdt1Df1O3kzgrqNVRKPodgfM1zzN
0Ft0m5eckKnc8Gj8jUa6RwMSrpustHLZUNOVrDciMG7c9OcVul+Im1atFNgUZJKn99ou5ZB7HOfh
Rczo6qdsfwyKWGaKmUUBEsi1OfNercV2c5EQZL06bw5VIsIY5bTjajm5LipyGCH1CDuN6TxFIKWt
jSxyD8YIpdpgOBr3AX7m3xaXiBC81uaPkR3I+Iv419k9mTSoqOteh7Rocm5UA45sTve1MVMvCua/
1qp4rOpeTDbZsDBVE9/9Qd2tFEGOz3os69ZZKpJTMbYzH9eE+d3Va3Vo0OW9Im1f5J1/l1TXoO3z
4wH5KwHMdTdVjVC0JdaBXxt8oQ0kjFXAriFM1C9eXUBM5KhMZRFnzgfng868Y5IOU97hDvaOeTaJ
A36FcwzlNfMW1XEKxJpX1yzI1CIRQ+r6Ym1itAFoZwVqLHEnFrOlWh0B4xNK522Ln8XlEBAk/Wro
lVKRHtC4Ew9kUHGIsuDu9glPycA6qPht5ASCR33qLb6o2FoMq8hIXpbmkuCTeQX4pmpzbZumqMe9
vEbiud25aOvTvJroNqHy3kY1aJzPu0oHzAxN5L9+vzvY5oe6qKA1CFTjCmHLbicMx3rO/5nnazMP
0I2VVoVCef0uy3eRjWtrHce/OETbT34DvSMC9lwUgGcPMHZ+XinY8yXH8RW0+81q4qE2uGyWAUrI
Twja/w8KD6mFrrUQUWfIzveVViq7UhKQfhjcypTt/R/Nx+5JCnRmZ5B9c04sUzv1KPiA1jOibxNT
S0y2qUAqAzpD0+irw3TtLWZOlyztl9XtmprfcziB5VD+AqmtJV7N2vV0tnqzPK1dfeADJPlGQNqB
T/9VNmPliD8Jty1M7+1s5p/7aq0pAuc1P2LGrjQUX3R326CYMzOVo/BHT1EnkPIFN6zF5tSQLtwy
i5lElXrpBbDnkHOunqiOSs7lMPvuSwE5wjuLSlg3s6yHwTH33IRNDO1p6lGywhA13jhed60QwNNl
IUk8U8jyX7KaL8/ob/Li1a8ka3gfDqUiqB6qgZouAc/fIgNt1vSXVAhLGORqaaIJvTblmTUJrOeX
CxwTQafvkwhlwjVm4EbA9eKwF3/4oYiHvA+jLpj01ahoXOyQcDhan4PY8/HLeTJEtAtP4z0zGTcW
jgwgEsJlDBwn+Di6vGQI9tZoC+2uY032VQdGG7su8c5o0zl0HGtQyb0ubzauGlsz5fYxVWPur4fp
faIdI/Bs9X99U0XXsxSrVNW20CdRVefIQ66DNze+8vY5mQ5M6TxFToU0eHDFxgs1SVG/JoTcl3a8
A4cKh/KxMeEIpXOVUAyTe+GSCqDcfr4e5cOVFlUEqFnj0gTBoPKFBZgNWYs92ikiYc5oIZC53MfX
h+wDvUS6e8+BDYQc7g73TUMGn0MIwtifzrh8lRFbKimpLqBxIr86Cvb2jk8YNG9/JotTVUwDAbZv
jH4sCdf+nz2kpbjBB5ABN+YovychNRbAtFxEmFAjQurUnMTvHms2v49XyGcwCVFYLbUBHom3v3Wk
TajT4wmLGTb16A/5aqLtc7CNk470Gki3vDtbCzqTvZ05MEXLTotWXNPUpu/gQ+dwMLek8lN8ZVLW
CEHpe5tPf3JflBN3CYxDqqns8a5NhkfHITIJGHC5QxqS/irm64lhaJ6yxAJRZhBUBUEmlo+VL71O
iAIT1KHHMF7g9ZEflPfuPf/dKPZiLhN4jj/5bBRiFkSggwd21G9qadK17oIgWxkENpma0KLEWVYt
DJq2Z6Jgmd4cC1E8+ZLAOQQU/w0PW7Gs1aoF1V3bpmJQIMoB5r7OP1FdzMhQZsRcvCYl8KmvXuYI
I9HcgXKOcg/qSjwtlsQh2esVsvWIKdYC0gZEpiLBbHlsQ4G+qiNIVq7+i9+M1KpVwF3FLUTgnxHt
UACetTWevpLnPy16vWtuPaidG7vft+5A5jqofLHMzvzkL2YvQZkTz7JBFytasj4kSoCf+hhcMuIo
aQEZ5bJF8stoTibvxpKNs5FPksq49FA8kaIF0m+bMqMFHZA+S+S6V7ztbqzclX9i02gMe7SamP4r
kqN6KoAgwaz7qCCleB9QoVH/Ij33l2Wg0vxxhOjwwAhu27VmWXxyYi1f17G5qolcs+GMUOms3sR0
2+CLSfIGrKtXzit2oymwgdwHW1DculluBj3Umg5250qd7didZwagohGmKP5dFfGTXe/m+Z8UNcCB
JCBPOUXbLDbo05+SLDUpgZtUd9ms5xrBn1EeD+syqtfXzHANSFG7WTiuIJm0vUWaCILWGRLoKZJQ
NojzcJ0w6gxcHGNuhi+ZwlhtLsBxQJQLCB7hZsbNvFMHCmkZHE/qviNcweAPXmPcuUtL/OQAmDhY
4FIicObLZAdeeRu2gnFWCIFsf/L5Fxa6itusIA2b6I9UZ7HcojPMmYAKbPfGYIkZBY9hBpwq2pCW
cBPUu75oMGI75ClEGlFaMJeIDFoSNObJskY1WLb1Mc5ozoC0h6sfOBahxRUwnbruNfl4Tbcfv8sl
Zz9Lnlrv0Wt2zwAxBhcCqh1gqKrkqXfLsAM6It19H2rxBcDav6xmCm+Jv7guQI/2CEyhH0aTLHJQ
tMDX1v02aVYRIeKOHtMbzHBVFeOJmDHr9pdYeKqEe3B/Rkq5yTpaLCBglVxwzUXDkUs/eV7BjQcm
yGr43JzZPtavEOFbZqaL3MI4xZomGxc6g4u+UtSqZto/BCX/cQ0e74mxRMWtobtzE8g9O+YKE6j1
Jaast4+BUx4ST9WDrgocFUvQuCOSipM4yQJA/SmuO0Tzkhuztmt5l1LISFkiwUqftdhdP86OSssV
olaqPx2+g7X2Wcio2G7iJO+N88IWcdgSD+CVFRHe5WbsAnmuvxVSIiMuv2H4rkyREmw1XgmAeVuX
txP82xQ2TmJGjNtOlN1BBs3CBLizoFz2pCBlrcEcoKFpt1XTE4McvgKkfsc8zuZI8D3ri/01UxVf
3CrtrjGJey4vPMqTdjei7nHViQreYAlfoZNxe3/DFzwq+fSXvwmFnwpcnqKuInCtnYq+XQfReE3n
GyZHTpMuMxSDIJn5do58GlXob7pwbAjfvNcUIrB3eAsUQ8NDR+eiiv7jT+wmWH7ckl66dkIRzAxX
b/gF3j/QmUtxygyV/YlGhZMtkN/FoidNQy/QqXuBh8BWnLjatWLJn6pYR+ToimdmFJ4uZhpebZ1D
Apmy/LMkddN7to0NzAvIJu8wvd5qY48oNqieXlO35PSbz3uOoGISTd/xxONphCs8ABy9RC2cprYw
WujP1xJmSakffLas9Yz2d9hoolbtxL0vYPeJURGbOQIMx1BYUxWZygRAoexuSjziRh7JDo9KVyhE
RhzQ1Jkgpk5//yjDstsfOAVyDiAlqVxR57gQAAqcfNV2WnraJ56xPlGHYzNh9sORaH0rOnni1gkA
hesdX5X+EcScUXiLseTPxWX8R+bbnF0wNukuUZbT01t73tp2o5Bo4kbK1ouMPrSwHXRHdGFInCYD
EUZGK6FXulu9XTpkV6OsKV1zgrWxTQ48w5aHg5fx1yiKuLbBUQTcJNhOH5hD5N7UiNQj1dqXBHSg
BIDO0eYqG9lZtsJpWXBoZnSGvr05y1D0GKuZcqLZgwvFSllj5/1tnU7zoFq7sIsO4ki4vxX9KAI9
c9ov0gwmBPG1tZcUlIUf0keAdp9+UMHd6l5XmVie0LUDuJu17rVEhnf6MvonXuR5blbpk/nNh8wN
PPVNEsDVUq3beAFzjvN8Q1ZbAYJjF44DLjKf4TK2VPoGnYvZFyyw3up7O6kns8VZWAzRP1WByR/Q
t0ETm+M8EYb8LesPMdSm4AUcu9qPxowwI3hzaRHHSr1KKxb9xYvtxhwpwVI3ymNegJliKGrtQCjU
W0He+X0Uck6WALtjrYxZjsbuLi405/t9hvfDDvtzRFdJyGda7tcIAyoilX2yNOAB6UiT7PNhYDEK
+NoR2ap49Vohg6YiI1YRO2uXtiwEFzvNLUClxryj7UJYmsiWaY6WX5hbG1j1qRMfmvT3xMSMNrZh
nYM2w1zeL1Qj/i14G0/SQtA6iLOyKs2Qy3Nm8QxN5CFDM/+YOcpf/v8cJKJqIn01Iw+M+YFHirhZ
0BfdDXojF6dKvhI1B2WF/tHU23NXx8KIzEB1f0Z1FU+N1CbK9wh3fuENUqCQcnfdoVu5nd37xmec
b4G03ahnYp5C6QyWeSbRzQvQVqRKfhMFWZrq9zZa605eEz4Q4GWrh7pWN9OjiS/aYadmnL6371VV
zyzGqf3DzIUPESOhFtdFPBA956Znf2HTOKwJsUTt3MkcSVeKashtiE2vCNA1D+JzJnXTu7TDKov5
fbb0e1VmR5Ol4AQ3O8p1Fq1l3F5ZIs5G/SEPxCE/aQ6ISYRcyuLhA12ptW1QDk3g6PMKCK6aOT+G
ANfm3Rsfuh3YM8f9oT60C45Myjf4dN41b3eb1vq7dUzXI6AHZ7fS98qXALRateUToQ0ysVKP3Q6S
XOXHdBfOzoaqBFolXP2GbYj/2R2vI7n855DSagtWomng6W+CMXxVecEUlsS8REaqrAVjWM4hwOMk
9jYiIIeXWzh8BgSPn9gAX1u0k0A/15acUzP+3py4fuTsdUszouxdQg1l6SXFrB9FFaSugFwMHGnE
nyGZVYDHSpRdiTz1KskZJcR3t8ETR+5//tdJSoHhFYa5ZNMx9XQs4FbTECQVkt+00KECiUF92TNi
RtufPMLFuWQ0hsBsrF4kdh60Z2ThUC1Ulo4PypFI6GMkYHrTP8oHJVmDYILZCLniccGn8CGgD2E2
kobFttTTM3TlQ1i+iETU7HAHxOgXJ+26jY0JyLjNmt8G4I4L/ZXFR+9fbxAv27Yw4VMInCMMBAvo
WHLzLOQ8OPeTwpkX8FqjprrX8Zf5RRyzUnWZpG9ipiquVHsac3MrbaS5JJNKH+UcKYzIjuwSmvva
modASim0VeekGmhpNpcl4dzTnXDfF6Xm48VQ3/OXd9EZJHL74QkGLJ4AerF/3w9k+Gpe7WXEzrbM
hSw4QvCRmvK44QulJmhsb6dv1yeILZUVo3bOWXlF5gjtts+CwBdYqkr/uQ7mCgfSzV3wdEBr82K/
n5ujtvOSfVU1Ak8puEb1+3vpefz6F/rAp/lSH4JtoAqXfMrbhysWs02gv4VWaU14Fq5ylUYJJvT5
tpRgOBtqOhGFANroIxFGZ+/Z1m9vCF/S0MBxMVh3nKcPj7UsijhjtmjUPPa0NDQ8XI+WtYhZkQaP
aVxbkuDGzMNZoVeqXqdxkTQ/rNWCYkh6Y+I+Rd6gAYT3Jd47Noo89O2CdQqyXGRe6DNWRT2ka866
SRn3N6sfH7qf5ef4FUX3aNopJQmI7ZJkB5tXvOIP4uSQLh67MfxeEHfR19JsVbtaHAapDAWp7yGZ
yq+K4Wy0CyrfcsK5Bx+lOryVpkvgZLkTGBGzwHzVj8AeGpqIRsi5grylj2RhGKFcE39tXQJOoVmM
vpHC1mDfoaBz8FCgM1XBBqBAEgYQ1nIV/DoSjK1VEHNQxTRks/neQ07EwS337RnldtEh7nMV8ZJA
K3UiMFgU+aX6NH5UuDSwEMqwnu8rP+pqaYNLmFIy+nmnaHKALQSxSOWwAy0Y3YAGoUp0y8+Ksq9m
rlcsOhWoYtU2m/Cekwlv+/JBhHn+jR564iRu0j8X4xW0XY7fMu7W3rDJ364R2r5xOwgzi9Y+99so
lC3m18UwMEr/iSXnutpGtV3OUZtsHvtzwVY5Wq4uaGSVkHqnJEZss2QwEvliCpuDeONupYQRi2Zr
izVpZaVXuyGoGirNLdhyIJRks03l/PVPSNJQRTvE0E6KB7HhbbvghOa3AlkZLlLbVU6d87uZzYbI
BPu3DwVV0A3Hebr7SJcLT7K/bKol56SvA3f1cHaTBTY3LRMzcuePCxiBwiHMW7R8jTtcIr8YZmll
hTlq7SmdF+edTZsUVTwvEK4eEZH0k6HpAMv+UWsbuTLv1zdawlo7ZnizcylO1S6k7U7W6nOiAdpK
YeVET2zpPa2m2k4na91d034QtkUnk2eY8e6sbiDI46b63+ZlnoT1OH5K5gx6EuiJJzcEKVRfRNym
eArtvuQB4+lt/FeFE2T6MJsiMV2FkhjuFMXRm5L51GpZJX3u5czUt1M7zXOvczDnXV/ehy684w/1
drtxhIhhvv5PV1QfRiCM/TbFl5EgjFns5fuwYaTxu2YjszeIrS+21YLAIfbczXcL+5uqoGymqPU+
H5ywEbYQ2tGxSXeYiyep6YEn+vm3vx2IEUOPgQ/plx/bphNR43zHi6i9RFw0GpUcQSUTP/XXzRAx
MWLyaEw2zoPdSmIQ8j3zPnQKUtAM2+BKvqcSlS3CpmNaTsJ7gSnJv0XlEGnj8+97Gaby7Q+71ykA
pNXMTvsE7l/HKxZHlj159mZDT7NQNADdBLq33UUDNOG356fuFteRR2nLAJw1qRbfgjim3D3zmXnP
XUFV/Joqh78S3T149XldL/ParyzdD5ZXmcVTPvsSZ5kIGDXUp8S9LLYOIbcochtJ85HuPPfO1IoX
xfWKM+/iAX1XnxaDQoNDJK3EBUaIugnLS2b1G+LjlxtXm/iabFpmmrXk84mUZSHNzftRcopHilY+
Ws7bz0FJcxNmLqa8aXi07kMq0PxNmS6Z2oMlhR5Nq44VvzqcxbRCJtfVAOSWwgyzDgcy8Kl0Qa9f
tc+aVovN7AI0MzEp4sg+yYN17q4Wm3ZuKwBUKPntTBOZFM4cI80YZhF9hqJ1A2pr430qINO2qOUV
ulTbp/7BfNFFlvIhrt6RNJrodTz9raJUKhRE+GUx+pKNuKdmjzgB+JCkM5LVKbnWg19O+LfU0N6j
1+7xEQhqL9Ghar7ZTi7EgFaHm4qLJ0YJWbcscODi+ydeDqFV547R9NtrEoZ/gfPCrUTVRWtItMoO
MRV5bu3sB2c6dtnl5Llh3sO1Q9X+NeFfixLTX86pDR+xiJbxaQVMWEcOM0pzOM0c65bBcrG4spw7
EByCLyDZkz+TyoyJpenaV+FirhLTdQnQ4Seq5Zzst3ovZN1mTxB0wzzw3/wngpAUFQ+0Kv9QVvUG
QrLU0qEiAe9WmA8LI7ME5CuJ2QHCbne8UswU59rrx4IzfeRkErZZCfUvBuif34xf49oKA1Pnhyvz
vEZL7eDYClAGeRa8vyCqJIp+mnJwpBN1w4oWD56eccGaRN4lPzbHlkdAFrdobb4kyLq/UxXVkpzN
gckCAh8qQKd9YpKq/gR/IfdZhWsWGcKiUyJgzjCh+h/5rlFMwEIFrXW/Ff7N+qp7OpWpYTSy6oMs
q/C2+V0iXg128etZU0EGBAELTD8SLlDnmw9SdI7xXXFj13FqZIhVICvWWIDr68PLY8KqhVhd6hPI
eKgO2mclWiZYqSugSDv+gnEr2jOPb2H0a4+c49GHw88NA+V0OZEpdHksv35/0JEzqMtu3slUeB7D
0BPDx3tDK1rig4+Ltv7MuTERmIrYfgSQwt/b9aSLSoJQ2jdLD7vNgCikdqnMsWpXXxtoH3QIJweX
r2aYPgwBzXXdbUnZvrlZbfSKTz0lhS8PXjqBrINEvSjNJlH9w6eB2CB4xE+EpQopgK5Y+TZyHT3m
Q61mE7yYpxzxfxNE5HR+CY5/drDEiL5EXKWB7zCXOyzM7foM9DId9Hvdb5ux7LAaKTWUvFzJ87xD
g8B7W7J6VfLfI3znkHeG57FiUMB+2g+0XHVfKYZnQl7/JC5vu0NyC7yiiVCnmLEM2pbLPZw5gUWN
vqZwTUk2jJDgEfAIyoOrP5lDD4b2U4wGWvERsszNy7JZvtTW3vhdsvvHfmrTHByj1KKnfkWmIP5c
RQmx68DeAc53CRbpl7bA6rM7iTi05Zp/kjps85RUbBjT7lf1A8qmvZgZ6cwya5jgq6dtXfhNICpb
k+yz8oDNmfiKSNI3n39LbTv9VjviEJa+pv68B1Du8oz2a6nbtg04KgZbDpadRBMMCmPE+I5D8uoP
jIdw2wkuQHOMAnewLts7pOZqGb3uB43qE7LyJZ22k9B2CQ/oBGNcAezPEEu5FbQv5o54kB+vlKw6
JzO4OZ7Ws+LPh7o9/xjqFrI6WtGj3Ilv1nXEaQunlbInw9k8IrvHN5INVe3+8b3+yNoTV1NC+eoE
2A4xO2pTioPjIazqgTEvfOh8nT/rNrcsYDYrHIrfm1xHpYoC1cGF5QsJ6wiWzAcYLvWpv4orv//N
NUbhi+AxJdp0daEF6G7Z9Y3i4EZ192W+l5AWlJi4lxP+C8VlFSlKdn/SFEt4xt4rQlR8x7L+6R2E
DyabV6hvc3m81O5DIT+ytuESY6PfPIkfm/PQfJBWbKXpNIjYITslqgrvQv/CMYUe7tl4gUazh4XU
Z+ppb2iL2Yfs03Ll+lEWLXo/oVgDpiT2iFkpa2keKXrD9c5IIwSWnj1ezJX2jyn7PRv9eBMUfpEa
fxtbMB92+OWuMR9Q0RRzG7H/Wx6swMd+ezVxKG1ONcVk7Vkd1H0H7KXHVwuMDQ/JmNXOL65Mj0Oa
6wxBx8yJtRAUY2F/BrW+7jt5TZ9z9mXty5LmCMWanqeEbdgz3ga4mMeIz5q1OzXHTEQKL+bF7Xb8
ms++LaMWdU3Vf+c+iL1hadno0B5CmBBuIdL7WEXIcoUmfHlHTUB6XtnnjANEyRpqRYfQZMmaS5NV
aQchbJ9kh/lPcAtF7DrxEeGLYIOdLwcBsYk3Fwzf1g02R22NCuedjbsvGRjBss2Ut7sj1JSEDvfo
ccGpPeD3Yv1iq+EFBlxL53nwG5U49KOtykj5JkmawRbO6mpSis1WvozekareAKTNsyTAkWtbfyv8
6tTPsWjg3r0xDhMtHOS88FMfWs9AeiV9FIGi1OIQHv8ah/pPeRMKgmaN4lZ0gBzE5D8c/4GYBF5E
WYRg8gR/moi9YSGCejMOBDOhPUn1EDWnti3g4WUy3XDNDrnQB9nodlOX68uFZE/cXQjkaLDQzF3/
gnbsYtdtVemO2lB5YZBVC5Cy4VklC8glyxgza0E5GNkHCNCDplMwkln36iLMdsPlDdDnbDkyDloD
AVW1XZWy70cZfCtW4x7vo9IqkeRi3r5IvP4NL/8cEDXHeoRV/Yq0KqAiHGc0P1s/3PCmaOqme/yu
cv5ENvmFqpJYKvSViSJFlRz1A0iAFccUSR9PJbzUmXv58jyZvMLV9J3W2rZP8tdCdw+ztgtyOyNq
kFmStdb4P6lhZ2I6GQeI5uwy4QEeYB7OxZPD57S9zCdmchusztQTzC0feRWISQZkxHSM2lnsWtk7
RI0EfXEVccSR1WiNTuL4OlNUmrJF6eIKKSEeozTnBR5zveI7WjMSJszHyJhVi5FelwMH28unqSUh
tMvlj7ZZYRPIFrK3r0GboPtF+faWEnXu8DaBUrSvRFLF8ivfjQM8jhI118sfSrVlFm2xcPISO23D
SxYZ2PACZZaAX4HaLukYf2Mhr99ft6YXyKCX3gpJIBfbmm6B0G0kJwhTSZWfkqRv7NQiTWOf03mD
eToNa13Vfr6uLYc5EX/l97j8tYrTHihtr6Ysr20W5gUGFHxtULtUrOZHhYpRMbVSlcQGixx/JAzv
4P+D7OIo7ciKSNZmuJ8MDgZ30ZpUMy1vkBdtfmWEj05rYOpfoS7JNHeCJH+snN0uUvrVaRfwtIz6
zql6j2P15B8sSBtcDRLFUqi3RVzHy5ppJtm6GBTAvdVcBWJ36Gl7sUQmaehB4KUPJ8MEBeerwC60
5s09EA1AoPWo5Era3YXzOzS5v6YLbogU47SPeoCKTWLxGpMCXVO/amTMlZQEmA8HaOvFpC5zn2iB
ROsk0TWbE/GYitWEDsnOqQh03WJiCtpIqhiwYGUdSXI/mI+rY00rozX/qB5umx8ny368dtj1BZgQ
eVibGFBxui79JTsR4ixR5pjcYd4jcn5e4mb94vGAnKM1bGpEy4MKHI/C4L/6d/lS5CGW9seEGq7g
kOguCWLsOKZpWVAVqmucZMKfvyJUEwhNQSmrofIByis4tw4U+Nw2gtY9prH0fYPLJ2GTDYKk2kZ+
vOEcBWX5qsDxWxeuBSB6gnSwpkv+z7QyZtYRDeKrHNUoUdN+/vbaZuUvckBqEirsh+/GFy+yuOZ+
nyL8kuPDrG0/B6wOB8kZj0m9z3WvtLcGTU6p6Xu3B3Oy+RZ5UYSztCSyGBCI/P1rGdaera/yq+7e
K6PxJgyi8q4oH/3AfAf+2UOHNMIyFHFrRv3KcsDlkBWEvuZazTGpL6p3SYYzyFcSSueA3p7ZY8s+
n5zeeayufEVdIKAkfEv0+lSgeA8TVTDykijukDCWupqS3smgCBIJfT88iaa8FHcJ3+sIAfE3mYrr
jcb8fE0zo9Okyy1nQja/1JlhzflOrPYwmwgplqUr1ss9y2XL4KKQFjje7XAYStld6aUsjJvgM30O
4FPfnIVrBWVxz6M6qkI1L52G5b+4cvLV97qbiOSYu0Z78kDWE21UPYAbj+Ph1cFdlUGOYHU9CUao
BPC4LSFuOXhsuCso4QqT2klKtSZAzbw1mvb+KZvMXVxMI20LVok5y+O4bfjb4UJ1ir48PseNK+CH
eFG5yBlpWuTXxdG2JL72fNiGFgb22n66zFhC4Vt53Oe/oxoxsp6YPRebIMWQfGUMAe4rzTL5AALn
+DgBCyBAe1JUmH6g8yIFhXseru54PWt9NAkyBBStE7gcWazx1eABqz0zYYMgywsWD52uM1moIZ3B
g8OCHzFWODmTmea8FKbk/akIMusAgOo7IlisCbeR9XvEWk2d7vf/DIacskSqG5fxskyhZZpuYMAQ
aqCXMaAcMBr4Yel/IHn/wyYP84trqXd8BR3TNVhuAZVqRLob1HH3wK+tO8DqXD3xf1Xxm6+ZovUE
MOGoIJd3KX785hiT/KsKi1TiVeRnWUoZEcNGZCu8RZYrqg096h12vrhrLTWVRXnjxhMdJbL77Ou0
hpEXJHL1mhFhZol2PP5z/uYYya8xp/dZywD0kbSVXMm4zDZgUePSZtpXpF/xRV9Pns48Wxm64YRd
st+uAJyEZJeKMFRxlJhyb+3rWOyylBilDeO6nLGDeoRlAh2FKUa0L644V66H6Yntq0qo+g9eTgpY
dgzBVhDF2Z7lYJCqp6fUqhSQ6PxHBELVbWuFaNKgl/yh4KRM+4xibBuQNBp7La/+FCEBiRZCnN4f
Me7gDS70AM6SjAtxRRzE2IQRhlZ8u2aPFIMCREcn3tEQEHiPWsPxxPTMfA5gF/uO27NFhEnIucL6
Tw7WyXaGkK2nrNPp2AQp18mUF5pCZMmbF0+IG6plASaz8mzHxkhFgJN+L3LevTucNXS3eQJrYpRw
GFCQNXtsIrtFKNz5VJjR7Cqdj+5E0Zic1phdjPbrZ/lKSeRu+j/lBzosMFNTRvBEefZftBvbUeH+
CW8/QvNFazB10iEmkoCLmBZxu6VmuTw9ecjMSxBOIpkBDdj+Hlq+DTneLGJpCS0y1Up1f0X/eori
dLr0Wph5bi6zANo2GoBb6TYEQKcP7bJetrYSzVw8ozYoj7zKL21MqEmGOWVOpI0UW33++P1zxa7C
zmrxCuorPjOt6Z31b/QjF18CMFcBm2W1gPH6l4wIYLLm21Bk/mS1jftxe6RZgNtrObP/Rs7AARnd
Wi3+N/d4zVMuyOVaIdrKCJHXytekdxhXArN23bvmE6ZwzT95wiESgeCBAU6ZwY0/httL7oFdr4ko
fbdsb0ExthA0TAR+1dPEqtYxoErmrNsd/755nlIpYi6Q46eL4J8520JprVbriJa0BgsQQ8udoGKg
nJaJI4CDgY3B4+EcWSnV0SMITJtkDAKcIG4pUMaclrGIzJ1rXNZLdC537MZqBCdkwMskuH7Jn0o8
Cp00xIjOxuMDzCtFT8hffwEWriCqcNWseZ5UxqA9jpOUIukvOJE0EJlpW0gBykavCOuNPYW+OOyP
w++qAfe6mknvtt05BUJcJ1XWEUVq+d4H9HP0vcCDsaIpxm/oVmt/bZqCidwYI7tumQfBXoG2OqRY
6AVrvZdOLRiTH0WKWnSQ7iNhVW3GdwSJCnp3D2ciaIG2zBcl7IlOqiQlLaPL5M/LD/3DNUlbun5j
aq/K4KmGQNdbNMIpO8oI1nTQL/1ULuRyGjK0Gt7ug6kNWSR+AMkyrUhajckuVOedWUgHtBwdBmbU
fXgeEsGBYHwZRQvyrzkF36/+zI9hMSJkq5TbMISX5h1w39MdZ5Hh179ondoVbMCIyrMBZeUsbQcE
AKczvoBNBHFwl8l34IIl/PWfMY3xN7osmlDc/ryNLAr00wWxkCqCej7Zk4eGu31qL9Qz4esisjIH
C8tOZcf0IOi3UTN3ZtEUnq2v024kuePYy/QoAjQWu2P/fhKg+PKcTOqUAdvdxlyGHwdthB+JcRKg
iybdG4KHC4Vw3eKlZ7L77vpqYdfbA+xBehplAXYZMcHOO79rgg/Lp7hTKckHNjJ4hmKkjXiiOUXI
uorT0DdS5U97pG6QdHpzbGHAGX7zu7ED49kcDn6AFv5Hh63mtG42JxkHmGGCwK8TacIkXF3Cr19I
DKIh0R6LmIKQEP7TwoBcTC0U8OOT7E/nArsTGgpJkYcFNv25R3mD2Mq3OAnFQDz/oO6BEa5mRPs+
tFoFyWhGvjJjaRFRs2/MaqGHTFCjLQmapNBIM2GXuJk05jZhKNGQPRL4oGhG3PAnJlSR2ncp5Gux
kG9CNtnsIJPmqngGmLNXVhYuXthd/Mk2Y+UjvprcJXMAISudvy2Wj4Hslr4MM6V4jljbXcaYwUGi
X0k3DDns140SkH4BhblkQLlUfF3YeoS1TITE1FZYhj0zCUJ6wMHnIHJvCXeFolQafAR5oTrZNR9a
G99+1vp061rNK7EFbAFDx7kYnPTSzQ1f0+/dEJfsWCOYHqNp/89kalwIhE/lQ7WGn4ggb7kBCoot
Dn2L8nmdz0vqIDeoupxbCoJ6z5G0qt78bF+lhWbKawI8xTFqEjtgplwHMCL7qOK4e6CcA3pyVlkA
xrhpxmA5m2AF7Zx7lmJaGKKXl/FM9XuLgq5UOpXhSlM2X3NBlkHukOY7pCe7zBOtkrAgC6I1yXeC
DoXuSRGN6F5XPInEYFR+g0Rkc9A8BebR/9rjxuoKgHDKgu7MfDQNAQPPo8ixNN/t5+mLJw9tpWIV
4enJL7Z9i7YLSN+ht+43ecMcnZ7q/TnjbTxRcuCpFoytoQWqtgoY1s75DpwSAI+N6sTjhRP2Mye7
JpBcAMsCulIbs5KO+04WHsvfOpdTI755Mbedndb7qUvgNEVOp6jLt3YeQ5YqcsqVtrYH6WLp26z8
BuLNtLK9t9YZxqvgC6h6litZzA7pbucTGGulxYMQ3wEv5aUyIqS93YL7x72EhMRiWSPmEZdQRvrU
Mo5aphN3aksMA4SVXRDqFITxjUHkArV8kYwII9nCmuLkfZ6Mzok5ryOWlbkxwmRJ55xN7PfACb1U
TxRDyvhZE3EDdb4vo+THfa7zrT8JaU64d+qBsbVaft18jJNP2LIOkgVXpr1KqUctcEzt66vub0gq
AvS5UGfKr2mrcWXXd36biJLoC0KzY6xFLL1C79NP8bc4HJmnysa7I1ZQ9sIkeIM4i46xj0TvCSDb
RHrLBfb5KlABrpRDBqveeoYmog+Pg6pPaGTCVBWDX7B6ZQvpgqS5bz2lXNfJrrJnUgYEqAUopR4b
wj/z2T2X9swK0YnYgkaoAzgVyGS5DpH3kS6H1CIsiqE2+otRijxOneNiOEIGSwc0SJ2VQ15OLNSN
gxUZTjFkTeHzU8A/Ea7lAg7Un6JLDpUNTkqWoayqov4NFnsCUQo1/+FraFMK1Mql6ph+VvwlqyP1
CWokCiOMpOkz+pYVFIeN4za11AX/XZ6QxiNrPGgVwRAvf40Cn5DT4jCFPTlNzEXX9BQHqQL2duA6
pMxr3iDEvEcsqhAXWehHmx5NqruQVhJa85acn5bKAll3fCKc4vNvRk+mNodO+IxPfJgvQkw7lTHt
T/2s40/5Me0cTaXb9z1HFLMD7ImOgtWXqWuMtbXmNEkIIDOdtTpD+Y9dTultfJJvMD2EzUD56mFQ
s3ZJz/tVWFf7xDcAyvZqbqW2VsZnRU2BDJU69JHIi5xHl75A8l7hdmO6kkZDeTRW+YzjBn+zEmo4
8kWbKorWNgVRdxNHFf5Q1Xea+eXWZNz67y3tUjC9CjSuag4E7fOtH0xqjAQZYCO97q5l3hPoL1Yj
i/0X+iHtU/H6M7xgiKp/p/HiKy4JLaaytRJFSUDrGqJNQSC6Mb92dXzHp3Y1eJaxjpj+q+cxgrOG
A38PXur9LlH1GE8rmdo2ZQp1l0dMEHj4DwfuZbNpR+ckQzlW+m6CGqplN9xqfxENruRzwBqFcvXw
7e8uczDejSrxatHWKyVwwSDT2v88cmJ9focnpY4n7rckosrEWKE5y697FcNu6LdRIheS9uhMlzvs
XmtZuk5FvLm8P+4YHo99bZzjH4WT0FGJtJG4ZuQDR3lbBAz42YqCpiQHXRP5GzYIuX+FaDgzkQeq
AdPfyLYxa/2vsHOapcFy2pd56zLIcfXRNrRsjqPQCGkJtJsTK8ZQcG8y0SFLUMN27tG9ujVVRGOw
s0qe5ioYCRcQ/Wy3oRHVG7FjfNQioyV4C0viMPhhUSP4MuL7z1hIWfGW33I3gQ4C/r2e4H0iLiFO
p6va9kGGMMEy/fj6QGqmGRdUxBXPeiQxaTzhwzHJJ9Y3WDZyuk5H+O8II2ZHwQjk0GFnFZDw7EkW
oN5SPs1n0+pm2CkmVOGYAs+5bbYxvopQa2Ic1B7pa+iar8qvsgklkB/IUmJdZw/A7MKjLElJt+8J
odN/QfzTrszEJ51qvGTWJGhPaLPuXVDJq8/ntQnKQUM43FwmT+W7ovU3D/aK87mb6TZ2LMQzaQT5
m9pPp3Me1XFS0kh+fWyL890uiX5lJW1nzDhwpfAeONFFUtQqnTorhhEs9SFbke0SOjB5FOzembbK
HlHctQa3g4AO+dBlZuuSINDJh2pkPkavydyjZCEW0Q86eiewij2rpxZvLeK78GmXnqYvXj0QpIpE
85r9WSBI6la2mrw/xcilQGiBTt77FKR/dBNz7hdCUz9cbc41LSgZUaRudUmpiI20vtJfgyGkkVx/
Dn+9XPSU5XpcO8tYIf3Zc4DmgqeOwgzgzZy0Ypd/KQGL46zNgVhPn4LhQhgh7AmKQ5kzVBASqwig
7GJh6PP5Gr4smgkpkOm6PRU36pGZcGF/SG4Hgs7GoMhtB2UP1xha/qV8KEJ//ifqeoqTB2ba9Pgs
LkirmBtekcfetJ/PfuvNtn9UMk6Z15CB+oriEdQ26ddPcpBK8o+5myf9UyRTORv0h8j7kEOa2jts
XhUJQ2TbD+YnP3ZTZfxjRmKB90aSSKrfrG6SdrUylQF89v78orx2Xhvppl/tpw/QMP5y7aejh/J1
hKrdFSPPlm5+lovUKsZbXX1ohdlKv888/PeytqDvYWwPCIx/2pJsQfCS3JIYUIwb6FthM/E+sRjG
Kt0k5E2Go6U/GztV+XEgRdZnKh0Z4rHRDX/04sfzYX4nqJl4IrAO/c+eDQiHyI5exqZIHth/fRh1
5AiFPJ1GXiybX4tnyjEJtMAELmPg11iC2isW8ao2TLcBu5LHlV3YBKpAQiN3ggGKrmd6FwwH5hD4
o5gFKbkW/p5mTkStekoGWj8n98Dt4RibaMVWmWPpYjOjoPEInVUt2spgEchJhNJBGJyTp2tJZs+f
7GTDbemk9+Lpe0ZuFFmAOZWrl6BFWEHd45eKFOWFW8HA3GSBpMphUUUftNQZ/EurDIiCx5DSujcg
Uw4/NPOLCZucVGsWZes7voHM9S85vlDyhmkTO7zezvKAuHtR180veVG05WdZ7WZ+2MkqtVOCxYEB
6yDkU6ZkeoEWAYjDryHqtRCtySEUJ7bsqXKbLRpBAKVpbbS2hWtFs+6K2jOKB73s94aff4lyTTd6
a/myxEpMoAhgNwVQeTFQU2zuAs6nrA8g8mdFwzJKtXTiNpbYXw8dq17hFVPSQFB3p7btEwKVAGN7
QWT5gOhbR3cZ6pEBW/xazyi7C4xUw9JD76RZkAkesB1Jlaupf3JLcYnMVdxHsgUK5qoNXVPLLSpR
XyPDfEC3dcUBFQmDZvT405Pgv8dxqAUMWXaPcaLKwryecNfA2bdrFwzWSu4Dw3Doqh23klQOSJmI
x5i77EsLrES7JGO19b3YCjIo6/LktGBYh//RhV3nv1ZLzrxrHkpvdTptgE4VWrs4BBDiLZd1sWh9
hfhv1WAIXSNmpigiz21UVQwH7ecl1NIk1LN3OOTwP7gcmryrFDe/ls+TjLfuqihqe0xxz2L8tNXO
BgP8Yi1jZqspVXZLzXV0Iz/Bsm9J+cQzGQpzvsZ0hBluRUGMpR3WTl0ZMFCerB8Qx6eRjXp6qHhq
gwqKBBcbz4nQ9mI/Nx2uHgI73RXY7tnQb1ZN7+mdfNLPR5q8zjYCFbvTld6Rr30x4uJNY+JjJa10
tRqwGlA16PQeiKlmRbxolZ9u7jR/0mmKODk+Ylr93DZXFjljDEGNwX79pSxZBQTnH8RJlcqDNYlN
REXE5u7cnmET5BLFDZp2Zm0B4OTNxwB7MiKUDwdgm9pDf/cLF9EYSq/VxrhG21qrbirnL9OB0NlD
S5xZJ+AWMuhizws5tuZDv2V9pgIbwgWdR8jiojh3nUEHlIzErAETqVWcXlKlhKLw7DW23EwQyTdL
vetE02kxn9CxiVsrHNWeJmyNlTjwMW933cqdPOtBSNL4b1qXPx+8gR+8v9jPQWHTYDHp3jm/99Ub
WdVk0ijvuJ5Ii7MMNS2ZiWdFnj79ycQBP5VcrIvp3/XVSBc22HRYLG1j5XU4y+9J4VP+Xi8SvC1w
WjDoUeYEewq0kPo8eZGRye+DK0VzrhPd+0M/MRjPXRR1MoyAZaphW18HZo6XZrax82L4CmO9MNYE
wcPrpS8Hs4BLXCEoDYHTuK6Rch+MP6/BDrAluM1mDYWqn3n3rlAnLdVuPAmrpAuWR8lq7EIpkR09
7qis6AvWyofO5l5Mg9AZ5CtjNLEshHMqDI1yEIbgo9RmrBFv9KsRej6G3QxK/U1HNC1q1kRuruqx
pGZCqPUJxOSXzQs+zaIz8s561zjovEf2tZtFOmaSF8vAEG1MuxXQ+5ca/dgAPqdKtJ5PJx9Dl66+
Cb5lOCEi8+8JO7GEvEwCdrSi1VuuAUHMsyLmqbmJGZ3b4M4tp9EKUmIct2rmKaWf6bfA8ZZNgocz
j2T2Cwuyk7pe58Hr8TZggGp+N/BrlBoLhJd4/pwq859ruR+YEYwodcjZdAiSs+GKdM69EEFQuYhB
eeRD3L0ZIvuKmqg/CZRVD/7QllzlZosn22kB6uhRXf3JXKQ+PRVa8FzhssaJMHdKiiT3hufHFw/f
2/kt+tqXoF1jo4NalDk+S9/1hsYYQoq9rY+lZOXdx7p5xPIsQ6iuF+DHgS6NGFZ2HW09XolkN5C6
q4qsJkV+sG4tBSn1P/FT9kDho5Ma8TVWZ9lM7HiGn18D1ZPZYYuaGo8PlkW3MT4ABlFCA3MfAD6B
kozCU2SNx1Cde3XO2cx27Ijy3gvXtaeaDH1kAjSwmUq0CbYrurOu9shGYOalSdMkgFKAQc7AtWKo
9mZKkNuPpA60S7NR15Z2WdB8jcSMa39r7q42qLUxc+nJt5d6vGnBsxxH1l0GRZvX8vor+W7XydLE
fqAajlmJpmqlIxLWWu3k931ujLBC5l/BrSl2W6kPDcSlq/ufHlGQYglg/cWSPV9S2axcxDfai2T6
RB/+/N0OERm49w7KbChCgFWNyYL5Y4PGw8Fdn+vr6B+4DxcZVxqqFSpcTyd1ppbVY1IoI4yowxO9
FBCBppcxjnB2xqbDTZa+t7eY2CQ83X3kzXVFnPUyVRLJDcL1rY1O/HZ8nV/MXNwINVaiHxxxOQWo
0utL8F95laEPqc8w4cFDQhIwl/LdG4TUrTH0DAi0fdsI3JHRQ8DD3/A/3y6kVZVEBu7ntaNoJonP
+MW0LKqbN9ZZjvjWKFny7QDcCyDGoNG0vIxmMYZAh+r+MmM3gXlO1d+uGngdsRLW2MWuq8hmtf1w
52zpBsd3wJdt3eCGo5sq0lZVj/Fx2Xl1Cd7sko7Gh5xEQp7267kz1bhkkcaAcvHqpwVg4pUOhkKz
0IfioO5V+/VmAR8Flik5lMpymOIw8f7DjI00M1pukKlzDq4wMQrmRtczG6NSVEaw70gR12LZpaZL
n61KZ+/ho95E5E2H6CCiDvgCl5/r8MquFlnTFi7bAyDIhZeSM8QSjV7bVtNTZk4x/6ubVbL+GIYD
r/iIM2H/Lum0ZYsy6WRmFzYSMehD2GeOHzRRTVmk+3WinNAOCpgTLpX7Nn8nVqGub9DW2Hj2RASi
3Jx79WZhUX+HFG1CMs3ZabILgn73Me1sCGuvXCAHhz2miQsE0/YYMZx8T3CzmOphKcoZeE5oVCJb
8k+6W7AzjvCtSxydZdTr4r5Kd2WpVzZ8BTRR6ugMEjd3cabHoBD/k67b//W494hvjZW/mTjkykQz
remelj5OeSWkgR2+Ht3VCzinkAxvFLWwgUgvw/2aHnsNUJsDXN/BWNoUZBqIkqjIyXZbuxdUmxef
Aqm3QU4yrNjIh20yFr+qwGB+eWCEJai28I2rmVuBmXO5GzTX0fZxJjUn5hJ1AQ41HxmKQfU2YlJp
NYAqKKnKNPWWrjzKZoHfydT++fr2JMJ0T419T5ehUpSwG7Fpg/YKYzaX3ATyN+RJSCH9MvslkuyA
8FIqSI/0tu+XuxGsTnRnLFwxatO7xiu+Q5J5kfwbN2otY0IaN0TJfu3dDbP3JxNm8i84EUQJ/Y73
xSr+vk5ueiJCZ77bwApHXWSa7buwg6DOx94sEMi7iy8SnySZAbDWKVzOAtLFIxIdtV/QRXuElm9i
tzFf1G/efl/CCTy7MaaAThI/GYSAyWlpzeEOTg5FyGnkJN8VMlKGF0Z3AVwmKrb/FcBHCcEzTOc/
gwVMae4Pr3hFWM4nRj9/oAzkoKR0WnGzmBoZJeAGKBmG3+m8NhDoQnllg8QUiZ/mxMfx7xwBeVjv
WITl8qlSPfHAiPYycss9hv/DPUrXVHUtLksTzuiyYKBzxou69jSrNjhJvMPJonUD3DLRE02GJOVC
LD+liWels8FD2FmA0RFyxOwtbaFEfKHBkb1bgYwHmMiP5arVoziulT7ZQtRu616bd3aSGulZ0C8m
ZNNIpW5HOTerusbUpCQWxfI5j7B3aBwl3I53BXZrSFn+1bFOiPlktgyu2kVng9Vlj/jAlimQGmnJ
ztgHNJizxAeP2nUMZ3LDdK33fBzXegAqmJKexv0f8j44gyA8MmloZOJP/K+Xmo1FUFB+uvov3LE4
leTYunujReFLrT6Y6DYeBV4WrdWg30qU7H/5pnAYFfs+QN4jPFTp+Wqjuig//sLkybKdNRzzzU16
1yClTEvDkL6ADyEnIX2jHpioZ964lpQJAv5vIKqWmYqPyFzg5QiAGFzD+DnqASbdQ9xiE4xLY840
rc0tlGs7sRNanBfqQqUXBqRET0B+2wJwhZ3/SwmhobAjtYFPrHhqWQCAbYoNixIxfH6UbI0o8k2/
/i2HHrwQ/77/z53bPaFXwx8FvJxGBiQnoc7XC09sUF7ekxLtE22rcIpIqFrFIH0zKYPThTIogCfJ
CjuqIhTKB4cmoGgFdh6rJ1DYb8QDn4+jR7cAgfWur7CdwopBVbiRhzVToXArdYQ5RoBjigCfkuOV
EdcoxbRPDXZOnjebEc53OvuyERaIACSv5BPc9QRAFR0e+eP7WegO0gzKnnVi/Ao08c+j/zRDKrxI
bYFr855RQoGKW7Z194oMAx55KDyRDtZ81e1VU61emDAIjzCpEFZ3FbcTujsLTiHecUrsMejF4PpG
Qlm7RIhhLk8kjVH+JJRUQbRJ6JW8WdK22jAP/0qFdX5mMf8T117BwDL5Ysaj2O8FV+4Fp7zEWBa6
IslKjo3HU4gEWlQxd0N8Ufmhrz2Ukx56zGFDcoiRkpn6m60vgKfnZE81ZTm5d8DoW1I4LGFBE1IS
UrYvwnwL2Rn4Qs+4mD/txjFtXf9gdyBkJUfj08bWpFUWil2E195fzJ8BPyfqEI289AN3RbMRlQ6d
DRpsOQMCr0WPXm8+LBeqpjd4XL8BuygGjjr+z5+xyQAunMDA15FRUQhpkqOn38qu0SBveHeRACc8
HPVfRFGloFsMa9SJ+rprEJGpGljQDAktMw5Gc7FXjfN5zHsHTJyMgemoAQ5AFcQqc8UaC5p4Pj96
R8ZVlOMpByAhDmw9BnULyLs/Tv/vkOrSbVMrvl1MKMw0shkTls409d1iRK2VB2yWI2zWUeQ8C1dl
hcK1971dnuQfdVSxWl559pOT9LdpRz2yfeQJ1tyZZZjw+6loHEx4x0QxeuwDzhFNhCOL7qj5Gv8V
vHggj5diZ//TxbqKF3QiiKwUgPUHFy4BVAaNIE/Eq+pmAJFzaNaevh/WeixuWMx98XKMU3lQCmw/
p3eJtbwCIHF6miLL7Zbhyx960qG9GKZPwr18/SEZFGDeex3oreVzkbQZIxi4p1aDMj2Yu388mhWs
S+CE9nPsi2X9+X8xSbGUHnlnUw4CV+p5bfXX+5JSe4lkyZTxKVGpcsS8ixIr43EQ5iqCoeHm3Ikh
UCpdENT+r9CfYVL/QS8MlPM2e0m74MIFuYdbzAmUtU0f/0b3KneiizqHPb0I1diDh2B1H5N5LX1r
FRR/yv7IcUpDrpTxGT4N9PucScOUuRRoWCf6TKc2mfQ8qf/mjvGPDRulFW42vMzCaVEsqS3vBsWn
PyiSssqp5d3+H4T/sBlDLYJ/KC5W2KNdjdx0AcdSxsKML+o2tZvomneOAJbne5Q4VbRJl0zXRT0I
CG4kGZ1PzMWhPUVd3BOAxbSiZTn2X5z/zc479d0K1ywAbnK6Zl9OZH2ryO73nRm5dKvnQdI8nwoE
qxXSehRRn7CGoLV5DVAj4dnImfyebWWMqbjF2V5agA0pwXd9LOrgJTGrH4qw032IWMKm6fHGhQP+
aL9MdlixiY4ILRYWjFudGJkfyITxQYfR1lYlFor1UnIsRQaMt0yVgSnyvTdHP6GrNf6H2dUHn8Bh
uyIlp/AuGPsAmEkaIPYw8KQ83GaD7p80EPHGnfAuryGLxpT81FhB2zr3cqNxQlYwRaNfQRn/l/cc
EN3lPklv6i9tLTTI650qKHacqdwYqqfcIf6Gi+bA6eWAcsDZRWo88x8W3dXVhp3No+79D7n5eozY
8MiAyRWdGs23Kxp7km9qEdpOZEbRUtU2eF3A3Wk4idHsc5kkRLVfSK3tEp9VOZg4uOW7mKh5mBzJ
C7VV/Y9dMQ+yLo6G9qw6Y9pJ+WEenEk0rtlP4Wm0V4OllVN6h2lkdMZxTljjwIeEXhoSLBsIuYAj
SVmVBOD9IeZ1za7z+icZOCMmrHdvxwlGadw53CF8o+m38E5X4xPM6ptWMFUwGLNKeMuYRmyIPMc5
ZH57CPckvIALJOijbWRmf/1W0qbWnOdqaa/yRa7ZQGNBlFkkZsr6axE31V+mplAbjXfCQo16Zykl
KETm0tk/AMoj/XSbkr1PbouOM4CejOz20NwoCSEaJtj+wg5R/wL3YFy9zudBy1M7Fyhw8HxWmVLU
qdIVxwTRzbc14GjAS6qV8+lWDeW0PS1aF8b3XNYKL3LUojepoNY89mnBKSExxhJsS2MYxaf+VQUW
a1FnXcSlhGyVwIs7jZaW9NW9RXRgAtZLxhu0WKvpuP0xOTyQehp/fPK4UDd/j3EOrHluXz60pVCB
lhIbMNYqyic5jnoRR/ND/RjceczCeO+5VMze2171TCDkOIunPeOuh+fQmp9YM4WXoK7PuLqbRueh
dNKvcm+8ZwBRcptWWkohu2CzaDhZMRCoORBHiuKjw5F3CCcmJ+ySB6sb8eBCcuy2dP4i2H2m4M9K
j1a8yb6IBC4TtkkotBjsSpK1nNuAGWPHKDvv3qFfVYklOnHITQdXTd6m8EXkTlDOMOl9jMXYf2Mg
t+c/FwPeD7mHv3PauVNQTf/nCSfVHaqrgjHqpTBze3kVQ/88nZnhiOGMC2Xmj3cdRWz7zEpjntOM
RqF27wSvjyzIdJ0kPSOC9QhuEaRkChgIyCmPlw4LZLrpYYvNHr9yO+5e1+hJryVPu94Gyt5c+Ug0
J38B0a6RzQ2BTMuBGqK3YM1AX0au0Ik00p+XL8j3KOp/UHpeWb7RoDxrZfnWZZ+laB0UalDWAYdO
m+RbgRU5c8OFt7FFIIRPF3lSC5mki2/aRbV1fdy9helxSC7oc11Ej903IPUrGceisyiXrY6Usxmj
gNZvkjBHGP8xPYc12Rw8M5a0MfAohtNqPBLa3ZrMT3ZP8fB5OQztKyOkAO0WXcmQh/ER7qdnRTyS
WwQtEFutXP2Ml/+4CEhi+34I+DD0zuiyVEhje2F5sXQNzBw61cgT5QfzuyUE8F3x6/TAywoNMfoU
Nw98OaaLqzGYiiiC/NJ3qrjFYOdITgq0K5X2GOiBdh7xRrtdNCR6dcvv7Zn8l6jwQqYXLEcgE3RO
jNNdwH1LVOvtDQ3HR8ffvpqiikwLvDVVBTTSjFaRcHvtaj0xKBNxLa1nVBiGydRKPE0/PQ8VSzqu
YrRVe0eSbKKrqXQJw3Nqt8GfoEpNr9zMXLqGDELjdEKHaKxb16pxSZBfjL0o0kijxnhLV7v098za
x02oWcIpIAmNQfkvDERLX2mqzEAbMoWy1vYupIftIaOVKdLeoHLY3RVDgkTqDVqMLcJMHpmREwGm
sGOS91/QWZooBJjBhkY1xwuwuzmsE8DblU9WPYCcm4N+36Lmi7+8bKDqDre/g680MMt8CwV/HGeK
uhTnW9SQlYo4vCA/W/hC3/BuGfyDYHfaUdNOD7hMxJdLdg9Zco4jEO+R1OQTnT+FOI0pL5dywJp9
ZHnGYMt92wWjB9ltOqlq9egjSagtu5DGL0O8qbjVbJa0J0NA+6b1c7CaKKvya8b18QE0x4XbLcu5
hDc93MUR1Hkry8V6O6f59Q5D1Kt8MLCqi/FPO6qXC1CeaPY3xQPel7lTGky82gIGKz4chbzjWsyS
9BgoSiyfCrPYWgjR1ab2u1tXSsyQRysW9gxheWSICISYVLTGBx0szjowyT+N8x8n29wOCNLW2QUi
eiyDhedgIhvPFj9IYGR5spm2LIurEGt86A8gi56azhTiTJUvuy9BpQZ+PUEQ9nQ/6kqbdOhuIXjr
5a5Ppc+Pe5ghPfse4VQtDJuUfXQe97t6ecMwVd29iKf8o9W06UyPihf6O+1LgUHMFL2eJliGfVVq
g3mIxxKaTJYMjETITyMM3nJu5x6xBGsDGGFRAwRjYAcQwoxr21dmEootF4dq2tusDCiWqtHynl0T
5U5ba6BXuqe/7jOBYo3Pv9Sr74KTMhdGq9IN+NjXSooaHcCgY0At6LB8E6rGeX6+WbxkC52jp9fn
LDwY9fOqx4EY7ostpLPeQnpy/tihp7+BCkKoFg3kf7aunBJj7zJ8uExpHN1cQshFvmk8muCLO8UI
Hh88MCyCdzfVjpT6Al9E3xsLlZksRpabmzLCopiczdRYCT2sl+mcAYilTOeQFmTEITS4wlYF1Ouh
aMFkG2lTcVL/dUL0IjvGZinI0Hf0F+4lGTex0J6p6v6K2JuvK6AJkpg4aQSP/TRJ1g6XibHvaIrt
1/DFC8U4EWBc+yekFw7PnouIZLXB6vaOoRzlWdYZB4WfI0MD76lAHmJFDfesHCUixWLIa4bTb2Uc
mUwrGJERwGwbsQuPv/0s8oL8luaVb2dllzK1myZNSSfdHwV6/P4OWi9hO4vIfLWCAA97XBFkhgBs
PXu0iPMbiDq3yE+ACFdHGQP4C0A13mv1DgAL+K9d7gO02gFiQDHW5PcxDs4dAwH5RzRCBjFBa05C
4DloRpQwSbpZE/lXwJ6eEtKPK/ebxlxe/Q/SODgRgxD7dxyYTtT5gFRZArAHGoVR8kMqhvEqJqiy
KyETJiHNERyR6KsXOXEpdc5TB3hwAIK3gAAfv1HyJeBqBimCevHm2lx5vCNWde3c9FXCwEmPjKUy
BOYQ3duWUuCujzvcKDtXPog7Odn3uM45GX2IOYiAiORrp6NmcvzdaxrwT4HPzujbI8kz1jixo1Yo
+z3bE7LdiZiuMn/pzuTz+s6ORcdwvhQj4MhvoJ27bX/X092djbT2GfFLlOVWEfKcNTGRc0dsrQZF
TBiP4yUmkcBuz2mzu9TLdoUwINCe6vDxPnZ0IisZ9D8ooXXvaLZto5r3Nu00AI+Yum1ixqjZZe3a
dkfPeqvIz+95SPcf8hhez8q1mRatoKwYDjwMBoFVkeirOUHCVxuuPcS8PoyTW9McrEUwpwrpSvdo
jgtHZiwnArlWdQIFZ1bJ+73l1lLmL5/uuFaoe2Inv4BijRjhIhFI/XnYoIxYEjiIAy/D5ZSnxcGt
EJ+bqw+4j3isnRDWnC1uiEvTkUmnURmH/PdNM4m/Fl0ZVXHZ7rELNSOL9AqU/KEP06MA6xDQp8KG
JqL4Xr3N6lOHotBLneAQENTMFilb+bHXyYRiX+GOI6PDrubN69d9i6kOGHYrMs0KO0jI6oGcia+W
Wztl6aIb0LQQzFgGpVfycYgfhyLJ31bncAZSaMZ16TQLAyTgODLrOoUI0Jsw7tEyZtAH3X9mfGfW
nBjZX0pLKXgOGNeVCOQSEExa6ILhD10Y6hDd/OKc3Q38FM295ftPhat0H1KpyQ3CcSPRQV4WkFcJ
XUmS+b0Z1l1OHaeZbKnaCyCjtILLnHuShNHA8lgg5V7U/AMnPbOjigWqvVqhMS1h3uD3keS70D7X
mPLcYI+/CfS3NuVFNyhxZFx3xPSayfFYKbyGROoDu6/U0Veq0dVlUiVFW+g3u0fHpJBSG5bhXZr5
2U1h9bZHwjZ8WEaKiJegheViwV+X2NRwAE64I/gXSnG8bnRKxp/q1xKyS6bjFSMgUEhIV94P45WW
Dt6k7i3DYXc0UjyWBAra5JJV+CMbBOEIIc49By7nWUlRQmPe6tYS/YS1+9rYAqlrXZEiws+xizvf
UDRp+lN0nRlVZIuPJg4eCqh401QpE7oox7Dkt6RNLt0JJPmBz4NMMj2w4CXimMS10E2/pWU4bvGf
5dk2CiLDo2LOdiCwxl7M5e+x3iWrg8SLeawFyrf9Bim/Uu2cYW+HYQK2Hib2D6DjW3+8RtlTo2RU
nE03iM0/MyQlv8cnSDPI1Sfi0bTCDTwnN9+rbZyK4NGl/oVFgzUkz+De9pWxnhoaHba8rUq+d9I1
4f/DVS5AnvADJKXXCiQjjLxCDdjBK3ZIFTzi+33GTDYW4qXea+Zv2DlIGVVfm8IyNNCoK+FyRQYP
Ro+EGeyHSqWFLyKgusynXAeq1GZWth26V4S1ig9tE1IDtGHDgFP/xIKvuX6wQPl1DzUrJfEJJz+z
sztNhfeihEchTgN5OFFmz9LmO2l5c5kQILri//HIlwl100yS/9rDBR29HsLLCOGIdVmHnMOIDNLV
Az0oPx9QEvlEDTvBxNellzAd6+QayAiTtaiNxI7iml1rxYnDALjQAnWSoHLoycE2c8O/C2Zr2gHu
g+zYu7CuAUClU+mPflrCcDV+jJGu/1fu3S1wYeJlbBHsQrwPkDYmZ7hnOZSH5pCt5Q7u3WhU1L69
L/HQOh56dtehbmTdkixH2c8mfVBNMEAt22RVFb0P46el3rNw4nZnnVgH1uAc/JC0zqs62dFkx4mK
GCLIxBLdFyhYqawgD6Yha9KWJRLihmvSsqIBQ82mzsYY59TWHR2ePxyhtpxYNsjQzdK3CgUvsxe4
Nwik4jEF+Ku3EXtlZnId4iOfa8Rir02vxuABBXULIDX62cB2lItNoViQcOvWtSVt8gTH7DFMAyOJ
BWrOgTdeOcD0eIjsLCGlSHhpxThnMle4fT9ZNpSEzSJ2n0y2wUqYvjDEuFSR+PAtWGUZLFcyilKK
bHcbBSHY/FkX2jyte2lLlSMar4pd9U01VjNx2W5W4Li87haPDm3JHqqCQNJ8Xl9v2Uk05qMAmtiH
oLLzoP/Ch1HC/Wp4nPDClkKOX6/7GB1ZrmRdORkgKIDq72bV1lK+qrXbudpKRFcRF1RkzsofxQPV
k8C2RildhiRpDwGd7F46iVGwP9Pv1gWB38Hve8cuUiOfjhsEXRGlBGvRO86D7ARfgLDhetbFGig2
LhhhhR357l1NHc8FqxvnlmToSo4svLU//EwBYBQyDZMBP2ZiK8UmwxKOHMx7X/UsOOo0XKBJyvdg
9u7uUKkFiHv3IA6p6rnSBcgpViaHpikcaXiHI7ARVMds/iLUj5oOaY0G1uZ6l21MPj/ac0/lUDGG
LhySBXKL1RifcHNJb3oEuV/5ZK7J0zvIyTL4WhPfD8RbLr4SBgjQH3q7tn1WXuQqvDK/Hjf60kFp
QLyKT8wT8+S5rzvabCi09k58muVFtWEUOAg2HdwHvNEennpu4O5RHFY523IE74HlAfM4O5SmGA5O
bkmvVyxXcKKU9uUglxV9NEvUObpbt9faUG9BAdmLfgkI+DYTRHULUgOQvIKYxEoWMQDThZrO5kKQ
G8fBghU2qCF0iM5kkQG83HV32N6wuB0nOzWT5mxMnn1tg3icwfuVLBkT94CZb7EpUBN7PU6CbAmI
mP7r0B9nZRcytnQNdhZc1RD3CSpG1dzS2O/mpseGs3tb4SfZDbIJNi7j+ypiz14p2fNBm9rzPaO4
ZXTpy9Hp1aLdtL//1ppKrYmZPwLtFCXkD/1hPq9gojeKX2wBAEmKJIo+4IiuLMmWDPp8dZZVR3Mi
gmsX6jqJTduCsfornami/fS1YHD7TwBwrDD3gJnJOqJ94+gUfV9M961VyqtunnV1cbmSd1ULBLSn
9qyGP9v7FAPSCkEUDzM/jE/cFkNTSWYq3I/G67iJ166OWGf8ifABYEEdBFlFeMq6mw4sArWj51r+
QLyBap8JyCL4mJQB+3nMMFY0SV7s2gw+08Ma8C9IcRpOuRF/I+1aBE3/i1R7wSdbwhXaN3+bO4EL
SPm69J2gob0qS6L9uubf4KFU1JtXH3fmQYLxVMwbg0iSpehR23jlve5xrpPQsDDhXRhSjs51ACe5
iY0eGR/xrq6vouBsm6C2l2NTqFjxPH0iZpYGfgasqYxg+UyxPQteHP1ydyjY9bj52wzX78CIlkDC
55vw3Ei6Hp4ZygWDgUxhQhA/xZjdDoZ7DOJGBkkJ1yXkPs1G+PjpBB7jH2VGZkofLnzxHT2E1zf/
0HVB8bT7feYtf/ZLvamKi2Mi+oE846j/P9Sd9GOJKgn0X740DoD9GiNxkPPdYzZfwk6ADI5fSeJy
6kRG+/hGzGvuSrfLavfOgWrOCg3Uj1VM1oPEfTBIqDSZ7iiYDHBkcJKDdgZjxgPS/Aouslsd5Lyn
N+wZY1OL09WJX0bUOf6NVMBbI5qtADh190j+/0EUykqQWJ2sREpux+tfMI40uNwJfgfoNHvVRBOh
T/Rp9jYSXgiItizOKGIzWHsV6nKrCKynABn8CYagnwrNCGdGnsAl+WJJUKyKIVl8mE0NPz3yfFe1
WU61QiXM0YJCdAiTpf5kG/5jScu6AYqzQEsJBoQsXH0cJjYH8ig0LDEKQ2d56cNkWsvKwtSU017G
bJ1nuxeUCWF2EdbHrTmUyHdTh+JaLpYkB0t7O7DggWysbr4jB6bRF3/SwFiCbEbKGm2PygIVeYW4
CQGRG0rSsNBLY3U6PMO2X8kUnwCCCyvhIxX89unqyqVAADwxyEuqvsHt3+5zLHtCLq8g3y0vfF6t
pgT9a2LkBCkhS6pgZoYYcjF3twdiHjPLXxX8YnN2V/uMu+ZA/0B59hmt1A6P1V80pNGX+JsdpApq
3WTfNJpFVWBIrJ+VSWzidNRRmt+i4mqZIRhZYu+U1mtBpG8Sc/Yn/DvMZ3baD/nveUrcd7+bBCVO
WWqEDXCgV5SF6jsFEVHnr5U=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BZUoSfC9jBIxh3nhFfknu41naZbyOD5jD317W9diOL7OCRAHm7KRJq5n2klymy6QOsBNvMCyUkVo
OipJmoh/ow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z62xWDi2l63cKcTupSKa9n9Hdc5nWmCKI/7K1E3pZVo4umUWUkueEpX5ZVwuyUGEZz3f1/b7BWdn
dbkU0uuWs8ZJ49NlGFCSLJDftS+AvrMCzGcGJGA+npmDsl0NK11l1IlJqcoqkA16hNggoxCCtvnw
GrZpav9mkFpbMd5QW40=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BYilpBp/B9Y4OfRPQtDwnMZpXkodY774ybmFcu7EDnYjKHoxIftlPSEnyTYJJpZnsrN8UpKgOhJO
lP4aeL4PMhTdSQiuvxBamPWG8kaAdCYTIwT9aSCpD1m69XmY6W0smuXpkk75Ldi+eBy59t8ayh4f
9ig/F2hjM//XlxJiqUL+gD+JYMDdbC7r+MKDn5zGd7zaRgUrhLTZ+t8+77f8T8vnySyY5fjJ4vU1
mhWQ5GJQvamXn7n4NN7V3m95x9BNtodfigwOa5ZRL9fblIbeV7jB0hXf5K0FnJ4lom/cnyjZ8Mk1
lEx6A1sKayvb95cm/aiN52Vdh5gegxeGTq2ORw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcEccpzeKHTO1RzSkAOvbHneWDSeGK5vLoeXTcYYnkHvPIONQf4L/69+hZDpgfugtqocyqt0IdEC
STdXVIQXjrNjyxVHprDUuFwrLwKgjbqePfyipuRTq2dvJobAtcuU1NhXNCcQbIyZuXHZMQ41RdVe
WGkxX+2HzrOqzHwtIGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MheV6KHBDRYIZEin1a9ehYNcCBoK+2t2746RLa0Cm6/7l2NqsfHrB3zgBxuCua3hl71z/HGVQX64
+ZAICs0DaYO31WlJD/PSsL/vt+lhPOU0VcVGUffdRT+3V+p+erkAeruKVi5FjR5aRDmeKOvyV1P+
TF+JYKurG0puE18UriPgISgumCE1Ov23qz29D1WVI0ta66ETx/w+eoMKu6DUb5lujHBnuMGe6VPs
OodUkenxwDk8sR5k6PEkeBgDXxXbGlE4muySN7nVJ+WYsqNFIfAJfCRC/8nuUbeqiWgb4El6yRTH
1g27ZHjZ1g+zD7QYRjWR19fo+KHEHyA9FlJ2RA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 108032)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
entity noc_interface is
generic(
data_width : integer := 64;
addr_width : integer := 1;
vc_sel_width : integer := 1;
num_vc : integer := 2;
flit_buff_depth : integer := 8;
use_vc : integer := 0
);
port(
--clk, reset
clk : in std_logic;
rst : in std_logic;
--user sending interface
send_data : in std_logic_vector(data_width-1 downto 0);
dest_addr : in std_logic_vector(addr_width-1 downto 0);
set_tail_flit : in std_logic;
send_flit : in std_logic;
ready_to_send : out std_logic;
--user receiving interface
recv_data : out std_logic_vector(data_width-1 downto 0);
src_addr : out std_logic_vector(addr_width-1 downto 0);
is_tail_flit : out std_logic;
data_in_buffer : out std_logic_vector(num_vc-1 downto 0);
dequeue : in std_logic_vector(num_vc-1 downto 0);
select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0);
--interface to network
send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
EN_send_putFlit : out std_logic;
EN_send_getNonFullVCs : out std_logic;
send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0);
EN_recv_getFlit : out std_logic;
recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0);
recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0);
EN_recv_putNonFullVCs : out std_logic;
recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0)
);
end entity noc_interface;
architecture structural of noc_interface is
--fifo buffer for reciving
component fifo_buffer is
generic(
word_len : integer := 64;
buff_len : integer := 8
);
port(
write_data : in std_logic_vector(word_len-1 downto 0);
read_data : out std_logic_vector(word_len-1 downto 0);
buffer_full : out std_logic;
buffer_empty : out std_logic;
enqueue : in std_logic;
dequeue : in std_logic;
clk : in std_logic;
rst : in std_logic
);
end component fifo_buffer;
type fifo_io is array(num_vc-1 downto 0) of std_logic_vector(vc_sel_width+data_width+addr_width+1 downto 0);
signal write_vc, read_vc: fifo_io;
signal buffer_full_vc, buffer_empty_vc, enqueue_vc, dequeue_vc: std_logic_vector(num_vc-1 downto 0);
signal receive_vc: std_logic_vector(vc_sel_width-1 downto 0);
-- priority encoder
component priority_encoder is
generic(
encoded_word_size : integer := 3
);
Port(
input : in std_logic_vector(2**encoded_word_size-1 downto 0);
output : out std_logic_vector(encoded_word_size-1 downto 0)
);
end component priority_encoder;
signal selected_vc : std_logic_vector(vc_sel_width-1 downto 0);
--constants to parse flits
constant data_msb : integer := data_width-1;
constant data_lsb : integer := 0;
constant vc_msb : integer := vc_sel_width+data_width-1;
constant vc_lsb : integer := data_width;
constant addr_msb : integer := vc_sel_width+data_width+addr_width-1;
constant addr_lsb : integer := vc_sel_width+data_width;
constant is_tail_index : integer := vc_sel_width+data_width+addr_width;
constant is_valid_index : integer := vc_sel_width+data_width+addr_width+1;
constant flit_size : integer := vc_sel_width+data_width+addr_width+2;
begin
---------------------------------------------------------------------------
--RECEIVE SIDE ------------------------------------------------------------
---------------------------------------------------------------------------
-- create and map 1 buffer for each VC
receive_buffer: for i in num_vc-1 downto 0 generate
signal vc_select : integer;
signal flit_valid : std_logic;
begin
ur_i: fifo_buffer generic map(data_width+addr_width+vc_sel_width+2, flit_buff_depth)
port map(write_vc(i), read_vc(i), buffer_full_vc(i), buffer_empty_vc(i),
enqueue_vc(i), dequeue_vc(i), clk, rst);
vc_select <= to_integer(unsigned(recv_getFlit(vc_msb downto vc_lsb)));
flit_valid <= recv_getFlit(is_valid_index);
write_vc(i) <= recv_getFlit when i = vc_select else std_logic_vector(to_unsigned(0,flit_size));
enqueue_vc(i) <= flit_valid when i = vc_select else '0';
end generate;
-- IO for receive side of controller
EN_recv_getFlit <= '1'; -- always read to receive flits as long as buffers aren't full
recv_putNonFullVCs_nonFullVCs <= not buffer_full_vc;
data_in_buffer <= not buffer_empty_vc;
recv_data <= read_vc(to_integer(unsigned(select_vc_read)))(data_msb downto data_lsb);
dequeue_vc <= dequeue;
is_tail_flit <= read_vc(to_integer(unsigned(select_vc_read)))(is_tail_index);
src_addr <= read_vc(to_integer(unsigned(select_vc_read)))(addr_msb downto addr_lsb);
EN_recv_putNonFullVCs <= '1'; -- readme is not clear about what this does, assuming it is not need for peek flow control
---------------------------------------------------------------------------
--SEND SIDE ---------------------------------------------------------------
---------------------------------------------------------------------------
-------- priority encoder to determine which vc to use
------us_0: priority_encoder generic map(vc_sel_width)
------ port map(send_getNonFullVCs, selected_vc);
------
------
-------- IO for sending side of controller
------send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data;
--------ready_to_send <= '0' when to_integer(unsigned(send_getNonFullVCs)) = 0 else '1';
------ready_to_send <= or_reduce(send_getNonFullVCs);
------EN_send_putFlit <= send_flit;
------EN_send_getNonFullVCs <= '1'; --always read to recieve credits
------
------
-- test version which only sends on VC0
-- priority encoder to determine which vc to use
selected_vc <= std_logic_vector(to_unsigned(use_vc, vc_sel_width));
-- IO for sending side of controller
send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data;
ready_to_send <= send_getNonFullVCs(use_vc);
EN_send_putFlit <= send_flit;
EN_send_getNonFullVCs <= '1'; --always read to recieve credits
end architecture structural;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_queue.vhd
-- Description: This entity is the descriptor fetch queue interface
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library axi_sg_v4_1_2;
use axi_sg_v4_1_2.axi_sg_pkg.all;
library lib_srl_fifo_v1_0_2;
use lib_srl_fifo_v1_0_2.srl_fifo_f;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_queue is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_UPDT_DATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Memory Map Data Width for Scatter Gather R/W Port
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_SG2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to update
C_AXIS_IS_ASYNC : integer range 0 to 1 := 0;
-- Channel 1 is async to sg_aclk
-- 0 = Synchronous to SG ACLK
-- 1 = Asynchronous to SG ACLK
C_INCLUDE_MM2S : integer range 0 to 1 := 0;
C_INCLUDE_S2MM : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
s_axis_updt_aclk : in std_logic ; --
--
--********************************-- --
--** Control and Status **-- --
--********************************-- --
updt_curdesc_wren : out std_logic ; --
updt_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
updt_active : in std_logic ; --
updt_queue_empty : out std_logic ; --
updt_ioc : out std_logic ; --
updt_ioc_irq_set : in std_logic ; --
--
dma_interr : out std_logic ; --
dma_slverr : out std_logic ; --
dma_decerr : out std_logic ; --
dma_interr_set : in std_logic ; --
dma_slverr_set : in std_logic ; --
dma_decerr_set : in std_logic ; --
updt2_active : in std_logic ; --
updt2_queue_empty : out std_logic ; --
updt2_ioc : out std_logic ; --
updt2_ioc_irq_set : in std_logic ; --
--
dma2_interr : out std_logic ; --
dma2_slverr : out std_logic ; --
dma2_decerr : out std_logic ; --
dma2_interr_set : in std_logic ; --
dma2_slverr_set : in std_logic ; --
dma2_decerr_set : in std_logic ; --
--
--********************************-- --
--** Update Interfaces In **-- --
--********************************-- --
-- Update Pointer Stream --
s_axis_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_updtptr_tvalid : in std_logic ; --
s_axis_updtptr_tready : out std_logic ; --
s_axis_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_updtsts_tvalid : in std_logic ; --
s_axis_updtsts_tready : out std_logic ; --
s_axis_updtsts_tlast : in std_logic ; --
s_axis2_updtptr_tdata : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis2_updtptr_tvalid : in std_logic ; --
s_axis2_updtptr_tready : out std_logic ; --
s_axis2_updtptr_tlast : in std_logic ; --
--
-- Update Status Stream --
s_axis2_updtsts_tdata : in std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis2_updtsts_tvalid : in std_logic ; --
s_axis2_updtsts_tready : out std_logic ; --
s_axis2_updtsts_tlast : in std_logic ; --
--
--********************************-- --
--** Update Interfaces Out **-- --
--********************************-- --
-- S2MM Stream Out To DataMover --
m_axis_updt_tdata : out std_logic_vector --
(C_M_AXIS_UPDT_DATA_WIDTH-1 downto 0); --
m_axis_updt_tlast : out std_logic ; --
m_axis_updt_tvalid : out std_logic ; --
m_axis_updt_tready : in std_logic --
);
end axi_sg_updt_queue;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_queue is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Number of words deep fifo needs to be. Depth required to store 2 word
-- porters for each descriptor is C_SG_UPDT_DESC2QUEUE x 2
--constant UPDATE_QUEUE_DEPTH : integer := max2(16,C_SG_UPDT_DESC2QUEUE * 2);
constant UPDATE_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE * 2));
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_QUEUE_CNT_WIDTH : integer := clog2(UPDATE_QUEUE_DEPTH+1);
-- Select between BRAM or LOGIC memory type
constant UPD_Q_MEMORY_TYPE : integer := bo2int(UPDATE_QUEUE_DEPTH > 16);
-- Number of words deep fifo needs to be. Depth required to store all update
-- words is C_SG_UPDT_DESC2QUEUE x C_SG_WORDS_TO_UPDATE
constant UPDATE_STS_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG_WORDS_TO_UPDATE));
constant UPDATE_STS2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_UPDT_DESC2QUEUE
* C_SG2_WORDS_TO_UPDATE));
-- Select between BRAM or LOGIC memory type
constant STS_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS_QUEUE_DEPTH > 16);
-- Select between BRAM or LOGIC memory type
constant STS2_Q_MEMORY_TYPE : integer := bo2int(UPDATE_STS2_QUEUE_DEPTH > 16);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant UPDATE_STS_QUEUE_CNT_WIDTH : integer := clog2(C_SG_UPDT_DESC2QUEUE+1);
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Channel signals
signal write_curdesc_lsb : std_logic := '0';
signal write_curdesc_lsb_sm : std_logic := '0';
signal write_curdesc_msb : std_logic := '0';
signal write_curdesc_lsb1 : std_logic := '0';
signal write_curdesc_msb1 : std_logic := '0';
signal rden_del : std_logic := '0';
signal updt_active_d1 : std_logic := '0';
signal updt_active_d2 : std_logic := '0';
signal updt_active_re1 : std_logic := '0';
signal updt_active_re2 : std_logic := '0';
signal updt_active_re : std_logic := '0';
type PNTR_STATE_TYPE is (IDLE,
READ_CURDESC_LSB,
READ_CURDESC_MSB,
WRITE_STATUS
);
signal pntr_cs : PNTR_STATE_TYPE;
signal pntr_ns : PNTR_STATE_TYPE;
-- State Machine Signal
signal writing_status : std_logic := '0';
signal dataq_rden : std_logic := '0';
signal stsq_rden : std_logic := '0';
-- Pointer Queue FIFO Signals
signal ptr_queue_rden : std_logic := '0';
signal ptr_queue_wren : std_logic := '0';
signal ptr_queue_empty : std_logic := '0';
signal ptr_queue_full : std_logic := '0';
signal ptr_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr_queue_dout_int : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts_queue_wren : std_logic := '0';
signal sts_queue_rden : std_logic := '0';
signal sts_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts_queue_dout_int : std_logic_vector (3 downto 0) := (others => '0');
signal sts_queue_full : std_logic := '0';
signal sts_queue_empty : std_logic := '0';
signal ptr2_queue_rden : std_logic := '0';
signal ptr2_queue_wren : std_logic := '0';
signal ptr2_queue_empty : std_logic := '0';
signal ptr2_queue_full : std_logic := '0';
signal ptr2_queue_din : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal ptr2_queue_dout : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
-- Status Queue FIFO Signals
signal sts2_queue_wren : std_logic := '0';
signal sts2_queue_rden : std_logic := '0';
signal sts2_queue_din : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_dout : std_logic_vector
(C_S_AXIS_UPDSTS_TDATA_WIDTH downto 0) := (others => '0');
signal sts2_queue_full : std_logic := '0';
signal sts2_queue_empty : std_logic := '0';
signal sts2_queue_empty_del : std_logic := '0';
signal sts2_dout_valid : std_logic := '0';
signal sts_dout_valid : std_logic := '0';
signal sts2_dout_valid_del : std_logic := '0';
signal valid_new : std_logic := '0';
signal valid_latch : std_logic := '0';
signal valid1_new : std_logic := '0';
signal valid1_latch : std_logic := '0';
signal empty_low : std_logic := '0';
-- Misc Support Signals
signal writing_status_d1 : std_logic := '0';
signal writing_status_re : std_logic := '0';
signal writing_status_re_ch1 : std_logic := '0';
signal writing_status_re_ch2 : std_logic := '0';
signal sinit : std_logic := '0';
signal updt_tvalid : std_logic := '0';
signal updt_tlast : std_logic := '0';
signal updt2_tvalid : std_logic := '0';
signal updt2_tlast : std_logic := '0';
signal status_d1, status_d2 : std_logic := '0';
signal updt_tvalid_int : std_logic := '0';
signal updt_tlast_int : std_logic := '0';
signal ptr_queue_empty_int : std_logic := '0';
signal updt_active_int : std_logic := '0';
signal follower_reg_mm2s : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_mm2s :std_logic := '0';
signal follower_empty_mm2s : std_logic := '0';
signal follower_reg_s2mm : std_logic_vector (33 downto 0) := (others => '0');
signal follower_full_s2mm :std_logic := '0';
signal follower_empty_s2mm : std_logic := '0';
signal follower_reg, m_axis_updt_tdata_tmp : std_logic_vector (33 downto 0);
signal follower_full :std_logic := '0';
signal follower_empty : std_logic := '0';
signal sts_rden : std_logic := '0';
signal sts2_rden : std_logic := '0';
signal follower_tlast : std_logic := '0';
signal follower_reg_image : std_logic := '0';
signal m_axis_updt_tready_mm2s, m_axis_updt_tready_s2mm : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
m_axis_updt_tdata <= follower_reg_mm2s (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) when updt_active = '1'
else follower_reg_s2mm (C_S_AXIS_UPDSTS_TDATA_WIDTH-2 downto 0) ;
m_axis_updt_tvalid <= updt_tvalid when updt_active = '1'
else updt2_tvalid;
m_axis_updt_tlast <= updt_tlast when updt_active = '1'
else updt2_tlast;
m_axis_updt_tready_mm2s <= m_axis_updt_tready when updt_active = '1' else '0';
m_axis_updt_tready_s2mm <= m_axis_updt_tready when updt2_active = '1' else '0';
-- Asset active strobe on rising edge of update active
-- asertion. This kicks off the update process for
-- channel 1
updt_active_re <= updt_active_re1 or updt_active_re2;
-- Current Descriptor Pointer Fetch. This state machine controls
-- reading out the current pointer from the Queue or channel port
-- and writing it to the update manager for use in command
-- generation to the DataMover for Descriptor update.
CURDESC_PNTR_STATE : process(pntr_cs,
updt_active_re,
ptr_queue_empty_int,
m_axis_updt_tready,
updt_tvalid_int,
updt_tlast_int)
begin
write_curdesc_lsb_sm <= '0';
write_curdesc_msb <= '0';
writing_status <= '0';
dataq_rden <= '0';
stsq_rden <= '0';
pntr_ns <= pntr_cs;
case pntr_cs is
when IDLE =>
if(updt_active_re = '1')then
pntr_ns <= READ_CURDESC_LSB;
else
pntr_ns <= IDLE;
end if;
---------------------------------------------------------------
-- Get lower current descriptor pointer
-- Reads one word from data queue fifo
---------------------------------------------------------------
when READ_CURDESC_LSB =>
-- on tvalid from Queue or channel port then register
-- lsb curdesc and setup to register msb curdesc
if(ptr_queue_empty_int = '0')then
write_curdesc_lsb_sm <= '1';
dataq_rden <= '1';
-- pntr_ns <= READ_CURDESC_MSB;
pntr_ns <= WRITE_STATUS; --READ_CURDESC_MSB;
else
-- coverage off
pntr_ns <= READ_CURDESC_LSB;
-- coverage on
end if;
---------------------------------------------------------------
-- Get upper current descriptor
-- Reads one word from data queue fifo
---------------------------------------------------------------
-- when READ_CURDESC_MSB =>
-- On tvalid from Queue or channel port then register
-- msb. This will also write curdesc out to update
-- manager.
-- if(ptr_queue_empty_int = '0')then
-- dataq_rden <= '1';
-- write_curdesc_msb <= '1';
-- pntr_ns <= WRITE_STATUS;
-- else
-- -- coverage off
-- pntr_ns <= READ_CURDESC_MSB;
-- -- coverage on
-- end if;
---------------------------------------------------------------
-- Hold in this state until remainder of descriptor is
-- written out.
when WRITE_STATUS =>
-- De-MUX appropriage tvalid/tlast signals
writing_status <= '1';
-- Enable reading of Status Queue if datamover can
-- accept data
stsq_rden <= m_axis_updt_tready;
-- Hold in the status state until tlast is pulled
-- from status fifo
if(updt_tvalid_int = '1' and m_axis_updt_tready = '1'
and updt_tlast_int = '1')then
-- if(follower_full = '1' and m_axis_updt_tready = '1'
-- and follower_tlast = '1')then
pntr_ns <= IDLE;
else
pntr_ns <= WRITE_STATUS;
end if;
-- coverage off
when others =>
pntr_ns <= IDLE;
-- coverage on
end case;
end process CURDESC_PNTR_STATE;
updt_tvalid_int <= updt_tvalid or updt2_tvalid;
updt_tlast_int <= updt_tlast or updt2_tlast;
ptr_queue_empty_int <= ptr_queue_empty when updt_active = '1' else
ptr2_queue_empty when updt2_active = '1' else
'1';
---------------------------------------------------------------------------
-- Register for CURDESC Pointer state machine
---------------------------------------------------------------------------
REG_PNTR_STATES : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
pntr_cs <= IDLE;
else
pntr_cs <= pntr_ns;
end if;
end if;
end process REG_PNTR_STATES;
GEN_Q_FOR_SYNC : if C_AXIS_IS_ASYNC = 0 generate
begin
MM2S_CHANNEL : if C_INCLUDE_MM2S = 1 generate
updt_tvalid <= follower_full_mm2s and updt_active;
updt_tlast <= follower_reg_mm2s(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt_active;
sts_rden <= follower_empty_mm2s and (not sts_queue_empty); -- and updt_active;
VALID_REG_MM2S_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_mm2s = '1' and follower_full_mm2s = '1'))then
-- follower_reg_mm2s <= (others => '0');
follower_full_mm2s <= '0';
follower_empty_mm2s <= '1';
else
if (sts_rden = '1') then
-- follower_reg_mm2s <= sts_queue_dout;
follower_full_mm2s <= '1';
follower_empty_mm2s <= '0';
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE;
VALID_REG_MM2S_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_mm2s <= (others => '0');
else
if (sts_rden = '1') then
follower_reg_mm2s <= sts_queue_dout;
end if;
end if;
end if;
end process VALID_REG_MM2S_ACTIVE1;
REG_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d1 <= '0';
else
updt_active_d1 <= updt_active;
end if;
end if;
end process REG_ACTIVE;
updt_active_re1 <= updt_active and not updt_active_d1;
-- I_UPDT_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr_queue_wren ,
-- Data_In => ptr_queue_din ,
-- FIFO_Read => ptr_queue_rden ,
-- Data_Out => ptr_queue_dout ,
-- FIFO_Empty => ptr_queue_empty ,
-- FIFO_Full => ptr_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr_queue_dout <= (others => '0');
elsif (ptr_queue_wren = '1') then
ptr_queue_dout <= ptr_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr_queue_rden = '1') then
ptr_queue_empty <= '1';
ptr_queue_full <= '0';
elsif (ptr_queue_wren = '1') then
ptr_queue_empty <= '0';
ptr_queue_full <= '1';
end if;
end if;
end process;
-- Channel Pointer Queue (Generate Synchronous FIFO)
-- I_UPDT_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 34 ,
-- C_DEPTH => 4 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => sts_queue_wren ,
-- Data_In => sts_queue_din ,
-- FIFO_Read => sts_rden, --sts_queue_rden ,
-- Data_Out => sts_queue_dout ,
-- FIFO_Empty => sts_queue_empty ,
-- FIFO_Full => sts_queue_full ,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts_queue_dout <= (others => '0');
elsif (sts_queue_wren = '1') then
sts_queue_dout <= sts_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts_rden = '1') then
sts_queue_empty <= '1';
sts_queue_full <= '0';
elsif (sts_queue_wren = '1') then
sts_queue_empty <= '0';
sts_queue_full <= '1';
end if;
end if;
end process;
-- Channel Status Queue (Generate Synchronous FIFO)
--*****************************************
--** Channel Data Port Side of Queues
--*****************************************
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr_queue_wren <= s_axis_updtptr_tvalid -- TValid
and not ptr_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis_updtptr_tready <= not ptr_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis_updtsts_tlast; -- Store with tlast
sts_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts_queue_wren <= s_axis_updtsts_tvalid
and not sts_queue_full;
-- Drive channel port with ready if room in status queue
s_axis_updtsts_tready <= not sts_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
-- updt_queue_empty <= ptr_queue_empty
-- or (sts_queue_empty and follower_empty and updt_active);
updt_queue_empty <= ptr_queue_empty
or follower_empty_mm2s; -- and updt_active);
-- Data queue read enable
ptr_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr_queue_empty = '0' -- Data Queue NOT empty
and updt_active = '1'
else '0';
-- Status queue read enable
sts_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts_queue_empty = '0' -- Status fifo NOT empty
and updt_active = '1'
else '0';
-----------------------------------------------------------------------
-- TVALID - status queue not empty and writing status
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- TLAST - status queue not empty, writing status, and last asserted
-----------------------------------------------------------------------
-- Drive last as long as tvalid is asserted and last from fifo
-- is asserted
end generate MM2S_CHANNEL;
NO_MM2S_CHANNEL : if C_INCLUDE_MM2S = 0 generate
begin
updt_active_re1 <= '0';
updt_queue_empty <= '0';
s_axis_updtptr_tready <= '0';
s_axis_updtsts_tready <= '0';
sts_queue_dout <= (others => '0');
sts_queue_full <= '0';
sts_queue_empty <= '0';
ptr_queue_dout <= (others => '0');
ptr_queue_empty <= '0';
ptr_queue_full <= '0';
end generate NO_MM2S_CHANNEL;
S2MM_CHANNEL : if C_INCLUDE_S2MM = 1 generate
begin
updt2_tvalid <= follower_full_s2mm and updt2_active;
updt2_tlast <= follower_reg_s2mm(C_S_AXIS_UPDSTS_TDATA_WIDTH) and updt2_active;
sts2_rden <= follower_empty_s2mm and (not sts2_queue_empty); -- and updt2_active;
VALID_REG_S2MM_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or (m_axis_updt_tready_s2mm = '1' and follower_full_s2mm = '1'))then
-- follower_reg_s2mm <= (others => '0');
follower_full_s2mm <= '0';
follower_empty_s2mm <= '1';
else
if (sts2_rden = '1') then
-- follower_reg_s2mm <= sts2_queue_dout;
follower_full_s2mm <= '1';
follower_empty_s2mm <= '0';
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE;
VALID_REG_S2MM_ACTIVE1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
follower_reg_s2mm <= (others => '0');
else
if (sts2_rden = '1') then
follower_reg_s2mm <= sts2_queue_dout;
end if;
end if;
end if;
end process VALID_REG_S2MM_ACTIVE1;
REG2_ACTIVE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_active_d2 <= '0';
else
updt_active_d2 <= updt2_active;
end if;
end if;
end process REG2_ACTIVE;
updt_active_re2 <= updt2_active and not updt_active_d2;
-- I_UPDT2_DATA_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
-- generic map (
-- C_DWIDTH => 32 ,
-- C_DEPTH => 8 ,
-- C_FAMILY => C_FAMILY
-- )
-- port map (
-- Clk => m_axi_sg_aclk ,
-- Reset => sinit ,
-- FIFO_Write => ptr2_queue_wren ,
-- Data_In => ptr2_queue_din ,
-- FIFO_Read => ptr2_queue_rden ,
-- Data_Out => ptr2_queue_dout ,
-- FIFO_Empty => ptr2_queue_empty ,
-- FIFO_Full => ptr2_queue_full,
-- Addr => open
-- );
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
ptr2_queue_dout <= (others => '0');
elsif (ptr2_queue_wren = '1') then
ptr2_queue_dout <= ptr2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or ptr2_queue_rden = '1') then
ptr2_queue_empty <= '1';
ptr2_queue_full <= '0';
elsif (ptr2_queue_wren = '1') then
ptr2_queue_empty <= '0';
ptr2_queue_full <= '1';
end if;
end if;
end process;
APP_UPDATE: if C_SG2_WORDS_TO_UPDATE /= 1 generate
begin
I_UPDT2_STS_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f
generic map (
C_DWIDTH => 34 ,
C_DEPTH => 12 ,
C_FAMILY => C_FAMILY
)
port map (
Clk => m_axi_sg_aclk ,
Reset => sinit ,
FIFO_Write => sts2_queue_wren ,
Data_In => sts2_queue_din ,
FIFO_Read => sts2_rden,
Data_Out => sts2_queue_dout ,
FIFO_Empty => sts2_queue_empty ,
FIFO_Full => sts2_queue_full ,
Addr => open
);
end generate APP_UPDATE;
NO_APP_UPDATE: if C_SG2_WORDS_TO_UPDATE = 1 generate
begin
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1') then
sts2_queue_dout <= (others => '0');
elsif (sts2_queue_wren = '1') then
sts2_queue_dout <= sts2_queue_din;
end if;
end if;
end process;
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (sinit = '1' or sts2_rden = '1') then
sts2_queue_empty <= '1';
sts2_queue_full <= '0';
elsif (sts2_queue_wren = '1') then
sts2_queue_empty <= '0';
sts2_queue_full <= '1';
end if;
end if;
end process;
end generate NO_APP_UPDATE;
-- Pointer Queue Update - Descriptor Pointer (32bits)
-- i.e. 2 current descriptor pointers and any app fields
ptr2_queue_din(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) <= s_axis2_updtptr_tdata( -- DESC DATA
C_M_AXI_SG_ADDR_WIDTH-1
downto 0);
-- Data Queue Write Enable - based on tvalid and queue not full
ptr2_queue_wren <= s_axis2_updtptr_tvalid -- TValid
and not ptr2_queue_full; -- Data Queue NOT Full
-- Drive channel port with ready if room in data queue
s_axis2_updtptr_tready <= not ptr2_queue_full;
--*****************************************
--** Channel Status Port Side of Queues
--*****************************************
-- Status Queue Update - TLAST(1bit) & Includes IOC(1bit) & Descriptor Status(32bits)
-- Note: Type field is stripped off
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH) <= s_axis2_updtsts_tlast; -- Store with tlast
sts2_queue_din(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) <= s_axis2_updtsts_tdata( -- IOC & DESC STS
C_S_AXIS_UPDSTS_TDATA_WIDTH-1
downto 0);
-- Status Queue Write Enable - based on tvalid and queue not full
sts2_queue_wren <= s_axis2_updtsts_tvalid
and not sts2_queue_full;
-- Drive channel port with ready if room in status queue
s_axis2_updtsts_tready <= not sts2_queue_full;
--*************************************
--** SG Engine Side of Queues
--*************************************
-- Indicate NOT empty if both status queue and data queue are not empty
updt2_queue_empty <= ptr2_queue_empty
or follower_empty_s2mm; --or (sts2_queue_empty and follower_empty and updt2_active);
-- Data queue read enable
ptr2_queue_rden <= '1' when dataq_rden = '1' -- Cur desc read enable
and ptr2_queue_empty = '0' -- Data Queue NOT empty
and updt2_active = '1'
else '0';
-- Status queue read enable
sts2_queue_rden <= '1' when stsq_rden = '1' -- Writing desc status
and sts2_queue_empty = '0' -- Status fifo NOT empty
and updt2_active = '1'
else '0';
end generate S2MM_CHANNEL;
NO_S2MM_CHANNEL : if C_INCLUDE_S2MM = 0 generate
begin
updt_active_re2 <= '0';
updt2_queue_empty <= '0';
s_axis2_updtptr_tready <= '0';
s_axis2_updtsts_tready <= '0';
sts2_queue_dout <= (others => '0');
sts2_queue_full <= '0';
sts2_queue_empty <= '0';
ptr2_queue_dout <= (others => '0');
ptr2_queue_empty <= '0';
ptr2_queue_full <= '0';
end generate NO_S2MM_CHANNEL;
end generate GEN_Q_FOR_SYNC;
-- FIFO Reset is active high
sinit <= not m_axi_sg_aresetn;
-- LSB_PROC : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' )then
-- write_curdesc_lsb <= '0';
-- -- Capture lower pointer from FIFO or channel port
-- else -- if(write_curdesc_lsb = '1' and updt_active_int = '1')then
write_curdesc_lsb <= write_curdesc_lsb_sm;
-- end if;
-- end if;
-- end process LSB_PROC;
--*********************************************************************
--** POINTER CAPTURE LOGIC
--*********************************************************************
ptr_queue_dout_int <= ptr2_queue_dout when (updt2_active = '1') else
ptr_queue_dout;
---------------------------------------------------------------------------
-- Write lower order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
updt_active_int <= updt_active or updt2_active;
REG_LSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(31 downto 0) <= (others => '0');
-- Capture lower pointer from FIFO or channel port
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(31 downto 0) <= ptr_queue_dout_int(C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0);
end if;
end if;
end process REG_LSB_CURPNTR;
---------------------------------------------------------------------------
-- 64 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
GEN_UPPER_MSB_CURDESC : if C_M_AXI_SG_ADDR_WIDTH > 32 generate
begin
---------------------------------------------------------------------------
-- Write upper order Next Descriptor Pointer out to pntr_mngr
---------------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= (others => '0');
-- updt_curdesc_wren <= '0';
-- Capture upper pointer from FIFO or channel port
-- and also write curdesc out
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
updt_curdesc(C_M_AXI_SG_ADDR_WIDTH-1 downto 32) <= ptr_queue_dout_int(C_M_AXI_SG_ADDR_WIDTH-1 downto 32);
-- updt_curdesc_wren <= '1';
-- Assert tready/wren for only 1 clock
else
-- updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
end generate GEN_UPPER_MSB_CURDESC;
---------------------------------------------------------------------------
-- 32 Bit Scatter Gather addresses enabled
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- No upper order therefore dump fetched word and write pntr lower next
-- pointer to pntr mngr
-----------------------------------------------------------------------
REG_MSB_CURPNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' )then
updt_curdesc_wren <= '0';
-- Throw away second word, only write curdesc out with msb
-- set to zero
elsif(write_curdesc_lsb = '1' and updt_active_int = '1')then
--elsif(write_curdesc_msb = '1' and updt_active_int = '1')then
updt_curdesc_wren <= '1';
-- Assert for only 1 clock
else
updt_curdesc_wren <= '0';
end if;
end if;
end process REG_MSB_CURPNTR;
--*********************************************************************
--** ERROR CAPTURE LOGIC
--*********************************************************************
-----------------------------------------------------------------------
-- Generate rising edge pulse on writing status signal. This will
-- assert at the beginning of the status write. Coupled with status
-- fifo set to first word fall through status will be on dout
-- regardless of target ready.
-----------------------------------------------------------------------
REG_WRITE_STATUS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
writing_status_d1 <= '0';
else
writing_status_d1 <= writing_status;
end if;
end if;
end process REG_WRITE_STATUS;
writing_status_re <= writing_status and not writing_status_d1;
writing_status_re_ch1 <= writing_status_re and updt_active;
writing_status_re_ch2 <= writing_status_re and updt2_active;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_ioc_irq_set = '1')then
updt_ioc <= '0';
elsif(writing_status_re_ch1 = '1')then
-- updt_ioc <= sts_queue_dout(DESC_IOC_TAG_BIT) and updt_active;
updt_ioc <= follower_reg_mm2s(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_interr_set = '1')then
dma_interr <= '0';
elsif(writing_status_re_ch1 = '1')then
--dma_interr <= sts_queue_dout(DESC_STS_INTERR_BIT) and updt_active;
dma_interr <= follower_reg_mm2s(DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_slverr_set = '1')then
dma_slverr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_slverr <= sts_queue_dout(DESC_STS_SLVERR_BIT) and updt_active;
dma_slverr <= follower_reg_mm2s(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma_decerr_set = '1')then
dma_decerr <= '0';
elsif(writing_status_re_ch1 = '1')then
-- dma_decerr <= sts_queue_dout(DESC_STS_DECERR_BIT) and updt_active;
dma_decerr <= follower_reg_mm2s(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC_ERROR;
-----------------------------------------------------------------------
-- Caputure IOC begin set
-----------------------------------------------------------------------
REG_IOC2_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt2_ioc_irq_set = '1')then
updt2_ioc <= '0';
elsif(writing_status_re_ch2 = '1')then
-- updt2_ioc <= sts2_queue_dout(DESC_IOC_TAG_BIT) and updt2_active;
updt2_ioc <= follower_reg_s2mm(DESC_IOC_TAG_BIT);
end if;
end if;
end process REG_IOC2_PROCESS;
-----------------------------------------------------------------------
-- Capture DMA Internal Errors
-----------------------------------------------------------------------
CAPTURE_DMAINT2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_interr_set = '1')then
dma2_interr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_interr <= sts2_queue_dout(DESC_STS_INTERR_BIT) and updt2_active;
dma2_interr <= follower_reg_s2mm (DESC_STS_INTERR_BIT);
end if;
end if;
end process CAPTURE_DMAINT2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Slave Errors
-----------------------------------------------------------------------
CAPTURE_DMASLV2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_slverr_set = '1')then
dma2_slverr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_slverr <= sts2_queue_dout(DESC_STS_SLVERR_BIT) and updt2_active;
dma2_slverr <= follower_reg_s2mm(DESC_STS_SLVERR_BIT);
end if;
end if;
end process CAPTURE_DMASLV2_ERROR;
-----------------------------------------------------------------------
-- Capture DMA Decode Errors
-----------------------------------------------------------------------
CAPTURE_DMADEC2_ERROR: process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or dma2_decerr_set = '1')then
dma2_decerr <= '0';
elsif(writing_status_re_ch2 = '1')then
-- dma2_decerr <= sts2_queue_dout(DESC_STS_DECERR_BIT) and updt2_active;
dma2_decerr <= follower_reg_s2mm(DESC_STS_DECERR_BIT);
end if;
end if;
end process CAPTURE_DMADEC2_ERROR;
end implementation;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block
r0wVjodx0/lASIwrSefnB3S8As/0z/LZYuD//jVMx03uXYM3BD6zptT1k3q4gs97m57+Y3JpgoiU
vXNM3VLd2t+l4tZw52J2b8rYtt7E3vWBafDv+YyEPD78Mjk/YaSq1YDaZZfodkBo+oh7C5quffnq
FuVIxQoqguQAgyrrNC3lbLMs0xsJa459Fg/6XtLW5OjWJJowXGz8TBZd2GHoplokg/drf42JJIvq
a3GGgYh7Ii24NNrsIyJ7qbsCU8PvX+RZ4KIckT8YTePWFh5BqWRG+fgkX1NS1c0rH8gacALPX/kx
EboIzDa57bkgIAv+2lXWesHDaoO7x8h/4nIfdUsjwBKB2laT7/bcBzxmV900DUIcy5hN1Kamq+wZ
bfz9dtFrKMW1lNqCKiSPApwax4AGe+MKCfKecmIVBeGm9uY+7kTxc/mqEXOjm/zzbz9dFiB7GIrF
lDnEPHynx+c1OEeUp5fvHgTkcTIF8a4hn9HzUVKWZWQJ/tmNNWc4mB00Zda+h3wdXYbwFI9dDs1t
wQOVI5LdN5G29gQ/SKFil3OftvtNq9qR8RoHD0Sv3w7DvkA/tYeg4rHkJSzynaE/FRwKgADq2xM9
sgr/Dv5Mez1g8MtAFad8K+VQEx98NavXtn4SdVQ+rZe5BpWzFnoTRTWDe8DrBz/RQOuJhiEeCatI
VKUjJYMrhe2lRshVCJoY3duywg8oKUvrOT1Q8KoezFwlWBUJWQxuy9AKygtTy+x2oxtvfdbxpsO3
byMMTkA77i1afx2HwrEBGK/d5045fPwpGxWQVshGu4RfkqOk//Q0ETnka5o1YMga78hirpveGGE/
WhFHUkj417Z0MFk2yYNJRrlr1dBZlB1OcAkX/ox0EZiARzvG2R4w/W+P+vPJOgo+kFr2fYHRfeNw
F/e2xhgi6TRMQqpmi2V58on3DfkEZ0pvAKFsyDIcQs1UnhRYp/BF3s8g4z4GPctF1zt6GcjMFp00
iVmP4FupwedARmm0LHRwB3wjgmcRx3KyUwfd04RhtAZyhxV/+mP+kiB04hP6OqFyltpQnQ/9v+sU
TVOjQBE5qxbCe3ewaLHWadGeKpOopJ3xVFj+BncNiExRsRVPJEUXQA7cedXNspaeTehslPXdkCwg
rlXpUxCp6gziwV7frA2BOPzSkX7DSHG7sn4C1EHhqs8rxOYIjVxUMz7Z+83M1BovLrCqLZegx2a5
kbbUa7dWTs4Nmalsf5MFf9n3k2dc+TM8sSI+8k0112gNDUuLM18bS2mEAG+2lsuypu/dswHwgiK3
EC3AUbMlbBQ6r/VPRUpgrxGb+a4AeY5sGTjkIK9AJ9+x8LsYiapU860WXUzo+KnzIEzY0Jr7vHXk
T+YTboasWdNdbHESknGQWdpNCmLWNhAhWJAlI735AdftkOE6LndqLtDSACYuUscr8AxR1iZLC+/1
/Dp0ZJ+h+HZDVXs1/YlLGcMWq5RWrGoUExTtSjltZgA/kRWTs5HiWQ6lVW0ANvflyjXWW3eXCivX
InLRRPjZC0FYwtyX+dsbcs1bVvL5h8+srCAVOR7qw80TGGnRgjVBJwtUdue8QZc+rNiqkr1WMjYQ
Dot4FYLjBX8KXKU0FwCfir1AglwpHBbq1ibfXQauU8SoPjfLoJojX454ixr5z+gKoBoGCo1BSLxV
Qnh1GM71La4P0O0/C7Dh3fUuBOzSM8l2PG1OLFcsvz4iySD05Y+suaDbZ+l5hdSIgZwT5WhlAaq/
QCVLe5wFERSU9D0890D8URF2zC/ZMtrm16aJGR8K8tTIGaALxmgUE7BYAejA5MfDBJZUkRbEpxpI
eUcXmDPhJqlmk/QzZdIZ1aaCDq++IhqCrFADTrXLfqbRmukY9t/pDc6zCvWovXqW9ZF5dRheFcgj
0UichBkjEnoLYMJ2aCDA51ypODPYO1X049kTcY2G6bXbr88J38ROGZdABaG5U1s2G0UYmLtYQmcD
81m7zwgTtVXQfyPXH7UBBnOGIKkT21TuAjaNqTrzaxa2KO9JXS1k8vuYSxJQ/u6N1kigLbDq9aFO
+q7+e/Nc5Y3ng3Tlv++GGtNhI2Dt72tDpknaMpbtZiDFeqFbCfTj75affwgranQjciK1RrCyoZYk
vfZKnQESgZo8huTyGpccGQeYsVsVvB6OKdTvy51a250RfEje5lBnBNfe0+kynqIiVmnIaSqdG5o9
gtArd08km20tI/sQceXTwr31Eh0UTdHpJQK0aFijNk2UKfNXEkoulc1kif37M4XW48ev2TYeg1+3
PBRA0W643b1XdyiKWY1GTwjrdmGApbZVUP+Tv3obsTAAPwCdObY32WBK5xwwh/qkBggAvMJwOQjv
d66Wv0R2nwZuggnVMFwHPY79ak3XFJprPDkPQuwiJoMjFpCBBD+PbbIzUg3EVvCzaQkSWJ+VdGgX
Kd6VKMclA/BYYVni+VW+BTta6p9a/1enaxOqYM1meC4IVehXnSmCiYp1ZpYojtwC9smHc8Y1sIMv
8LpyVDbpHJdlTOgHgxzw1rYzh/gDp2KsjfOWeZUsO+DgWzEV26x4JAuu3sDAxgyx5svTNXmaI5ta
EkxPwNzA5jR3xaUgh0OM95AdKRzQTOI56Ib/VqXNJUPBKaGe78pTVMVcMgHv0p89F843zmbKIYoT
HGNrtMx20DtXRCbgaZBge30c2mzNPXwehN7iz2tEfUKw1EGY5OPn3A4Jk3IioefZOomxrS7TlsGZ
kVxmAU4Se/4PfB7+aJMWuwHOyG0XYFWyDlTgSVhyVve7CDYXemW2CiPsfmq+EFVVHdaUgZl0oW/o
ltxcYy1Eh01Dp/1uo3ZSPbqY0+oboPJgsmF31aNoxchxPpYEj6YDD5tx2ImK6QH6YEhR9E5bEl2a
cptoW2G/Civ1Zz3GL0+fp7x1KwsGJElOJ/fJCtBo3OXsGkq6aVIb340ZmovZ3nI8rZ99DC+hGMRP
AtkgLkcOsJEEeBtD9bdW48i4PNaf8T3pVpgFZH5bg10PFA+BLFDIcFWXJbsWPepD2+J+R71D2Q9t
dXAud7r1tQNRrfa/exfm00cNjCCHNfc43pojL08FGvaH4cGbot9mHpmXRVZdJx/e3w7As1GYkYrz
uGzKsanjS1rmYWZJqbvphtY/e1/knQj/Q6z4GqDWXBc9NrcplATRcVLp5/q/zS0B5JPLGOSnKmJA
RwHAw2DF+NN8Wk1U53GRm4kAXQB06Ceo9o3wt5MY38eMycOzRaPYMlddMyevOqE7uoQQntN+6wUp
OGR5nrCCEs9TqPj5dAYJIbDbPMMmTEnrIlnsquhiVWwthmAq6dQH9V6b1S0+qLOjEoTaxnPAY8zm
/gTyS4l4vAqK+eTByHBb5fjNjLaoWOIIRlumOZqDgQK/Ob7aUfHoMwDnpuTKt7tlywWLQTVJFZPz
tH1cQSNLAPUDV9/if1yNAhfRnPR0m9duZyAgbPmlXb9JArzffo9MVHxOyhRueXEf274yJ54/KLbZ
QLHWZQRbZ6fRrQNdE9NMm3xq4f7on9YJIZP2Iw6zrBwqaMdSeHCYYbnW8fVEuKX0XLSmQIx/UEc0
tQzJoYusjsHbDcOjldLHfcdiy4MY4wWdvb3NgBvn+1nYmQTmGv/XF7o8GYu4oRpGEKgDs44oN/pZ
o7CTq8GiyU+ltcIn/isuaFiJ6wi7wXyif+graTMwrAi20ZkhH+W1N41eOLtIAVVOslkDeMC75lcy
l5sTfpoop1X06YjgWxhY0WWEzrEE5qkuHOwOgkYEo/n85dda/nJL0xcT0vgHh/JpzhgfZj3JkPQe
yBg7lsqRQr8FUzmuKOJNx96FcInhK/izFY7dSaV6tPniPCab/kQgKaDg2LlC78Q8IUNP0Oc7rk/l
ve44k20pIje6CXfBjmrfzPGZ1f095OYPYsKNVgT/ocvsr+AGIpOtcWWPzlxZji51aacoXaJOqv9C
o/3MVbUWjaes9Uj1b86/C7HddY+XjtC6NtZpKaUs6nf6r9jlmq7FHs7nGP3G6z2vi2R2xymtZvlk
lb/DmuCbXsEApu8GOShVmPonXVcECvq1CrRM0lgKUmTKl0IUstzx1qoN654luP1K3l0MkHdMnDWM
pzvs3CAP477wQkPHcQNSASiW+pmB+pnuC9if0jEUA281gHQe6YbP9JtKVU5pdS1pBjHYmEYFTuTn
GMZLFJGDLEEPfRbx2Qtb6miTohrGuVlYQ9iwXbyP6kscJTzxUCs944rSdca0dB4wEtHJWdsYfyuo
zr1CcYyU9QwGWiH4HfBPdI241nzQZqLi2sC/goEhIQSMjzlihY8HvjCBB2w08Y624g1X5lGzBU6s
D1PMTIH/WLUAhFa+lFyhN0BzvR0eWnq1YMx7Jipd8ir8qv85xHTa3VxmUpDj3DvRGG+5tObxHfOJ
rXrQABLaeXfPj7YFiju2beGDs4XQ/Af8fOXZJSXnDB/OfCECcaW5qVmoOko1Qrx9Rxxs9BdGKjEp
c9/zpl+XvTTRy1pTxgxuuI0dTWoWocDp80IJzKxVeyKrzIktDWvnrdmqa20zY/LTACATE0l8d6Hq
s37Nsy4MBTbGiGB0ZoV6Chl0Ol0uLAYQCw7SaSeBLKy/EqJqqYY88QBB9KIFnIBB0tlhfvuk+jlp
mJbWuhn15HHDKr5Sxsse0EVVZeHetEF0E4rSrfujTcfgTvwQQgQjW2VlTW9QM9KalW3U86zas0L4
A1cWWy8nFuxADCn+b5O97bWTyiZi34QGPF/l+dX9y4xLr46fUdmWAs3GgvK1fWBbmUFja2VvXDVP
v9mq1OyufNiuzJURVi1vWMPmll04bT1437AGVG2mooOXmWydys4hTvgaO5jB3Pp/6kg7fZmLmxya
NvY1M8nQscNg1w6i19mUmINJYXfo4PCNB5AdTqyx600SQhLi1YR8CM4nCCqw3KQdM8eGOS5Busbq
FJHBjByYbDUuBHaU7oS6kLP1Rh9xR5aYVtURKOOEtcVfshTxZwxJrEbSNJiQejpK217UvYwzC4qZ
ChJoeLSq8miUYNA7uRlBhsaIw3kdqH5mXS8olC6rJIjg1jOYEWpkM2Hv0Ec0HomYeFVZSzR6rCrr
0LlrbVdhS/rCxOueIenLDfXLx6dZJDcUqkDK1vod0J/iWBsRNE8Xm0RgRXFRhKm1uBVTq3WtW0Ho
EzGC3JvB2nwRH3NDuqFLtWjsA0iScGHCulwBAE1LkS6ITF0hI8H92IuuCjfN8b5QRMr5KNPcmkT1
izy0ZCTrjytuhlL9wOJj7TeChmblw7sVBXulpYPmCinMjcu8XJ8GC3gC8owrZ7pk4434BU4tCRJu
oUqIbhWJ5buRFTF/Cj/k3BJK3QQBGabUd2yD8D9Y81qEMIZbLwRPueYfGRUYm0IT7+fiEcqW2brM
7eqpQITIlZ0inB7oMyibNgnJ886Ky4j1XLt5QIz6WWa/rHZs8x1ihkCycP5v4J22mxQH7hjMW1/b
torZTxHBfCQ5Nsp0mprpbbs97fxo2iEsTlcjul1JvpHjsv0ozlXgZwmHfz0ZiNML+QG7tHbpK0o1
A7SAtOKSh6+bwmiBs1dWSr2/nT18Fk/L1X+983OnMoQ5DCf+Z/4Qe/RQtTexSeH7mKdsZbSWDz7k
/FsT2uLHuJ+1MRHB0yxFmhv6vRAOU8xSRQv+/h8NmLf5gz60djMV2MtIV35T04FoAKilDinMRawt
zKXzFN1cSmQBd0Powmen02NLfcikD4oGU3GLmkH9OkvptOgisxPrPjoJoQd5er4Mk5em+6GPOUhG
pytxgYjQbH/08/CWvjBtTIzn2gRveGmvlcweaOXUfOV1wE8gUzLUXfrZe+DG+cbnucSDW31l0Zyn
20lVOUbBHbFsV/1+LdRlqBkztw2Tp8a4mHvkJsUrb0C2sLHN9b1h1QaWlkO/L3OkZD6IUIE7t7ok
J5aGnK1XY5962XbtF9IGNape29MzajTmsuzh9YxG9oo1bvpIuIhj8yi8YuIR4we+qXX1Y86HdIXV
hPftjAGIyJtka+eMahpUQnLJhj53cr9WlTU3PNBAcl6LQYSd1eK+W5BZ+YkgV9g5u2UxMTYZhHmC
wYPm7HslCRu6BP1Br8wDnnDWmTRAfph5jxudU+O+WUBKa5Yr4WJzc553Z+HemmZ1SQ8mU9Ntw3AM
l9+krEoks26EOKMW9vWF/3FACfCJepQeoimz6e/0SZB2x+fo4EG2SFnUypep3o6bZ/lyUMJTDr20
VOsoPoqWO2bUPTgcBuZAhbAF36yke00Ga9qEOqBb01pToM3QrB7h4zR57IKWgUWprnLlWJxU3tzq
g56y9atUyhWtOXQASsoGPlIb7LTcEVTAnF4uJywnIxpEasQIjTp3ru9djVZj0omvVTKxzM36XE5R
Q463n1EdNXWplaOYR5FQiihUvnmHz/cFoME4sqH8s7hLZXFkG2T9DB/dsbwBJKEb8kW10R5zZT3D
0+8vSFctIPgwav40simQzgPtpyALi/aT/s6bZTtudA6U+JbW5E/adkEkDRZ9mfqC3E2qcdAJ1z7L
S4supryaBw6nkNEjMRXprZXjCe9fIWTvfUgJbXU6hl3Cm/fDlT3TddBHR5Zxe3cZtg3Uqer/yRB4
qDqOCUJbUi2vz3zdIt3QjBdNNBUuTgUoH8hzkWgGJcyGiL/TTmRQQOZpww6rvxix9BJ8Z3Y1r2nH
y9LHtVyaxz7GsyG5CmLxSezf6WvnWUtVugWfqdUfwpARC2wzwRnodSTahaIc9//HUFmZnSO6pj8m
5L0WR6EP9LLE3KxG/awoAiM9OJ/kUrovTkp4MUvkGPuOITbvSDMuz6zUuQJesQ0xWv/5+5kP2js+
0cMUXcOolxHSX3m1oOV2xSKeBcdiATaF1sH6m0YVq/5eT+yCKoJMvDOQNhewcLDSsi5H4M/39zpm
JQKF/LRYeb4J08WpXnvvscygnMrUxS2h+y3fG8XGW1OofYhCjcu+M886gq8vCwXrp0Ayo0nTbNhG
HB/UYSd4i7sVy0c1UoYMLMH8yxaJUWhMz8pwZTpJriXEL7IoGICRwzD/M9m8O2t/zUOCXNjt9pdt
+ZiQdqJ8Ry3fBZbp1c7jfyxInUy0RMiVDTZjl3mJA9dx/7dvqvoyWGnGWF5hvqxnm1aDyT+pHwuj
XV3YiwpfrNvhwqN9PfgpOHR+x2Wi5Nv1WzAbQADLCNkVmFl31G4HqrzDVXDHHfBfom/oyECLS2zM
yB/moMmMErERrWsAKtDsUVWn1UQsUBCL7UnjgWZ2+hpcHcVS1aFeOrwrq8Aq3sfs5cEXrw7YxkQO
AtQoCd0CMD3QJ6+OV4hPNIfRirXxsRLP3rfqbyiJbh+XbSCnL3KHT6GHXPtA6x8tffb1LWz3DChM
B8cSn0WMNHDXyAwOWaGFQzoYY0zQFjQHM+NWM0FAbuCcxGw4tHFPiKI7JCaq37N2VzZBuc3cwZaN
LF6pW8bc2pdlpN5zbtKG3PKG9WNRKIByUddkadhUtIS1LIAUj1XyQfsvQdPoSP6cteGy8Ph+BJ/n
uZpz/ZGkBjFypWBwHOgf/X7sydR9NX5j5Uftyc/wCyz8T4wH1l4hQvnOAwiZbohslG3xGQUio/m7
ov/Lvofk/z7VOQhQrRglRapgnRH+ZhR8aSFn6IqtUQxyf3gEibIG0iVhutidA0sMkCdPdnGNMSGK
3eLuhUrBEfWN71nu+jWCQqsvl3akwkPyqxD8u1oPnX8l0cO3nGgyeGW/J/WPDQcFsJh3gDxNN3Nv
eOlL73AuUjMcgzV0Tjr0lfxVCGxT/ohwDAzULx9P5miPwg9ZdttiT1crnZBG33B+0hIQplrVwFOa
6u5XpLvfO8TY5rdFktqhvVKevg5J4t9mTAbXTLW3r18cp/aAU3b/UEmePe+PWr959w14ehjSzafM
jN8LYEpSEp2yVHK1Og3YKak8/yfYDmVMZx3OtGTGTlIjmEFTZjaXQs9FZ09S1F2ZAS0kz5RDCel6
b+h9nueMiyX8rBHiJAjoxZnNp6JaFGGFwfNcXZWgsj+ABynP8Ft+1hTaFGpoi4NJ1khyo5kdZ1dd
kiJGOnK/JkKWnoMBT2yv/4r9uJUmjbL/L6Bc9vxAgWaU3FGdCO/sYd8zTePANCy7RdDwtgun6BMU
T5v+fW8V0pPtQTisuRZp/aCQr0LCp5jiG27c0+U0tnW3DvMPBgjP62KFWX8yWbvlJCk1SE3VsLtv
IT9gqlMCVf5fAVBWctCRFN/5xv7Gr7hiLjlGxno8igjsoY3GWY2JbKisi+WCE844ghuHqRIQ5cgW
qntOz3HHox1zZpDFiYNUk/Tl5JD/cPTuPdhMht8ya2hlxBYNf+SotxDQ9kHMVdFmMprUVhiznm0L
xGIHEVwmIzxfQM+SNyAZvjCyWjxZcnA/ApwoU8VPAH1OOOB7EzZeRHmE66M7MlDVBrCKn1Nam6VD
N6SEbQP++jEEtIVnE5drVCr5VEOBfZwOYPaRzyACDCUeQEJzDgBBGZBhcKZ1HLcbVBibuKu+I72i
19inO2wKFzVGE2H7Wph9+A5wkQuTS7rdpTOkKi2kKEtd6cDfqxEg340s7XWfD0ZDldDb+S1hUt8i
Z/LBgyF3zqdQdUcfTazebWIoWP2YQGFdrgKfhhasPWkqEaiidvTG5PgoCe5prsyQD8Ys7eQFvc10
2XUqFCHKlF1kHqLfxhpf93/vfMu0dnMzO/cY9xPSt66BSCOkNY1mqfDMN6rpONkyeNh9TQka7KMR
pnnDsylAINKRQ8m5Z7oe5ebE5SrcSWcXt/+DVkxv73rgPC5wuVKierUF/BA2koaIxuV5r/3sK9Po
PIYfZglAb0b+jHnb3Rv/xvG3dUNjjl78mtEPoP64p8Du5GUvT/pF7Rw9ZuxnX7WOH4j/eGbIDNIv
+SDECRwj+vzTPBflvZ3Q8ErBkirL9N1CMC6b4pvy+tVYQD3qVsXVfwc4gokDLLyxP+4JLHwlLrcd
Flu2YcorC9WyZnxmmnI2GRYZcPlh/8zyub+xqwhPXqACbrQg9VhAfD3c3wsje4nIuNUuEWbGtglV
vi4Z3reIUMsSuWI2YNc0mmQTD/S5n5IAJJ5BdnWgMCwPE+lMN9GBEtIywUCIZ0ukgvDwC9fNC9aD
gcf/WzvNQPncmTXVfIVQ1ki8J2hSAz/dbcEeteI4meLlzA7QUzNssHx89LMH9bVHD6nuSwf550mO
M9bpr0xK/9GqoGsShoY3FG4WW7FmMrkJ5Kj7nPzy5bo4FOqqAKA1EOhgZ8RcJf8Z7yJTx+mG8k03
9vgP84F3HopwCRH6lzpUy81cOskej+Jy1+f7ZyIAuyAoIDtYOG7bG7js9lgANpJFfXsXza1lKisY
vX3fhWW/ym+PB5Tnz96EmIZ5EqW2XtnUPbArTdqybbADoga8ScPkXBQSPWkj0glXrND51bA/SuXb
wE2zkT6YDrNhIgF6Xl0/US1LmfYoLJ0n0mADWHLk7nNSTTmoAW5jGvtr/eg8waUb33dgFUzM7BGV
XdB6tFtYGfzFZv8f1a4M910O8Xbsno82qlLt8QGfIyBdlUd6lxC/LApUhQyKf6PpOo2HjzkG/2Oy
GtDwiRylauA4nVXnYBafiDuAfcIZLnlVH76PU2xGuI83L7R8ZnruQL47gNmUZvZa4/+E/C1tKR/h
UKeI4MQkRs91UFjVoJUldWLE+E4FthUkFp3bVbBjHKSzD2/3cy/FhCbmZQaWfY4pWUbQXRjXmPeW
5aaFIDkxET6I6eONPbbJCnNNpHE5tTanxhQo9LEGeX/BlNYeI/X/BAPKgyRDr59YYB+SK+OlVxyy
QYSl2kMhtMV6colE2zrRoM8DtgkPT4SELafQidsNfwW+lwQ/2v4vsa+EqpY10PL9ka3QWmYMtTJu
RsrMvGEG8WbwGG892h2VVvRGNK3XKOA8L4+o3qD4zF0dyjSMR2vtRBvt4IacpCRECFqGUNTPpVXS
G/jnOxJ5cQYt5usYA/zDwuds3CF8USCcuvfuuxW1ExNPW5nfzUwqmd9UQkSyQMoGBl4U4BgbFBOJ
tG50sNAUec1pFc2tjmtcaqiKTc9T2K0r5PRCZDqeoi1sxKezfzfbvJCOXxg570/V1PmqGMk3Ojj4
hnZuBmzhMPQ18Ev0Ns5z6Gtqhjao2dMEgZLsGmbfYh93ImVRB9bLeiYa48XrmOgz4S7TUh9eldWh
ZB1q2i3T7tqNJYKAYuniF2q11NlwVCOULfTbLwaJ8syphGId0SzPf850VW/YMB9OG1omxY+oRGS/
EJi4k/YZfg6euyUBbxIuphJmYsr3FL1YviYM7PLBgRiDD08ePHyjgM6kIcbkC2sVi8MLVjBiMIdq
enCV77WB4RinrdAXzCj4RoSnI6z5zZ/Mmdn01fJj9TYtm35zDDMHBsMtXOwpFTZpoL+ZC/Qzpjn1
knxUZLKKxy3OXr/2pEomkQ838N6uQRec0Z8urUqK5vDn5epM+VUPqF8+b+ME68l9nAD8bXYrayFr
+vVhqYl545DmNjkNwkIS27BVo1utup5cplUmWXCX+qAsWbZS34pND/CXS/q1IAmkaED0QmJ10VJB
v9emzx9g41fQPA2JPkqoGoaPETuu0ip/NGEBKjxZ+PNpYDQhlgQFtUtv4KfALaXvezj62LJyPMuK
FwUwv3RAGyOWlgZ5EZiJACZXtritKHkSy/i3VSWphbTQ/TCwFutD9cfyryf6tmHC6c5Ld2jVhKh8
oKC26bQr4nUafkh469VcogYX3vH1hCCmc4OX/gQRhAOZqsQkbq6ziV78mWj6SGgaxSV77YVQcQXN
AwY+QK4j4DZ78Yl7OBCDiHNh97RmvwIkf0IcA1qS/vGCbI4M6MVOBA6KL9KVOZXJJ3U/8/ThaZpR
aAP2QXFC8tpLhP2VU3Ueg1jwf5ObUDrNwT+8NHpM4z8RXiHcnhmfGYUW484nMYVLpyUwX7UtgFhz
U17SD3U7gxS+3MZWN9+xjGCpKjOkxEp61W53JqMW9qoqFP5oZ80VS4uQK8MobOJl30CXJigfLewA
hHhDSLYxuWHGqHCUyTHREsgPTtP4rrdiwukXaj90UgyDj3OKv68vEsv4y89nu7w40/ULmIwffhPL
qO4G+lAyfLh/+uXop9bdeXwqVw02Uvv0RxKpxsWs9oP01UHdPFGWnO2xouSinhq4bBLa4Cx8dN/W
Mo5zCAxOQQAHUtYv7JAT3RXefSMeC2GKlSReZWxaeQ8jAsaT8JI7nse9nbm1cpBJBPmx18e7HM/y
uou9+nkbokXvQMPmWoZdgX2+S2+rOZjtfrq5Cv7EYXSed0yUL1Vc1WoQ/+piDgX+qtaoSiwYrhJ1
tVT3ANcSU9LxcAgmAiKPwjYiktT32QyyiRJ5653U6FYeq1YkHurQRBFkYs4lKW/26F0qP43Z2Dx9
TYNagrROOJe2ZjYKhpus/VuJNAK6l+Y8xGf7MBdIQLvxiQx7flwCDzDqdX8HlPabR9L/3jHan4ZL
LyZmxIbdGCk3CdoScnOD2B6W+GD73+oNR0fB9T1ghYjqcnB0wSmiLy0w901QxCtNc72ik4A4WC1/
nb9OONzR1qbT0a2WDn1fSyq2IQaG43Rl9P6y0D0tyhgSSfo9hVirgfYemAYt19xjNMjUruoen2ut
1n/wUbyroddfqkQtuqvBCqfXxpCmpn83x91fbI+s35Pi5RSEGIN8mTuAjucjRmqDqMyACNe+RF0T
Mt5ktuC1eTygK4Fe+1ymtBWS2yp3fF3EdFEEmuyCZA2KHsf33034YU2+FDhdONptN3ReXkqcC4/l
qV5N3IgtKKDgVoZPOCEtucPMV8tH+ZI1YHwTVkQqSObUfK8ZBUx5FRU/jU9CkUMj9fouXdmr0nUK
Dte+0/0/4Nm9dwiCtaj1++hCC/zrmqh/ph8ILuo4QPPX+fp/l5v2zHjSc7egTdFowlWqzX6y7Dnq
IwG4wm9wcP3fbSqQNdABit+eqJDSg7ySbUx0ixFrCs0v0/geyi/YCaOcJsmatKE+wzr5MqYqTNKA
P6+v4SoNmggfzpnQKYHTWPqygdLLcM+nVXiBDMaOa8iEDe7c/oMycfvLPrKcFqT2Hm8MXUZ+Suxf
FRF4kLPaVuIwNhHWoRyL+Uv1+VAtIFPZeoAL538ywJ8ds0Dv9dUMX/sJpzKijqtw274B+fL++2vh
XtMWIvYoBJ5K52XtM+Fuq8GU9ZM0grw7IGMRSeB+wf+Zs5AKjsGPwpoCYFC8KBEL6F85Dq8ZW7Oi
MQ1axiOV3gXDYcvClZLKQIuqdFluop/6WKOyTZHCQohzx+a6VXD1WiAM91CP5BIp/pXJADwvENPT
VP2JWbND7iKVQKeWLdTreMex7oUolN5pE5KTZI1TcG99U984bJnviEEBHmfEpkZsJ4EJi7rcOxpz
x9RbzZJxh+RB6J3yeFe1wQYjdZUE99E1HUhVX0YypDmDtg2k49UN4UQZ97IVJdhPKQdaZaznXOr/
ZEG2HJHZq3mEiLsWbcA8na1zqgP936UBUNAZQc1K7bjhfGaKOBp/NDaySWr1MgyVD88RNb+utiGB
acG+Kfdbm55DsOpsq381qeUvXCrkGc7LI2lL44AjvAFZfni88BUSnhfCdlZy+ToVYER0WYUMIczQ
/4swefadaFNseIqyQcrXWT6/SI1Tcg2sGqfB9GxFl4SuNoEi1ENL8TYCaIhnXeUx1VS3sIOgn8bk
qRTJxL/OeU3LP24QlTonq08LWHPUSGWPQzj0hp0H2o4IULum5CrBKOARU6jQBIoZdYWu9dmjte2t
hgitYj+K/OTmn+lCArzDE0MDIo3DOLzinhYfDeTLBeJGm9Z1AR3Izn+2IQqrOalNkpmQfaadcFhg
Dzo8u5sRLqQCj4Jlgnx1Il64ragCaIUYjrcbrCqJE7YhL7QCHNCh56n6lj7KuZoMmrj0o/RPpx+A
AHLin86QHJvY+5tTE+5IXA8lw1V2TgR9rVVbA7xFYAt96LPeG/fo6ctyykBNeT7oq+J9E76ogXBt
ugm0ImvxXv4+ep4QYvKFNpnvtOR7EL6pUCzD5T6MHEvj8NGr2YsPpzy0r7ZnLW+xaOrzL73gMq0I
Ky2nT22iJtJf/Sel6sgqZdWkQeGBIeWQ1orNbOxX7IgamJlEqk3sLiBaG3hTpoZb/v8FDtqmxOVF
g17gj4+ChJLSqoWbt8HGt2VI+PkAkmlQpWe6jThb7lAzU1TVyVJ5725pd3qOLAOtLLdgWqc0YLzy
/hdOIwTbmgrGJc9jQ44AjsOJuPlzyjgJ+gqfAS8Vm4iYXlLKe8fEp+RjmbkHCJD0f3uo+8jUS+dt
ds9h8qR0i4WwIv0QSoOIKtJr9JLflOWTwleSfEIFp4YSqxD+qWuePCPnGuqPtaCZwX1ZGyJihTC6
j32iQPhBdtha6g00dahIwBN7sBoOGynWhZKTwQSN0IibbegaXL9SudeC29SfUVPX8bCCLvW4Vh/o
jnFPWT4JdvnTO4a7OKj+2veoP15iuUmAN6suu0PnZ7E/REsc5tOqqarGvbPWpvNVFYc+ftAACmLi
JL97PhlULBfK3sIofEITSMD9FEtpuQqR4RHh4FUB/qo9+fUt9Spyt4Zpo5680Jf2I5vJB+KBkvof
caoQwqjOOgM1W6ljCwE7RBpe8+ouJla0n+Wc2IRdHWrllY9M0W2Gms0Kim6c/mRX1VoBlZyIkwn3
OI/YWSgQiJON/8NasEvvR5qZ538vVm6XIOjqIycVpXyER/sxejywW1t8+JXhK6cEljkoUoqP0oKK
lKdCtWYrngokhc0ON5IPwdS9C6Pui6dHWGbg65pfyxG5BI/+xPYjTW95X4t8s3ruwmAm9Zd6vhn1
3/oWWSoa/qc2jqhm/SlWBfZKG/v4qdqSWhxHb6hEXn1V69vB8Qtf/QoVuuVgyo+2Ghco4z2IGkMj
gSW3lgvShAqX9YdWs50vLEWerR7G2LYzKkGYrMmVEv2ESGShymFuVIhRdUzMBeuI3BFgIMKwbgtZ
kokzKrc1s4Y3DNaih1FpzsD+j8mCsWAADt5kjZJiAUuy/zogqRtoCym/REZVIHA1s/6oF32yszp3
myLwgwZsfiDh5glv0q3HZv046QXCaWCvCBq0vN6BnpGDLgZ0q/afElTVaiPnPHKI3bSP67a75SV4
qg9xaRYvp4e3kKD5yHo/zft3/xMnJB8PtspDOOb1uRvXduwEwQKYhlMe00Tk8q/HJM3IpYWlpuc5
+SuHOGIkYKoQeb1f0YwQ2Ca5w7oj3sDPFv83QpTo4bbpSo41a2VlCjRGnBn+x3Yxbz0lGzJvo6Ge
JuiyEsok9xuh4IqdDjbicmhLvQwIrCIC5YDKK5k1gBgW4sz56ap5NfPFDcVEX33nLJOf3mBq36ZV
b+b62k476GyjTAneP++0Z/OOIPL0x6RrZt1n9bDilLNgQwT0yZ3Ft+cZsky7Wjfz5iSicH9D1lmA
Lnp13kx5twW/MV8jJYoaE/2Z9vy2hOt7AsQvBcvb0H11MPZJigC5RzIZzxIyjxgZ3QXCmjrwmhzM
iGEPyksAM/tseP6kYlVZoP6k3aL+baptc+e197v90iNLRoGhrEzrqs2lgnBKAyi4iVvefLaVvtqk
DyoVpOJ8v5YpsC3Un3lJG++po1hQprbNnVcG+81RsTVzkRHVpVBi7skxPZpQubjTBg83UzH8u5N+
LwT5hHT5kxxSaIz5EA8N++wr9kJkNOra9VPHzb+24WP2738LhRY4rJFD7VN9FBiKP0nPNDLw4Ehc
Ktka1QWt/afYDvYDSf+z3v4pQm6Aiyv7kb7cdUyATXtJurVRJ1cu3Vw3BIP+c2+HupqM7fkYod7/
128tK7A4JVMYsJ+qukZn6ZTLKUOKuyFXtQEtOqQNrFRrXj4xZ9DantIiUejqpHC1R2Zzqj/k3+/9
CSYtwrdyOjgid3kR6mQSI+H5cUKdj0BpqD+T9FuounWK52YncXdcrhXrIxMrjw8IAmLRJxn74WE0
NCNPzyvk2s6UoW79OSMv2FK5+q+7MEoycYESCtiYt9glIW6jh63D3+9CXrlRboWBeubWtidM4/Xf
A8G2SaSsmmVbWq7eFeVuKmPVX4dOGTjSv6KLKHCqsirhv2LJMEOqEsX9JNqlaTxmmcgaEmZ/FfH2
zzRVSyhKsMA2kzBnbE4H9ZiEUZU6T4G9QxTEKkyefoQ5LTXsAlvJznGdKoUXEO5o2STKIRKT0oC6
Y1UFv7I0UblJiqKi+qQvl7Qh2KW1TSvpmei7wkDb0OAFzhkZw9hIexfej9nFjWpASAt1Lp3o9JJK
/9O3IEWSqtfbQd5eMJZdXeuz9gOiaIJhFF0HBqHve66kTcaMAONYjH9Hi/Jzh3+rDvNTBB3t/4vR
tCpBU2UdoMH0iKeZYaQNbtkfBkMLFcOS27Au11TrL27SSfBGS+lHKuVCvDm4L5QYzpdWpa6e62L6
ny9gALxft88Y/WmDma44+LHYS5lVPocFdjD/xGELTpLv0ApaDchytVKBfYaLO3YANQ9RiW4q1mJ4
qcuTig69lf10jkco5jtWPj0tMmC8jYoRi6sabEkbYLfmNKuvnALCZMjv7NHhv/iQmdyP6i+AoD6o
H5sajaLEbBvv85XCKLTZl0sk9aiTVD+TUURiH9a6fZ/Bzuv7hGeBGdx50mgriD8GfGZSNfBOcxao
sMTTYPi2OmPlnttOyMIUSJ2JQA3CHG02lwx+uRDhtPrsocJS4SM3SMj69rvWham8he906pCHUHsM
iVd4zq5mqeQy19nqPZ6LuRAHwd/QTxo6f4DPapb/Q8VERVmNMJVoSNxiOkpcgW6GjUf1lRcnkqvI
nmfbADsZa919sVSHY1/Xsv6Ppdzb+JRbVsrFVoMmMBrvdeCzhql+uSm1eGbg1ACMcktsXo3qc6mI
m2Hw6EIEUBjTlwkWOojYFLjEvYk1CzCjOmVrfmSGKumDxKHx76Xi6Vgkels6WmF6WIKvqAz1i/rg
UxjI6StIccegVlNktpxzkx61Ud8IrXK/ZTjxj20aPiMG0SlZogGl6O+og276NZxV0mYMa3OXe/wA
NrEXIZF2c+pfwS/pEWP9KwD36obaYxpYcfd1h5SW/k/22c4P5FRvVx98zCDBoswl4O5JjKTXcckx
X9mz8TRbPlD/y0FBzuhuCvRrIRMOKD0THl9a5y0G9V79niXN5XNZTLtx1+EgUKgbgUSCa01/vrOk
ZzExiGsYIILOMiEWPKxPiC62+5sylyRBDkQSAOnhb06ck5jmbMO0DbLpCHQBQaDmjDdiz/VJ9Nuw
FULCyeMG5s9g92+bAsV22DPa+2QrQPwZD+nLOAXbFbAN0i3FfAqfV8rKKAnI4k3q4t79tqOFyXai
TTHly+BnT8s7/G3+jVWMSLk9btn/+hgmeVQrUJ6kRwm9zDdKZUXgbtPGAUKdjIErHxhmIgnCcfzR
1un17qBKA1BpGkoL838xOR1IOxobxeHTOUCkQbsh3vpn8tluD0vjH1AwYTUNt0B99+2VUK/k7qwj
QkL1wy6DKU80REMzgoE/AsCI/1ZH5HkEwfLl0RqMQP4Vj8IptmXB1zbeTtawoBCOoaeMBO6kK1Jr
hDhYChRHd0K/klfleBE2rO7rfbZAMpEtG7h1h/blg86akjE9nwuMD5SOtGIH6D4zcDRkT/0iWHQE
YuBPSGUnXhOCQKZTqr+tE0/e0/0yF0AsDItpZJC7TXsLLhS7qZ7Ii46Ch7O88Rpnxt6B8J+GZhFF
e7cNhO2r7yEkbgKiMI+6d3j7aIYP9lj4vzc6p/OhFVjNUvN1h4/5sJDCEMMrw9MqCUWj+iW8Wugp
NfpYqVTzuZlrVk8L4mHf6Uze5PJ2AjtW5TV6qyDUYTMboqY6wYCZvQYQN6+I9lp0zKJCWhpUhxAK
rWzvqLHSOs380+0oA3VVVQ7U7W+NDbIX3K6v/YkrbNXztNNb+IrtWA8RNGH/0uHiw/gYEnVAXcRR
E/sLFG/R1ggHUFbEJepSwk6sBFL77mjwNQ9pZT7L0J6Yl44PNRUZ372w1vstOTJSt3WaGPxuGvZf
TJAP1DnrbZ4C3CCDCzCSNS9vLIkaumU2OulhVvMIVwchhtQvGAeuxVVhjaCeYpiY36tLOv3GEzYf
cONDt4lIiZX/aGEkZVQj3uRsK3Vv7UgJJTB7RPNf1vfZaHo1zRkzHhuChv45TitK8PpN6FfylTbC
l4cI+HU97DXObgf6ASQH4v9su8ETC9t3qeEKAy0TPdTB+gJ8uHq+DRcxGfM2PoePn4c9Q6FSMvPh
Wy9dS5GXLsHsvy0MejBGMv+mzdrGr1uzG8O+ofn45KHFWesQarIkSruKm4tVf8e/rwaV3unV9s6M
s9fK7FZvLSbybRm53Uq1vJtZC79MdI04MRsZrQN4RFA6rk8UC9D0J9HZOKG65aBcr0rJkpA0qYH2
+2Hx0qmX+mNwjEqhB5whLZ82QG0bw8IZ9Uuu099rzXebDuExNIss3XLmv/sDgu5tR9Vhm07iCPJp
/5OQEfum5CFW13UpODnDL1pwpUu6CHfZFVRsNKY7E9k5M043bLaqe6SQRKzX4SKDiSDX60dIpXGf
TXHXIID+NXBHrL2uT08aXrKraAipcNMfdOX1KyDoX6SfB/2NFnE3hzA27KeW1MpBJ31oOtU0c/Jv
TWCM0Zoo132bvgzrpGDyk8GiWS/x8T2euxp1vE1Ik5yS394jLrLfTjyDw4hXAQsCezfzAxeQa5be
4Zz4ZqgMswmqiGVucFB2ibvQvcmHOvMZE4HfcH7zvq8JJI9wQhQzJ1ulzbimQYZmZ8FTWW5CgdmY
o79hfF3WnxsYxklSRwzg217PPpUKSNxCI6SeLWUnOSjY4okD208fkk4IAOB2/HUlNys4q3r7lZD3
QI/Mv91sBnZBfXwoN6C8Y3R5YMfFWTW+lPM6pL+6OWvWc7y6H73oEOxSvcW0ALpF/wJs88vYgS7I
/FNqBGZ8iTtVu7vy5wHMSRFbZlIbZtYbtgpV5PkDRS9DZXaKNskZpgUTs8YvepBXqoQYegGYvny0
cNjiRFMPK2HcJwM04dS0v0PFl+gVgirF/B5apWwslsEVbCK3lXTaWr8TfZYP8jFicBcZR1XI8mX7
sImxx26DGFjZwmjIeP/AGIGbX5oOr9OK4iwm6w9KvttmPVDz1WoXJz78Fzo4ZrifF6NuZ6rc2aZ6
fIktf4sKE9gIIUPJPKUm+SBqvZ20gPzQzEvMLh990Hz037h2IR8Q8gTs9olsIPBMZMIQfNRuzw3B
NFoXOGQ3he+O6I7O3E8p6rkW+A55BcCtd3Lh2bP05xNETL26hHD6E+0yhLWA1xmJvI54xpX+NIAl
5YQETrtUMcCmMevwY+LXMtil5exkPbk52Jtz+s13JpHc/zL5CchLoKFPmqCGYhL1u60ttTSX8lKF
++SJnUZt/pSfILoFJm/siHMIEn90RUTuYjMDPmiHnlh3yy0fM2kju6FHn7F0yNJ7Vu7F8Jlb5OBI
+pZQyd1nRE2vFt2Dbpyior663TWJa2O2y/B8MNcG2X8y2mQpw2TH465DczasaVzLK1K6y16H3lC+
jvrj+dvys6YZa5H+hBNfya02WE37v2hcYkveMI9T37CISnO2P9NH+wZFrT6YvDUAwSUI4oyiJwuS
NJ2bZdgdkVGaPJSb8X2eYl1f6AHdwsMHxNitdzIWHjRWpMI2MeI+71l+Ud1hCxL3Gqe405s934PQ
v95nlTqjkCniznQYVPk9eq3oGL2AlcNOTNOmnJ0d0wC/EOrQN0la2cnThgV7MumA7wZL7KBTpibt
4H/tSJ+2VSZx0e68Q0IPsFadn0MdF9j+Su1N6lCiB41dVVXfDJ0jLyFTX70+o9uvRvoscLl0X3kA
gAu8kNSOFC62wkASVblbA2knfmimQl54BJrmQyphY4UKZp8wP5D6N9vJpj8YjjIa7aPapxgmZPY5
czO7v5sXac1MTfD2WE2hFxyhX0sKK9AjGUgbKo/SAA75gvwnZy4IKKn1UkdWnqJ6dz03P3Ks+tEe
8YyALUEPTlWokilvmsEpvnzvvqVmQ3/rQ+9dEGd0fNEMRBTxk+QROS6E+KdkUH4i2+iz92A4PJSB
JwTgzqS4swCgMZbJe9tStncjfZt7yL9kSfU4KZcNJY8dzFWNOFWWTcBOv+GyjOREXVHPhdXt301f
aD9qKrjnsUBaOlbr6hW6I9025q7S8U3iFfudGnxqvlPX5MeF6AbrzeK5/vkR5/WRmhy1I8E+fT6A
6XUAwCihltpi5aWIIQkMaGo7pFj3agjQANybDBeChV62cm+vWUoPIQQzGrnJnbNfBBtqumH+NiBN
6hX2zzm60M7useyMJQPfXvcxusTfCa3/lg+GfNdm70/PqPlwZuE5a19MzW/AR3KnylAJKoIAguHm
uQ9ehIOLSx37CFmc6W3blIqWUOJeICWL2scKSZ3HxeyFUy0+LBGFUIFS2Tbe40ewHuTxmCTdSwLw
ErP9p1zq5YLjntfT8WOFIWgtLUpGffS1flCU+DTle1+JZAqiglNsjwzzQLdwNLjeZ1RQg540euEL
5uVuxuSpfZQFMXFEIjDBPOZN+gfOfg54sgJTSGWYGRIT7rkEvsERnXCA8cTFCq3/nCY8uekFpEm0
ENIGVRfnemkWi3SL9Yx8f4EwFv6VLes16UJcdpsIYm4ePW9Uw7nbTF3/26008BbuPsmLHjMjPNLn
qunl0cPnir81RV2aKp6BPm+8/QUG7UEDTGK+1tt7P0N1C79ErA8ZCz/scyndGWmKA+KVGg+Tq/Om
LPio/yjdud+WPDHVzeADd12uZHzkKY7GpKUN9sVc+6zb+fKDm3HcTA5HnFumMu1wnwAm85Rbm4nh
8UussnWn/xptiLhHX2ZMhbtZshZMoGrWwy47X4b1Z0tjQFmFl/Z5J6xE0RWWXpVgMPsvrJNpDE33
fd+/bcXbbdRnQeoYtEKMrlJIrVQT56yjlrHKADiAH0IQkjFpIUf8SPv2Y5pWFXzUHIUgaByzWggJ
2LEbF41PbXcx9vxLeRRL8UhNR/bXDM0YcxCJK9Aqpqz2kYLE2gvo0b/Hp5cG1dyCo4abyNgNQkgS
+srSknHeaaVfaVukFImHU6fktpBQ5n9jInHuyXpr6vAIdUiYE9IUyBbd37cpn8NnwGtnfR3A1pSw
UtDnGonfwov7EJuc8ejkl5Gx5oP9qLHIQBGD5egtwHYSdmfzx+EiaYGmSU4AZRNhTw+/oN1AeP+T
Py0xq/eWAIO03JJUO3KGAVyia7NHzOjlMBy/fPIbKsBpRrssheshmv4IIbUPVyHSYTnysMkn+qVG
TkOFLiUhrjQ4osBnj1s/Bkv/haZQFw+ZcgVRGo++UirOuDfYkhXdN707snNB2JBDpMSMUp8Il691
59gxMXr8S0bfDfHPNeBLwjFK5lpVoBC9nOca/Iiw3OeSXHmtRwnD9w0vCA7Hkt1uJRYPNBX/SH4B
4zOCr5M/ZRBLlvfMKFBAkkV7+N2q1ezPPCxIkSKclXaBqrpHLRKmx5aZoDWlvJEZQD3WDAUULO0D
IPABcwigdjSS3YtM20Z8k8k7+/yDjkCWCF8PnF7N84NWcx3oQSsVvBmvb56Kqy5TYXrMw+ZaMoBl
4Z+dZytm7vBapQl5Rh++MPghUTPZ0EKPl4ex+jsBWId0JOMZ9Mpr52L0wp/YKAjxfbfSZvWJYqty
ekfYojESkaLfZbidb5l9ViwEujO2UpaJ+FxV4IJ+1QgPHZqyjpHmnzHpqJIZRLZjBMggU1Wcz81t
QTISanARITsQ+KhJAkodZEEx14V+hKHa9dWs8kCGEkuJwk1gGaKLowiM45JG5f2c/ywEXg0CHW8H
s6NWerjJ6GQLJnQxRrtnQFQdrn5dcu//QesdzzLnhn8V3P70eo3G3XzTo8sZwm9CkYRMTSBy/MeQ
SLaMsCgOnbTkBdBT3X9MoLWWGu7FYDmB1hHlFtk3XiDlR81KE0rE93225iVX7PwH1uza4+Y2dBVj
FTsySymi7ZhbgqfgV8mFa6f5zchYh9lQa6rbc02zLFkc4OBXwDSO0MdLSLgJQrWnfidmo7NibFtt
bcOVGPX5PIPwk5dl65UNl+VD9gtqpwNz4wAIE9Gj7VyKysxi7DgFfx3ivCuqC3pbAsazRTpk2/fY
LUTjFuTzRHslAV1byBKhqLkG4Ggyr/0eczGSyg0Ka6fqx5SMa+VZZFWHB9WqHwobYsyCbBLl3v4n
8gSSkP0bs/I5C1SsLfy/mcnBG1/6JOwP9N/UBJxgP7LX20pIXyxrsYz4s9RtcetnaPk4N3Xj9L52
zVkGsqKTc1QTrUaDFJKaL5/bQLH5eSiqqjwFqisnlWAK6awoOphy2uNHft0Uk3decUNqQKB53SOY
Wx4AF18Gyk8LVl6NzGSK7mFhP2EKIObjeN8UOvvCVn/3ouS7L0lavASu3jKbxRJYfiNdeHSevcSh
VuQRyRyaubTHf45gNSeW72wYJIQKG/V0HhU3ZhuYMs3g01+g1DeUAWIvS/UWTYyr14bTUnN/bDgb
lws8hJLHlHNLEVNQOhvo6zMJJLDSfiKGypnfsborZnWdz8+peFhA73MGpS/AhlUJ6I8tnD5J6KLj
cyeXjluMc4CH4uHMgGkLYHszZgqAqhLefEsob2jdphKES5ohPu/mbFFjIJi6OqA7igyjyap2FTry
H5TVxalPxuVwdSg3iIbjPw1BaZs4En2dVX8B6Z04FBi+z+uMzFLZAl1NhDZ/lgDIuofC+j1SnRBG
YqxSCfs8dfpBrq+Rm0w6Gq3unDPRnHivfB/riVf+SfM7cEfLePJx9oKf+HpKaJhZh2JDc8OklhZt
kDX4WWU1GcA2WTSUhx4ppRxuPRg/MHOfzSo3/VSUgtH9Yj16uDWpt4ql0AgtJ79mTFtx7DrdBwOh
nSkDEVaIEe+CN1WoZcZR3k75NFd70l6lR4Z/+rhupw2ZDz4aB2H/A5UcUe0cf3ndZU4CsznduuAd
cjKsZ4d7OYX/saKNwQh4xJN6D6wUcsdNvT/Snt/CMQ22OTMYILYTBS2rFGHOLh0ctutipMKPl4zN
ynE15L8BrIU9Cn/sXnEjQQpKZnfaTV1GehlR5mJ+GGsdilKYeUiPv4FaWf+AcFJLX1YFlfE585mz
o0PAKyK6WKBhjECnrf9X2n7rtdG/VPDo1o8aj3gvGJOGTtCcY2okhB2dfFkE7pkZqJcQm40BgJ57
47akWIKZPoZiHmQhHPNbNFL9EDUjCa6HK5d0H87/YOzDqFAHUyZWe2hGAzYeqigXrBqi+MYXtDgV
vhJvKeOkpKzj/+vD8zmqQuQzLoMx6vx5CzfgXLEFf4rm4MCV13D+we3NuORbqQhMCPp1mT7sUuVq
JpFWRGLjjSk/a7ML5193RJ4aV73mBEMzmSVO+Sc008HP8F2xlDC5sfVhq5htVat2EJ08s0SLFAEC
lLsBeL4QSOnV+hB8l0Fi4TS8hpizht0OzUolK2ci9i9vjxfX/mBWcubWS/EulvEByRiU4f+A+oHz
NBcbQsEoocn44dYUTsr3KNDD/bxYHzfQ/03V4MkXHW1VeN7pn2mFs1duw9tN6nuNxBydgU2VD5mt
BOGeRSHsnypXY9SS2ghxMxG6CNSFBnx+lGgvMHQ3UxwlPhcbGkItf0hrJCS0Cr1RpGkfiCHVKiog
Y/YYTdoxIm2nDjZ/PSu4XuSDv/DndO0Bg7C9IooUaUl4+pD8zYH3sgVJtWdquWp67jD3/tXoZwj8
+kVa3dtzTswgvDDXy7kopHDvp+fotrokm6gRmyZ8CtPgtrpRQ5FekdA7mQygISiYuNMw8kcO8JsF
Aa7iZAMZ28x2PT/yrYrteHLsr3vqpWCylGUi2w6agofNqOSa6xjNEA4ga5qxIyd3L+lpKK7K84v/
RlLbyy4GCHz+F77lxzNqEXQhbo+JCj6+gaAcAmYckdIyl9nB9TIDc6CjuuJDxN6yyWJ9QKCPnh4Y
iinZReRERfTWGRHtXvYy6mwjVQJhXauwiDmbH8UelrJ21PlP9e8wUStBB+wscWWoVP6qJZmuentE
iQk/TdiHNJAp8Y6sw0WCjTcnAsP6uWtYpZ1lCM1J8sVioZKgf3lBhcTr1rz3EI5sYstB5UJrQEkl
xt0V8HLZGEouZElufhbAoARl9mPCeVHGskpMXLZ8O/zQE4P/rt5g1zLHP7NbFIjGwCX8DZE2KQz1
/SkDTow5HFai9JyzQfXjse79Qb602KD+XVb8YzXoB/h64hR9A5WGPmifJHGN4RJE/akweKf4h1q7
nQdlOij9JUPphXMJRZwBWBDxv8sFbZTHiRnTcoXAEhuFleNPWzzfC9WMtAcPexLkIJynb1nyFWwa
skaTBqWKgAdZok/0ujtUkNNn7mK5Nlwuzgt+HycucaRrRgLvsJwkNtgV2PIw+1wlj53kR6zvPRhO
ZojPiUU+imzQs8uKTieLbd7EScTMqLUxGj0XHnL98NcKRClNbruMqrmT8HQFQKOEJnURoIgpEv0a
hIB5ezxnthZkeViRYle9/8puQao0CyK2m6m5vta9XuoVjlWFEw89SWLoswIirgIZsEzvWHaHz8ZG
qT9RyvhtkrgrcGoa+bhJ62yzkLnNYB3b6R59dLlrW98U7ualhoUI8/Q11vCkXPF14qfBbsAp/vFv
daLIdgu9vmWTsl4WRZTVG0wUnlrnkrY00e8gmSbTZNGGS3V+aVWPcQbVvPEjargWII7zQx3KCvRE
TXVdY9NW8qsIFdWajtFDphinUZClAj1liotc+fCpCTHuTQEkTbjk5w4dczJdt5NfkTU+GkcM1h5E
fx7UxLQVCYF0VPmhrQl0UYMQOVo4ft51Z6H1mgBfjmiEtIYCVENnyhWzyRA5ARwMKvexIh6nbzLA
KJTiqViKwYcp1s1vkPH+NoXR7WMvIkMDC2XSXh2PwfyLp/FsDul9ei7ehOMfXrzqjeAXcR92f4M1
X1kFeO4krQ0UpKHY8IxO6Zw+rll5Gi749fW0VrsrB2uBIxscu6+I7B8VBQr6UX+dG+5ZXXCPov0g
Ib92hnADelWQpWkizlrZecPnIYh7CoeUS5U6699dz0IC53+6dY21O0uNgKO5l3VUtoiTD/mcGdin
5LUjY3xSYLirX/0KpWKz+dQ1px0TAfKhMbnE9ESTobkElQ/A5AtCPkdDIaiF+4/88uwXoevmV5Jg
cnbOq2C7Oxhzo7xDHNJ6TjANRzbn3az74hM1M7GCk7CxkZv6kJRJMhjYgCT/ipjrQY88GEsg1d/A
yMEIi0v4TK6JU/FCg/d8Yg+kiWIDUQVfuWl72xON+TcNlYZXrnnQRNXzfPWSxi1V3aDSZ0aCtt5P
f4RS3kRimFBr2AAeq3oH0Ez0OfoQhwSfo1KM09K6KQwWR6fENqlopNWmjP/eyY3/DxS3XL8L+E/v
l/8iOZ3KXlj8E9elqfnGELJFLHsxOMO4TTnR2L7c6LdSJ2jRtvJjCDaPRH4YNG1ROS1EC5zwF3Z5
vklqBTDgq6xqpa72IPAoZmpX2x8LPsODMQN3R+G1q+ZMPIBI+qvOXdmD3kEg1Tn9/nqdNw40bEl1
E1afW3/oZQfcHZytcRVzeNOwuMLLjWqkmc7HN4vZkIql6A1JR/MLT8XlL6+oAfjXY5tBEc91kpbl
Zjr3SMM8zcbvZAOlusOhyP6TMDVlVw00cEMlPQnNlPodcgV3qvMec3jxhJ2O7KoKi7NKlFk3/iPx
UkGllGjLtmydfm3dVDgRHMNpx8AHPr2W/TZTVL67icggSJutQwd2y+hsSzudOswKVaH2IK1hrJqe
8VokU872RWH9sFs9qk04+YiEQ1EBTI3jQUVAVi44bEobubESRbNVn3O43kZsI5C/y3UQ7t1Dquvx
hT+FCVynx9QhOSMMZxRNp6vm/XEih6BFEfZ0/fsqIenvD0XqM4TJmErkfSesde4h4kjLQpLMFEUc
y2R0C6cckXGEXWONtj4rXmTKYknRas0w5mODnEGq4SCiVstJFBN18oUlEm6Q+SDz38C4byaqvrQy
w+6dtxcj3WOZ4aXfSc4UE2Jbf/pPzK3C63qgMI908brtVS22RyaHbY1ANftGiIlCBhhtnF8phIbv
ozmC6IWSlLtkUUnPcxJvQwJk+hzCQgRjMqugf+e3eU8lMtJjaZKMuNC3/DafAvFEmCNbHx0bkDiq
f69R9et89bMBzOJpvpiE7gwUu8zk4aMmBugv+c7rBPWpQ0fixk7VTIoeQ39jHcVsU/O40g2ljs2i
7A0h3YwqwJuDanBefJBqwAkG+88A5Y5ImriHnXAO4MgXxP/FVHCk0spu+X8V6rb8KCAHNo3+6BjO
7AyOUqq67dhglYW6YHYat5r60/O75armZiHtbGxIN1tfC0ql5EX4BqanljzPzibuGfBe0IUPJW7N
qnpWYv4dZHo3Ygai15UNg6LNd6v1/RD5yPhVuHmKgf4VhjH7Ji1+X+Vd5ORCD5X4BYHPQ2h+5Z/5
tYvLECrNyxjzGZAElC8kAThcMJ2PyMVa5k8FdBtLXsfjuwE/SemFv1+wFd+B6GmwaVO5G6muYqYv
KKitTfWTEQKo/ygTjeerUiqQmsof1ne/K+qp1dm4Bji8Xy5UXwinEbBxAAfmyLut0x3LfMyDlYqA
IyeT8sap5HIY0KHCGHVFYZNdjg4DpQ0zp6ba+gKilSeJa7lpyBypTTn4K/qGNG2NEzaZahzE7q5u
CReSaZlcfAr1W9jAwAYae8Ujz6Y7XdnhlUYISfUqDT9VQXuN3sdFctixQFSU/DGVLvcuvn69mCY9
YVAoDOAd2SW5THTS2+pSOElRyva+UdoYc+eGKYy8JWWADK0Z5BqYsxpK+nXIZIMAwE7jCZFkTBJp
3oDLe/+7VHJy1NmbkRfgkhXTSLjgqETrsS1kJ0kPh9siDrM01Uexq8Gi2gIXrRsMH8+P3LOj3znO
6Lydg8FW9HCOKvoaUMdMjQQ+Xt6ht2SJ1FXu1IUMYZvrzPXl81Me4t5uDSYKpmAWPHSh3RohLBJ3
t41tOycfOzFONanHOE6LOtnYeRirB8DVY1YMIuATX2yqeHhdJTi5bx4WlFuRxwHeKS6h/W6XOmjR
e+Yw21SIbPuq7WiM4u0UAgquuL9HBiK5CmDIbJXdJaKEnxVU9HG7QTK/0RYCtqjkCpo6eyN4TN1q
qI34+udm398xYJZ2Miyss70KPRNz7msexC1FSdhCzw4yQZh49xVvK3UaJCS4scyk9BRDu8cg2Fxj
VE3Uk7Wz+tpMuMjMxVWxfX0iSkqH/bA9u9m89XPe8mtfIrx/kWESYjR9Lf9siN6KhxqcPsQ/m5N2
C2C0kRjwXZ1nM84zwVVj9ihewuL3vUU9dwxcdponxykQR2K6FIbUImjn4DGDi3Kfb+rTeMqcSicA
A0yIhEpBWGTlQwUR0d/Ce4z3G5NWt4hl7YplCmRFxyVnZnizZA6ePkB2vbAKAKb7FpyvLFsCzBQD
w3vOkDVaLLbMC6OOUpjxkEIpvFNSw2XCkkvn9Dr+v1jt3NozGO7wLvHCK0muDtC2pJR3hBgVAJ4M
8GTjKNYXewi/ju3i/eSCWDZjNNoWhSKlqnzcy6I7flcrTti7Cze5hVL98cUN6eMoBhTy9NmjLdfo
7nUAuhF6/4kM5hplIRirhw10LEv4f8t2FeCYaxYPxpDS1Gb7EU8Jpo6jmih+vzbvTkQWJjAtUZ+j
IJN2p/hSq1xYcc/NoYT28x7t8LDcQNTgwIepQmIl/x+vzJK7YynVeG2OzsQMhT35c+L1Jw1MuoP4
zS2vv74pl53nsNzZF7xurb9bLsn82lUaOVlzKAdncV7OIp0BEmARtUhoFhyIkb76qD2dy0DH5vq4
z0CRwDLtylJO55v/sTcpgmBMTKzh48wLDQvi0wc52EhkTuL0aFJlCkra7ZjvP5fgRjucq8agetPC
80JuCKEuEQEVc1LrFBOqkgQvYqRHTmvIRUu9j885MGk/ctc0QzMbTLEzBHVoalR6UIOP0qjXU78N
S43+te3u26HpOVGYzgEqD1axqp2/zOWIU3fMZIdPk/UAcEB9x7dAzBPAXMq6TUjwNoGz03h/DVc4
cmCshGSyM82X0UwVw2Cf6SWEIEHMdN+CzpkDwzkgK85oeCvbuLHALGMcmUcPyAbFIVCvomBitdC2
EaWn/3+I/1x7Qhkd8+KnSe2O4KKDIikPb+A/a/lqiyj0nWfZyZy8ouUwKkO29dkOt7lAx/AbZ7pu
ZIvrzrr9be60x7C3ftjDYtxlhACGaurMg4z9z+aspdQgAw4IVMPfMjyhk7Yh5r9FKVV9MOzxDDZx
YjY95KLXjaXEtpIBVNs2+XHPUte2RJYqEcNstSTYNGpWK1097OUFxv+L+OwK3sspMbBqZVqtSZ+b
4jP68KMCOfqtpCfTEf6tViHQ0LBxKclT/v12FXbt/Ju3Xh8RKo/1iQbaO2HHiTtZSkgcH7LHf9ux
p/AQNl112HqkWZFoIp/MRVB+u9EKHNX26YIewPdZWVypse8wRO2bxr1Drng5VENSX3Gq2Nzvnkts
lWdY6135bqoB9SX8W7mnpangKHEaF8tQDm5IB131RHDIgIm3/RJG3SDPswcMSuLIccgi+ogqvxwy
N9SYKEpbguxLDOngHoG0nn0/ZsflEdfE8/Jh2pHjzgs58+gan3Rdco4IyiAG0B9Ib5ys/MtT1KIs
cjYibNvocGRX5hgJKvFn6xd2dNCjzxl9NOg4ztvjez5tCZUwq0n4k0EMY6I6AawkAFkmEUAqBBTS
V5HlBQ6NUqRsxiERbln4Z0+kW4V0/in+0yEErr61dYSSKWrinwKbQmCtkPupz6uHqUf/4oBqZ5UX
Z8zyXD9f7mjW5tBAnl7PZG9kw6EsyPBUuZFSMU0yfQ9Urigfh/lGoxtYNNwOQ00gmB5OvzsFWf7G
sOKy7jDPQ8T12tgxsamSfjZWS1MfBxWVAbOc3+/DCoxbAxH7TwIYeoYjZ/U4L6FzSpFzoSlTrPjk
4p6gFIrNKNYnhyYEWCCPdCZXZFTVht8Yx0Wl4YpmqPCs5s48/qFQhK9EKz2x1eogylxnl0tUGquy
u4K6fs2k/lIvjd+pesoBioYs6cUZkBbhAUo3plHv9M+Lr+IYYSeJgYv/blUwGgpgMEYanOCDHl63
ZqNrQRN57d/IhJSMsGRU90d9j6q0IbYy/ZRw4zyRsdI/wRoWWNKpm6HbboKEwdNtSsfMazxJUmtK
QAKTAniKKG2iDNPguzm7FoqAJWenDp+ISPgnSPdaVGCu9k4oyMMNmOb7wo/kYY9APH9R101Ao6BD
GbSqiqZY4YOh8TTc6/d3VpB4iqv0ZGCWaPW5KR7Fzf4UOCTQzXcnrBfLrGunQc847PDoXiQ4+q/h
qZEUSsyvQk8MQFSDcyEUNZhz2ReKojE2zaeBgu7dmPOSk2JLth5aYptELoEPIAd71AwEpleK3Acz
C5GKXo+pqTrFbwTmhNoq1KlO9Y0OxMrNt7byWiBeg2ElwOpuE8U0D/sTOERhSHiy3lwk5qFB5++w
3SOzYLzHhhhxOAXa45f5hReQZ2AHxbqph3Xb2PhLEnrtxvY6CeSlB7lnxKpywppBut2yUYK/etKG
/A9/iWniLbK6FwYzTsQoErZl9VDY2pidQ2KHhQekEsr5OV1hlz26ODBOBRz0lMEb1/a4e1yy6vYO
q6KfBF7XLiL8ygGbvuBxXdrsJ7vfMp+l1D8AeorhF1p9gFzuOyNnwxA5BB2/pZXNk2FENg9bxmzy
/LlsBJ464mxvtgzKGwNRWCq/HrlJjwk3MnUmZpTzn6epERjmBv31ALNNZ3P5EkPo6CL8Aw9Ew6aU
kKaDtZpt7QTYsPCLN0zYjbilfuLIRQRR3mWn6/2CzFVOk2fnHqAy2tcLmMEU1g/MF3kvHtTzZ1Cw
TEy0QlzMcea3i1j7HALbxZsGjhnG8iqdqgN1yISjeRllnxmtyOOg5zlyRzqFkc8kuakjeQv6ps1O
Lnpq0Ju/aMEIMPOU60YdxjId4fZ+RaJ6wbHUzKVMz2/K6ixoUaxLbIvp2MZH5uRi0UsamMBPdZ+5
xeSbbgIJjjh0Xikt3Dtk3aAN81NYX4GJY0/VO/Aw3N4YCrNcVvj2mLRH2PW9X7zOkPYXoDOvltmW
Xu3C7vRxoBgb4aLUQa2y9kHuFjU++UE0KmhtTQz/dZq36VGhwSgp5HCL3Le+hobYCyYXc8xm6ZeH
AwQQOGbtuoKykhWY3G+APrG3FebpLnrhPgkCX7ZEHv826tZYDzM40m88JClnG5xrh6AxA6MYG2It
akJtmY3z3MKgaGVhqcM0DrH/II8eAhGL0K+DzyNWo5XO/n5I/bgRDYEKOGI7u8IW35TkCvB/RcGV
qXMyAJoBQVAdPKSwTr6wq26TRx9vWCv2htTFre6LSC2t1yRrhM2TcWMuMbnsODyu7y5zENbg5IaL
rbUcjyQaDolLDgX6WI6CeuSxGPzpwwC6ZgjAG0R6UlKkoPP/MrpNPsmnwLX1pOC+HsYsxFuTwPP9
JthiAAPqFBYU5NDOarjfuDw1gKOW/gqYgx6rspjhclop2Xpg+pbcUygHQ6E90CoECgIlCKCVpcnz
9ut9/OTD9YwHLMOtUU8N3NgTwp5u4qsIb9joJ9kQ1vvMAaElOTrR8rQ65ItjT40R6lBnmQRPwGT5
bj+kv8eJtNWCF97DB3aXPzbct72fXx/jaiQK8wtJY3xj5tkD+4lmjJGjSqAlXuF+FFcbycMWbfz/
5z6CyNU7wiig5a/Z91eivcZi3pzFwlq81xo5xMqdoFFWinF9Yrix1fVK/2Ge6yPdkWLFXj04+9mI
cGuHF2yUFiNnct+B1DfZ1jHiJrWWA2BBwXKpRW2Wz2Y8fGG3lgXQa6YBsQP1/PyddYXRgfhhltXB
fhYRO1BwBN1U1sOimfRmILq/syDDaRvOR+ovEK2fg9xSEmStQmRJ37obCW6GSmpaKnfXfFVOvVmg
SR0gcD8p8Hw2jB/YRwq84O1yVz6/L1BvTVlXKIGv/BjkZCBGot9DWyRZkGZeOM87X16c3jtf6ZDR
gWkvrAsiZ/m/jedm5kzYMO7VSU6M/4i9vF3sW19fvGzadZXAUXXQ4jEXlKH//WNu7uhU+tPeabdb
rQoCh8nvL0VW0t2jCDkAmeXYGGWcneWXCaXeM64vfFCxZvUC1pNZ0VDaGnyv8EZPkqcxRZXPexIq
oRU6r2ikKhgJZC/yJPvmXIiPScHczg7uvHDsnMmpBLh8n+G3BXD3nqE64ygqiQDhJ1o7Vw+kkScH
3q9iWqCYfx/J3OU2rTemGpE27lH62VhvcEDOeXMBisyDEZGbUezf88ASG2ElvjTHlf7besoy5zVn
QlrAx4Kdg3XO80GTQ/rkLe3ylZDF66AMj+lMfS0EF4sHEL+qHEN0eNrxhXm+nMEcRvJXzzs00RVU
OwICiNDDObkC9NW0bNxOp8dNGlI6Y9Zwcohr2a1zSwy6uOFYmw7bMl/lyk8yQMyKLfcYO7pbVx+n
jJgAGqe2UIf0J/SzKufXHvDeyZKMDyF7kZnDKhp4vzxuGGh/uY8FJUaAoaTJpkjVxkCIXiA/3Qd6
ZQ6U7ScQ/opW8p7UgbdOWzhGwulfH8pe66Y/JyZgnEmdc8iYC93wVEKl4VjoE0qnpEyNOPYhHQTS
uqhFPScwRTtozc/F2/VZHd5PLvVTs6Mps10N/u0V1lGPsQzj70RyzL9AFT6m9/rY7GMuUwr0xKzr
TMsZbM+ZfBfnI1QmLGZHigRvJYSnqBUfb3ETyO3db5wjh46mMfbpu5FM3E/TcEQFfIh6Wq+pCfNp
gWrPFI/NZWkJ/SrmOsRctOJzpZ4yB6WRMYxz1zzv/hgU/wP4U20ucAGUJVLSKef2zoqHbD4eEymx
F23C1/NUwdXq7jBjeOzS77mXpTogY2A3aDUbyKaLnRk2EbJ/3ejclU2ClTL2133EqfNAa1zbUhKb
dC/iukNui9em8QG2U7ZnS1nB/jUb23vkxfu4JmA9VDkupbXFU0c+kHjOrk06slpQ8ckfoPzAdOjE
jQRdOqJSB7oO/TTk5tIOT/MWqmpaxgybJ7KUPfJdtnrAOWlXRElwgyvj7tYCHBNg3XzxoRzIgsiw
v9fmuYxX89fnCQ7ZCvAERpyVeOmnnzIW25fR0paYe+DfDo9aI+w8i+fxaiAEGs7rH1BoT/AKIUBG
NtAHlwFTSo1ObqiNoW932ycluCcE453sA3V9KZ9Qz3a561K+Yf3vd3rGnaZB7KF5xHtacxpiqrwd
mL6oGydS58TBqOqfL3BlejmYppK52kS4ujVRs4EI3WBaWok7XhFpAlazXpO0kyimC6QkNN0+501G
D6S/zHFO87cctrIWmR4UsJecpHWtNy/w4m1wT+tp4IRgC4RcbuZk4dKO3Q100/ZtMTQ+bvG9U5z9
sedG4OF+VkOw6dgK0ZWw/jHFF/iB+YBnF1D/IljebQy/TgYUNJGzdfJFXtaoKSgZqojDuAhuKLSW
JLPpJ3HIpwS+GfEuOevUD2rI7KsJ3H1HlaWiXlCu7oXTtI4ybqHYiDJ1uZFA9KqLIIJGzI3jr1NC
4oZ3s4OBsAcdBqrdta+gRx4bwAeV3isecoBjRcuOX5uOA0wRnM10pM4R1gmzaQWb2BUB3cj87tZU
h4iv3L2LTzVgLdtXcUR9tpm8yZmNi2BNq16BxD/PDSQx4s3ujQAZPlyUpjFoM2f6wCqE0ieR6epg
43UgDZFD46MRCC7EB6QB6QvHPLt7IIZ6AeE50z+U3ZJasACQ+9Bo4tr/mXev+8wyZ3O6u2p1zqim
A6jMYjlKiO8l0J6z5fFYi+Qy6U1mxWK15Bd0LtL3u+KRHLwgobBOMWV1APgL8ckfi77vMguMw2w+
YK0Ph5nWL0ECJJV7W0gGkXpVpdhBviw4E6BpGPVLMyXxHx4sipoQS7gM7bn4k5VHs56z46IdTnJa
9kISd8l0JDewQaCu58sVb1lIfbmS9zRfF9lFjtBQnNEfK0jwzOgN/bv4DjcaQ8nH8lMVzBobm//L
Imgrhv9FNkrt3TELeVT+5oWzrF3NHVJvkbfBzcWFIXOhwpWaiBpmLYHc7XdpJZx0REZko8S1rnWv
XgntEl6RS2e3V+6PbeSAhD+ZY4JVdetZFwMOr6N+EcMClC1epKQy0AcKGa4xi9d9fextUjlp5Xhq
ATI7Br5Ejg6ffduYDdPTi+aHkDnBvYfJmVCbHZYQEWAxiNQetb48XM43bfV2utYYBAgkbICb+f+x
CUUiBBUrgZZSiJI2PQ75Ax0uJqkgt8iE914hIPiTQIixpTus1JuUGkrZI6gARD6hhYWA6mlBMnxn
JLPei6+2DsenP1vtjkdqUKdXLMYufhfa87JagbV4rGbGMjWl/K2IrpzRHqVPIu+/CDEpzoveTTad
rclm6jptlnODOrFpkGHJqnWILanSIA+N5H/8UYeFN67t/FuBcH4YsstpSQaNBpdZDkeXsw37nY/Q
C4Md2+QgEUxUmS9RR3PHBCfT0E7NmGtz4qXIpCD+DBE0Vbe4+ASOH1yoL0KTbZSZcOF4K6PjU8dJ
FzNHsuz9IXyNFKXbxojBTd9t3dFDLo35p3gCXWfv/L81ytg61SS0DxrLnzZnB6bTSCrb/veasdww
h7P2thlLtyncnmQT3/+2SUdK2N1A7QGLR5ACmy2qomnKyvBanm738FULXQbLDeEHJvGIKhUqjkku
7Atxi5WF5DsFgf5bDtXSIn/XUBah5HNKUk+Bh43SWHrYO/f8ysCoX9jNzILQDCKsjhmmIo+4ShnC
OJSeXzgmJeUA/tNFzaOPo4P9zD57qdlhyYBCHOCIQCy2iyFMPr3wVRxGF5fgVvaMdTeSeQGTAAt1
zoz/hMrxALUjD9sjSeslscF28Y/+p0V7sweAOTPoU1eHExqWOIxhDsqF1PqcBcdkIJcpc2vFHmiT
Vwl86mXrhIJM778Yd+/CDrzlJsVZ4XPaMWtHxGUL5c5kJTGbvQXar3CSHiIShe1ma0g3qcJmZKoX
1uDUBGg2KumckgmShrTsDBDFYSQ67MLXWmvN2dZq1ua7T+vQhujriSxZtg5fdNap32bYaSX8zLwc
VzVtwXuLjm57g57JhxeY3qZEeem8okDLZQXBRPPAE/CbIqCks+zb+/5Yxp7wbRV+yAC0NpPA91sf
ZLpsD6pgtxYgIiWsWfxa1RYq1Xq15PGyuhKzjEqKgzyV0pOUVxAJReoUI1axkpPTHk155/KUKfIJ
Z5Jwu4HgYxeN6zI6nFfi3Xe4i5S/Fc5t9jbN4DVakH5+Dm/imgg/93bUpWRA2/vgTInKJBLNeM3c
4L0oKiXWV5pCIIwE718gcQDGbZSoLBHDoda1oxvnnEW/lenw/cXOKA1kn4SRCIccYMzUJB4E7BWH
/IbC50wOg4mb+PBlz1PQCzAQ/6lfQGNliputizu4Ci3pKiA0ELvNZ4F0ySX87AogSu1VbXtd33mZ
MNgOhz4oq+FSkW/DcYRAGmbuSdbgdZkTfx0HsdqSAGsShpNMzqz4RoT1sPDeCyZKK0gJQcyr4A+a
muwRYwD+E+iSJxcfRK2ZwqbgE2WmuVG700/547bv3zuSkHqe/2ZTC/0yT9+jzU5XZnFSxpxg/4no
CrGoKf+9S7KHg7oZLQHxiU5XV1n76AeoPArfcxSMh5GNJ5b0PxgNhTsAmiXXdA+tSwJduWcmPdPu
2AQlU1QYifRrNvLAatUt7JeK50y0PCqSeAH7QjiiPUqeGD+XSCj3xoD5oZ844MC3oKkYprKmnosy
QfmFXx72csBPsLAAv440XXSVSyjG0iMiM+ee7QESTCDy2S5qW1ON8bT1UHP7tKei/Xz+FY091vPU
2TAkmK45+pCitSY/DEvlt748zqidyacXhAI3m92Kw+rIYut7jzfq160DJ6cSMJw5Oecuc0DHIKqy
LpCll3dWmG5ACq58sv0nh0w9+6dqLaXSMHCLSk/vCJ9EnYyhQa3ghYDVZZoznW9pfPqEZZEB5L6W
h7pwHFVFiCpUfGjAsZTdGCD2lguqjJy8KvqacHEQFVpEADI0V/3hyRtSK+7NuxbGRLlXpi2Os9ul
697T6ehg89/yGDPBpcH0JZ/q2Qfpj10rLgQNuJ2XrI+Fjcduv+iGjB4xITF3PPMgk9QwElM2TBZY
7gI1FQceNxbwIXSVvIHvsEIALGnvSy25deSBN7YQ82UfjTDYMSfPVUk3U3nqlCXUj1uIH1a164AU
Ha/U2r68pjTSaiEzFMrThub3VlAX2kc2jn8jvHcAxqi1yoZ1EQ3vL2B4tGjroGjK0jG+kiu0TYVb
lNKP/3tEJQWyOM0tbxq/WiBF8PqE84DP2sDhvXvHXXDIV0riWkeqFFzu8w7cpdzDEdWb2Cd8omfP
f60iF4HwR23GHNoBOTFHDjQpLBXooe8p1zfPGc1QVnfJRdzzEZzU6+coBT3SEVtF5Ney91pNWEYr
b41jCN/2jdVWVkF5MmOrBlM+2Nltzpli5KazSMC6hLpnBQYXlDWnOO77LX55vxDAq5+3nQOoPapT
mmfaGS72qeshQOJszg7dLLJ8QaVhpf19jXrqfph7FmLetKcOFziMI0qt5nSLjBJJXjRTSeUfD5zp
hmyk3pndQHOKqSwgDvY4xBjHrYUHBIMW3eVhL7aRI25WBcymB8wkD2s5tNypca3z0rOdhGq25PB3
ubXxiKIt+K5nWl9a+95lHhp9TTWyEejRFoN/4+l4d4IchxneGCwsq8tBgo6Bi9jDJv/a5oJkO8Me
kT0kCUf90ELwIX9IEZMPk4CYENu8g8PiKtlen09OYLOYBsUT0NPH1W8llOC/xwcElpcabPM/d2AG
fnPVmVh42OxVBAJBvH6LeTf8qGfhem9bIO6KaBvGMTAGeP7/+A/FjenpHbziqqswdrFkf9ozjFX6
AOMJNlR0reHBVJifPcXaPJbXHuUuqx9jh54ucELCHoHCoHFhRjTBA/ysJ8KyRbZYvTayLEEZWAzL
aCOmDQ8GGm7bCkn7YmcGSXmg56MI+Fdfdmj0XCtGWWZXHFX4BpzOFR/kB+Whg4sEaHEY22lo9bXW
x7HKxHDWpCeuwe/zsP/hg4nfHwcFVi5uihEn7MHdtTgHj3C9FBClPF254s6tnGlOoJOZp4GOlJ28
3KfFqObc+BMFoTBCAkGeMARz7EqaDnvYnKc5TtN7WM4DRcsnOA2rNZe5qYDZTLd2gjwZ0w5buIH/
rvZMM0KMYTOP0xqgu1dGZyA0SJhbpZehyeKJqxXkXGBEIRfqFSgTYWCbl4XPTzMEQgNEYJN1qV6E
SUDynJWcpsv5WDz1wafNv+PFLojmOMMa8KS1y/9VyzilIIMQ1cgiKPfinxM3xUFsAa/OPjR2MW9j
GgK8uP/vgvxJXEWFu5p+FPghhbQ2+o5DzriU8ata9/nN8xH2cmoDDLwUEWhcjL+hTSYIb/DCJy0q
iXfSNvO4/DdwYo3Wqh+FTeyFpyNPc0j48xUrNMbKzQuYtzjaK2utWI4eFOrz5Bg6+u3oPdB4LDlR
pQarwg6FgNobHYBlcghkxXyuBcvSuNECew8hg2eaq9xbbzfedbhstXSFbluX64Z9zG0QUZH4nY9Z
/cd76mqNQj8QRRFZSETjNL2XThqhwuf9jzh/d6fl23UkWA270PtgvXl22rtsJCYoUJ65l+IiFOK7
NUBsQrrmisr2/qbPGiqrWyfL9Ae8uTLlfdM8fG8k3D/BtYP63RuZs0GSVHE9tm7xfaxzdwFucxDP
MHvWY4EOjrrEadpvnfhCzNv3xh6HQaf47tgU+1R9P5LdqpJQEyH8nfhNjuGpR+R3gQI1eqQeN+kn
FTTF7ZQlqLLk+pjb7e9a+3PRLcWr+wDWW/ck0iaEZIkWI2C5DJWFcPPeU+41mxCBwibU2dqgPZY+
6pqOxg8Hrd2iS4tFkg7Fvt4+Q1q99x1W6a+YXDCVvR7g7aTZa1k177zQ1JqfWxi2uz/6gAmj5zg0
s5UKJzcUhHN34Q4k9b63CEBuPI8L4L3UtKu9gCMPUWl+3FIMeyQlwf/OqBcvEOLh+Viu8w20auD+
QzdoB/ctz4PKq0hSI9cstDE3OTvI/eboZukxGpNwswP1d4V6C/D6b8j76r1I53U8IU2Zkv+CbPwl
2Zp2cTBHGCvGepCRbzccoGC/Ef/FgTYyeF5fAc7HI4b/1gbKhGxwo85zpPluAwuHKZgqgmPnHdX2
iF0yIpU9YmxvH2WC+iGika3v1XoOpXmwqsN+XXpayOTPrWYRFX7HLyPQyHod4Iyd5vnqoQ0VFfkX
u1btxVsFFZkblY+khcueldLUNTYxVhqbTogj3E24OZ3xCxqmOddsCbrQGL0boZ0cbCa1px/hWVM0
08+Gos8elNHy1iBwdRypKW/jFEFMOBcdoKrznZcaX5lML5GvEHR/ARkBdB+WEGswyd3xxYmTRgG7
qk41a/DF8cKD+zs94pqP1nYu3pRmz16CUbax4/uIZ07420rXW1lYodGdlUfd0xXIzjZLAe2v361i
6yIdX45TuH369q0bzV4gQ7H/QshGaFiw0nOTmYSKgKlhuCK6l3OJMM4e7XzTG8Bpmrrn/BRcAboD
q2QqNQZoFVZy3IALNWor3CfQul5uYP+HCwDWZ6oUFZiveJr35/p+1QQLotDSToqSuwg7Ycjhx0OP
GphfnDt+vX4nuZkshROWaNDC5dV7jbVEvudhBSIdd4RIs1dHMWMIQKdEnZZ6tDF/kbMqd/OIu4Lq
Ae8oUeBMOIxM27X2Sw8tT9Jn+Nm4PHjoja2Ssr6yqPWdxOktAWFc/zftVUtWqF42vfpIa/nAEzXb
KV7Y0cWUoiqnj18oiJMdT9gE6KdHCnRxRVE9+8zYhvr5c6IDvoPBzaoWg+aTziPFGQ1os0YHdV/x
aKwRUw2xlvtpCjCafnUF67LQfBY6iUnaPLFygYYqnV5u9UFSD52PsinVHgevoWqiu+6qSZX5DmDS
53Q1t/Da2nAcHKrLlamVjFbRRICrZsOXBJ6E1JUxbv3nQxOatelHMr3yHMEZ8IZC0V8Z4CHbPBwe
5K2t77xGZSGnUS1Ham3mWu9QMwQ0inX3QW61EeOk92ePO/yfl4HgqKxnghVDYz3taIZg5eea08+T
FLjTp9dEi+opOrmX0vWnLfVeas0eU4wN7ZzTgM95tj2zTTRSe2YymFjOT1Dwed5gY1WgUtvECT7T
9O8ooVqiRjZNWQMCvgAfU3psfPptk9LNGV2xIZeATcxz3PtFVe6W+UrgKc3wThhV/6L3guftAnIT
iRCu7yaL2ImB2YfqAOFkvLlL2zlLkNMUjObOuijzbyRZmRago6ue4R+ZMF74dcS8+1XywKFLmjlE
jp9P5Koe7QDnn70EBHH7pjMAkIJYwoNEpFja3jzZdmGL6oPCI0viqDeJhKa5WKTv8sBMVX3aVKzX
HqnQX+1hUjHO44ddH2T8dTMX0D+NFRb6/O64RCXTmlI8m3XFK4FAicXL+GQynik2RySHTIqFODcQ
TNf+kJRS2djv7d28yY5Ut6dir+47bi4oMrnreVtxDUDf43+IOkeS/Gp0INt8sT+gT7Cktm2Xnc9Z
pAwePdc03uMG0jCqcDNNl8wwdCPXN3SwpiZBztJnJCNXNkBmTKdVPgZkSXOTV7nP0/9P+8TwJobh
u8iZ6Ep/PhdBXbWJyLlFXtOB1SmgWpgpiZ1I2mFHKxSW66xov40eVfiZ/+PCmHJbBNscWxLaW+gs
aG15NmwK5+bYiOBkMAdR6TV69sFX2eN45sxxmbUQrUtp5LsQGpyfaKpWr765aYqdtgHRCtZFOHAJ
T33c3+5vCEN13Rn3PPphDM6GGi+ovzuD++wivsATzJtTXOI4j3GQ0iWqe5Jwx10nvBuxo1hmbIWk
PHKW2pqlQrkaSlYAN0cjM4lnEKmk9MxFTVxEUSJ5WxbiKrveA3t6KgCrZo1Wr6TZJezdkQ+A+NRV
41lmg+dq2evXnamzuCRc3rhy37jHksJb3oQc6wdHvt3m8xFMDejib/nbEfp9FccDkILQw06uObkk
nnB8ygQlf73w+aIOHGm4cdDEs4n1bpH92p9kR9AgIuJFRMVN24isgG8rvO4lY0luy/y0E4kPfSF6
kkZ1oLEQDYid9NX4c2UEMUCtDBys0JudiIWnrY2kHof3s8kYlRATMK40q9cU4sGgR1DhDVegCD84
7AmHnATg/4QL2/0JF4Oug9xGY749D9Fqye+VQspA1cUoFzAL4HZyZBzqK6w4C1fUoPcOxzSdECHN
XOH25f3zLb/ygylvAaoYj49890l+P0UwtJKYzc9gPHFSuzu86IdsmjfnX9/BTYVaBkoY93zvIe38
Czj2mz6SoiyppJCIpnLEDNsESd0xZm0ctG8jmp4bNxGaw2fTqGfElo/hiBeec40+1bepcGPqyKbJ
IQm1UrSnz/6t6Er8jys9RnhL7rHNhx4LeaYoZZL5OR51g4ZwUjx2h5w/TPEnGomDvIxst2pYneXY
aEdoE+T7s/BgqCxXubfB48t7dbPnQ7zmPVDLMmaX06HTlzg9EjBPz6VHUr8W+jCqNQqHwcJsp1jz
74Vea2eOSqW6/34N2W9+mywDsDia6tg37oul+/dg5RFOOhPVwvSRvWO0V40Sksrt0n0L1KjSjGhZ
hJt3bGQEWYwAzVcqC3TiGQIWId83+zoS4Qhnlon4Trab6VsPolgcltgY/v+J1AS9JPI9XODfHbff
vVwlffwlgntCXkXlYqpVTwahLuQNVGWd8gzgV+Kti++Xu8NCEIKzwmpeH24ICt6R6GR3YyPmy6wj
oKUFOIV81/QvDL1gXWS7Fe0NO+IGK0szSE7aMhojOLm06EXa6KaBkuUC8ArvRNbVJ32+DLOPlBPx
rneCrm/hiu9QBO+j9PV4DktVHRcmEm0ESq7GMVGGa+tcaeErrlBtneUDJYQ1dJCsTf9JdDl5UO9Q
41RUrNM1E8leqyR4ncg+RVrjo7kUgEay/LFtRs8CjKi+Tz6dZZ0Q1HjNXfprDgcnwdhWzjgYrBTF
fxGPhMIm9vCXoI+Nfsz1m/dVSKpVcUeI82VFJORsc6s3tKsnZdLS4TgGkCpNFgjz6tsjx5pVhVt9
Jc/9jB3Dea0SyQ8JamOJCL1cbO6Hvpd10/rLdnaKT43+8Fy6rbRnhevjKuNiAqcfpZQEGFIuPhLz
aQOA1Jvr4Ungu78CjjXOysWeXxiPr6QIEwGoKV0XyAf9C35q62c+5LfNfGrP7icueOZGENsX100q
6CFvkQ5JskCFgzmwkDCRpw5ZQM7HiH/d1If9BNZidMsE0IPsW3YEInp4uZbBSzGtqfIWVkyeWlCj
kVG2gaG9cB2meM2dfBcKzFPYjt7FEc/+izdiBu2MsNXWA0dn2fvxGMntFLkWYQJxZzghzBGzzLCQ
tV+MqZUXP08/K2SnUROj6H5tpK2YUmHM8Ha12uNHkXpYlcAkzJjlr1LCasNQv2w1Oafo+ovkgRF2
GvdAr9AaPQl2UfLX3TvGrU0y41YUP/71EMY/V7DSNK3T4Q++UAI58EjKe66FGd+l+eFRbxckEhMj
DfPDhFyq9nmZrCrycpvpZWHbrzSvfBGbMMfv2oow8Dmg6WRlItGNU3SsOIbj9kqLGQwVsZY0YJGy
ixBDwZGQ04FH07bER6q2RyUcfRzTsBYUxq55pBY0zDHvEd/FMFoRthKNK+EoegyQ6zHLU63neZMY
gTU7l5rLK8sks8Sx6M8Qr6R1Xdw0NXN+cPr1EDuEnw92k41foN/GEXuwWojen/daK7xJc/5Y99yb
/ghq37tVzfXw2OrrvxMZyP6CrGEYv56DrxtcAX9ShKbUIJtkPdz4y81qgYzk2mE23kHw7Rism8VT
PrrlgMs/ksVfmSvKELJERjTnbyGGx3YW7ZLhgp8xdUfq6CIJdUh0wu5t2Kwuo8Z1RL3al0e9ka7U
VQfu7rV2ljcyAu9FiberTEop20fBEnIEEdg4Z5jgn/YFEucKwgHFSO9K6CPhevP7I3dK1FGwaB+w
b3s2GmIMLEY3dw3dhQehUm4Ij52DL/wq4LvxPJPILqAu4RT+q+HhOx32fAGqq+ao8H9tDGKfZE+y
Rh0C398Y8Rq/vhraHQQl1yfzgFMgUAjipI4TxqpL45Eix3aeQNEIXuMC3EXeY0xogC0BMqDxdXNa
Rh21a1LEmN0txUbMVeFGpqxpKBRWFz2tWOjE3uDjchelgGiz4KeJckT+e8UbfLvFN2n3U11aRZmf
Qxb3GgJX07F3c2gKCdXOepJly/YG88Acg+SyABJDyzjN0wcNTYM1kfBgCYZxGgMXtzMYW6i/y/UF
nK3JvGhCH39pEZtgPpR4xaSWglgoI3p4W0fRBG6hvNbHJQvAgQSx2GVw1v2jUfmS15kf11dbCWWf
ALNNvhsNV0CORlAtoN7NuhYKNMK7U8eeLF72Uk1ql2lj/R0o0zW2hJRNVCCHMvIH5lO+Fq1XUHkS
qeuq/z9P1Ym//aIEtpdrRo485t25R4x8kEeukdrVzAGIYgJArTqhnyt/oSGqsWvX4TsK6psAqjhF
hN4S7CihMQpcdivMPHGkZIr7z9qfoSOFIegF7wXjyDoBRYH4/6R/0EJJS+eprl3qbF5ymXpCFGsU
BRAdn8Dfqjo2bWvEivq5IcIMdsV67RCZqJ+pYbD+69/tVJGVoEBRMLj6svW8xxOapwYJPSTYuo6s
OJ16Ycjcx4kY9LmUR6u8lfjbv83mAQL5Fn7ck4vr0ZwmrvoETGruaLaUsdrWw1d/QCRH4Grdl3Vd
prZf05PPcGnWvuxehjMds40PnDp1ASL1NJQIFI/+VYpNuk6SoJ9oDdHIcZeErH9M4KcgNJKgB9eW
Bs8kEijKB9U6OU7CzWxKKswlo+nkTLtwJteq+lTb8B7WBCHzwPvWb7YWklU2RCd6d30X9A4OUZl2
FSugfVrvIss6aTY0jvt5dJ91gxLk3q/LLXnmRuMpenVeKDqnameqzDcqtm1/BI+08LQLLTRRRMUp
GezMehlJzrDgOO47dAwNwQkh5Ot09AFJkH6033NeAypNFjqojEUY6sHHtCpul9RLTkRJ+F+Ri4Ks
UKlMMGHiShmdvzV0n1VVpEZgT5umF1VJ7/3Fet4jHq7PJ+ztCc7ivGgHhaqb5cDwmqLrCeOU0m0f
An9VzBAqBcUVDX/RXE2jzERXrOmLxHVn+mi8JxASwgSnbEjhLc2prnFo8dOve3xZOQziHS7ixvtB
Xi0R9COcz0oysZIsoyt2gH1ooHTb01JsjPiMNxPmX3jTMvatj2hQIRJcgdiU4vAk3nA1mJlYIt6/
wpauUH4FwDXv+UPcpgi9c0duDm0NWH9NWRZBrqYzUpn6YKlUdbCJP2z1HMCLhiKll8U10X4Fj7SK
jcyT6dRJ4ZPXDHkI21lCmhWr9NNvUZsq/Hf6S+l9OnuIYToUtOOifYNglcZzI1426lU/V6Pn+yoC
Nh8lwEvTOLaU2JtA7grTNb+Qtlj61ZLktavHNImuJ067PezKXlu9EwIYeBBVNgKufXc4CSi80D84
c8IIT8YgHVsiDcCea7i4VXAA9rlyrY6YR/nh0nUTZTg+asIZ292980mUYe60BPaOw7JyzMRXiLY1
VGv24A3yehNzMyTKc9R6IwImvEBMPX9CvC4rlUVO4iPEN0OEgX6/pYXEyxxnlQYNx+9y9dI/uKP8
gC8lKUA3V850ZhcXMMcEEiCBW2ovS+9tAlFx1O2o3MsrF0hJMDjPL+kWpCtEfs4WgRFHgqnRNPC1
VCKYlR1NGl6UyJGX14/ttyTJ4riiUbwF4B6Ok9jXpDymOCOFfjZkez9TPB86IM4u2iRtB18JfGD5
Dbytf2jc+0iyTZlBEQ7x6GjzrTvELzRwUaLNEIor1hQ9RyUIXI6OTKUsiXVyiW/D3ixbDkZI3MXK
kkedpI40MOS8ucZMLe04hOlWYFx48SV4XihhFz+YGS1qwhk6kyZ8k0G0U+fHuA5mQkn85QvwI65B
te+GTR5sfjOXamE5D8AgrXHSoZULQAz22LNJxpLG0PxPel008Gf+jdoBwvSfLbo6kifRG3CWC2NZ
6mxfxx3tWjKH1mXOYGUWC5Qz9F2XXIBvyqE1PG1vY3V/5Shfaro3DO38dm6hqztU+a6dWleHKHTS
NsduMVEP0mdj0SEhHbp2z/wvH0nkLFp00ZE3feXFsPF7wARyo0KG/VL0s3rasGqJam3k8hbOkh1h
Ol0lCHxAGeGtnRC2qxtgSJNGKxSffDZZAv2e46HGLdcVspPNgDEDRPk62ZFdu9Qw6bUOu6G638ol
c+dL/URnR6JU5gqodmqvpHtsaiy9SRijrueFedKWmxStae3wVsAqtBWsQu6eK9/pJnT2olzLrRTw
rfEZe813xCB8Sf4CJjsV2tKWHGUdijvLzqHEHT38513xMfrXidrQjXxOjAuaFgtdfRrOK2AvcJP4
h2vTZ4l36NlFdtaquGCb6QUbKASYs3ob228gWIyyGtQ0BfROy39sgRNDU/n1ApVDS1uo0vfkw0ZX
HS0u/1TSbpWyXUOaNnGAeXOW8MEJB0bDM1C+6dVIxdEIPlDj5smwaZDnrf6BD5AQ4+Q0WjR4/vFi
xWhXbVRos0jvN08nO61bYsQCyTUNldNgm/oqeIhXHeeExdMmV54P1nx4dt3VYOa2zFW0nrpWblcX
9hGstNhXGJMS1Oi0Goj6YCUjZ5K4g7IdLwVnhghceBlcuBcfH+NCzRSMubIBqQZrPPTcIez5VhVw
BZ1e/uYz1W6zSwYafxuFIyQMFhDqADB4U3Xw6UhI3sYUe5MbV7CLfcKLQ5MWWQ4TLdzK1XI8BmbG
hkklWG0ifbIzyDIEywfE4vOUCbeGX1ZsCEvDqxUz304PwHYKZCrvkzsdFBNUwb08TxRS9DfzpT7M
NwcTizVNfDYxz1zLzs7U8+XYIoCCcluzgxot16/rnn2sXD3TOovMCMC/1FdJxXjYcg+YJNVHwtUr
hUiZaBDEdaWlrXDa6Bi3jWQZ1NYmCWzXbEJWYuSvwxg6MrK5YMf3OZ0GDP1ziBuaPJG9aleUYJ1/
RavPUirSTFYZT7QrKaHO9rJLLIc0bzgIKIoGCG1XyyUVwftTPabbX8jA05JLjBdPquPcHun6bKCV
UHMLVgf/uiViYM90H1ndvvXl1nXTsZt2xsZxgC+PARAFDvBlR7utbx4QtK5cuq4KoK3Wq3Y2MB3b
tfrazvVjiX1inI8NxpgoDKWtB+LPtsOFHgfWeUtBhRl/P2xR+rPAVeC7m6W6XMza+CrRC2WsL2Gw
EsULKBmnu5o2ZH8rSCUYbNEis9A/glg7oEEmpUMtwfFYEqcPR87evISdlP+SCAB5jvJquRI4VIeW
CQE7zPvEk+eG9f3tlqmGceqT47aP2caKlVZjaUkCbyWMCLIrMwGNXfLIoHutWiYFCWaMRStXMP4E
/st0jbeNY1+d+V9sOzPWHJUjUOQN17esn1miwFcljj8FpJ2Wz1daRIOgNwb2TiAA4qRDTVUpVCq5
aD6caqrK/Op9wvDABvT44u2EirQ1OyEiL5VEL6PQRqvlCDz/NnNexYhAMvPmV3COqw8LjgvEfXMZ
VnEaP6GItFT2d7WVZxERP1nQ93Bh3f09ofjmRgWmljSdHw2nleNxB6msH2oMPbRQt9GqTZPRSkUv
KzVirp/BxO2dWtgHXy7Si3JgWCK6UQ08ADwLXdAPL+Loy6oqJLDpgViIwBfibMe/ox5tFnsIx14c
XyNLyTXFcRZBcNLHxVT8Ia8w1x+x4RjZ3gTbcdPE0lSACH1DYAXkXmBccPMiCVi1uzIiEQkREO3l
SpUQ9zWJHOHIggG+r6Ga0mAlbLP4aLDvlhAKv2Z1OyT76/T2oYzG3SnKWnhJW+CkfKvqTCqDq0Xk
UR7Q5jBa73cKuF2zOrIB54OAs8rhyJ7KJ/j6aAz61tpj23+O0Pa3fogHo3f9iEvdh9oQ0XMVGw67
k9dF343wEfOJlghKRVyfeov7h6YTqqvhtebXxgQozV0vGBXVditVki2559BkA/bsxb6Atyp/oxyG
ZCWRwf8jPQcGvzLk6TBevTXLtDL2+LJqvL4Rs/QsFVGszez+quTNSUWzQZpCXt4iMqfch2PfxP+N
uqFTTZRASh0qcj/0wsmAEkyruFCRLSSW6Xu9fhFpiRloSd7UJo0dOCHZFDZq3za6GX2Z8gLsT4Kn
eQvL53NUblNgRx8jdix+LlckIl4QzN8nMRhHuihql13cE2RZZMPumIH6fhbZBNRQm46Xt3s+UQbY
maBcc5FwNUHPBkY5TeFxy/kLo3nkL+DY0MdqVmliWm31yzPKWCUFNgjGj7+zDvoplaznOYGVvYfg
QQ94a1tCTKu5u1BIVs9WkoVg9T6QicTQhWC1psWu0gXIOBCc78884g2WhMzHhw0Y0siUZ8xS4Bmf
BU20d4jawYS9nCtpXYz1883tCtzprfVKDqP/DOTzhXPdVS6D01KWfbAmHUG5TgmiEkMUOwFvlk/C
j65RmBG/GQCHwodfhtkENhxccYPsDw11o60pDxmcY9lFKARTikpneSzLQPlCon50oM3/BUuXsE7k
1u2RecZusScFsC79INhw1vSaBIAvwFklEU6vdhlM0MJx7r7Zu73hpuCfu9Z/Pi9v0wwMe1g1PbEE
aiBD6UtNHK5gUbCKUWid6VAcGdHJ+uAFyaqifsPnozLvOG8UyS9KivbJn+xKuIXNVmp7M2cCREDE
SUkGN1QfBmoq2AYJWNpFwhmlQaj4Pig+dSMmsMQAN5bTwR4aqZq5kqGjZ3pE9UbZdrPMVgLp/20T
YmOo6H34e32kR9ag8J4N90M6/LCoKHhnB2kGVN2BF9l9hdB1WDvAUyDgGJrfWS+5lbdRxInCJNAl
ZxWPT+xSHeUKcwvndt16paN+J9vra+AeTCnbr6NaQuabw5kXlHgax832HSq2SZJsa2X0QhmyPX8d
bxbNhsPirQR8K2EMdbp7Rv0d7dLSGJPOBTCOrBAQJSiv146WhH6xQiYxB7xj2MnsCEV92YqBCy28
jjtHqc+/gJi++HHU+JN1eb5wxPgBjML5uYXo/XovdDvsjj5xIFEBcQnfcR54W6Aqunq+kATVI56h
Of4Fn7FzPZMBGOgIz1rJ0ct5TDDsQX3UvVkthD9Z23Uik1R62P2r2LDK5En93AvTRt5ejyEPzYX1
LZ4AzJSdXs1SsU8Eza6Ci2B79G+bsyYLej/ZSBkYenM0in9PINneExZxykh6FEmm4EsfRrpxPHSq
68j2d0VTyMldbcdcvjVQkHUCgIa+U/7drmRHafxk8JeFyp4N45Le8xSWPKel5HcKDJeQ7W5aUhCM
XIUTZ40E3ozbOLOiGZdAg/P07r/GoIIbpIH9nTUv2Sat10vvQfDF5O64PgUdcVpBA+v0VbMUeBxG
pyaalgb3alVyDKwO/GJONh1rTryTNJXPUQ486DCZdPNEfPv8BdcV+YlO7YPB4hDz4JxCgDHRIKrS
XmdihKPU0OZEtXsJJokT00ZTqGw+emQ1IXX7Mfo+NeXDn/2Htd7EMPkSWEBebWXDNmCMYFwyysCs
ooeSyPDkFs9uQUed7/sgh2OAVp6tyAfmnO40noptuhIUqvfFKBPQhFaTI3x1OF8HNnlNR4wYaWZK
TBhOe+QAheAXn+cQqd1+3QFz0/qJT4hO+dcL+eO0T8DrYGRJjL4VdORZ5TvH+IBDHsXE1t2F2Ri/
JydOqzu3cJpJpZBr3xNqz87d3oJsc+Wf1BpW8uc3VkN61mf/MljjGEmPN5Ct+86kiPQg8iWC85LC
bBnF6A3lrmj24/bWuyiruf1x0fe9z9oNYWHI1dK239FUnNg2PdZy9Z7/uHbXweySzkM6B2cdjYs7
bG8eio8iPya3UxtRqnFjX9hgszs4myvWlcW7C60+n/czBdESq6HYm++J/LI8SX9vlUU3XPi7xLZZ
IbYka0D++8R2mGc0yzWY0mhezL7TBjf6XAnJ9eIgUri5TDRPpGXVhb3qZysf8pwP2xVR6h/JxeQk
5mk8EOZXHTt0Ks4ck47PaiLHDS22E0WeC9fI3+zPYbVWHLuiVh00r7nlL+FkzM+mobs4iVPHOqoB
q5SLI5lyuokSL8afBIZKd4pcqI8b7ZiKYxCJH+XeghNWPM9aVEtiBsE5NWe06x97E+pxnix0AVI5
P36qf7F14wTqXfdiSYnST1WK9OMMApJYxwVamLpeRGi1ybms+oMKcvTfw2KGTeP4gIkAC+sypLJd
2F7sL1IVeZIry0syWjxXuRc7ug2IE8tsAr7nbTWPaBN4ORvRGwd7GNmLHmq8SSGA7zKAwXqV2cDr
OOSbJSBnbrndHWnGj/wlllo49wB5y1ItqUrSLhUufyw+mTRnXpewDxeqDlhx/f5rYd1gPD3A/sOe
Uf0Q36v5fV9vORhZEFJZ5h1I+IZGXlV/THBkHPgdVTEIuXtALy1ZkEP46OIpDq79gLZKdhhSWWGB
YJ172272sBpK5N4gOtmuLB/Iwe9cklP6/wIn5/Nn98slilc0YUyftkD1g1rwdNjBWFplbm/BeQY4
w7PcHmbao6rTTpg8DFCrfGdLven7zb+k0fpLwvvSiopfhEyKlLhlwxcKAZ+OEHGljwrlykz0Qixq
VBA/HQcBdhSGDE84uxyHH8nJUqOEDk82ueSQE282fXpxJ+05rvJMhVQsiYAVefmBjH6DRVO+22MB
vjqTtbwtOToCEzGSuLOa5acdVo9nPb2GbpkZSlUPaH6rwbEYy7w/KMcrfHtC+wZ9Pj9+sSIdK4JJ
8X3ZT7Omn74R0FQHH6UPClDy2yPnwbFMx5zhvpum0snfLLq7Cgz+bNPEkZe3TmwrOLqQSOUyBIoC
uOGU9LYYRil2GsvNszZyvPjL5wCDL6N3y4t/ceFT71FqFoY+mn0i0AP1c8y5Ir20oW6eY/eymVP5
EPM9LXSsxN0a8yQOnSaStnxYBsA9DlkbTtaIiqjCnjRrZplAQfC4xTY1jE6BFIGf9++Z/0gR0pxz
EDmoBLId0G3fBAAyUkrysnSdlTUMmpYrgek0ezYPfEKl2A8Wqjj1rs6/nmcHr+MLBxlaCGtZtuYt
YqOW3soZWuxKUF1HTvJerk9k1nP3AjsmtuV1RFwzhvCHKmw2umhg0DZdZAZeVm83bEGYKxM9YVpW
HCAcLK2r+YfcMlaYZepsDbb74l6wyX2IAETAKW9hKbxzdVx6xfHvZX2lpYyAwJHTF567hWjmTVzj
5+Gasl9IfF8IAhLFph3V6Qolg0HrUBp/vXJrgByyRHXMGFpdkEmKNJqhMv+ieBezI6NpriLbYy5/
q7jqzDC1S6Ujyw8SYsdKfihca0B83ysnMJM+uBRshoRUdSkcY5HP2ZVRTgavLOHqwrMViyWPWcJb
hwwZz5IP+ZkzvYUYf6mrxCIp1L7wmXELdfGtHdZ7dHxoN1QgdJrNDUZPZcoTryL0SoPzJuu5iVSd
K4UMm+tobSW8/zfaXBWrFOVWkloOOwxpoKcuYb1R+HQugD/had3xeMK7ll+DWDDrRWoovqU9GzRP
sALHlRwz99WcvfWaiqpOJRItaeIMymtsUj9gOpghdH7KPW+jjsla8k/kAybZAmCuYsMxEBrC/tia
lnQXiPYa8cDXL1hdoUreHrjecW7mjILHp0ehZAqA1No62YqJ8E+fo8qF32fzyA5jCkP5g2QQe7oo
ou4mZ/cqmyrgjFi//w7xsMePZNEP700x6fsqcsBIyvpDESI2NhByRSe4Hf9nUN/HPWEKTKEvPJj9
PFOFvDZPFLSMh3/eSogzwGuYXLeWq6SBdj3zQjN3+M5OYGDy0y+poObvvt8hg7UZQTTCBTHSVBUt
iSXey+G+lbCbNnTBbIDDTIlSmiR/w3wOYmtSiniVX3HiJhoUkV8BFpvgz2qh0x72BOs7olmPkfUT
ejIR2XMVi2O8+WZ/uWcECf08jLHvj5luwe+iV4AmpLDyZqo5N782Q1Ap8NCVI7SZXdR+0vKO90IY
3M4ZulyPEWDSzEDRd5EXUq7/CLVi78dpOOXkRhGUUPVLVyDt9222f+/Dm7SsmZou67VTNE/By0xi
zu0r6aGwyS8Rqu/oOvoRkqbC/qLiKFHjjKXDt4VkJkIQO0KJw1a2+CkHCssG5X4pWnNtQwAW5i6x
ou8faR3Z/nSCmit7H4unOekps0SWKIfDlGeDbPfdfhalId2zkB9UYfamKFL6VlZfyMbFGpJjnjXs
NYyY94lzb3iK7R5MtMIwNr/aV9UbOHOmA5WJwyneQRan4jHTNzlq1qqH4cTi//wzxdFTRYypyuKF
MDQEI1x51WjUKPFJ1+18DSym0j8II8SqF8iYAUJZcfiiJ8bUCSeO+swQYXbRGMU8F0D3Me8ZwwCA
+WeI/1LAnN0itHoD7bmT36auXiGCNzn+iA1xIjxy7CuKnK6A7mPc9i8Q/pHGdDPDgHPdssl/roFw
71O+zuQAGyufXorLKNx3Hh08cy7E+KxnmmSFd+RdumLmZFY20hLzB1MjICE4ateJzECfc+srVgI9
b8gxFkQE+Rb5oC/d6Sn0qtuEBgSXFQIo34CkQns8PLPjzCMf/4KnrKTkKUk8FGsKtO4VvbLujKlI
zz5LVtwDmFKWEcef305tU1I/tiCrNZ/9X7XXAgVPgXRHM67iaKhugLKd9iSTbSgpoO9Pt3t5jHiM
HxqyCEj5jfA4/Py8BD+QmLjZ9H7uv/Yfboxq3mM/WMuRUUTW2iUl61XZJiSNLjOGL14M1ov/NkD5
YvDhSaVUI1W+hapQDvT6uxyGvdVkT5S1+vq9XHKRqgUMr8gh+NAQ6BvYPvlsbqRtIinmJMWEk2nu
kNYc6EoVIPbyHXz0epU23oCF2a6CHHUq5pjrjEJo4Kyfzw3ZifY3gh5fJZ87odEvwIWF9Certvkd
MCH+eEK3u9r5M842DX3MeEc6mba48kZkzO5ox8U/pHG6Rxxi+q7eECSwKIOhiprJTGFyW9iBY6A1
+BVCavG4xtyDT05q9EEjifc+qNPJzBGA3Gq8Bnhm8o+gbKh3MH82aEwfHke11L2Q7xzbdOoGZcHO
I5YkHgjSSjxfSce5IdEqpVhJN1CclfT8R3t/rNWDezKIvnyZFNlOQFlVt2bvmy1J6VoUpqbkHR8c
2Q1NXXCmrj7zRZV2CT/qD/fUWXqDizFyUXoE6tPt32EuwOU7NS8gcNwmog7JB37wzuOwdBhbWTp8
4GVDZtdNkZP7Ai04HlC779jeYtf2LdkgFRdqgK4d24vdoieYxdVuQE43CWj8EDxkBJdeOnTq4u84
V869cz6GQgDFaYamc3VURVFqgT2hQgU92XDCcOwYxBfAyQOQCVuDafmwMpLJ33AZEfg8kn7XGH/s
wH1ezJhwQB+q1LFdd4J7vyXjIvpdU8Mvz38M+O2JxxFSqsa1I0O0N0+yCuk6lov+0HwVbDJI4AVA
vHq03O6OCle1dg0GGNxu/v3zstDaiDvy/nQ13vnROHcDIKyzGfWi8LDnsDyuxAG73AxUVlSnXmwv
tNzuh6YCO4G1zRp/hLaxqrzgOiJ8ROlZBtUSnm+hdTlzHyf1mGrMOgODJ98VWkOKmHBlcVjlsTc2
NAatRKbyWafxSmb7TMvzNWabjCC5R1BJvuSKlVdA8D+K+LLe2iqi+tKHMI5F7jEs09TLgfD4j76r
CJMY4oCO1dT98qXqfcnsLy+M1YY6QojbGAkeRQ3ewNTvZx6trPs09VNHgJUIZHywI0Z6oWwOOPEF
PrZzSrlXwzseZYqNbHN6gjkB+kI4ffb92Lmirl5hx3XMQ98bA1+m8gUAVt657lJdh8wLrQ+0VjWu
jNtMqnxGnq9OWBol3j42j1/9CDRiB85nj29YZIAirsiCTTtjH0V9JMJIpu1AgzFWeOmIQhSdODSD
ivhi1wasGr9ulxBWGBpXVEnQf3LCIpZiSxv1vbh6mR2InaodmIG0x5XUCc+EB3qJShe4K84NIjIG
SRXHPoPsXE/jljTzphUS9+2cKqJlNC5TmNjdin/FLA9DYmHfRXtpXE1tpb2+Uv7V7bJqFEe5mKxB
iDmxNBRWnpD7IucQ9uNWUOjrY8485ZeA5xS23IbgTaioY7oH319gwAxV5ll1Sb/wTaUJSJcKiRRR
IuhUpP4Biwxf75vyg54Kdbnlloweny5lqo8BrZchzWb/OEgniaWr8+wxbpXevn47BkZPBFsYw3SY
08t0EOu9Kh7drs4t8N38MkPFr2WEVIjr0ynWsG6wefjjl1mKBgrdmzaUfdEcMu2TS3YABW2mfrT2
RxmYZbXfW25xTJMYzcWV7KXnL0WqhKw/GoLWfV/NRaxz8AcxlZ6hO7cmpn4vPp/glhz/Qj47rIYg
N0PNwIYdfU4ntbnVss3ErgJA+xdg1gilj0s7T7HVn4C9rRwI0XVhXTJxtmIfu7psRQwXL0zSI1ki
mbdk+CWQpFqymiNp1ZIy+uK74QJGtuI8wTdBEH1fwdznqms+l6DuPJRcKRrHb/3wttKsx6xObntX
lm52bi+QcURWPVIikrAEpBu6uFrI2VqK0or6/J9doZ3yXYVWEr9dyMhvxbDZLZnJx72thUOHcgtL
vRCJPniLxG/yRgii/VmcLNCJRpe05sORM1A/gWEVvlO0zdIXd1ZtwRPaxY6jnbH69v4Qf0AUSP7k
Me9F4g1g2JxvQSi5TxwuKCA0HPnfX6pCZTZVaifpenUxvsZQDtC9fuGNiF3mKsBeIAOWJAr7r6aY
OTTwsau8U0H5XcCRXewgNH0CkXs+6MA3CQ88hR3RsVcLW0e7f1t/3mKkHHR1P3It8VVokkNH+5SJ
EsGYz/MXofdfrXCwdMBhHu8D/MkqmO6vWgxYNGwrvYVL7iLbFhcoK51AVIX0ELknTnCoifbMjSZ9
6pg/NnFoWxZJwt0Kgp8K+RsqdUOK3cEkY0DrVb+ESWZYjbxzszBEgyJFeK6gnHA6We82FW6WWCDs
3PTgH3jsuzrmG8nDe0MEL+hxiFAHFYt+dP54byRe20Xr0HOeyRaakCwXlen5Oh/NTfTT1V/Jr5t1
KT/tS7TOYCbL7ufZYTn9TZu048NQHNm58JmDgM734/oIXTGzSsgZln+uUX3ldTMR7hpXeZQsOaTY
zMX4QsMOV9zh6Tc4KFgACcpqguJjZouBPNiRzANEb2K58CaFy10g13dGH/aBmdrXXKBBmNW6UmG+
ej3Ir4MQYb/kMk2G0Bb3VOQzBMIqx8yT0NvlFHw9C0GSC9YzsQ9WEvRtLU+3tKJ5gFA4qUiFqLiA
Q2q8sx1Gaq9kcY2lRyyMqphNtTzpZKvHFqCMcFNgxH8dB3qNRp1QWiAOemdqncqurbO95JWmx4eE
vozQ+cHJGNtuRbGcQExm2vKwodm6DiH6N5WSoUgIqrCqEa0lqjyxuizDV+k6egWUj+fktfXVC7MO
gXe4clfxTiH22L/eZqwusMVKejr/0/X5j1mwHJFgNkGkAe4MUsRUmANj4rk05nNHJVVBMppxskmk
vzEEtCrOR0eFe8KRV0m7YY+OTzNOvR7ftvI0PvPMHgJG5l+sCxLuSQdRg4WiHJasOQvoS/FlL8mc
q1UzbnKZPH1cnLbnpJWKEI/TEKsRXjuBzuYf8+KnKFo8Ne6lMP90iW+j7bWWCifU561N3i9wSl5B
aCvENBz+FNGWTjjon79uATHnsvy2ufgqWNJUmME6eqwKd8lJp6wuVreNPPkDHiPfDZyJdY1Xpiro
/quMDddW1p6sVG05Ct1HnkQtaAwLhR4u3JZbq4H5SyDFyfiCmO2wCRlN57UFI4nzdUFyTACZJ90t
vI04vWGFnT/9zlf1VbjST5z/UE2esUvS92e0S5vT0Hi6oxtbzjrwHCUATxE4B9jEmjNFx0bch50C
rFDWICktouD5nYVrHqt0Lc2s4scLeQ6HX7u1tb8fSfeUHcJ4+oDuo/0rvBoffDUuATgXDfBTImN1
AwK/cp1WJOWVSpaJOowj5mGeBp3AfCRnscXy8Fp86p3k6dob/ARzgPN7k8iQpGR4EaDjvjekdJiK
ieD221QKzSK089dyH3jMYBdjJLrBWSlwStcLek3jUaanpvNAF7zA1ZJa/nVxD/RbDoUIp7PAl4kV
G+eoof72WAMIoaZTva7KX9hZXxqSu9g8qRstCpM083mDl5enlif5u61Q/rHHhtWwXWn5WUpscWby
hIHRblE1Oc8Dx8cjFJ5opjwam+YrvQbSGqRuPTVWq1+C4wk7VkmspiXbAyfPorbMGwzB5R3XelEQ
8FFFcvZnvyNJCF1Gq0EKgJ874rQYxX9zHwUxI0LCSs5f5YlvKI36Fsm9r9wVdXc3kDUwWgAp2sck
8ODvEimY9qo2l0C3qUjZkZccbN27KGUPm0fLpl82cvee1C5o5E+QJadF4PKDNgoXfBECSIEjdgNp
YV4szf+SC+NNOWjkXHMpSX2tz7W5XNFdiBEBIUJsUHrNY6BZ9My5Wa9BoQoWKCme+9B9P6cicePW
l++TVf/7SCZ6K64N24Q=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block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`protect end_protected
|
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
-- Math Libraries
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY alu_component IS
PORT ( dataSource0, dataSource1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
opcode : IN STD_LOGIC;
dataOutput : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
END alu_component ;
ARCHITECTURE Behavior OF alu_component IS
BEGIN
PROCESS (opcode)
BEGIN
CASE opcode IS
WHEN '0' => --SUM
dataOutput <= dataSource0 + dataSource1;
WHEN '1' => --SUBTRACTION
dataOutput <= dataSource0 - dataSource1;
END CASE;
END PROCESS;
END Behavior ; |
-- $Id: ram_1swar_1ar_gen.vhd 422 2011-11-10 18:44:06Z mueller $
--
-- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: ram_1swar_1ar_gen - syn
-- Description: Dual-Port RAM with with one synchronous write and two
-- asynchronius read ports (as distributed RAM).
-- The code is inspired by Xilinx example rams_09.vhd. The
-- 'ram_style' attribute is set to 'distributed', this will
-- force in XST a synthesis as distributed RAM.
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic Spartan, Virtex
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-08 422 1.0.2 now numeric_std clean
-- 2008-03-08 123 1.0.1 use std_..._arith, not _unsigned; use unsigned()
-- 2007-06-03 45 1.0 Initial version
--
-- Some synthesis results:
-- - 2010-06-03 ise 11.4 for xc3s1000-ft256-4:
-- AWIDTH DWIDTH LUTl LUTm Comments
-- 4 16 - 32 16*RAM16X1D
-- 5 16 34 64 32*RAM16X1D
-- 6 16 68 128 64*RAM16X1D, 32*MUXF5
-- 7 16 136 256 128*RAM16X1D, 64*MUXF5, 32*MUXF6
-- 8 16 292 512 256*RAM16X1D,144*MUXF5, 64*MUXF6, 32*MUXF7
-- - 2007-12-31 ise 8.2.03 for xc3s1000-ft256-4:
-- {same results as above for AW=4 and 6}
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
entity ram_1swar_1ar_gen is -- RAM, 1 sync w asyn r + 1 asyn r port
generic (
AWIDTH : positive := 4; -- address port width
DWIDTH : positive := 16); -- data port width
port (
CLK : in slbit; -- clock
WE : in slbit; -- write enable (port A)
ADDRA : in slv(AWIDTH-1 downto 0); -- address port A
ADDRB : in slv(AWIDTH-1 downto 0); -- address port B
DI : in slv(DWIDTH-1 downto 0); -- data in (port A)
DOA : out slv(DWIDTH-1 downto 0); -- data out port A
DOB : out slv(DWIDTH-1 downto 0) -- data out port B
);
end ram_1swar_1ar_gen;
architecture syn of ram_1swar_1ar_gen is
constant memsize : positive := 2**AWIDTH;
constant datzero : slv(DWIDTH-1 downto 0) := (others=>'0');
type ram_type is array (memsize-1 downto 0) of slv (DWIDTH-1 downto 0);
signal RAM : ram_type := (others=>datzero);
attribute ram_style : string;
attribute ram_style of RAM : signal is "distributed";
begin
proc_clk: process (CLK)
begin
if rising_edge(CLK) then
if WE = '1' then
RAM(to_integer(unsigned(ADDRA))) <= DI;
end if;
end if;
end process proc_clk;
DOA <= RAM(to_integer(unsigned(ADDRA)));
DOB <= RAM(to_integer(unsigned(ADDRB)));
end syn;
|
-- $Id: ram_1swar_1ar_gen.vhd 422 2011-11-10 18:44:06Z mueller $
--
-- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: ram_1swar_1ar_gen - syn
-- Description: Dual-Port RAM with with one synchronous write and two
-- asynchronius read ports (as distributed RAM).
-- The code is inspired by Xilinx example rams_09.vhd. The
-- 'ram_style' attribute is set to 'distributed', this will
-- force in XST a synthesis as distributed RAM.
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic Spartan, Virtex
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-08 422 1.0.2 now numeric_std clean
-- 2008-03-08 123 1.0.1 use std_..._arith, not _unsigned; use unsigned()
-- 2007-06-03 45 1.0 Initial version
--
-- Some synthesis results:
-- - 2010-06-03 ise 11.4 for xc3s1000-ft256-4:
-- AWIDTH DWIDTH LUTl LUTm Comments
-- 4 16 - 32 16*RAM16X1D
-- 5 16 34 64 32*RAM16X1D
-- 6 16 68 128 64*RAM16X1D, 32*MUXF5
-- 7 16 136 256 128*RAM16X1D, 64*MUXF5, 32*MUXF6
-- 8 16 292 512 256*RAM16X1D,144*MUXF5, 64*MUXF6, 32*MUXF7
-- - 2007-12-31 ise 8.2.03 for xc3s1000-ft256-4:
-- {same results as above for AW=4 and 6}
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
entity ram_1swar_1ar_gen is -- RAM, 1 sync w asyn r + 1 asyn r port
generic (
AWIDTH : positive := 4; -- address port width
DWIDTH : positive := 16); -- data port width
port (
CLK : in slbit; -- clock
WE : in slbit; -- write enable (port A)
ADDRA : in slv(AWIDTH-1 downto 0); -- address port A
ADDRB : in slv(AWIDTH-1 downto 0); -- address port B
DI : in slv(DWIDTH-1 downto 0); -- data in (port A)
DOA : out slv(DWIDTH-1 downto 0); -- data out port A
DOB : out slv(DWIDTH-1 downto 0) -- data out port B
);
end ram_1swar_1ar_gen;
architecture syn of ram_1swar_1ar_gen is
constant memsize : positive := 2**AWIDTH;
constant datzero : slv(DWIDTH-1 downto 0) := (others=>'0');
type ram_type is array (memsize-1 downto 0) of slv (DWIDTH-1 downto 0);
signal RAM : ram_type := (others=>datzero);
attribute ram_style : string;
attribute ram_style of RAM : signal is "distributed";
begin
proc_clk: process (CLK)
begin
if rising_edge(CLK) then
if WE = '1' then
RAM(to_integer(unsigned(ADDRA))) <= DI;
end if;
end if;
end process proc_clk;
DOA <= RAM(to_integer(unsigned(ADDRA)));
DOB <= RAM(to_integer(unsigned(ADDRB)));
end syn;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: dmactrl
-- File: dmactrl.vhd
-- Author: Alf Vaerneus - Gaisler Research
-- Modified: Nils-Johan Wessman - Gaisler Research
-- Description: Simple DMA controller
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.misc.all;
use gaisler.pci.all;
entity dmactrl is
generic (
hindex : integer := 0;
slvindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
blength : integer := 4
);
port (
rst : in std_logic;
clk : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi0 : in ahb_slv_in_type;
ahbso0 : out ahb_slv_out_type;
ahbsi1 : out ahb_slv_in_type;
ahbso1 : in ahb_slv_out_type
);
end;
architecture rtl of dmactrl is
constant BURST_LENGTH : integer := blength;
constant REVISION : integer := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_DMACTRL, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
type state_type is(idle, read1, read2, read3, read4, read5, write1, write2, writeb, write3, write4, turn);
type rbuf_type is array (0 to 2) of std_logic_vector(31 downto 0);
type dmactrl_reg_type is record
state : state_type;
addr0 : std_logic_vector(31 downto 2);
addr1 : std_logic_vector(31 downto 2);
hmbsel : std_logic_vector(0 to NAHBAMR-1);
htrans : std_logic_vector(1 downto 0);
rbuf : rbuf_type;
write : std_logic;
start_req : std_logic;
start : std_logic;
ready : std_logic;
err : std_logic;
first0 : std_logic;
first1 : std_logic;
no_ws : std_logic; -- no wait states
blimit : std_logic; -- 1k limit
dmao_start: std_logic;
two_in_buf: std_logic; -- two words in rbuf to be stored
burstl_p : std_logic_vector(BURST_LENGTH - 1 downto 0); -- pci access counter
burstl_a : std_logic_vector(BURST_LENGTH - 1 downto 0); -- amba access counter
ahb0_htrans : std_logic_vector(1 downto 0);
ahb0_hready : std_logic;
ahb0_retry : std_logic;
ahb0_hsel : std_logic;
start_del : std_logic;
end record;
signal r,rin : dmactrl_reg_type;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
begin
comb : process(rst,r,dmao,apbi,ahbsi0,ahbso1)
variable v : dmactrl_reg_type;
variable vdmai : ahb_dma_in_type;
variable pdata : std_logic_vector(31 downto 0);
variable slvbusy : ahb_slv_out_type;
variable dma_done, pci_done : std_logic;
variable bufloc : integer range 0 to 2;
begin
slvbusy := ahbso1; v := r;
vdmai.burst := '1'; vdmai.address := r.addr0 & "00";
vdmai.write := not r.write; vdmai.start := '0'; vdmai.size := "10";
vdmai.wdata := r.rbuf(0); pdata := (others => '0');
vdmai.busy := '0'; vdmai.irq := '0';
bufloc := 0;
v.start_del := r.start;
slvbusy.hready := '1'; slvbusy.hindex := hindex; --slvbusy.hresp := "00";
v.ahb0_htrans := ahbsi0.htrans; v.ahb0_retry := '0';
v.ahb0_hsel := ahbsi0.hsel(slvindex); v.ahb0_hready := ahbsi0.hready;
-- AMBA busy response when dma is running
if r.ahb0_retry = '1' then slvbusy.hresp := "10";
else slvbusy.hresp := "00"; end if;
if r.ahb0_htrans = "10" and (r.start = '1') and r.ahb0_hsel = '1' and r.ahb0_hready = '1' then
slvbusy.hready := '0';
slvbusy.hresp := "10";
v.ahb0_retry := '1';
end if;
-- Done signals
if (r.burstl_a(BURST_LENGTH - 1 downto 1) = zero32(BURST_LENGTH - 1 downto 1)) then -- AMBA access done
dma_done := '1'; else dma_done := '0'; end if;
if (r.burstl_p(BURST_LENGTH - 1 downto 1) = zero32(BURST_LENGTH - 1 downto 1)) then -- PCI access done
pci_done := '1'; else pci_done := '0'; end if;
-- APB interface
if (apbi.psel(pindex) and apbi.penable) = '1' then
case apbi.paddr(4 downto 2) is
when "000" =>
if apbi.pwrite = '1' then
v.start_req := apbi.pwdata(0);
v.write := apbi.pwdata(1);
v.ready := r.ready and not apbi.pwdata(2);
v.err := r.err and not apbi.pwdata(3);
v.hmbsel := apbi.pwdata(7 downto 4);
end if;
pdata := zero32(31 downto 8) & r.hmbsel & r.err & r.ready & r.write & r.start_req;
when "001" =>
if apbi.pwrite = '1' then v.addr0 := apbi.pwdata(31 downto 2); end if;
pdata := r.addr0 & "00";
when "010" =>
if apbi.pwrite = '1' then v.addr1 := apbi.pwdata(31 downto 2); end if;
pdata := r.addr1 & "00";
when "011" =>
if apbi.pwrite = '1' then
v.burstl_p := apbi.pwdata(BURST_LENGTH - 1 downto 0);
v.burstl_a := apbi.pwdata(BURST_LENGTH - 1 downto 0);
end if;
pdata := zero32(31 downto BURST_LENGTH) & r.burstl_p;
when others =>
end case;
end if;
-- can't start dma until AMBA slave is idle
if r.start_req = '1' and (ahbsi0.hready = '1' and (ahbsi0.htrans = "00" or ahbsi0.hsel(slvindex) = '0')) then
v.start := '1';
end if;
case r.state is
when idle =>
v.htrans := "00";
v.first0 := '1'; v.first1 := '1';
v.no_ws := '0'; v.dmao_start := '0'; v.blimit := '0';
if r.start = '1' then
if r.write = '0' then v.state := read1;
else v.state := write1; end if;
end if;
when read1 => -- Start PCI read
bufloc := 0;
v.htrans := "10";
if ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if r.htrans(1) = '1' then
if pci_done = '1' then
v.htrans := "00";
v.state := read5;
else
v.htrans := "11";
v.state := read2;
end if;
end if;
elsif ahbso1.hready = '0' then
v.htrans := "11";
else
v.htrans := "00";
end if;
when read2 => -- fill rbuf (3 words)
if r.first1 = '1' then bufloc := 1; -- store 3 words
else bufloc := 2; end if;
if ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if r.htrans = "11" then
v.first1 := '0';
if pci_done = '1' then
v.htrans := "00";
v.state := read5;
elsif r.first1 = '0' then
v.htrans := "01";
v.state := read3;
v.first0 := '1';
end if;
end if;
end if;
when read3 => -- write to AMBA and read from PCI
vdmai.start := '1';
bufloc := 1;
if (dmao.ready and dmao.start) = '1' then bufloc := 1; v.no_ws := '1'; -- no wait state on AMBA ?
else
bufloc := 2;
if dmao.active = '1' then v.no_ws := '0'; end if;
end if;
if dmao.active = '0' then v.blimit := '1';
else v.blimit := '0'; end if;
if dmao.ready = '1' then
v.first0 := '0';
v.htrans := "11";
else
v.htrans := "01";
end if;
if r.htrans(1) = '1' and ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY and pci_done = '1' then
v.state := read5;
v.htrans := "00";
elsif r.htrans(1) = '1' and ahbso1.hready = '0' and ahbso1.hresp = HRESP_RETRY then
if dmao.active = '0' then v.two_in_buf := '1'; end if; -- two words in rbuf to store
v.state := read4;
v.htrans := "01";
end if;
when read4 => -- PCI retry
bufloc := 1;
if dmao.ready = '1' then v.two_in_buf := '0'; end if;
if dmao.start = '1' and r.two_in_buf = '0' then v.dmao_start := '1'; end if;
if r.no_ws = '1' and r.dmao_start = '1' then vdmai.start := '0';
elsif dmao.start = '1' and r.two_in_buf = '0' then v.no_ws := '1'; vdmai.start := '0';
else vdmai.start := '1'; end if;
--if dmao.ready = '1' and r.no_ws = '1' and r.two_in_buf = '0' then -- handle change of waitstates (sdram refresh)
if (dmao.ready = '1' or (dmao.active = '0' and r.dmao_start = '1')) and r.no_ws = '1' and r.two_in_buf = '0' then
v.first0 := '1';
v.first1 := '1';
v.no_ws := '0';
v.dmao_start := '0';
v.state := read1;
end if;
when read5 => -- PCI read done
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dma_done = '0' or (r.first0 = '1' and dmao.start = '0') then vdmai.start := '1'; end if;
if (dmao.ready and dmao.start) = '1' then bufloc := 1; v.no_ws := '1'; -- no wait state on AMBA ?
else bufloc := 2; end if;
if dmao.ready = '1' and dma_done = '1' then
v.state := turn;
end if;
when write1 => -- Read first from AMBA
bufloc := 0;
v.first1 := '1'; v.no_ws := '0';
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dma_done = '1' and (r.first0 = '0' or dmao.start = '1') then vdmai.start := '0';
else vdmai.start := '1'; end if;
if dmao.ready = '1' then
if dma_done = '1' then v.state := write4;
else v.state := write2; end if;
v.htrans := "10"; -- start access to PCI
end if;
when write2 => -- Read from AMBA and write to PCI
bufloc := 0;
if (dmao.ready and dmao.start) = '1' then v.no_ws := '1'; end if; -- no wait state on AMBA ?
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dmao.ready = '1' then -- Data ready write to PCI
v.htrans := "11";
if dma_done = '1' then
v.state := write4;
end if;
else v.htrans := "01"; end if;
if ahbso1.hready = '0' then
vdmai.start := '0';
if v.no_ws = '1' then bufloc := 1; end if;
if dmao.active = '0' then v.state := writeb; -- AMBA 1k limit
else v.state := write3; end if;
elsif dma_done = '0' or (r.first0 = '1' and dmao.start = '0') then
vdmai.start := '1';
end if;
when writeb => -- AMBA 1k limit and PCI retry
bufloc := 1;
if dmao.active = '1' then vdmai.start := '0';
else vdmai.start := '1'; end if;
if dmao.ready = '1' then v.state := write3; end if;
when write3 => -- Retry from PCI
bufloc := 1;
--if ahbso1.hready = '1' then v.htrans := "10"; -- wait for AMBA access to be done before retry
if (ahbso1.hready and (dmao.ready or not dmao.active)) = '1' then v.htrans := "10";
else v.htrans := "01"; end if;
if r.htrans(1) = '1' and ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if pci_done = '1' then
v.htrans := "00";
v.state := turn;
elsif dma_done = '1' and r.burstl_a(0) = '0' then
v.htrans := "01";
v.state := write4;
else
v.htrans := "11";
v.first0 := '1';
v.state := write2;
end if;
end if;
when write4 => -- Done read AMBA
v.htrans := "11";
if pci_done = '1' and ahbso1.hready = '1' and r.htrans(1) = '1' then
v.htrans := "00";
v.state := turn;
elsif ahbso1.hready = '0' then
v.state := write3;
v.htrans := "01";
end if;
when turn =>
v.htrans := "00";
-- can't switch off dma until AMBA slave is idle
if (ahbsi0.hsel(slvindex) = '0' and r.ahb0_retry = '0' and ahbsi0.hready = '1')
or (ahbsi0.htrans = "00" and ahbsi0.hready = '1') or r.ahb0_retry = '1' then
v.ready := '1'; v.first1 := '1'; v.start_req := '0';
v.start := '0'; v.state := idle;
end if;
end case;
if ((r.htrans(1) and ahbso1.hready) = '1' and ahbso1.hresp = HRESP_OKAY) then -- PCI access done
v.burstl_p := r.burstl_p - '1'; -- dec counter
v.addr1 := r.addr1 + '1'; -- inc address (PCI)
if (r.write = '0' or r.state = write4 or r.state = write3) then
if r.state /= read1 and r.state /= read2 and (v.no_ws = '1' or r.state = write3) and v.blimit = '0' then
v.rbuf(0) := r.rbuf(1); -- dont update if wait states
v.rbuf(1) := r.rbuf(2); --
end if;
if r.write = '0' then v.rbuf(bufloc) := ahbso1.hrdata; end if; -- PCI to AMBA
end if; -- if wait states store in buf(2) else
end if; -- in buf(1). Frist word in buf(0)
if dmao.ready = '1' then -- AMBA access done
v.burstl_a := r.burstl_a - '1'; -- dec counter
v.addr0 := r.addr0 + 1; -- inc address (AMBA master)
if r.write = '1' then
if r.state /= write3 and bufloc = 0 then -- dont update if retry from PCI
v.rbuf(0) := r.rbuf(1);
v.rbuf(1) := r.rbuf(2);
end if;
v.rbuf(bufloc) := dmao.rdata; -- AMBA to PCI
elsif r.write = '0' and (r.first0 = '1' or v.state = read4 or r.state = read5 or (v.no_ws = '0' or r.blimit = '1')) then
v.rbuf(0) := r.rbuf(1); -- update when data is written if wait states or PCI retry or PCI done
v.rbuf(1) := r.rbuf(2);
end if;
end if;
if (ahbso1.hresp = HRESP_ERROR or (dmao.mexc or dmao.retry) = '1') then
v.err := '1'; v.state := turn; v.htrans := HTRANS_IDLE;
end if;
--cancel dma
if r.start = '1' and r.start_req = '0' then
v.state := turn;
end if;
if rst = '0' then
v.state := idle;
v.start := '0';
v.start_req := '0';
v.write := '0';
v.err := '0';
v.ready := '0';
v.first1 := '1';
v.two_in_buf := '0';
v.hmbsel := (others => '0');
v.addr1 := (others => '0');
end if;
if r.start = '1' then -- new *** ???
ahbsi1.hsel <= (others => '1');
ahbsi1.hmbsel(0 to 3) <= r.hmbsel;
ahbsi1.hsize <= "010";
ahbsi1.hwrite <= r.write;
ahbsi1.htrans <= v.htrans;
-- ahbsi1.haddr <= r.addr1 & "00";
ahbsi1.haddr <= v.addr1 & "00";
ahbsi1.hburst <= "001";
ahbsi1.hwdata <= r.rbuf(0);
ahbsi1.hready <= ahbso1.hready;
ahbsi1.hmaster <= conv_std_logic_vector(hindex,4);
ahbso0 <= slvbusy;
else
ahbsi1.hsel <= ahbsi0.hsel;
ahbsi1.hmbsel(0 to 3) <= ahbsi0.hmbsel(0 to 3);
ahbsi1.hsize <= ahbsi0.hsize;
ahbsi1.hwrite <= ahbsi0.hwrite;
ahbsi1.htrans <= ahbsi0.htrans;
ahbsi1.haddr <= ahbsi0.haddr;
ahbsi1.hburst <= ahbsi0.hburst;
ahbsi1.hwdata <= ahbsi0.hwdata;
ahbsi1.hready <= ahbsi0.hready;
ahbsi1.hmaster <= ahbsi0.hmaster;
ahbso0 <= ahbso1;
v.state := idle;
end if;
dmai <= vdmai;
rin <= v;
apbo.pconfig <= pconfig;
apbo.prdata <= pdata;
apbo.pirq <= (others => '0');
apbo.pindex <= pindex;
ahbsi1.hirq <= (others => '0');
ahbsi1.hprot <= (others => '0');
ahbsi1.hmastlock <= '0';
ahbsi1.hcache <= '0';
end process;
cpur : process (clk)
begin
if rising_edge (clk) then
r <= rin;
end if;
end process;
ahbmst0 : pciahbmst generic map (hindex => hindex, devid => GAISLER_DMACTRL, incaddr => 1)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
-- pragma translate_off
bootmsg : report_version
generic map ("dmactrl" & tost(pindex) &
": 32-bit DMA controller & AHB/AHB bridge rev " & tost(REVISION));
-- pragma translate_on
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: dmactrl
-- File: dmactrl.vhd
-- Author: Alf Vaerneus - Gaisler Research
-- Modified: Nils-Johan Wessman - Gaisler Research
-- Description: Simple DMA controller
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.misc.all;
use gaisler.pci.all;
entity dmactrl is
generic (
hindex : integer := 0;
slvindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
blength : integer := 4
);
port (
rst : in std_logic;
clk : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi0 : in ahb_slv_in_type;
ahbso0 : out ahb_slv_out_type;
ahbsi1 : out ahb_slv_in_type;
ahbso1 : in ahb_slv_out_type
);
end;
architecture rtl of dmactrl is
constant BURST_LENGTH : integer := blength;
constant REVISION : integer := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_DMACTRL, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
type state_type is(idle, read1, read2, read3, read4, read5, write1, write2, writeb, write3, write4, turn);
type rbuf_type is array (0 to 2) of std_logic_vector(31 downto 0);
type dmactrl_reg_type is record
state : state_type;
addr0 : std_logic_vector(31 downto 2);
addr1 : std_logic_vector(31 downto 2);
hmbsel : std_logic_vector(0 to NAHBAMR-1);
htrans : std_logic_vector(1 downto 0);
rbuf : rbuf_type;
write : std_logic;
start_req : std_logic;
start : std_logic;
ready : std_logic;
err : std_logic;
first0 : std_logic;
first1 : std_logic;
no_ws : std_logic; -- no wait states
blimit : std_logic; -- 1k limit
dmao_start: std_logic;
two_in_buf: std_logic; -- two words in rbuf to be stored
burstl_p : std_logic_vector(BURST_LENGTH - 1 downto 0); -- pci access counter
burstl_a : std_logic_vector(BURST_LENGTH - 1 downto 0); -- amba access counter
ahb0_htrans : std_logic_vector(1 downto 0);
ahb0_hready : std_logic;
ahb0_retry : std_logic;
ahb0_hsel : std_logic;
start_del : std_logic;
end record;
signal r,rin : dmactrl_reg_type;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
begin
comb : process(rst,r,dmao,apbi,ahbsi0,ahbso1)
variable v : dmactrl_reg_type;
variable vdmai : ahb_dma_in_type;
variable pdata : std_logic_vector(31 downto 0);
variable slvbusy : ahb_slv_out_type;
variable dma_done, pci_done : std_logic;
variable bufloc : integer range 0 to 2;
begin
slvbusy := ahbso1; v := r;
vdmai.burst := '1'; vdmai.address := r.addr0 & "00";
vdmai.write := not r.write; vdmai.start := '0'; vdmai.size := "10";
vdmai.wdata := r.rbuf(0); pdata := (others => '0');
vdmai.busy := '0'; vdmai.irq := '0';
bufloc := 0;
v.start_del := r.start;
slvbusy.hready := '1'; slvbusy.hindex := hindex; --slvbusy.hresp := "00";
v.ahb0_htrans := ahbsi0.htrans; v.ahb0_retry := '0';
v.ahb0_hsel := ahbsi0.hsel(slvindex); v.ahb0_hready := ahbsi0.hready;
-- AMBA busy response when dma is running
if r.ahb0_retry = '1' then slvbusy.hresp := "10";
else slvbusy.hresp := "00"; end if;
if r.ahb0_htrans = "10" and (r.start = '1') and r.ahb0_hsel = '1' and r.ahb0_hready = '1' then
slvbusy.hready := '0';
slvbusy.hresp := "10";
v.ahb0_retry := '1';
end if;
-- Done signals
if (r.burstl_a(BURST_LENGTH - 1 downto 1) = zero32(BURST_LENGTH - 1 downto 1)) then -- AMBA access done
dma_done := '1'; else dma_done := '0'; end if;
if (r.burstl_p(BURST_LENGTH - 1 downto 1) = zero32(BURST_LENGTH - 1 downto 1)) then -- PCI access done
pci_done := '1'; else pci_done := '0'; end if;
-- APB interface
if (apbi.psel(pindex) and apbi.penable) = '1' then
case apbi.paddr(4 downto 2) is
when "000" =>
if apbi.pwrite = '1' then
v.start_req := apbi.pwdata(0);
v.write := apbi.pwdata(1);
v.ready := r.ready and not apbi.pwdata(2);
v.err := r.err and not apbi.pwdata(3);
v.hmbsel := apbi.pwdata(7 downto 4);
end if;
pdata := zero32(31 downto 8) & r.hmbsel & r.err & r.ready & r.write & r.start_req;
when "001" =>
if apbi.pwrite = '1' then v.addr0 := apbi.pwdata(31 downto 2); end if;
pdata := r.addr0 & "00";
when "010" =>
if apbi.pwrite = '1' then v.addr1 := apbi.pwdata(31 downto 2); end if;
pdata := r.addr1 & "00";
when "011" =>
if apbi.pwrite = '1' then
v.burstl_p := apbi.pwdata(BURST_LENGTH - 1 downto 0);
v.burstl_a := apbi.pwdata(BURST_LENGTH - 1 downto 0);
end if;
pdata := zero32(31 downto BURST_LENGTH) & r.burstl_p;
when others =>
end case;
end if;
-- can't start dma until AMBA slave is idle
if r.start_req = '1' and (ahbsi0.hready = '1' and (ahbsi0.htrans = "00" or ahbsi0.hsel(slvindex) = '0')) then
v.start := '1';
end if;
case r.state is
when idle =>
v.htrans := "00";
v.first0 := '1'; v.first1 := '1';
v.no_ws := '0'; v.dmao_start := '0'; v.blimit := '0';
if r.start = '1' then
if r.write = '0' then v.state := read1;
else v.state := write1; end if;
end if;
when read1 => -- Start PCI read
bufloc := 0;
v.htrans := "10";
if ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if r.htrans(1) = '1' then
if pci_done = '1' then
v.htrans := "00";
v.state := read5;
else
v.htrans := "11";
v.state := read2;
end if;
end if;
elsif ahbso1.hready = '0' then
v.htrans := "11";
else
v.htrans := "00";
end if;
when read2 => -- fill rbuf (3 words)
if r.first1 = '1' then bufloc := 1; -- store 3 words
else bufloc := 2; end if;
if ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if r.htrans = "11" then
v.first1 := '0';
if pci_done = '1' then
v.htrans := "00";
v.state := read5;
elsif r.first1 = '0' then
v.htrans := "01";
v.state := read3;
v.first0 := '1';
end if;
end if;
end if;
when read3 => -- write to AMBA and read from PCI
vdmai.start := '1';
bufloc := 1;
if (dmao.ready and dmao.start) = '1' then bufloc := 1; v.no_ws := '1'; -- no wait state on AMBA ?
else
bufloc := 2;
if dmao.active = '1' then v.no_ws := '0'; end if;
end if;
if dmao.active = '0' then v.blimit := '1';
else v.blimit := '0'; end if;
if dmao.ready = '1' then
v.first0 := '0';
v.htrans := "11";
else
v.htrans := "01";
end if;
if r.htrans(1) = '1' and ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY and pci_done = '1' then
v.state := read5;
v.htrans := "00";
elsif r.htrans(1) = '1' and ahbso1.hready = '0' and ahbso1.hresp = HRESP_RETRY then
if dmao.active = '0' then v.two_in_buf := '1'; end if; -- two words in rbuf to store
v.state := read4;
v.htrans := "01";
end if;
when read4 => -- PCI retry
bufloc := 1;
if dmao.ready = '1' then v.two_in_buf := '0'; end if;
if dmao.start = '1' and r.two_in_buf = '0' then v.dmao_start := '1'; end if;
if r.no_ws = '1' and r.dmao_start = '1' then vdmai.start := '0';
elsif dmao.start = '1' and r.two_in_buf = '0' then v.no_ws := '1'; vdmai.start := '0';
else vdmai.start := '1'; end if;
--if dmao.ready = '1' and r.no_ws = '1' and r.two_in_buf = '0' then -- handle change of waitstates (sdram refresh)
if (dmao.ready = '1' or (dmao.active = '0' and r.dmao_start = '1')) and r.no_ws = '1' and r.two_in_buf = '0' then
v.first0 := '1';
v.first1 := '1';
v.no_ws := '0';
v.dmao_start := '0';
v.state := read1;
end if;
when read5 => -- PCI read done
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dma_done = '0' or (r.first0 = '1' and dmao.start = '0') then vdmai.start := '1'; end if;
if (dmao.ready and dmao.start) = '1' then bufloc := 1; v.no_ws := '1'; -- no wait state on AMBA ?
else bufloc := 2; end if;
if dmao.ready = '1' and dma_done = '1' then
v.state := turn;
end if;
when write1 => -- Read first from AMBA
bufloc := 0;
v.first1 := '1'; v.no_ws := '0';
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dma_done = '1' and (r.first0 = '0' or dmao.start = '1') then vdmai.start := '0';
else vdmai.start := '1'; end if;
if dmao.ready = '1' then
if dma_done = '1' then v.state := write4;
else v.state := write2; end if;
v.htrans := "10"; -- start access to PCI
end if;
when write2 => -- Read from AMBA and write to PCI
bufloc := 0;
if (dmao.ready and dmao.start) = '1' then v.no_ws := '1'; end if; -- no wait state on AMBA ?
if dmao.start = '1' then v.first0 := '0'; -- first amba access
elsif dmao.active = '0' then v.first0 := '1'; end if; -- 1k limit
if dmao.ready = '1' then -- Data ready write to PCI
v.htrans := "11";
if dma_done = '1' then
v.state := write4;
end if;
else v.htrans := "01"; end if;
if ahbso1.hready = '0' then
vdmai.start := '0';
if v.no_ws = '1' then bufloc := 1; end if;
if dmao.active = '0' then v.state := writeb; -- AMBA 1k limit
else v.state := write3; end if;
elsif dma_done = '0' or (r.first0 = '1' and dmao.start = '0') then
vdmai.start := '1';
end if;
when writeb => -- AMBA 1k limit and PCI retry
bufloc := 1;
if dmao.active = '1' then vdmai.start := '0';
else vdmai.start := '1'; end if;
if dmao.ready = '1' then v.state := write3; end if;
when write3 => -- Retry from PCI
bufloc := 1;
--if ahbso1.hready = '1' then v.htrans := "10"; -- wait for AMBA access to be done before retry
if (ahbso1.hready and (dmao.ready or not dmao.active)) = '1' then v.htrans := "10";
else v.htrans := "01"; end if;
if r.htrans(1) = '1' and ahbso1.hready = '1' and ahbso1.hresp = HRESP_OKAY then
if pci_done = '1' then
v.htrans := "00";
v.state := turn;
elsif dma_done = '1' and r.burstl_a(0) = '0' then
v.htrans := "01";
v.state := write4;
else
v.htrans := "11";
v.first0 := '1';
v.state := write2;
end if;
end if;
when write4 => -- Done read AMBA
v.htrans := "11";
if pci_done = '1' and ahbso1.hready = '1' and r.htrans(1) = '1' then
v.htrans := "00";
v.state := turn;
elsif ahbso1.hready = '0' then
v.state := write3;
v.htrans := "01";
end if;
when turn =>
v.htrans := "00";
-- can't switch off dma until AMBA slave is idle
if (ahbsi0.hsel(slvindex) = '0' and r.ahb0_retry = '0' and ahbsi0.hready = '1')
or (ahbsi0.htrans = "00" and ahbsi0.hready = '1') or r.ahb0_retry = '1' then
v.ready := '1'; v.first1 := '1'; v.start_req := '0';
v.start := '0'; v.state := idle;
end if;
end case;
if ((r.htrans(1) and ahbso1.hready) = '1' and ahbso1.hresp = HRESP_OKAY) then -- PCI access done
v.burstl_p := r.burstl_p - '1'; -- dec counter
v.addr1 := r.addr1 + '1'; -- inc address (PCI)
if (r.write = '0' or r.state = write4 or r.state = write3) then
if r.state /= read1 and r.state /= read2 and (v.no_ws = '1' or r.state = write3) and v.blimit = '0' then
v.rbuf(0) := r.rbuf(1); -- dont update if wait states
v.rbuf(1) := r.rbuf(2); --
end if;
if r.write = '0' then v.rbuf(bufloc) := ahbso1.hrdata; end if; -- PCI to AMBA
end if; -- if wait states store in buf(2) else
end if; -- in buf(1). Frist word in buf(0)
if dmao.ready = '1' then -- AMBA access done
v.burstl_a := r.burstl_a - '1'; -- dec counter
v.addr0 := r.addr0 + 1; -- inc address (AMBA master)
if r.write = '1' then
if r.state /= write3 and bufloc = 0 then -- dont update if retry from PCI
v.rbuf(0) := r.rbuf(1);
v.rbuf(1) := r.rbuf(2);
end if;
v.rbuf(bufloc) := dmao.rdata; -- AMBA to PCI
elsif r.write = '0' and (r.first0 = '1' or v.state = read4 or r.state = read5 or (v.no_ws = '0' or r.blimit = '1')) then
v.rbuf(0) := r.rbuf(1); -- update when data is written if wait states or PCI retry or PCI done
v.rbuf(1) := r.rbuf(2);
end if;
end if;
if (ahbso1.hresp = HRESP_ERROR or (dmao.mexc or dmao.retry) = '1') then
v.err := '1'; v.state := turn; v.htrans := HTRANS_IDLE;
end if;
--cancel dma
if r.start = '1' and r.start_req = '0' then
v.state := turn;
end if;
if rst = '0' then
v.state := idle;
v.start := '0';
v.start_req := '0';
v.write := '0';
v.err := '0';
v.ready := '0';
v.first1 := '1';
v.two_in_buf := '0';
v.hmbsel := (others => '0');
v.addr1 := (others => '0');
end if;
if r.start = '1' then -- new *** ???
ahbsi1.hsel <= (others => '1');
ahbsi1.hmbsel(0 to 3) <= r.hmbsel;
ahbsi1.hsize <= "010";
ahbsi1.hwrite <= r.write;
ahbsi1.htrans <= v.htrans;
-- ahbsi1.haddr <= r.addr1 & "00";
ahbsi1.haddr <= v.addr1 & "00";
ahbsi1.hburst <= "001";
ahbsi1.hwdata <= r.rbuf(0);
ahbsi1.hready <= ahbso1.hready;
ahbsi1.hmaster <= conv_std_logic_vector(hindex,4);
ahbso0 <= slvbusy;
else
ahbsi1.hsel <= ahbsi0.hsel;
ahbsi1.hmbsel(0 to 3) <= ahbsi0.hmbsel(0 to 3);
ahbsi1.hsize <= ahbsi0.hsize;
ahbsi1.hwrite <= ahbsi0.hwrite;
ahbsi1.htrans <= ahbsi0.htrans;
ahbsi1.haddr <= ahbsi0.haddr;
ahbsi1.hburst <= ahbsi0.hburst;
ahbsi1.hwdata <= ahbsi0.hwdata;
ahbsi1.hready <= ahbsi0.hready;
ahbsi1.hmaster <= ahbsi0.hmaster;
ahbso0 <= ahbso1;
v.state := idle;
end if;
dmai <= vdmai;
rin <= v;
apbo.pconfig <= pconfig;
apbo.prdata <= pdata;
apbo.pirq <= (others => '0');
apbo.pindex <= pindex;
ahbsi1.hirq <= (others => '0');
ahbsi1.hprot <= (others => '0');
ahbsi1.hmastlock <= '0';
ahbsi1.hcache <= '0';
end process;
cpur : process (clk)
begin
if rising_edge (clk) then
r <= rin;
end if;
end process;
ahbmst0 : pciahbmst generic map (hindex => hindex, devid => GAISLER_DMACTRL, incaddr => 1)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
-- pragma translate_off
bootmsg : report_version
generic map ("dmactrl" & tost(pindex) &
": 32-bit DMA controller & AHB/AHB bridge rev " & tost(REVISION));
-- pragma translate_on
end;
|
entity test is
end entity test;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
architecture rtl of test is
FUNCTION test
RETURN std_ulogic_vector IS
SUBTYPE vector_t IS std_ulogic_vector(0 TO 3);
BEGIN
RETURN vector_t'(OTHERS => '0');
END test;
begin
end architecture rtl;
|
entity test is
end entity test;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
architecture rtl of test is
FUNCTION test
RETURN std_ulogic_vector IS
SUBTYPE vector_t IS std_ulogic_vector(0 TO 3);
BEGIN
RETURN vector_t'(OTHERS => '0');
END test;
begin
end architecture rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
i5hghxX50k9L9lj5hi8nkZbhcMA4T90kozy6d5poioT3GD9csaNoEcEtXX9ybw5rwDNi3eln6io4
YUOSB0Orww==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PUn3E7L5actFFKkfvip2Rz+la+0UwbPfhpe1AlTW5B4G4rUYI5fhEdjrN6sfaYQrjWZw+8hjxUfo
eUuY6ofHn876gazrQHALnLTDssWHbXHHUWk2ZiYWh2OublvkL+wFGpUyUYR90z6IhaLsWZ9w2zU2
jjHG/5/TARB9FQOUpLM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oObvidC+eW8flFEq45Ry0QDQWdUmnzhFAtCo3z3FWhgSssKnCkJGczML20bEn98HwLBjgPbopMEq
Zjq6nYn4rLmhu1U/mGj0dgtq8txMoQA5GN4eZSe6A+C98kYTWYlQoJ8ryQNs2VV03P82Oatm4ArJ
wBx6qUnmpTrcLL8aPDSF2BC1lZO15wF5644trnvzY0R0JDMiprt0QWVaCVXd17cYznGUaeMjRmiM
BgGBvVWa7PCSkh8j+o1kEjT4okDIHruyQ0RZytjvrQHpHNwAlHclhMYiRuPVLtMw9YqB9NLUOWB1
RPNKE1E82SFOl0tXA0NAWmcrTfVbIVimx5BQ7w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nJNZIdY9zYLHOFvy2mSWpwzebZycMF2PNFk5/6yjp/OzK8oksWaR8oZucqBIdxDRRS6jNiUv02ul
wKte9jTH4mjVXSVNj8+/M7WTmGhwAKclWc3CPToH1uhqD7sq7vs9icxj09tSxp//nybRuTuoy9DT
XOc/xFRprvVwkeGwyRM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XPA1bTVdKGRu0BGcSjLS2pbgnuWthkUKGwsN3adCCtR16KT2nD+AGTkFjPoMBvHJiQpP1RH4njQW
zOKFtTw/iQeayYvqEgI4/Z7mjLwSuOOHlZzBTwVPW8z1lWEp2/LrxAQfIt0gcZsVWaahJznUKQES
LtIg8iLVw0Th9FHObG03f5CjkwYMDAspZhB9sZvTrpfWcj9fsZBofxf8lfOucVMXADZ63ErAz4u/
WTpwEoXj64j7eYzQERih17PAs7uQKxyIxeM3022MWw4RT2dQN5iOsU+qonmxNbCfCpr/bRPfxr4p
PS75+Kbi54ialZoRw9DvituqaWe7CEnwtveF9w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
i5hghxX50k9L9lj5hi8nkZbhcMA4T90kozy6d5poioT3GD9csaNoEcEtXX9ybw5rwDNi3eln6io4
YUOSB0Orww==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PUn3E7L5actFFKkfvip2Rz+la+0UwbPfhpe1AlTW5B4G4rUYI5fhEdjrN6sfaYQrjWZw+8hjxUfo
eUuY6ofHn876gazrQHALnLTDssWHbXHHUWk2ZiYWh2OublvkL+wFGpUyUYR90z6IhaLsWZ9w2zU2
jjHG/5/TARB9FQOUpLM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oObvidC+eW8flFEq45Ry0QDQWdUmnzhFAtCo3z3FWhgSssKnCkJGczML20bEn98HwLBjgPbopMEq
Zjq6nYn4rLmhu1U/mGj0dgtq8txMoQA5GN4eZSe6A+C98kYTWYlQoJ8ryQNs2VV03P82Oatm4ArJ
wBx6qUnmpTrcLL8aPDSF2BC1lZO15wF5644trnvzY0R0JDMiprt0QWVaCVXd17cYznGUaeMjRmiM
BgGBvVWa7PCSkh8j+o1kEjT4okDIHruyQ0RZytjvrQHpHNwAlHclhMYiRuPVLtMw9YqB9NLUOWB1
RPNKE1E82SFOl0tXA0NAWmcrTfVbIVimx5BQ7w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nJNZIdY9zYLHOFvy2mSWpwzebZycMF2PNFk5/6yjp/OzK8oksWaR8oZucqBIdxDRRS6jNiUv02ul
wKte9jTH4mjVXSVNj8+/M7WTmGhwAKclWc3CPToH1uhqD7sq7vs9icxj09tSxp//nybRuTuoy9DT
XOc/xFRprvVwkeGwyRM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XPA1bTVdKGRu0BGcSjLS2pbgnuWthkUKGwsN3adCCtR16KT2nD+AGTkFjPoMBvHJiQpP1RH4njQW
zOKFtTw/iQeayYvqEgI4/Z7mjLwSuOOHlZzBTwVPW8z1lWEp2/LrxAQfIt0gcZsVWaahJznUKQES
LtIg8iLVw0Th9FHObG03f5CjkwYMDAspZhB9sZvTrpfWcj9fsZBofxf8lfOucVMXADZ63ErAz4u/
WTpwEoXj64j7eYzQERih17PAs7uQKxyIxeM3022MWw4RT2dQN5iOsU+qonmxNbCfCpr/bRPfxr4p
PS75+Kbi54ialZoRw9DvituqaWe7CEnwtveF9w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
-- Library Clause(s) (optional)
-- Use Clause(s) (optional)
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.filter_shared_package.all;
entity filter_mac_datapath is
generic
(
-- Multiplier and Adder Pipeline Lengths
FPMULT_PIPE_LENGTH : P_T := PM;
FPADD_PIPE_LENGTH : P_T := PA;
PRECISION : natural := PREC
);
port
(
-- Input ports
clk, rst : in std_logic;
X : in DATA_IO_PORT_T;
Y : in DATA_IO_PORT_T;
A : in DATA_IO_PORT_T;
-- Output ports
R : out DATA_IO_PORT_T
);
end filter_mac_datapath;
architecture filter_mac_datapath_arch of filter_mac_datapath is
signal tmp_r_s, mult2add_reg_s : DATA_IO_PORT_T;
begin
MAC_FPMULT_6: if FPMULT_PIPE_LENGTH = 6 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d6
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d6
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_7: if FPMULT_PIPE_LENGTH = 7 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d7
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d7
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_8: if FPMULT_PIPE_LENGTH = 8 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d8
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d8
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_9: if FPMULT_PIPE_LENGTH = 9 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d9
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d9
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_10: if FPMULT_PIPE_LENGTH = 10 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d10
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d10
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
MAC_FPMULT_11: if FPMULT_PIPE_LENGTH = 11 generate
FPMULT_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE : entity work.double_fpmult_d11
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
FPMULT_SGL:if PRECISION=SINGLE_EXT generate
SINGLE : entity work.single_fpmult_d11
port map (clk => clk, rst => rst, X => X, Y => Y, R => tmp_r_s);
end generate;
end generate;
-- Register between FP Multiplier and FP Adder
FPMULT2FPADD_MEM : process(clk, rst) is
-- Declaration(s)
begin
if(rst = '0') then
-- Asynchronous Sequential Statement(s)
mult2add_reg_s <= (others => '0');
elsif(rising_edge(clk)) then
-- Synchronous Sequential Statement(s)
mult2add_reg_s <= tmp_r_s;
end if;
end process FPMULT2FPADD_MEM;
MAC_FPADD_6: if FPADD_PIPE_LENGTH = 6 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d6
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d6
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_7: if FPADD_PIPE_LENGTH = 7 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d7
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d7
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_8: if FPADD_PIPE_LENGTH = 8 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d8
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d8
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_9: if FPADD_PIPE_LENGTH = 9 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d9
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d9
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_10: if FPADD_PIPE_LENGTH = 10 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d10
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d10
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_11: if FPADD_PIPE_LENGTH = 11 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d11
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d11
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
MAC_FPADD_12: if FPADD_PIPE_LENGTH = 12 generate
FPADD_DBL:if PRECISION=DOUBLE_EXT generate
DOUBLE: entity work.double_fpadder_d12
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
FPADD_SGL:if PRECISION=SINGLE_EXT generate
SINGLE: entity work.single_fpadder_d12
port map (clk => clk, rst => rst, X => mult2add_reg_s, Y => A, R => R);
end generate;
end generate;
end filter_mac_datapath_arch; |
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: ram_dqINST_lb.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 12.1 Build 243 01/31/2013 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2012 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY ram_dqINST_lb IS
PORT
(
address : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END ram_dqINST_lb;
ARCHITECTURE SYN OF ram_dqinst_lb IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
power_up_uninitialized : STRING;
read_during_write_mode_port_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
clock0 : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren_a : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
intended_device_family => "Cyclone III",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=N_lb",
lpm_type => "altsyncram",
numwords_a => 256,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 8,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "N_lb"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING ""
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "8"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=N_lb"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: ram_dqINST_lb.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 12.1 Build 243 01/31/2013 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2012 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY ram_dqINST_lb IS
PORT
(
address : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END ram_dqINST_lb;
ARCHITECTURE SYN OF ram_dqinst_lb IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
power_up_uninitialized : STRING;
read_during_write_mode_port_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
clock0 : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
wren_a : IN STD_LOGIC ;
q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(7 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
intended_device_family => "Cyclone III",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=N_lb",
lpm_type => "altsyncram",
numwords_a => 256,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK0",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 8,
width_a => 8,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "N_lb"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING ""
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "8"
-- Retrieval info: PRIVATE: WidthData NUMERIC "8"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=N_lb"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]"
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dqINST_lb_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- NEED RESULT: ARCH00573: Library unit is visible passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00573
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 10.3 (14)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00573)
-- ENT00573_Test_Bench(ARCH00573_Test_Bench)
-- CONF00573
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
architecture ARCH00573 of E00000 is
begin
process
begin
WORK.STANDARD_TYPES.test_report ( "ARCH00573" ,
"Library unit is visible" ,
True ) ;
wait ;
end process ;
end ARCH00573 ;
--
entity ENT00573_Test_Bench is
end ENT00573_Test_Bench ;
architecture ARCH00573_Test_Bench of ENT00573_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00573 ) ;
begin
CIS1 : UUT ;
CIS2 : UUT ;
end block L1 ;
end ARCH00573_Test_Bench ;
--
configuration CONF00573 of WORK.ENT00573_Test_Bench is
for ARCH00573_Test_Bench
for L1
for CIS2 : UUT
use entity WORK.E00000 ( ARCH00573 );
end for ;
end for ;
end for ;
end CONF00573 ;
--
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FIFO is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
DRTS: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
CTS: out std_logic;
empty_out: out std_logic;
read_pointer_out, write_pointer_out: out std_logic_vector(3 downto 0);
write_en_out :out std_logic;
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end FIFO;
architecture behavior of FIFO is
signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0);
signal full, empty: std_logic;
signal read_en, write_en: std_logic;
signal CTS_in, CTS_out: std_logic;
component FIFO_control_part_checkers is
port ( DRTS: in std_logic;
CTS_out: in std_logic;
CTS_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
read_pointer: in std_logic_vector(3 downto 0);
read_pointer_in: in std_logic_vector(3 downto 0);
write_pointer: in std_logic_vector(3 downto 0);
write_pointer_in: in std_logic_vector(3 downto 0);
empty_out: in std_logic;
full_out: in std_logic;
read_en_out: in std_logic;
write_en_out: in std_logic;
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end component;
begin
--------------------------------------------------------------------------------------------
-- block diagram of the FIFO!
-- previous
-- router
-- -- ------------------------------------------
-- | | |
-- TX|--------->| RX Data_out|----> goes to Xbar and LBDR
-- | | |
-- RTS|--------->| DRTS FIFO read_en|<---- Comes from Arbiters (N,E,W,S,L)
-- | | (N,E,W,S,L)|
-- DCTS|<---------| CTS |
-- -- ------------------------------------------
--------------------------------------------------------------------------------------------
-- Hand shake protocol!
--
-- |<-Valid->|
-- | Data |
-- _____ _________ ______
-- RX _____X_________X______
-- DRTS _____|'''''''''|_____
-- CTS __________|''''|_______
--
--------------------------------------------------------------------------------------------
-- circular buffer structure
-- <--- WriteP
-- ---------------------------------
-- | 3 | 2 | 1 | 0 |
-- ---------------------------------
-- <--- readP
--------------------------------------------------------------------------------------------
-- FIFO Control Part checkers instantiation
FIFOCONTROLPARTCHECKERS: FIFO_control_part_checkers port map (
DRTS => DRTS,
CTS_out => CTS_out, CTS_in => CTS_in,
read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L,
read_pointer => read_pointer, read_pointer_in => read_pointer_in,
write_pointer => write_pointer, write_pointer_in => write_pointer_in,
empty_out => empty, full_out => full,
read_en_out => read_en, write_en_out => write_en,
err_write_en_write_pointer => err_write_en_write_pointer,
err_not_write_en_write_pointer => err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full,
err_read_pointer_increment => err_read_pointer_increment,
err_read_pointer_not_increment => err_read_pointer_not_increment,
err_write_en => err_write_en,
err_not_CTS_in => err_not_CTS_in,
err_read_en_mismatch => err_read_en_mismatch
);
process (clk, reset)begin
if reset = '0' then
read_pointer <= "0001";
write_pointer <= "0001";
CTS_out<='0';
elsif clk'event and clk = '1' then
write_pointer <= write_pointer_in;
read_pointer <= read_pointer_in;
CTS_out<=CTS_in;
end if;
end process;
-- anything below here is pure combinational
-- combinatorial part
write_pointer_out <= write_pointer;
read_pointer_out <= read_pointer;
write_en_out <= write_en;
read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty;
empty_out <= empty;
CTS <= CTS_out;
process(write_en, write_pointer)begin
if write_en = '1'then
write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3);
else
write_pointer_in <= write_pointer;
end if;
end process;
process(read_en, empty, read_pointer)begin
if (read_en = '1' and empty = '0') then
read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3);
else
read_pointer_in <= read_pointer;
end if;
end process;
process(full, DRTS, CTS_out) begin
if CTS_out = '0' and DRTS = '1' and full ='0' then
CTS_in <= '1';
write_en <= '1';
else
CTS_in <= '0';
write_en <= '0';
end if;
end process;
process(write_pointer, read_pointer) begin
if read_pointer = write_pointer then
empty <= '1';
else
empty <= '0';
end if;
-- if write_pointer = read_pointer>>1 then
if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then
full <= '1';
else
full <= '0';
end if;
end process;
end;
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY shd_fifo IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(255 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(255 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END shd_fifo;
ARCHITECTURE shd_fifo_arch OF shd_fifo IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF shd_fifo_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(255 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(255 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF shd_fifo_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.3";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF shd_fifo_arch : ARCHITECTURE IS "shd_fifo,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF shd_fifo_arch: ARCHITECTURE IS "shd_fifo,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=9,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=256,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=256,C_ENABLE_RLOCS=0,C_FAMILY=virtex7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=511,C_PROG_FULL_THRESH_NEGATE_VAL=510,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=9,C_RD_DEPTH=512,C_RD_FREQ=1,C_RD_PNTR_WIDTH=9,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=9,C_WR_DEPTH=512,C_WR_FREQ=1,C_WR_PNTR_WIDTH=9,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 9,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 256,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 256,
C_ENABLE_RLOCS => 0,
C_FAMILY => "virtex7",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 511,
C_PROG_FULL_THRESH_NEGATE_VAL => 510,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 9,
C_RD_DEPTH => 512,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 9,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 9,
C_WR_DEPTH => 512,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 9,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END shd_fifo_arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test2 is
port(
d_in: in std_ulogic_vector(63 downto 0);
d_out: out std_ulogic_vector(63 downto 0)
);
end entity test2;
architecture behaviour of test2 is
begin
comb : process(all)
begin
d_out <= std_logic_vector(unsigned(d_in) + 4);
end process;
end architecture behaviour;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for ent_ab
--
-- Generated
-- by: wig
-- on: Wed Nov 2 10:48:49 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ab-struct-conf-c.vhd,v 1.1 2005/11/02 12:53:45 wig Exp $
-- $Date: 2005/11/02 12:53:45 $
-- $Log: ent_ab-struct-conf-c.vhd,v $
-- Revision 1.1 2005/11/02 12:53:45 wig
-- fixed issue 20051018d and more
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.66 2005/10/24 15:43:48 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.38 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_ab_struct_conf / ent_ab
--
configuration ent_ab_struct_conf of ent_ab is
for struct
-- Generated Configuration
for inst_aba : ent_aba
use configuration work.ent_aba_struct_conf;
end for;
end for;
end ent_ab_struct_conf;
--
-- End of Generated Configuration ent_ab_struct_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
entity neuron_hid is
port ( clk : in std_logic;
ce : in std_logic;
sclr : in std_logic;
bypass : in std_logic;
im : in std_logic_vector(7 downto 0);
weig_hid : in std_logic_vector( 7 downto 0);
hid_out : out std_logic_vector (15 downto 0)
);
end neuron_hid;
architecture Behavioral of neuron_hid is
COMPONENT mul_hid
PORT (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
sclr : IN STD_LOGIC;
bypass : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
begin
mul_hid_map : mul_hid
port map(clk,ce,sclr,bypass,im,weig_hid,hid_out);
end Behavioral;
|
-- $Id: nexys4lib.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2013-2015 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Package Name: nexys4lib
-- Description: Nexys 4 components
--
-- Dependencies: -
-- Tool versions: ise 14.5-14.7; viv 2014.4; ghdl 0.29-0.31
--
-- Revision History:
-- Date Rev Version Comment
-- 2015-02-06 643 1.2 factor out memory, add nexys4_cram_aif
-- 2015-02-01 641 1.1 drop nexys4_fusp_aif; separate I_BTNRST_N
-- 2013-09-21 534 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package nexys4lib is
component nexys4_aif is -- NEXYS 4, abstract iface, base
port (
I_CLK100 : in slbit; -- 100 MHz clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
O_RTS_N : out slbit; -- rx rts (board view; act.low)
I_CTS_N : in slbit; -- tx cts (board view; act.low)
I_SWI : in slv16; -- n4 switches
I_BTN : in slv5; -- n4 buttons
I_BTNRST_N : in slbit; -- n4 reset button
O_LED : out slv16; -- n4 leds
O_RGBLED0 : out slv3; -- n4 rgb-led 0
O_RGBLED1 : out slv3; -- n4 rgb-led 1
O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
);
end component;
component nexys4_cram_aif is -- NEXYS 4, abstract iface, base+cram
port (
I_CLK100 : in slbit; -- 100 MHz clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
O_RTS_N : out slbit; -- rx rts (board view; act.low)
I_CTS_N : in slbit; -- tx cts (board view; act.low)
I_SWI : in slv16; -- n4 switches
I_BTN : in slv5; -- n4 buttons
I_BTNRST_N : in slbit; -- n4 reset button
O_LED : out slv16; -- n4 leds
O_RGBLED0 : out slv3; -- n4 rgb-led 0
O_RGBLED1 : out slv3; -- n4 rgb-led 1
O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
O_MEM_CLK : out slbit; -- cram: clock
O_MEM_CRE : out slbit; -- cram: command register enable
I_MEM_WAIT : in slbit; -- cram: mem wait
O_MEM_ADDR : out slv23; -- cram: address lines
IO_MEM_DATA : inout slv16 -- cram: data lines
);
end component;
end package nexys4lib;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================================================================================================
-- Description: This module detects whether all bit positions of a std_logic_vector have the same value.
-- For detailed documentation see below.
--
-- Authors: Thomas B. Preusser
-- ============================================================================================================================================================
-- Copyright 2007-2014 Technische Universität Dresden - Germany, Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ============================================================================================================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library poc;
use poc.config.all;
USE PoC.utils.ALL;
entity arith_same is
generic (
N : positive -- Input width
);
port (
g : in std_logic := '1'; -- Guard Input (!g => !y)
x : in std_logic_vector(N-1 downto 0); -- Input Vector
y : out std_logic -- All-same Output
);
end arith_same;
-- This module detects whether all bit positions of a std_logic_vector
-- have the same value.
--
-- This circuit may, for instance, be used to detect the first
-- sign change and, thus, the range of a two's complement
-- number.
--
-- These components may be chained by using the output of the
-- predecessor as guard input. This chaining allows to have
-- intermediate results available while still ensuring the use
-- of a fast carry chain on supporting FPGA architectures.
-- When chaining, make sure to overlap both vector slices
-- by one bit position as to avoid an undetected sign change
-- between the slices.
architecture rtl of arith_same is
constant K : positive := ARCH_PROPS.LUT_K; -- LUT Fanin
constant M : positive := (N-2+1/N)/(K-1) + 1; -- Required Stage Count
signal p : std_logic_vector(M-1 downto 0); -- Stage Propagates
begin
-- Compute Propagates in LUT Stages
genCC: for i in 0 to M-1 generate
-- Relevant Vector Slice
constant LO : natural := i *(K-1);
constant HI : natural := imin(N-1, (i+1)*(K-1));
begin
p(i) <= '1' when x(HI downto LO) = (HI downto LO => '0') else
'1' when x(HI downto LO) = (HI downto LO => '1') else
'0';
end generate;
-- Compute Equivalence in Carry Chain
genXLXn: if VENDOR /= VENDOR_XILINX generate
signal s : std_logic_vector(M downto 0);
begin
-- Infere Carry Chain from Addition
s <= std_logic_vector(unsigned('0' & p) + (0 to 0 => g));
y <= s(M);
end generate genXLXn;
genXLXy: if VENDOR = VENDOR_XILINX generate
component inc_ovcy_xilinx is
generic (
N : positive -- Bit Width
);
port (
p : in std_logic_vector(N-1 downto 0); -- Argument
g : in std_logic; -- Increment Guard
v : out std_logic -- Overflow Output
);
end component;
begin
i: inc_ovcy_xilinx
generic map (
N => M
)
port map (
p => p,
g => g,
v => y
);
end generate genXLXy;
end rtl;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
--
-- ============================================================================================================================================================
-- Description: This module detects whether all bit positions of a std_logic_vector have the same value.
-- For detailed documentation see below.
--
-- Authors: Thomas B. Preusser
-- ============================================================================================================================================================
-- Copyright 2007-2014 Technische Universität Dresden - Germany, Chair for VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- ============================================================================================================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library poc;
use poc.config.all;
USE PoC.utils.ALL;
entity arith_same is
generic (
N : positive -- Input width
);
port (
g : in std_logic := '1'; -- Guard Input (!g => !y)
x : in std_logic_vector(N-1 downto 0); -- Input Vector
y : out std_logic -- All-same Output
);
end arith_same;
-- This module detects whether all bit positions of a std_logic_vector
-- have the same value.
--
-- This circuit may, for instance, be used to detect the first
-- sign change and, thus, the range of a two's complement
-- number.
--
-- These components may be chained by using the output of the
-- predecessor as guard input. This chaining allows to have
-- intermediate results available while still ensuring the use
-- of a fast carry chain on supporting FPGA architectures.
-- When chaining, make sure to overlap both vector slices
-- by one bit position as to avoid an undetected sign change
-- between the slices.
architecture rtl of arith_same is
constant K : positive := ARCH_PROPS.LUT_K; -- LUT Fanin
constant M : positive := (N-2+1/N)/(K-1) + 1; -- Required Stage Count
signal p : std_logic_vector(M-1 downto 0); -- Stage Propagates
begin
-- Compute Propagates in LUT Stages
genCC: for i in 0 to M-1 generate
-- Relevant Vector Slice
constant LO : natural := i *(K-1);
constant HI : natural := imin(N-1, (i+1)*(K-1));
begin
p(i) <= '1' when x(HI downto LO) = (HI downto LO => '0') else
'1' when x(HI downto LO) = (HI downto LO => '1') else
'0';
end generate;
-- Compute Equivalence in Carry Chain
genXLXn: if VENDOR /= VENDOR_XILINX generate
signal s : std_logic_vector(M downto 0);
begin
-- Infere Carry Chain from Addition
s <= std_logic_vector(unsigned('0' & p) + (0 to 0 => g));
y <= s(M);
end generate genXLXn;
genXLXy: if VENDOR = VENDOR_XILINX generate
component inc_ovcy_xilinx is
generic (
N : positive -- Bit Width
);
port (
p : in std_logic_vector(N-1 downto 0); -- Argument
g : in std_logic; -- Increment Guard
v : out std_logic -- Overflow Output
);
end component;
begin
i: inc_ovcy_xilinx
generic map (
N => M
)
port map (
p => p,
g => g,
v => y
);
end generate genXLXy;
end rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
library PoC;
use PoC.physical.all;
entity sdrc_queens_master is
generic (
-- Design Parameters
N : positive := 27;
L : positive := 2;
SOLVERS : positive := 90;
COUNT_CYCLES : boolean := false;
-- Local Clock Parameters
CLK_FREQ : FREQ := 16 MHz; -- external clock
CLK_MUL : positive := 31; -- computation clock:
CLK_DIV : positive := 4; -- CLK_FREQ / CLK_DIV * CLK_MUL
-- UART Parameters
BAUDRATE : positive := 115200;
SENTINEL : std_logic_vector(7 downto 0) := x"FA" -- Start Byte
);
port (
---------------------------------------------------------------------------
-- 16-MHz Input Clock
CLK16_U : in std_logic;
---------------------------------------------------------------------------
-- Master: UART
rx : in std_logic;
tx : out std_logic;
cts : in std_logic;
rts : out std_logic;
---------------------------------------------------------------------------
-- Status
led : out std_logic_vector(3 downto 0);
---------------------------------------------------------------------------
-- Ring Bus
-- Output
BUS_OUT_CLKP : out std_logic;
BUS_OUT_CLKN : out std_logic;
BUS_OUT_PRE_DAT : out std_logic_vector(8 downto 0);
BUS_OUT_PRE_PUT : out std_logic;
BUS_OUT_PRE_GO : in std_logic;
BUS_OUT_SOL_DAT : out std_logic_vector(8 downto 0);
BUS_OUT_SOL_PUT : out std_logic;
BUS_OUT_SOL_GO : in std_logic;
-- Input
BUS_IN_CLKP : in std_logic;
BUS_IN_CLKN : in std_logic;
BUS_IN_PRE_DAT : in std_logic_vector(8 downto 0);
BUS_IN_PRE_PUT : in std_logic;
BUS_IN_PRE_GO : out std_logic;
BUS_IN_SOL_DAT : in std_logic_vector(8 downto 0);
BUS_IN_SOL_PUT : in std_logic;
BUS_IN_SOL_GO : out std_logic
);
end sdrc_queens_master;
library IEEE;
use IEEE.numeric_std.all;
library PoC;
use PoC.utils.all;
use PoC.fifo.all;
use PoC.uart.all;
library UNISIM;
use UNISIM.vcomponents.all;
architecture rtl of sdrc_queens_master is
-- Bit Length of Pre-Placement
constant PRE_BITS : positive := 4*L*log2ceil(N)-1;
constant PRE_BYTES : positive := (PRE_BITS+7)/8;
-- FIFO Dimensioning
constant FIFO_DEPTH : positive := 5*(SOLVERS+5);
----------------------------------------------------------------------------
-- Global Control
signal clk_comp : std_logic; -- Computation Clock
signal rst_comp : std_logic;
signal clk_out : std_logic; -- Communication Clock (Output Side)
signal rst_out : std_logic;
-- UART Interface
signal rx_dat : byte;
signal rx_stb : std_logic;
signal tx_dat : byte;
signal tx_ful : std_logic;
signal tx_put : std_logic;
-- Frame Interface
signal pvld : std_logic;
signal pdat : byte;
signal peof : std_logic;
signal pgot : std_logic;
begin
----------------------------------------------------------------------------
-- Clock Generation
blkClock: block
-- Intermediate Clock Signals
signal clk16 : std_logic; -- Buffered Input Clock
signal clk_comp_u : std_logic;
signal locked_comp : std_logic;
begin
-- 16 MHz Board Clock -> Computation Clock
clk16_buf : IBUFG
port map (
I => CLK16_U,
O => clk16
);
DCM0 : DCM_BASE
generic map (
CLKIN_PERIOD => to_real(1.0/CLK_FREQ, 1 ns),
CLKIN_DIVIDE_BY_2 => FALSE,
PHASE_SHIFT => 0,
CLKFX_MULTIPLY => CLK_MUL,
CLKFX_DIVIDE => CLK_DIV,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "NONE", -- only using clkfx
DLL_FREQUENCY_MODE => "LOW",
DFS_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => TRUE,
STARTUP_WAIT => TRUE,
DCM_AUTOCALIBRATION => FALSE
)
port map (
CLKIN => clk16,
CLKFB => '0',
RST => '0',
CLK0 => open,
CLK180 => open,
CLK270 => open,
CLK2X => open,
CLK2X180 => open,
CLK90 => open,
CLKDV => open,
CLKFX => clk_comp_u,
CLKFX180 => open,
LOCKED => locked_comp
);
clk_comp_buf : BUFGCE
port map (
CE => locked_comp,
I => clk_comp_u,
O => clk_comp
);
rst_comp <= '0';
clk_out_buf : BUFGCE
port map (
CE => locked_comp,
I => clk16,
O => clk_out
);
rst_out <= '0';
led(0) <= locked_comp;
end block blkClock;
----------------------------------------------------------------------------
-- UART
blkUART: block
signal bclk_x8 : std_logic;
signal bclk_x1 : std_logic;
begin
-- Bit Clock Generation
bclk_gen_x8: entity PoC.arith_counter_free
generic map (
DIVIDER => integer(to_real(CLK_FREQ, 1 Hz))/(8*BAUDRATE)
)
port map (
clk => clk_out,
rst => '0',
inc => '1',
stb => bclk_x8
);
bclk_gen_x1: entity PoC.arith_counter_free
generic map (
DIVIDER => 8
)
port map (
clk => clk_out,
rst => '0',
inc => bclk_x8,
stb => bclk_x1
);
-- Receive Bytes
uart_rx_i : uart_rx
port map (
clk => clk_out,
rst => rst_out,
bclk_x8 => bclk_x8,
rx => rx,
stb => rx_stb,
do => rx_dat
);
-- Transmit Bytes
uart_tx_i : uart_tx
port map (
clk => clk_out,
rst => rst_out,
bclk => bclk_x1,
put => tx_put,
di => tx_dat,
ful => tx_ful,
tx => tx
);
rts <= cts;
end block blkUART;
-- Unframing
blkUnframe: block
-- Input Glue FIFO -> Unframe
signal glue_vld : std_logic;
signal glue_dat : byte;
signal glue_got : std_logic;
-- Unframe -> Input Buffer
signal odat : byte;
signal oeof : std_logic;
signal oful : std_logic;
signal oput : std_logic;
signal ocommit : std_logic;
signal orollback : std_logic;
begin
glue: fifo_glue
generic map (
D_BITS => 8
)
port map (
clk => clk_out,
rst => rst_out,
put => rx_stb,
di => rx_dat,
ful => open,
vld => glue_vld,
do => glue_dat,
got => glue_got
);
unframe_i: entity work.unframe
generic map (
SENTINEL => SENTINEL,
PAY_LEN => PRE_BYTES
)
port map (
clk => clk_out,
rst => rst_out,
rx_dat => glue_dat,
rx_vld => glue_vld,
rx_got => glue_got,
odat => odat,
oeof => oeof,
oful => oful,
oput => oput,
ocommit => ocommit,
orollback => orollback
);
buf: fifo_cc_got_tempput
generic map (
MIN_DEPTH => 5*(SOLVERS+5),
D_BITS => 9
)
port map (
clk => clk_out,
rst => rst_out,
put => oput,
din(8) => oeof,
din(7 downto 0) => odat,
full => oful,
commit => ocommit,
rollback => orollback,
got => pgot,
dout(8) => peof,
dout(7 downto 0) => pdat,
valid => pvld
);
end block blkUnframe;
blkFeed: block
-- Syncing the stall input
signal go_s : std_logic_vector(1 downto 0) := (others => '0');
-- Outgoing Output Registers
signal OutDat : std_logic_vector(7 downto 0) := (others => '0');
signal OutEof : std_logic := '0';
signal OutPut : std_logic := '0';
-- Inverted Output Clock
signal clk_inv : std_logic;
begin
-------------------------------------------------------------------------
-- Output Inverted Clock
blkClock : block
signal clk_inv : std_logic;
begin
invert : ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE",
INIT => '1',
SRTYPE => "SYNC"
)
port map (
Q => clk_inv, -- 1-bit DDR output
C => clk_out, -- 1-bit clock input
CE => '1', -- 1-bit clock enable input
D1 => '0', -- 1-bit data input (positive edge)
D2 => '1', -- 1-bit data input (negative edge)
R => rst_out, -- 1-bit reset input
S => '0' -- 1-bit set input
);
OBUFDS_inst : OBUFDS
generic map (
IOSTANDARD => "DEFAULT",
SLEW => "FAST"
)
port map (
O => BUS_OUT_CLKP,
OB => BUS_OUT_CLKN,
I => clk_inv
);
end block blkClock;
-------------------------------------------------------------------------
-- Pre-placement Output
-- Syncing stall input
process(clk_out)
begin
if rising_edge(clk_out) then
if rst_out = '1' then
go_s <= (others => '0');
else
go_s <= BUS_OUT_PRE_GO & go_s(go_s'left downto 1);
end if;
end if;
end process;
pgot <= pvld and go_s(0);
-- Output Registers
process(clk_out)
begin
if rising_edge(clk_out) then
if rst_out = '1' then
OutDat <= (others => '0');
OutEof <= '0';
OutPut <= '0';
else
OutDat <= pdat;
OutEof <= peof;
OutPut <= pgot;
end if;
end if;
end process;
BUS_OUT_PRE_DAT <= OutEof & OutDat;
BUS_OUT_PRE_PUT <= OutPut;
-------------------------------------------------------------------------
-- Start of Result Chain
BUS_OUT_SOL_DAT <= (others => '0');
BUS_OUT_SOL_PUT <= '0';
end block blkFeed;
blkDrain: block
-- Source synchronous clock domain
signal clk_in : std_logic;
signal rst_in : std_logic;
-- Incoming Bus Data Capture Registers
signal InPreDat : std_logic_vector(8 downto 0) := (others => '-');
signal InPrePut : std_logic := '0';
signal InPreCap : std_logic_vector(1 downto 0);
signal InSolDat : std_logic_vector(8 downto 0) := (others => '-');
signal InSolPut : std_logic := '0';
signal InSolCap : std_logic_vector(1 downto 0);
-- Solver Chain Connectivity
signal pivld : std_logic;
signal piful : std_logic;
signal pidat : byte;
signal pieof : std_logic;
signal piput : std_logic;
signal sivld : std_logic;
signal sidat : byte;
signal sieof : std_logic;
signal sigot : std_logic;
signal sovld : std_logic;
signal sodat : byte;
signal soeof : std_logic;
signal sogot : std_logic;
-- Solution Stream -> Frames
signal tdat : std_logic_vector(7 downto 0);
signal tful : std_logic;
signal tput : std_logic;
signal tx_vld : std_logic;
signal tx_got : std_logic;
begin
---------------------------------------------------------------------------
-- Reading the Bus
-- Clock Reconstruction
blkClock : block
signal clk_in0 : std_logic;
begin
IBUFGDS_inst : IBUFGDS
port map (
O => clk_in0,
I => BUS_IN_CLKP,
IB => BUS_IN_CLKN
);
BUFG_inst : BUFR
port map (
I => clk_in0,
CE => '1',
CLR => '0',
O => clk_in
);
rst_in <= '0';
end block blkClock;
-- Bus Input Capture
process(clk_in)
begin
if rising_edge(clk_in) then
if rst_in = '1' then
InPreDat <= (others => '-');
InPrePut <= '0';
InSolDat <= (others => '-');
InSolPut <= '0';
else
InPreDat <= BUS_IN_PRE_DAT;
InPrePut <= BUS_IN_PRE_PUT;
InSolDat <= BUS_IN_SOL_DAT;
InSolPut <= BUS_IN_SOL_PUT;
end if;
end if;
end process;
-- Input FIFO (ic): Pre-Placements
buf_pre : fifo_ic_got
generic map (
D_BITS => 9,
MIN_DEPTH => 64,
ESTATE_WR_BITS => InPreCap'length
)
port map (
clk_wr => clk_in,
rst_wr => rst_in,
put => InPrePut,
din => InPreDat,
full => open,
estate_wr => InPreCap,
clk_rd => clk_comp,
rst_rd => rst_comp,
got => piput,
dout(8) => pieof,
dout(7 downto 0) => pidat,
valid => pivld
);
piput <= pivld and not piful;
BUS_IN_PRE_GO <= '0' when InPreCap = (InPreCap'range => '0') else '1';
-- Input FIFO (ic): Solutions
buf_sol : fifo_ic_got
generic map (
D_BITS => 9,
MIN_DEPTH => 64,
ESTATE_WR_BITS => InSolCap'length
)
port map (
clk_wr => clk_in,
rst_wr => rst_in,
put => InSolPut,
din => InSolDat,
full => open,
estate_wr => InSolCap,
clk_rd => clk_comp,
rst_rd => rst_comp,
got => sigot,
dout(8) => sieof,
dout(7 downto 0) => sidat,
valid => sivld
);
BUS_IN_SOL_GO <= '0' when InSolCap = (InSolCap'range => '0') else '1';
---------------------------------------------------------------------------
-- Solver Chain
chain: entity work.queens_chain
generic map (
N => N,
L => L,
SOLVERS => SOLVERS,
COUNT_CYCLES => COUNT_CYCLES
)
port map (
clk => clk_comp,
rst => rst_comp,
piful => piful,
pidat => pidat,
pieof => pieof,
piput => piput,
sivld => sivld,
sidat => sidat,
sieof => sieof,
sigot => sigot,
poful => '1',
podat => open,
poeof => open,
poput => open,
sovld => sovld,
sodat => sodat,
soeof => soeof,
sogot => sogot
);
enframe_i: entity work.enframe
generic map (
SENTINEL => SENTINEL
)
port map (
clk => clk_comp,
rst => rst_comp,
ivld => sovld,
idat => sodat,
ieof => soeof,
igot => sogot,
tx_ful => tful,
tx_put => tput,
tx_dat => tdat
);
-- Output FIFO (ic): Solutions
fifob : fifo_ic_got
generic map (
D_BITS => 8,
MIN_DEPTH => FIFO_DEPTH
)
port map (
clk_wr => clk_comp,
rst_wr => rst_comp,
put => tput,
din => tdat,
full => tful,
clk_rd => clk_out,
rst_rd => rst_out,
got => tx_got,
dout => tx_dat,
valid => tx_vld
);
tx_put <= tx_vld and not tx_ful;
tx_got <= tx_put;
end block blkDrain;
led(3 downto 1) <= "110";
end rtl;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY design_1_doHist_0_bram_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_doHist_0_bram_0;
ARCHITECTURE design_1_doHist_0_bram_0_arch OF design_1_doHist_0_bram_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_doHist_0_bram_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_doHist_0_bram_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_2,Vivado 2016.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_doHist_0_bram_0_arch : ARCHITECTURE IS "design_1_doHist_0_bram_0,blk_mem_gen_v8_3_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_doHist_0_bram_0_arch: ARCHITECTURE IS "design_1_doHist_0_bram_0,blk_mem_gen_v8_3_2,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=1,C_ENABLE_32BIT_ADDRESS=1,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no" &
"_coe_file_loaded,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=2048,C_READ_DEPTH_A=2048,C_ADDRA_WIDTH=32,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRIT" &
"E_DEPTH_B=2048,C_READ_DEPTH_B=2048,C_ADDRB_WIDTH=32,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DIS" &
"ABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=2,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 10.7492 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF rstb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 1,
C_ENABLE_32BIT_ADDRESS => 1,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 2,
C_BYTE_SIZE => 8,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 1,
C_WEA_WIDTH => 4,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 32,
C_READ_WIDTH_A => 32,
C_WRITE_DEPTH_A => 2048,
C_READ_DEPTH_A => 2048,
C_ADDRA_WIDTH => 32,
C_HAS_RSTB => 1,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 1,
C_WEB_WIDTH => 4,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 32,
C_READ_WIDTH_B => 32,
C_WRITE_DEPTH_B => 2048,
C_READ_DEPTH_B => 2048,
C_ADDRB_WIDTH => 32,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "2",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 10.7492 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
rstb => rstb,
enb => enb,
regceb => '0',
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END design_1_doHist_0_bram_0_arch;
|
-- $Id: tb_w11a_br_n4d.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2017- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: tb_w11a_br_n4d
-- Description: Configuration for tb_w11a_br_n4d for tb_nexys4d
--
-- Dependencies: sys_w11a_br_n4d
--
-- To test: sys_w11a_br_n4d
--
-- Verified (with (#1) ../../tb/tb_rritba_pdp11core_stim.dat
-- (#2) ../../tb/tb_pdp11_core_stim.dat):
-- Date Rev Code ghdl ise Target Comment
-- 2011-11-25 295 - -.-- - - -:--
--
-- Revision History:
-- Date Rev Version Comment
-- 2017-01-04 838 1.0 Initial version (cloned from _br_n4)
------------------------------------------------------------------------------
configuration tb_w11a_br_n4d of tb_nexys4d is
for sim
for all : nexys4d_aif
use entity work.sys_w11a_br_n4d;
end for;
end for;
end tb_w11a_br_n4d;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddrphy
-- File: ddrphy.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: DDR PHY with tech mapping
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
------------------------------------------------------------------
-- DDR PHY with tech mapping ------------------------------------
------------------------------------------------------------------
entity ddrphy is
generic (tech : integer := virtex2; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2 ; clk_div : integer := 2;
rskew : integer :=0; mobile : integer := 0;
abits: integer := 14; nclk: integer := 3; ncs: integer := 2;
scantest: integer := 0; phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- return clock
clkread : out std_ulogic; -- read clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (abits-1 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
ck : in std_logic_vector(nclk-1 downto 0);
moben : in std_logic;
dqvalid : out std_ulogic;
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end;
architecture rtl of ddrphy is
signal lddr_clk,lddr_clkb: std_logic_vector(nclk-1 downto 0);
signal lddr_clk_fb_out,lddr_clk_fb: std_logic;
signal lddr_cke, lddr_csb: std_logic_vector(ncs-1 downto 0);
signal lddr_web,lddr_rasb,lddr_casb: std_logic;
signal lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen: std_logic_vector(dbits/8-1 downto 0);
signal lddr_ad: std_logic_vector(abits-1 downto 0);
signal lddr_ba: std_logic_vector(1 downto 0);
signal lddr_dq_in,lddr_dq_out,lddr_dq_oen: std_logic_vector(dbits-1 downto 0);
begin
strat2 : if (tech = stratix2) generate
ddr_phy0 : stratixii_ddr_phy
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits
)
port map (
rst, clk, clkout, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke);
clkread <= '0';
dqvalid <= '1';
end generate;
cyc3 : if (tech = cyclone3) generate
ddr_phy0 : cycloneiii_ddr_phy
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew
)
port map (
rst, clk, clkout, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke);
clkread <= '0';
dqvalid <= '1';
end generate;
xc2v : if (tech = virtex2) or (tech = spartan3) generate
ddr_phy0 : virtex2_ddr_phy
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew
)
port map (
rst, clk, clkout, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke);
clkread <= '0';
dqvalid <= '1';
end generate;
xc4v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) generate
ddr_phy0 : virtex4_ddr_phy
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew,
phyiconf => phyiconf
)
port map (
rst, clk, clkout, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, ck);
clkread <= '0';
dqvalid <= '1';
end generate;
xc3se : if (tech = spartan3e) or (tech = spartan6) generate
ddr_phy0 : spartan3e_ddr_phy
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew
)
port map (
rst, clk, clkout, clkread, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke);
dqvalid <= '1';
end generate;
-----------------------------------------------------------------------------
-- For technologies where the PHY does not have pads,
-- instantiate ddrphy_wo_pads + pads
-----------------------------------------------------------------------------
seppads: if ddrphy_builtin_pads(tech)=0 generate
phywop: ddrphy_wo_pads
generic map (tech,MHz,rstdelay,dbits,clk_mul,clk_div,
rskew,mobile,abits,nclk,ncs,scantest,phyiconf)
port map (
rst,clk,clkout,clkoutret,clkread,lock,
lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,lddr_cke,lddr_csb,
lddr_web,lddr_rasb,lddr_casb,lddr_dm,
lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen,
lddr_ad,lddr_ba,
lddr_dq_in,lddr_dq_out,lddr_dq_oen,
addr,ba,dqin,dqout,dm,oen,dqs,dqsoen,rasn,casn,wen,csn,cke,ck,
moben,dqvalid,testen,testrst,scanen,testoen);
pads: ddrpads
generic map (tech,dbits,abits,nclk,ncs,0)
port map (ddr_clk,ddr_clkb,ddr_clk_fb_out,ddr_clk_fb,
ddr_cke,ddr_csb,ddr_web,ddr_rasb,ddr_casb,ddr_dm,ddr_dqs,
ddr_ad,ddr_ba,ddr_dq,
open,open,open,open,open,
lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,
lddr_cke,lddr_csb,lddr_web,lddr_rasb,lddr_casb,lddr_dm,
lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen,
lddr_ad,lddr_ba,lddr_dq_in,lddr_dq_out,lddr_dq_oen);
end generate;
nseppads: if ddrphy_builtin_pads(tech)/=0 generate
lddr_clk <= (others => '0');
lddr_clkb <= (others => '0');
lddr_clk_fb_out <= '0';
lddr_clk_fb <= '0';
lddr_cke <= (others => '0');
lddr_csb <= (others => '0');
lddr_web <= '0';
lddr_rasb <= '0';
lddr_casb <= '0';
lddr_dm <= (others => '0');
lddr_dqs_in <= (others => '0');
lddr_dqs_out <= (others => '0');
lddr_dqs_oen <= (others => '0');
lddr_ad <= (others => '0');
lddr_ba <= (others => '0');
lddr_dq_in <= (others => '0');
lddr_dq_out <= (others => '0');
lddr_dq_oen <= (others => '0');
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
entity ddrphy_wo_pads is
generic (tech : integer := virtex2; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
rskew : integer := 0; mobile: integer := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
scantest : integer := 0; phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock returned
clkread : out std_ulogic;
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector (1 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
ck : in std_logic_vector(nclk-1 downto 0);
moben : in std_logic;
dqvalid : out std_ulogic;
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end;
architecture rtl of ddrphy_wo_pads is
begin
gut90: if (tech = ut90) generate
ddr_phy0: ut90nhbd_ddr_phy_wo_pads
generic map (
MHz => MHz, abits => abits, dbits => dbits,
nclk => nclk, ncs => ncs)
port map (
rst, clk, clkout, clkoutret, lock,
ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen, rasn, casn, wen, csn, cke, ck,
moben, dqvalid, testen, testrst, scanen, testoen
);
ddr_clk_fb_out <= '0';
clkread <= '0';
end generate;
inf : if (tech = inferred) generate
ddr_phy0 : generic_ddr_phy_wo_pads
generic map (MHz => MHz, rstdelay => rstdelay
-- reduce 200 us start-up delay during simulation
-- pragma translate_off
/ 200
-- pragma translate_on
, clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew, mobile => mobile,
abits => abits, nclk => nclk, ncs => ncs
)
port map (
rst, clk, clkout, clkoutret, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen, ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, ck, moben);
clkread <= '0';
dqvalid <= '1';
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
entity ddrpads is
generic (tech: integer := virtex5;
dbits: integer := 16;
abits: integer := 14;
nclk: integer := 3;
ncs: integer := 2;
ctrl2en: integer := 0);
port (
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
-- Copy of control signals for 2nd DIMM (if ctrl2en /= 0)
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1 downto 0); -- ddr bank address
lddr_clk : in std_logic_vector(nclk-1 downto 0);
lddr_clkb : in std_logic_vector(nclk-1 downto 0);
lddr_clk_fb_out : in std_logic;
lddr_clk_fb : out std_logic;
lddr_cke : in std_logic_vector(ncs-1 downto 0);
lddr_csb : in std_logic_vector(ncs-1 downto 0);
lddr_web : in std_ulogic; -- ddr write enable
lddr_rasb : in std_ulogic; -- ddr ras
lddr_casb : in std_ulogic; -- ddr cas
lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm
lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address
lddr_ba : in std_logic_vector (1 downto 0); -- ddr bank address
lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_oen : in std_logic_vector (dbits-1 downto 0) -- ddr data
);
end;
architecture rtl of ddrpads is
signal vcc : std_ulogic;
begin
vcc <= '1';
-- DDR clock feedback
fbclkpadgen: if ddrphy_has_fbclk(tech)/=0 generate
fbclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk_fb_out, lddr_clk_fb_out);
fbclk_in_pad : inpad generic map (tech => tech)
port map (ddr_clk_fb, lddr_clk_fb);
end generate;
nfbclkpadgen: if ddrphy_has_fbclk(tech)=0 generate
ddr_clk_fb_out <= '0';
lddr_clk_fb <= '0';
end generate;
-- External DDR clock
ddrclocks : for i in 0 to nclk-1 generate
-- DDR_CLK/B
xc456v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) generate
ddrclk_pad : outpad_ds generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk(i), ddr_clkb(i), lddr_clk(i), vcc);
end generate;
noxc456v : if not ((tech = virtex4) or (tech = virtex5) or (tech = virtex6)) generate
-- DDR_CLK
ddrclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk(i), lddr_clk(i));
-- DDR_CLKB
ddrclkb_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clkb(i), lddr_clkb(i));
end generate;
end generate;
-- DDR single-edge control signals
-- RAS
rasn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_rasb, lddr_rasb);
-- CAS
casn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_casb, lddr_casb);
-- WEN
wen_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_web, lddr_web);
-- BA
bagen : for i in 0 to 1 generate
ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ba(i), lddr_ba(i));
end generate;
-- ADDRESS
dagen : for i in 0 to abits-1 generate
ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ad(i), lddr_ad(i));
end generate;
-- CSN and CKE
ddrbanks : for i in 0 to ncs-1 generate
csn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_csb(i), lddr_csb(i));
cke_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_cke(i), lddr_cke(i));
end generate;
-- DQS pads
dqsgen : for i in 0 to dbits/8-1 generate
dqspn_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (pad => ddr_dqs(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i),
o => lddr_dqs_in(i));
end generate;
-- DQM pads
dmgen : for i in 0 to dbits/8-1 generate
ddr_bm_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_dm(i), lddr_dm(i));
end generate;
-- Data bus pads
ddgen : for i in 0 to dbits-1 generate
dq_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_ii)
port map (pad => ddr_dq(i), i => lddr_dq_out(i), en => lddr_dq_oen(i),
o => lddr_dq_in(i));
end generate;
-- Second copy of address/data lines
ctrl2gen: if ctrl2en/=0 generate
rasn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_rasb2, lddr_rasb);
casn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_casb2, lddr_casb);
wen2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_web2, lddr_web);
ba2gen : for i in 0 to 1 generate
ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ba2(i), lddr_ba(i));
da2gen : for i in 0 to abits-1 generate
ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ad2(i), lddr_ad(i));
end generate;
end generate;
end generate;
ctrl2ngen: if ctrl2en=0 generate
ddr_rasb2 <= '0';
ddr_casb2 <= '0';
ddr_web2 <= '0';
ddr_ba2 <= (others => '0');
ddr_ad2 <= (others => '0');
end generate;
end;
------------------------------------------------------------------
-- DDR2 PHY with tech mapping ------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
entity ddr2pads is
generic (tech: integer := virtex5;
dbits: integer := 16;
eightbanks: integer := 0;
dqsse: integer range 0 to 1 := 0;
abits: integer := 14;
nclk: integer := 3;
ncs: integer := 2;
ctrl2en: integer := 0);
port (
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
-- Copy of control signals for 2nd DIMM (if ctrl2en /= 0)
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
lddr_clk : in std_logic_vector(nclk-1 downto 0);
lddr_clkb : in std_logic_vector(nclk-1 downto 0);
lddr_clk_fb_out : in std_logic;
lddr_clk_fb : out std_logic;
lddr_cke : in std_logic_vector(ncs-1 downto 0);
lddr_csb : in std_logic_vector(ncs-1 downto 0);
lddr_web : in std_ulogic; -- ddr write enable
lddr_rasb : in std_ulogic; -- ddr ras
lddr_casb : in std_ulogic; -- ddr cas
lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm
lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address
lddr_ba : in std_logic_vector (1+eightbanks downto 0); -- ddr bank address
lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_oen : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_odt : in std_logic_vector(ncs-1 downto 0)
);
end;
architecture rtl of ddr2pads is
signal vcc : std_ulogic;
begin
vcc <= '1';
-- DDR clock feedback
fbclkpadgen: if ddr2phy_has_fbclk(tech)/=0 generate
fbclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk_fb_out, lddr_clk_fb_out);
fbclk_in_pad : inpad generic map (tech => tech)
port map (ddr_clk_fb, lddr_clk_fb);
end generate;
nfbclkpadgen: if ddr2phy_has_fbclk(tech)=0 generate
ddr_clk_fb_out <= '0';
lddr_clk_fb <= '0';
end generate;
-- External DDR clock
ddrclocks : for i in 0 to nclk-1 generate
-- DDR_CLK/B
xc456v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) or (tech = spartan6) generate
ddrclk_pad : outpad_ds generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk(i), ddr_clkb(i), lddr_clk(i), vcc);
end generate;
noxc456v : if not ((tech = virtex4) or (tech = virtex5) or (tech = virtex6) or (tech = spartan6)) generate
-- DDR_CLK
ddrclk_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clk(i), lddr_clk(i));
-- DDR_CLKB
ddrclkb_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_clkb(i), lddr_clkb(i));
end generate;
end generate;
-- DDR single-edge control signals
-- RAS
rasn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_rasb, lddr_rasb);
-- CAS
casn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_casb, lddr_casb);
-- WEN
wen_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_web, lddr_web);
-- BA
bagen : for i in 0 to 1+eightbanks generate
ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ba(i), lddr_ba(i));
end generate;
-- ODT
odtgen : for i in 0 to ncs-1 generate
ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_odt(i), lddr_odt(i));
end generate;
-- ADDRESS
dagen : for i in 0 to abits-1 generate
ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ad(i), lddr_ad(i));
end generate;
-- CSN and CKE
ddrbanks : for i in 0 to ncs-1 generate
csn_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_csb(i), lddr_csb(i));
cke_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_cke(i), lddr_cke(i));
end generate;
-- DQS pads
dqsse0 : if dqsse = 0 generate
dqsgen : for i in 0 to dbits/8-1 generate
dqspn_pad : iopad_ds generic map (tech => tech, slew => 1, level => sstl18_ii)
port map (padp => ddr_dqs(i), padn => ddr_dqsn(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i),
o => lddr_dqs_in(i));
end generate;
end generate;
dqsse1 : if dqsse = 1 generate
dqsgen : for i in 0 to dbits/8-1 generate
dqspn_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (pad => ddr_dqs(i), i=> lddr_dqs_out(i), en => lddr_dqs_oen(i),
o => lddr_dqs_in(i));
end generate;
end generate;
-- DQM pads
dmgen : for i in 0 to dbits/8-1 generate
ddr_bm_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_dm(i), lddr_dm(i));
end generate;
-- Data bus pads
ddgen : for i in 0 to dbits-1 generate
dq_pad : iopad generic map (tech => tech, slew => 1, level => sstl18_ii)
port map (pad => ddr_dq(i), i => lddr_dq_out(i), en => lddr_dq_oen(i),
o => lddr_dq_in(i));
end generate;
-- Second copy of address/data lines
ctrl2gen: if ctrl2en/=0 generate
rasn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_rasb2, lddr_rasb);
casn2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_casb2, lddr_casb);
wen2_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_web2, lddr_web);
ba2gen : for i in 0 to 1+eightbanks generate
ddr_ba_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ba2(i), lddr_ba(i));
da2gen : for i in 0 to abits-1 generate
ddr_ad_pad : outpad generic map (tech => tech, slew => 1, level => sstl18_i)
port map (ddr_ad2(i), lddr_ad(i));
end generate;
end generate;
end generate;
ctrl2ngen: if ctrl2en=0 generate
ddr_rasb2 <= '0';
ddr_casb2 <= '0';
ddr_web2 <= '0';
ddr_ba2 <= (others => '0');
ddr_ad2 <= (others => '0');
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
use techmap.allpads.n2x_padcontrol_none;
-- With built-in pads
entity ddr2phy is
generic (tech : integer := virtex5; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0;
ddelayb8: integer := 0;
ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0;
eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
ctrl2en: integer := 0;
resync: integer := 0; custombits: integer := 8; extraio: integer := 0;
scantest: integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock returned
clkresync : in std_ulogic; -- resync clock (if resync/=0)
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (extraio+dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector ( 2 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
noen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0);
oct : in std_logic;
read_pend : in std_logic_vector(7 downto 0);
regwdata : in std_logic_vector(63 downto 0);
regwrite : in std_logic_vector(1 downto 0);
regrdata : out std_logic_vector(63 downto 0);
dqin_valid : out std_ulogic;
customclk : in std_ulogic;
customdin : in std_logic_vector(custombits-1 downto 0);
customdout : out std_logic_vector(custombits-1 downto 0);
-- Copy of control signals for 2nd DIMM
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end;
architecture rtl of ddr2phy is
signal lddr_clk,lddr_clkb: std_logic_vector(nclk-1 downto 0);
signal lddr_clk_fb_out,lddr_clk_fb: std_logic;
signal lddr_cke, lddr_csb: std_logic_vector(ncs-1 downto 0);
signal lddr_web,lddr_rasb,lddr_casb: std_logic;
signal lddr_dm, lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen: std_logic_vector(dbits/8-1 downto 0);
signal lddr_dqsn_in,lddr_dqsn_out,lddr_dqsn_oen: std_logic_vector(dbits/8-1 downto 0);
signal lddr_ad: std_logic_vector(abits-1 downto 0);
signal lddr_ba: std_logic_vector(1+eightbanks downto 0);
signal lddr_dq_in,lddr_dq_out,lddr_dq_oen: std_logic_vector(dbits-1 downto 0);
signal lddr_odt: std_logic_vector(ncs-1 downto 0);
signal customdin_exp: std_logic_vector(132 downto 0);
begin
customdin_exp(custombits-1 downto 0) <= customdin;
customdin_exp(customdin_exp'high downto custombits) <= (others => '0');
-- For technologies without PHY-specific registers
nreggen: if ddr2phy_has_reg(tech)=0 and ddr2phy_builtin_pads(tech)/=0 generate
regrdata <= x"0000000000000000";
end generate;
ncustgen: if ddr2phy_has_custom(tech)=0 and ddr2phy_builtin_pads(tech)/=0 generate
customdout <= (others => '0');
end generate;
stra2 : if (tech = stratix2) generate
ddr_phy0 : stratixii_ddr2_phy
generic map (MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits
)
port map (
rst, clk, clkout, lock, ddr_clk, ddr_clkb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt);
dqin_valid <= '1';
end generate;
stra3 : if (tech = stratix3) generate
ddr_phy0 : stratixiii_ddr2_phy
generic map (MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits,
ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2,
ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5,
ddelayb6 => ddelayb6, ddelayb7 => ddelayb7,
numidelctrl => numidelctrl, norefclk => norefclk,
tech => tech, rskew => rskew, eightbanks => eightbanks
)
port map (
rst, clk, clkref, clkout, lock,
ddr_clk, ddr_clkb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_pll, cal_rst, odt, oct);
dqin_valid <= '1';
end generate;
sp3a : if (tech = spartan3) generate
ddr_phy0 : spartan3a_ddr2_phy
generic map (MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, tech => tech, rskew => rskew,
eightbanks => eightbanks)
port map ( rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, cal_pll, odt);
dqin_valid <= '1';
end generate;
nextreme : if (tech = easic90) generate
ddr_phy0 : easic90_ddr2_phy
generic map (
tech => tech,
MHz => MHz,
clk_mul => clk_mul,
clk_div => clk_div,
dbits => dbits,
rstdelay => rstdelay,
eightbanks => eightbanks)
port map (
rst, clk, clkout, lock, ddr_clk, ddr_clkb, ddr_clk_fb_out,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, odt, '1');
dqin_valid <= '1';
end generate;
nextreme2 : if (tech = easic45) generate
-- This requires dbits/8 extra bidir I/O that are suppliedd on the ddr_dqs port
ddr_phy0 : n2x_ddr2_phy
generic map (
MHz => MHz, rstdelay => rstdelay,
dbits => dbits, clk_mul => clk_mul, clk_div => clk_div, norefclk => norefclk,
eightbanks => eightbanks, dqsse => dqsse, abits => abits,
nclk => nclk, ncs => ncs, ctrl2en => ctrl2en)
port map (
rst => rst, clk => clk, clk270d => clkref,
clkout => clkout, clkoutret => clkoutret, lock => lock,
ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_cke => ddr_cke,
ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb,
ddr_dm => ddr_dm, ddr_dqs => ddr_dqs(dbits/8-1 downto 0), ddr_dqsn => ddr_dqsn, ddr_ad => ddr_ad, ddr_ba => ddr_ba,
ddr_dq => ddr_dq, ddr_odt => ddr_odt, rden_pad => ddr_dqs(dbits/4-1 downto dbits/8),
addr => addr, ba => ba, dqin => dqin, dqout => dqout, dm => dm,
noen => noen,
rasn => rasn, casn => casn, wen => wen, csn => csn, cke => cke,
odt => odt, read_pend => read_pend, dqin_valid => dqin_valid,
regwdata => regwdata, regwrite => regwrite, regrdata => regrdata,
ddr_web2 => ddr_web2, ddr_rasb2 => ddr_rasb2, ddr_casb2 => ddr_casb2,
ddr_ad2 => ddr_ad2, ddr_ba2 => ddr_ba2,
dq_control => customdin_exp(73 downto 56),
dqs_control => customdin_exp(55 downto 38),
ck_control => customdin_exp(37 downto 20),
cmd_control => customdin_exp(19 downto 2),
compen => customdin_exp(0),
compupd => customdin_exp(1)
);
ddr_clk_fb_out <= '0';
customdout <= (others => '0');
end generate;
-----------------------------------------------------------------------------
-- For technologies where the PHY does not have pads,
-- instantiate ddr2phy_wo_pads + pads
-----------------------------------------------------------------------------
seppads: if ddr2phy_builtin_pads(tech)=0 generate
phywop: ddr2phy_wo_pads
generic map (tech,MHz,rstdelay,dbits,clk_mul,clk_div,
ddelayb0,ddelayb1,ddelayb2,ddelayb3,
ddelayb4,ddelayb5,ddelayb6,ddelayb7,
ddelayb8,ddelayb9,ddelayb10,ddelayb11,
numidelctrl,norefclk,rskew,eightbanks,dqsse,abits,nclk,ncs,
resync,custombits,scantest)
port map (
rst,clk,clkref,clkout,clkoutret,clkresync,lock,
lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,lddr_cke,lddr_csb,
lddr_web,lddr_rasb,lddr_casb,lddr_dm,
lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen,
lddr_ad,lddr_ba,
lddr_dq_in,lddr_dq_out,lddr_dq_oen,lddr_odt,
addr,ba,dqin,dqout,dm,oen,noen,dqs,dqsoen,rasn,casn,wen,csn,cke,
cal_en,cal_inc,cal_pll,cal_rst,odt,oct,
read_pend,regwdata,regwrite,regrdata,dqin_valid,customclk,customdin,customdout,
testen,testrst,scanen,testoen);
pads: ddr2pads
generic map (tech,dbits,eightbanks,dqsse,abits,nclk,ncs,ctrl2en)
port map (ddr_clk,ddr_clkb,ddr_clk_fb_out,ddr_clk_fb,
ddr_cke,ddr_csb,ddr_web,ddr_rasb,ddr_casb,ddr_dm,ddr_dqs,ddr_dqsn,
ddr_ad,ddr_ba,ddr_dq,ddr_odt,
ddr_web2,ddr_rasb2,ddr_casb2,ddr_ad2,ddr_ba2,
lddr_clk,lddr_clkb,lddr_clk_fb_out,lddr_clk_fb,
lddr_cke,lddr_csb,lddr_web,lddr_rasb,lddr_casb,lddr_dm,
lddr_dqs_in,lddr_dqs_out,lddr_dqs_oen,
lddr_ad,lddr_ba,lddr_dq_in,lddr_dq_out,lddr_dq_oen,lddr_odt);
end generate;
nseppads: if ddr2phy_builtin_pads(tech)/=0 generate
lddr_clk <= (others => '0');
lddr_clkb <= (others => '0');
lddr_clk_fb_out <= '0';
lddr_clk_fb <= '0';
lddr_cke <= (others => '0');
lddr_csb <= (others => '0');
lddr_web <= '0';
lddr_rasb <= '0';
lddr_casb <= '0';
lddr_dm <= (others => '0');
lddr_dqs_in <= (others => '0');
lddr_dqs_out <= (others => '0');
lddr_dqs_oen <= (others => '0');
lddr_dqsn_in <= (others => '0');
lddr_dqsn_out <= (others => '0');
lddr_dqsn_oen <= (others => '0');
lddr_ad <= (others => '0');
lddr_ba <= (others => '0');
lddr_dq_in <= (others => '0');
lddr_dq_out <= (others => '0');
lddr_dq_oen <= (others => '0');
lddr_odt <= (others => '0');
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
-- without pads (typically used for ASIC technologies)
entity ddr2phy_wo_pads is
generic (tech : integer := virtex5; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0;
ddelayb8: integer := 0;
ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0;
eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
resync : integer := 0; custombits: integer := 8; scantest: integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock returned
clkresync : in std_ulogic; -- resync clock (if resync/=0)
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector ( 2 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
noen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0);
oct : in std_logic;
read_pend : in std_logic_vector(7 downto 0);
regwdata : in std_logic_vector(63 downto 0);
regwrite : in std_logic_vector(1 downto 0);
regrdata : out std_logic_vector(63 downto 0);
dqin_valid : out std_ulogic;
customclk : in std_ulogic;
customdin : in std_logic_vector(custombits-1 downto 0);
customdout : out std_logic_vector(custombits-1 downto 0);
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end;
architecture rtl of ddr2phy_wo_pads is
begin
-- For technologies without PHY-specific registers
nreggen: if ddr2phy_has_reg(tech)=0 generate
regrdata <= x"0000000000000000";
end generate;
ncustgen: if ddr2phy_has_custom(tech)=0 generate
customdout <= (others => '0');
end generate;
xc4v : if (tech = virtex4) or (tech = virtex5) or (tech = virtex6) generate
ddr_phy0 : virtex5_ddr2_phy_wo_pads
generic map (MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits,
ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2,
ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5,
ddelayb6 => ddelayb6, ddelayb7 => ddelayb7, ddelayb8 => ddelayb8,
ddelayb9 => ddelayb9, ddelayb10 => ddelayb10, ddelayb11 => ddelayb11,
numidelctrl => numidelctrl, norefclk => norefclk,
tech => tech, eightbanks => eightbanks, dqsse => dqsse,
abits => abits, nclk => nclk, ncs => ncs
)
port map (
rst, clk, clkref, clkout, clkoutret, lock,
ddr_clk, ddr_clkb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen,
ddr_ad, ddr_ba,
ddr_dq_in, ddr_dq_out, ddr_dq_oen,ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt);
ddr_clk_fb_out <= '0';
dqin_valid <= '1';
end generate;
sp6 : if (tech = spartan6) generate
ddr_phy0 : spartan6_ddr2_phy_wo_pads
generic map (
MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits,
tech => tech, rskew => rskew,
eightbanks => eightbanks,
abits => abits, nclk => nclk, ncs => ncs)
port map (
rst, clk, clkout, lock,
ddr_clk, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen,
ddr_ad, ddr_ba, ddr_dq_in, ddr_dq_out, ddr_dq_oen, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, cal_en, cal_inc, cal_rst, odt);
ddr_clkb <= (others => '0');
ddr_clk_fb_out <= '0';
dqin_valid <= '1';
end generate;
inf : if (has_ddr2phy(tech) = 0) generate
ddr_phy0 : generic_ddr2_phy_wo_pads
generic map (MHz => MHz, rstdelay => rstdelay,
clk_mul => clk_mul, clk_div => clk_div, dbits => dbits, rskew => rskew,
eightbanks => eightbanks, abits => abits, nclk => nclk, ncs => ncs
)
port map (
rst, clk, clkout, clkoutret, lock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs_in, ddr_dqs_out, ddr_dqs_oen,
ddr_ad, ddr_ba,
ddr_dq_in, ddr_dq_out, ddr_dq_oen, ddr_odt,
addr, ba, dqin, dqout, dm, oen, dqs, dqsoen,
rasn, casn, wen, csn, cke, "111", odt
);
dqin_valid <= '1';
end generate;
end;
-------------------------------------------------------------------------------
-- LPDDR2 phy
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.allddr.all;
entity lpddr2phy_wo_pads is
generic (
tech : integer := virtex5;
dbits : integer := 16;
nclk: integer := 3;
ncs: integer := 2;
clkratio: integer := 1;
scantest: integer := 0);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
clkin2 : in std_ulogic;
clkout : out std_ulogic;
clkoutret : in std_ulogic; -- ckkout returned
clkout2 : out std_ulogic;
lock : out std_ulogic;
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_ca : out std_logic_vector(9 downto 0);
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ca : in std_logic_vector (10*2*clkratio-1 downto 0);
cke : in std_logic_vector (ncs*clkratio-1 downto 0);
csn : in std_logic_vector (ncs*clkratio-1 downto 0);
dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask
ckstop : in std_ulogic;
boot : in std_ulogic;
wrpend : in std_logic_vector(7 downto 0);
rdpend : in std_logic_vector(7 downto 0);
wrreq : out std_logic_vector(clkratio-1 downto 0);
rdvalid : out std_logic_vector(clkratio-1 downto 0);
refcal : in std_ulogic;
refcalwu : in std_ulogic;
refcaldone : out std_ulogic;
phycmd : in std_logic_vector(7 downto 0);
phycmden : in std_ulogic;
phycmdin : in std_logic_vector(31 downto 0);
phycmdout : out std_logic_vector(31 downto 0);
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end;
architecture tmap of lpddr2phy_wo_pads is
begin
inf: if true generate
phy0: generic_lpddr2phy_wo_pads
generic map (
tech => tech,
dbits => dbits,
nclk => nclk,
ncs => ncs,
clkratio => clkratio,
scantest => scantest)
port map (
rst => rst,
clkin => clkin,
clkin2 => clkin2,
clkout => clkout,
clkoutret => clkoutret,
clkout2 => clkout2,
lock => lock,
ddr_clk => ddr_clk,
ddr_clkb => ddr_clkb,
ddr_cke => ddr_cke,
ddr_csb => ddr_csb,
ddr_ca => ddr_ca,
ddr_dm => ddr_dm,
ddr_dqs_in => ddr_dqs_in,
ddr_dqs_out => ddr_dqs_out,
ddr_dqs_oen => ddr_dqs_oen,
ddr_dq_in => ddr_dq_in,
ddr_dq_out => ddr_dq_out,
ddr_dq_oen => ddr_dq_oen,
ca => ca,
cke => cke,
csn => csn,
dqin => dqin,
dqout => dqout,
dm => dm,
ckstop => ckstop,
boot => boot,
wrpend => wrpend,
rdpend => rdpend,
wrreq => wrreq,
rdvalid => rdvalid,
refcal => refcal,
refcalwu => refcalwu,
refcaldone => refcaldone,
phycmd => phycmd,
phycmden => phycmden,
phycmdin => phycmdin,
phycmdout => phycmdout,
testen => testen,
testrst => testrst,
scanen => scanen,
testoen => testoen);
end generate;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: mem_umc_gen.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Memory generators for UMC rams
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library umc18;
use umc18.SRAM_2048wx32b;
use umc18.SRAM_1024wx32b;
use umc18.SRAM_512wx32b;
use umc18.SRAM_256wx32b;
use umc18.SRAM_128wx32b;
use umc18.SRAM_64wx32b;
use umc18.SRAM_32wx32b;
use umc18.SRAM_2048wx40b;
use umc18.SRAM_1024wx40b;
use umc18.SRAM_512wx40b;
use umc18.SRAM_256wx40b;
use umc18.SRAM_128wx40b;
use umc18.SRAM_64wx40b;
use umc18.SRAM_32wx40b;
-- pragma translate_on
entity umc_syncram is
generic ( abits : integer := 10; dbits : integer := 8 );
port (
clk : in std_ulogic;
address : in std_logic_vector(abits -1 downto 0);
datain : in std_logic_vector(dbits -1 downto 0);
dataout : out std_logic_vector(dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end;
architecture rtl of umc_syncram is
component SRAM_2048wx32b is
port (
a : in std_logic_vector(10 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_1024wx32b is
port (
a : in std_logic_vector(9 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_512wx32b is
port (
a : in std_logic_vector(8 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_256wx32b is
port (
a : in std_logic_vector(7 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_128wx32b is
port (
a : in std_logic_vector(6 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_64wx32b is
port (
a : in std_logic_vector(5 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_32wx32b is
port (
a : in std_logic_vector(4 downto 0);
data : in std_logic_vector(31 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(31 downto 0);
clk : in std_logic
);
end component;
component SRAM_2048wx40b is
port (
a : in std_logic_vector(10 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_1024wx40b is
port (
a : in std_logic_vector(9 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_512wx40b is
port (
a : in std_logic_vector(8 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_256wx40b is
port (
a : in std_logic_vector(7 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_128wx40b is
port (
a : in std_logic_vector(6 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_64wx40b is
port (
a : in std_logic_vector(5 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
component SRAM_32wx40b is
port (
a : in std_logic_vector(4 downto 0);
data : in std_logic_vector(39 downto 0);
csn : in std_logic;
wen : in std_logic;
oen : in std_logic;
q : out std_logic_vector(39 downto 0);
clk : in std_logic
);
end component;
signal d, q, gnd : std_logic_vector(41 downto 0);
signal a : std_logic_vector(17 downto 0);
signal vcc, csn, wen : std_ulogic;
constant synopsys_bug : std_logic_vector(41 downto 0) := (others => '0');
begin
csn <= not enable; wen <= not write;
gnd <= (others => '0'); vcc <= '1';
a(abits -1 downto 0) <= address;
d(dbits -1 downto 0) <= datain(dbits -1 downto 0);
a(17 downto abits) <= synopsys_bug(17 downto abits);
d(41 downto dbits) <= synopsys_bug(41 downto dbits);
dataout <= q(dbits -1 downto 0);
-- q(41 downto dbits) <= synopsys_bug(41 downto dbits);
d32 : if (dbits <= 32) generate
a5d32 : if (abits <= 5) generate
id0 : SRAM_32wx32b port map (a(4 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a6d32 : if (abits = 6) generate
id0 : SRAM_64wx32b port map (a(5 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a7d32 : if (abits = 7) generate
id0 : SRAM_128wx32b port map (a(6 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a8d32 : if (abits = 8) generate
id0 : SRAM_256wx32b port map (a(7 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a9d32 : if (abits = 9) generate
id0 : SRAM_512wx32b port map (a(8 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a10d32 : if (abits = 10) generate
id0 : SRAM_1024wx32b port map (a(9 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
a11d32 : if (abits = 11) generate
id0 : SRAM_2048wx32b port map (a(10 downto 0), d(31 downto 0), csn,
wen, gnd(0), q(31 downto 0), clk);
end generate;
end generate;
d40 : if (dbits > 32) and (dbits <= 40) generate
a5d40 : if (abits <= 5) generate
id0 : SRAM_32wx40b port map (a(4 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a6d40 : if (abits = 6) generate
id0 : SRAM_64wx40b port map (a(5 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a7d40 : if (abits = 7) generate
id0 : SRAM_128wx40b port map (a(6 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a8d40 : if (abits = 8) generate
id0 : SRAM_256wx40b port map (a(7 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a9d40 : if (abits = 9) generate
id0 : SRAM_512wx40b port map (a(8 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a10d40 : if (abits = 10) generate
id0 : SRAM_1024wx40b port map (a(9 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
a11d40 : if (abits = 11) generate
id0 : SRAM_2048wx40b port map (a(10 downto 0), d(39 downto 0), csn,
wen, gnd(0), q(39 downto 0), clk);
end generate;
end generate;
-- pragma translate_off
a_to_high : if (abits > 11) or (dbits > 40) generate
x : process
begin
assert false
report "Unsupported memory size (umc18)"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
-- Modular timer
constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE;
constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM;
constant CFG_GPT_SW : integer := CONFIG_GPT_SW;
constant CFG_GPT_TW : integer := CONFIG_GPT_TW;
constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ;
constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ;
constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN;
constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity hfrisc_soc is
generic(
address_width: integer := 14;
memory_file : string := "code.txt";
uart_support : string := "yes"
);
port ( clk_in: in std_logic;
reset_in: in std_logic;
int_in: in std_logic;
uart_read: in std_logic;
uart_write: out std_logic
);
end hfrisc_soc;
architecture top_level of hfrisc_soc is
signal clock, boot_enable, ram_enable_n, stall, stall_cpu, busy_cpu, irq_cpu, irq_ack_cpu, data_access_cpu, ram_dly, rff1, reset: std_logic;
signal address, data_read, data_write, data_read_boot, data_read_ram, irq_vector_cpu, address_cpu, data_in_cpu, data_out_cpu: std_logic_vector(31 downto 0);
signal ext_irq: std_logic_vector(7 downto 0);
signal data_we, data_w_n_ram, data_w_cpu: std_logic_vector(3 downto 0);
signal ext_periph, ext_periph_dly, ready: std_logic;
signal key: std_logic_vector(127 downto 0);
signal input, output: std_logic_vector(63 downto 0);
signal data_read_xtea: std_logic_vector(31 downto 0);
signal control: std_logic_vector(1 downto 0);
begin
-- clock divider (25MHz clock from 50MHz main clock for Spartan3 Starter Kit)
process (reset_in, clk_in, clock)
begin
if reset_in = '1' then
clock <= '0';
else
if clk_in'event and clk_in='1' then
clock <= not clock;
end if;
end if;
end process;
-- reset synchronizer
process (clock, reset_in)
begin
if (reset_in = '1') then
rff1 <= '1';
reset <= '1';
elsif (clock'event and clock = '1') then
rff1 <= '0';
reset <= rff1;
end if;
end process;
process (reset, clock, ext_irq, ram_enable_n)
begin
if reset = '1' then
ram_dly <= '0';
ext_periph_dly <= '0';
ext_irq <= x"00";
elsif clock'event and clock = '1' then
ram_dly <= not ram_enable_n;
ext_periph_dly <= ext_periph;
ext_irq <= "0000000" & int_in;
end if;
end process;
process (clock, reset, address_cpu, key, input, output)
begin
if reset = '1' then
data_read_xtea <= (others => '0');
elsif clock'event and clock = '1' then
if (ext_periph = '1') then -- XTEA is at 0xfa000000
case address_cpu(7 downto 4) is
when "0000" => -- control 0xfa000000 (bit2 - ready (R), bit1 - encrypt (RW), bit0 - start (RW)
data_read_xtea <= x"000000" & "00000" & ready & control;
when "0001" => -- key[0] 0xfa000010
data_read_xtea <= key(127 downto 96);
when "0010" => -- key[1] 0xfa000020
data_read_xtea <= key(95 downto 64);
when "0011" => -- key[2] 0xfa000030
data_read_xtea <= key(63 downto 32);
when "0100" => -- key[3] 0xfa000040
data_read_xtea <= key(31 downto 0);
when "0101" => -- input[0] 0xfa000050
data_read_xtea <= input(63 downto 32);
when "0110" => -- input[1] 0xfa000060
data_read_xtea <= input(31 downto 0);
when "0111" => -- output[0] 0xfa000070
data_read_xtea <= output(63 downto 32);
when "1000" => -- output[1] 0xfa000080
data_read_xtea <= output(31 downto 0);
when others =>
data_read_xtea <= (others => '0');
end case;
end if;
end if;
end process;
process (clock, reset, address_cpu, control, key, input, output)
begin
if reset = '1' then
key <= (others => '0');
input <= (others => '0');
control <= "00";
elsif clock'event and clock = '1' then
if (ext_periph = '1' and data_we /= "0000") then -- XTEA is at 0xfa000000
case address_cpu(7 downto 4) is
when "0000" => -- control 0xfa000000 (bit2 - ready (R), bit1 - encrypt (RW), bit0 - start (RW)
control <= data_write(1 downto 0);
when "0001" => -- key[0] 0xfa000010
key(127 downto 96) <= data_write;
when "0010" => -- key[1] 0xfa000020
key(95 downto 64) <= data_write;
when "0011" => -- key[2] 0xfa000030
key(63 downto 32) <= data_write;
when "0100" => -- key[3] 0xfa000040
key(31 downto 0) <= data_write;
when "0101" => -- input[0] 0xfa000050
input(63 downto 32) <= data_write;
when "0110" => -- input[1] 0xfa000060
input(31 downto 0) <= data_write;
when others =>
end case;
end if;
end if;
end process;
stall <= '0';
boot_enable <= '1' when address(31 downto 28) = "0000" else '0';
ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1';
ext_periph <= '1' when address(31 downto 24) = x"fa" else '0';
data_read <= data_read_xtea when ext_periph = '1' or ext_periph_dly = '1' else data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram;
data_w_n_ram <= not data_we;
-- HF-RISC core
core: entity work.datapath
port map( clock => clock,
reset => reset,
stall => stall_cpu,
irq_vector => irq_vector_cpu,
irq => irq_cpu,
irq_ack => irq_ack_cpu,
address => address_cpu,
data_in => data_in_cpu,
data_out => data_out_cpu,
data_w => data_w_cpu,
data_access => data_access_cpu
);
-- peripherals / busmux logic
peripherals_busmux: entity work.busmux
generic map(
uart_support => uart_support
)
port map(
clock => clock,
reset => reset,
stall => stall,
stall_cpu => stall_cpu,
irq_vector_cpu => irq_vector_cpu,
irq_cpu => irq_cpu,
irq_ack_cpu => irq_ack_cpu,
address_cpu => address_cpu,
data_in_cpu => data_in_cpu,
data_out_cpu => data_out_cpu,
data_w_cpu => data_w_cpu,
data_access_cpu => data_access_cpu,
addr_mem => address,
data_read_mem => data_read,
data_write_mem => data_write,
data_we_mem => data_we,
extio_in => ext_irq,
extio_out => open,
uart_read => uart_read,
uart_write => uart_write
);
-- XTEA core
crypto_core: entity work.xtea
port map( clock => clock,
reset => reset,
start => control(0),
encrypt => control(1),
key => key,
input => input,
output => output,
ready => ready
);
-- instruction and data memory (boot RAM)
boot_ram: entity work.ram
generic map (memory_type => "DEFAULT")
port map (
clk => clock,
enable => boot_enable,
write_byte_enable => "0000",
address => address(31 downto 2),
data_write => (others => '0'),
data_read => data_read_boot
);
-- instruction and data memory (external RAM)
memory0lb: entity work.bram
generic map ( memory_file => memory_file,
data_width => 8,
address_width => address_width,
bank => 0)
port map(
clk => clock,
addr => address(address_width -1 downto 2),
cs_n => ram_enable_n,
we_n => data_w_n_ram(0),
data_i => data_write(7 downto 0),
data_o => data_read_ram(7 downto 0)
);
memory0ub: entity work.bram
generic map ( memory_file => memory_file,
data_width => 8,
address_width => address_width,
bank => 1)
port map(
clk => clock,
addr => address(address_width -1 downto 2),
cs_n => ram_enable_n,
we_n => data_w_n_ram(1),
data_i => data_write(15 downto 8),
data_o => data_read_ram(15 downto 8)
);
memory1lb: entity work.bram
generic map ( memory_file => memory_file,
data_width => 8,
address_width => address_width,
bank => 2)
port map(
clk => clock,
addr => address(address_width -1 downto 2),
cs_n => ram_enable_n,
we_n => data_w_n_ram(2),
data_i => data_write(23 downto 16),
data_o => data_read_ram(23 downto 16)
);
memory1ub: entity work.bram
generic map ( memory_file => memory_file,
data_width => 8,
address_width => address_width,
bank => 3)
port map(
clk => clock,
addr => address(address_width -1 downto 2),
cs_n => ram_enable_n,
we_n => data_w_n_ram(3),
data_i => data_write(31 downto 24),
data_o => data_read_ram(31 downto 24)
);
end top_level;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Wed Sep 20 21:11:18 2017
-- Host : EffulgentTome running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top zqynq_lab_1_design_axi_bram_ctrl_0_bram_0 -prefix
-- zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_ zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_stub.vhdl
-- Design : zqynq_lab_1_design_axi_bram_ctrl_0_bram_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_bram_0 is
Port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end zqynq_lab_1_design_axi_bram_ctrl_0_bram_0;
architecture stub of zqynq_lab_1_design_axi_bram_ctrl_0_bram_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,rsta,ena,wea[3:0],addra[31:0],dina[31:0],douta[31:0],clkb,rstb,enb,web[3:0],addrb[31:0],dinb[31:0],doutb[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_6,Vivado 2017.2";
begin
end;
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-09 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_06800_bad.vhd
-- File Creation date : 2015-04-09
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Unsuitability of signal initialization in declaration section: bad example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_06800_bad is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_06800_bad;
--CODE
architecture Behavioral of STD_06800_bad is
signal Q : std_logic := '0'; -- D Flip-Flop output
begin
-- D FlipFlop process
P_FlipFlop : process(i_Clock)
begin
if (rising_edge(i_Clock)) then
Q <= i_D;
end if;
end process;
o_Q <= Q;
end Behavioral;
--CODE
|
--*****************************************************************************
-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.92
-- \ \ Application : MIG
-- / / Filename : bank_queue.vhd
-- /___/ /\ Date Last Modified : $date$
-- \ \ / \ Date Created : Tue Jun 30 2009
-- \___\/\___\
--
--Device : Virtex-6
--Design Name : DDR3 SDRAM
--Purpose :
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2000, 2001, 2002, 2003, 2004, 2005, 2008 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
-- Bank machine queue controller.
--
-- Bank machines are always associated with a queue. When the system is
-- idle, all bank machines are in the idle queue. As requests are
-- received, the bank machine at the head of the idle queue accepts
-- the request, removes itself from the idle queue and places itself
-- in a queue associated with the rank-bank of the new request.
--
-- If the new request is to an idle rank-bank, a new queue is created
-- for that rank-bank. If the rank-bank is not idle, then the new
-- request is added to the end of the existing rank-bank queue.
--
-- When the head of the idle queue accepts a new request, all other
-- bank machines move down one in the idle queue. When the idle queue
-- is empty, the memory interface deasserts its accept signal.
--
-- When new requests are received, the first step is to classify them
-- as to whether the request targets an already open rank-bank, and if
-- so, does the new request also hit on the already open page? As mentioned
-- above, a new request places itself in the existing queue for a
-- rank-bank hit. If it is also detected that the last entry in the
-- existing rank-bank queue has the same page, then the current tail
-- sets a bit telling itself to pass the open row when the column
-- command is issued. The "passee" knows its in the head minus one
-- position and hence takes control of the rank-bank.
--
-- Requests are retired out of order to optimize DRAM array resources.
-- However it is required that the user cannot "observe" this out of
-- order processing as a data corruption. An ordering queue is
-- used to enforce some ordering rules. As controlled by a paramter,
-- there can be no ordering (RELAXED), ordering of writes only (NORM), and
-- strict (STRICT) ordering whereby input request ordering is
-- strictly adhered to.
--
-- Note that ordering applies only to column commands. Row commands
-- such as activate and precharge are allowed to proceed in any order
-- with the proviso that within a rank-bank row commands are processed in
-- the request order.
--
-- When a bank machine accepts a new request, it looks at the ordering
-- mode. If no ordering, nothing is done. If strict ordering, then
-- it always places itself at the end of the ordering queue. If "normal"
-- or write ordering, the row machine places itself in the ordering
-- queue only if the new request is a write. The bank state machine
-- looks at the ordering queue, and will only issue a column
-- command when it sees itself at the head of the ordering queue.
--
-- When a bank machine has completed its request, it must re-enter the
-- idle queue. This is done by setting the idle_r bit, and setting q_entry_r
-- to the idle count.
--
-- There are several situations where more than one bank machine
-- will enter the idle queue simultaneously. If two or more
-- simply use the idle count to place themselves in the idle queue, multiple
-- bank machines will end up at the same location in the idle queue, which
-- is illegal.
--
-- Based on the bank machine instance numbers, a count is made of
-- the number of bank machines entering idle "below" this instance. This
-- number is added to the idle count to compute the location in
-- idle queue.
--
-- There is also a single bit computed that says there were bank machines
-- entering the idle queue "above" this instance. This is used to
-- compute the tail bit.
--
-- The word "queue" is used frequently to describe the behavior of the
-- bank_queue block. In reality, there are no queues in the ordinary sense.
-- As instantiated in this block, each bank machine has a q_entry_r number.
-- This number represents the position of the bank machine in its current
-- queue. At any given time, a bank machine may be in the idle queue,
-- one of the dynamic rank-bank queues, or a single entry manitenance queue.
-- A complete description of which queue a bank machine is currently in is
-- given by idle_r, its rank-bank, mainteance status and its q_entry_r number.
--
-- DRAM refresh and ZQ have a private single entry queue/channel. However,
-- when a refresh request is made, it must be injected into the main queue
-- properly. At the time of injection, the refresh rank is compared against
-- all entryies in the queue. For those that match, if timing allows, and
-- they are the tail of the rank-bank queue, then the auto_pre bit is set.
-- Otherwise precharge is in progress. This results in a fully precharged
-- rank.
--
-- At the time of injection, the refresh channel builds a bit
-- vector of queue entries that hit on the refresh rank. Once all
-- of these entries finish, the refresh is forced in at the row arbiter.
--
-- New requests that come after the refresh request will notice that
-- a refresh is in progress for their rank and wait for the refresh
-- to finish before attempting to arbitrate to send an activate.
--
-- Injection of a refresh sets the q_has_rd bit for all queues hitting
-- on the refresh rank. This insures a starved write request will not
-- indefinitely hold off a refresh.
--
-- Periodic reads are required to compare themselves against requests
-- that are in progress. Adding a unique compare channel for this
-- is not worthwhile. Periodic read requests inhibit the accept
-- signal and override any new request that might be trying to
-- enter the queue.
--
-- Once a periodic read has entered the queue it is nearly indistinguishable
-- from a normal read request. The req_periodic_rd_r bit is set for
-- queue entry. This signal is used to inhibit the rd_data_en signal.
entity bank_queue is
generic (
TCQ : integer := 100;
BM_CNT_WIDTH : integer := 2;
nBANK_MACHS : integer := 4;
ORDERING : string := "NORM";
ID : integer := 0
);
port (
head_r : out std_logic;
-- Determine if this entry is the tail of its queue. Note that
-- an entry can be both head and tail.
-- The order of the statements below is important in the case where
-- another bank machine is retiring and this bank machine is accepting.
-- if (nBANK_MACHS > 1)
tail_r : out std_logic;
-- Is this entry in the idle queue?
idle_ns : out std_logic;
idle_r : out std_logic;
-- Maintenance hitting on this active bank machine is in progress.
-- Does new request hit on this bank machine while it is able to pass the
-- open bank?
-- Set pass open bank bit, but not if request preceded active maintenance.
pass_open_bank_ns : out std_logic;
pass_open_bank_r : out std_logic;
-- Should the column command be sent with the auto precharge bit set? This
-- will happen when it is detected that next request is to a different row,
-- or the next reqest is the next request is refresh to this rank.
auto_pre_r : out std_logic;
-- Determine when the current request is finished.
bm_end : out std_logic;
-- Determine that the open bank should be passed to the successor bank machine.
passing_open_bank : out std_logic;
ordered_issued : out std_logic;
-- Should never see accept_this_bm and adv_order_q at the same time.
ordered_r : out std_logic;
-- Figure out when to advance the ordering queue.
order_q_zero : out std_logic;
-- Keep track of which other bank machine are ahead of this one in a
-- rank-bank queue. This is necessary to know when to advance this bank
-- machine in the queue, and when to update bank state machine counter upon
-- passing a bank.
rcv_open_bank : out std_logic; --= 1'b0;
-- The clear_vector resets bits in the rb_hit_busies vector as bank machines
-- completes requests. rst also resets all the bits.
-- As this bank machine takes on a new request, capture the vector of
-- which other bank machines are in the same queue.
-- Compute when to advance this queue entry based on seeing other bank machines
-- in the same queue finish.
-- Decide when to receive an open bank based on knowing this bank machine is
-- one entry from the head, and a passing_open_bank hits on the
-- rb_hit_busies vector.
rb_hit_busies_r : out std_logic_vector(nBANK_MACHS * 2 - 1 downto 0);
-- Keep track if the queue this entry is in has priority content.
q_has_rd : out std_logic;
q_has_priority : out std_logic;
-- Figure out if this entry should wait for maintenance to end.
wait_for_maint_r : out std_logic;
clk : in std_logic;
rst : in std_logic;
accept_internal_r : in std_logic;
use_addr : in std_logic;
periodic_rd_ack_r : in std_logic;
bm_end_in : in std_logic_vector((nBANK_MACHS * 2) - 1 downto 0);
idle_cnt : in std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
rb_hit_busy_cnt : in std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
accept_req : in std_logic;
rb_hit_busy_r : in std_logic;
maint_idle : in std_logic;
maint_hit : in std_logic;
row_hit_r : in std_logic;
pre_wait_r : in std_logic;
allow_auto_pre : in std_logic;
sending_col : in std_logic;
bank_wait_in_progress : in std_logic;
precharge_bm_end : in std_logic;
req_wr_r : in std_logic;
rd_wr_r : in std_logic;
adv_order_q : in std_logic;
order_cnt : in std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
rb_hit_busy_ns_in : in std_logic_vector((nBANK_MACHS * 2) - 1 downto 0);
passing_open_bank_in : in std_logic_vector((nBANK_MACHS * 2) - 1 downto 0);
was_wr : in std_logic;
maint_req_r : in std_logic;
was_priority : in std_logic
);
end entity bank_queue;
architecture trans of bank_queue is
constant BM_CNT_ZERO : std_logic_vector(BM_CNT_WIDTH - 1 downto 0) := (others => '0');
constant BM_CNT_ONE : std_logic_vector(BM_CNT_WIDTH - 1 downto 0) := conv_std_logic_vector(1, BM_CNT_WIDTH);
-- localparam [BM_CNT_WIDTH-1:0] BM_CNT_ONE = ONE[0+:BM_CNT_WIDTH];
-- FUNCTION or_br (
-- val : bit_vector) RETURN bit IS
--
-- VARIABLE rtn : bit := '0';
-- BEGIN
-- FOR index IN val'RANGE LOOP
-- rtn := rtn OR val(index);
-- END LOOP;
-- RETURN(rtn);
-- END or_br;
function REDUCTION_NOR( A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return not tmp;
end function REDUCTION_NOR;
function REDUCTION_OR( A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return tmp;
end function REDUCTION_OR;
function CALC_IDLERS (idlers_below:in std_logic_vector; bm_end_in: in std_logic_vector)
return std_logic_vector is
variable idlers_below_tmp : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
begin
idlers_below_tmp := idlers_below;
for i in 0 to ID - 1 loop
idlers_below_tmp := idlers_below_tmp + bm_end_in(i);
end loop;
return idlers_below_tmp;
end function CALC_IDLERS;
signal idle_r_lcl : std_logic;
signal head_r_lcl : std_logic;
signal bm_ready : std_logic;
signal accept_this_bm : std_logic;
signal idlers_below : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
signal i : integer;
signal idlers_above : std_logic;
signal bm_end_lcl : std_logic;
signal adv_queue : std_logic := '0';
signal q_entry_r : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
signal q_entry_ns : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
signal head_ns : std_logic;
signal tail_r_lcl : std_logic := '1';
signal clear_req : std_logic;
signal idle_ns_lcl : std_logic;
signal maint_hit_this_bm : std_logic;
signal pass_open_bank_eligible : std_logic;
signal wait_for_maint_r_lcl : std_logic;
signal pass_open_bank_r_lcl : std_logic;
signal pass_open_bank_ns_lcl : std_logic;
signal auto_pre_r_lcl : std_logic;
signal auto_pre_ns : std_logic;
signal sending_col_not_rmw_rd : std_logic;
signal pre_bm_end_r : std_logic;
signal pre_bm_end_ns : std_logic;
signal pre_passing_open_bank_r : std_logic;
signal pre_passing_open_bank_ns : std_logic;
signal ordered_ns : std_logic;
signal set_order_q : std_logic;
signal ordered_issued_lcl : std_logic;
signal ordered_r_lcl : std_logic;
signal order_q_r : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
signal order_q_ns : std_logic_vector(BM_CNT_WIDTH - 1 downto 0);
signal rb_hit_busies_r_lcl : std_logic_vector((nBANK_MACHS * 2) - 1 downto 0) := (others => '0');
signal q_has_rd_r : std_logic;
signal q_has_rd_ns : std_logic;
signal q_has_priority_r : std_logic;
signal q_has_priority_ns : std_logic;
signal wait_for_maint_ns : std_logic;
signal tail_ns : std_logic;
signal clear_vector : std_logic_vector(nBANK_MACHS-2 downto 0);
signal rb_hit_busies_ns : std_logic_vector(ID+nBANK_MACHS-1 downto ID+1);
-- X-HDL generated signals `define BM_SHARED_BV (ID+nBANK_MACHS-1):(ID+1)
signal adv_queue_value : std_logic;
signal accep_req_value : std_logic;
--constant BM_CNT_ONE
begin
-- idle_r_lcl OK
bm_ready <= idle_r_lcl and head_r_lcl and accept_internal_r;
accept_this_bm <= bm_ready and (use_addr or periodic_rd_ack_r);
process (bm_end_in)
begin
--idlers_below <= (others => '0');
--for i in 0 to ID - 1 loop
-- idlers_below <= idlers_below + bm_end_in(i);
--end loop;
idlers_below <= CALC_IDLERS(conv_std_logic_vector(0,BM_CNT_WIDTH),bm_end_in);
end process;
process (bm_end_in)
begin
idlers_above <= '0';
-- for i in ID + 1 to ID + nBANK_MACHS - 1 loop
idlers_above <= REDUCTION_OR(bm_end_in(ID + nBANK_MACHS - 1 downto ID +1)); -- need to come back
-- end loop;
end process;
adv_queue_value <= '1' when (adv_queue = '1') else '0';
process (accept_req, accept_this_bm, adv_queue, bm_end_lcl, idle_cnt, idle_r_lcl, idlers_below, q_entry_r, rb_hit_busy_cnt, rst)
variable q_entry_ns_v : std_logic_vector(BM_CNT_WIDTH -1 downto 0);
begin
if (rst = '1') then
q_entry_ns_v := conv_std_logic_vector(ID,BM_CNT_WIDTH );
else
q_entry_ns_v := q_entry_r;
if ((idle_r_lcl = '0' and adv_queue = '1') or (idle_r_lcl = '1' and accept_req = '1' and accept_this_bm= '0')) then
q_entry_ns_v := conv_std_logic_vector(conv_integer(q_entry_r) - 1,BM_CNT_WIDTH);
end if;
if (accept_this_bm = '1') then
if (adv_queue = '1') then
q_entry_ns_v := rb_hit_busy_cnt - '1';
else
q_entry_ns_v := rb_hit_busy_cnt ;
end if;
end if;
if (bm_end_lcl = '1') then
q_entry_ns_v := idle_cnt + idlers_below;
if (accept_req = '1') then
q_entry_ns_v := q_entry_ns_v - '1';
end if;
end if;
end if;
q_entry_ns <= q_entry_ns_v;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
q_entry_r <= q_entry_ns after (TCQ)*1 ps;
end if;
end process;
accep_req_value <= '1' when (accept_req = '1') else
'0';
-- accept_this_bm is not correct
-- bm_ready <= idle_r_lcl and head_r_lcl and accept_internal_r;
-- accept_this_bm <= bm_ready and (use_addr or periodic_rd_ack_r);
process (accept_req,accep_req_value, accept_this_bm, adv_queue, bm_end_lcl, head_r_lcl, idle_cnt, idle_r_lcl, idlers_below, q_entry_r, rb_hit_busy_cnt, rst,adv_queue_value)
variable head_ns_v : std_logic;
begin
if (rst = '1') then
head_ns_v := REDUCTION_NOR(conv_std_logic_vector(ID,BM_CNT_WIDTH ));
else
head_ns_v := head_r_lcl;
if (accept_this_bm = '1') then
head_ns_v := REDUCTION_NOR((rb_hit_busy_cnt - adv_queue_value));
end if;
if (((not(idle_r_lcl) and adv_queue) or (idle_r_lcl and accept_req and not(accept_this_bm))) = '1') then
head_ns_v := REDUCTION_NOR((q_entry_r - BM_CNT_ONE));
end if;
if (bm_end_lcl = '1') then
head_ns_v := REDUCTION_NOR(idle_cnt - accep_req_value) and REDUCTION_NOR(idlers_below);
end if;
end if;
head_ns <= head_ns_v;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
head_r_lcl <= head_ns after (TCQ)*1 ps;
end if;
end process;
head_r <= head_r_lcl;
xhdl3 : if (nBANK_MACHS > 1) generate
process (accept_req, accept_this_bm, bm_end_in, bm_end_lcl, idle_r_lcl, idlers_above, rb_hit_busy_r, rst, tail_r_lcl)
variable tail_ns_v : std_logic;
begin
if (rst = '1') then
if (ID = nBANK_MACHS) then
tail_ns_v := '1';
else
tail_ns_v := '0';
end if;
else
tail_ns_v := tail_r_lcl;
if ((accept_req = '1' and rb_hit_busy_r = '1' ) or
(REDUCTION_OR(bm_end_in(ID + nBANK_MACHS - 1 downto ID + 1)) = '1' and idle_r_lcl = '1')) then
tail_ns_v := '0';
end if;
if (accept_this_bm = '1' or (bm_end_lcl = '1' and idlers_above = '0')) then
tail_ns_v := '1';
end if;
end if;
tail_ns <= tail_ns_v;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
tail_r_lcl <= tail_ns after (TCQ)*1 ps;
end if;
end process;
end generate;
tail_r <= tail_r_lcl;
clear_req <= bm_end_lcl or rst;
process (accept_this_bm, clear_req, idle_r_lcl)
variable idle_ns_lcl_v : std_logic;
begin
idle_ns_lcl <= idle_r_lcl;
if (accept_this_bm = '1') then
idle_ns_lcl <= '0';
end if;
if (clear_req = '1') then
idle_ns_lcl <= '1' after 1 ps;
end if;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
idle_r_lcl <= idle_ns_lcl after (TCQ)*1 ps;
end if;
end process;
idle_ns <= idle_ns_lcl;
idle_r <= idle_r_lcl;
maint_hit_this_bm <= not(maint_idle) and maint_hit;
-- ok
pass_open_bank_eligible <= tail_r_lcl and rb_hit_busy_r and row_hit_r and not(pre_wait_r);
pass_open_bank_ns_lcl <= not(clear_req) and (pass_open_bank_r_lcl or (accept_req and pass_open_bank_eligible and (not(maint_hit_this_bm) or wait_for_maint_r_lcl)));
process (clk)
begin
if (clk'event and clk = '1') then
pass_open_bank_r_lcl <= pass_open_bank_ns_lcl after (TCQ)*1 ps;
end if;
end process;
pass_open_bank_ns <= pass_open_bank_ns_lcl;
pass_open_bank_r <= pass_open_bank_r_lcl;
process (accept_req, allow_auto_pre, auto_pre_r_lcl, clear_req, maint_hit_this_bm, rb_hit_busy_r, row_hit_r, tail_r_lcl, wait_for_maint_r_lcl)
begin
auto_pre_ns <= auto_pre_r_lcl;
if (clear_req = '1') then
auto_pre_ns <= '0';
elsif ((accept_req and tail_r_lcl and allow_auto_pre and rb_hit_busy_r and (not(row_hit_r) or (maint_hit_this_bm and not(wait_for_maint_r_lcl)))) = '1') then
auto_pre_ns <= '1';
end if;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
auto_pre_r_lcl <= auto_pre_ns after (TCQ)*1 ps;
end if;
end process;
auto_pre_r <= auto_pre_r_lcl;
sending_col_not_rmw_rd <= sending_col and not((req_wr_r and rd_wr_r));
pre_bm_end_ns <= precharge_bm_end or (bank_wait_in_progress and pass_open_bank_ns_lcl);
process (clk)
begin
if (clk'event and clk = '1') then
pre_bm_end_r <= pre_bm_end_ns after (TCQ)*1 ps;
end if;
end process;
bm_end_lcl <= pre_bm_end_r or (sending_col_not_rmw_rd and pass_open_bank_r_lcl);
bm_end <= bm_end_lcl;
pre_passing_open_bank_ns <= bank_wait_in_progress and pass_open_bank_ns_lcl;
process (clk)
begin
if (clk'event and clk = '1') then
pre_passing_open_bank_r <= pre_passing_open_bank_ns after (TCQ)*1 ps;
end if;
end process;
passing_open_bank <= pre_passing_open_bank_r or (sending_col_not_rmw_rd and pass_open_bank_r_lcl);
set_order_q <= '1' when ((ORDERING = "STRICT") or ((ORDERING = "NORM") and req_wr_r = '1' )) and accept_this_bm = '1' else '0';
ordered_issued_lcl <= '1' when sending_col_not_rmw_rd = '1' and not(req_wr_r = '1' and rd_wr_r ='1') and
((ORDERING = "STRICT") or ((ORDERING = "NORM") and req_wr_r = '1'))
else '0';
ordered_issued <= ordered_issued_lcl;
process (ordered_issued_lcl, ordered_r_lcl, rst, set_order_q)
begin
if (rst = '1') then
ordered_ns <= '0';
else
ordered_ns <= ordered_r_lcl;
if (set_order_q = '1') then
ordered_ns <= '1';
end if;
if (ordered_issued_lcl = '1') then
ordered_ns <= '0';
end if;
end if;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
ordered_r_lcl <= ordered_ns after (TCQ)*1 ps;
end if;
end process;
ordered_r <= ordered_r_lcl;
process (adv_order_q, order_cnt, order_q_r, rst, set_order_q)
begin
order_q_ns <= order_q_r;
if (rst = '1') then
order_q_ns <= BM_CNT_ZERO;
end if;
if (set_order_q = '1') then
if (adv_order_q = '1') then
order_q_ns <= order_cnt - BM_CNT_ONE;
else
order_q_ns <= order_cnt;
end if;
end if;
if ((adv_order_q and REDUCTION_OR(order_q_r)) = '1') then
order_q_ns <= order_q_r - BM_CNT_ONE;
end if;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
order_q_r <= order_q_ns after (TCQ)*1 ps;
end if;
end process;
order_q_zero <= '1' when (REDUCTION_NOR(order_q_r)= '1' or
(adv_order_q = '1' and ( order_q_r = conv_std_logic_vector(1,BM_CNT_WIDTH))) or
(ORDERING = "NORM" and rd_wr_r = '1' )) else '0';
xhdl4 : if (nBANK_MACHS > 1) generate
clear_vector <= (others => '1') when rst = '1' else bm_end_in(ID + nBANK_MACHS - 1 downto ID + 1);
process(clear_vector,rb_hit_busy_ns_in,idle_ns_lcl,rb_hit_busies_r_lcl)
begin
if (idle_ns_lcl = '1' ) then
rb_hit_busies_ns <= not (clear_vector) and rb_hit_busy_ns_in(ID + nBANK_MACHS - 1 downto ID + 1);
else
rb_hit_busies_ns <= not (clear_vector) and rb_hit_busies_r_lcl(ID + nBANK_MACHS - 1 downto ID + 1);
end if;
end process;
process (clk)
begin
if (clk'event and clk = '1') then
rb_hit_busies_r_lcl((ID + nBANK_MACHS - 1) downto (ID + 1)) <= rb_hit_busies_ns after (TCQ)*1 ps;
end if;
end process;
-- Compute when to advance this queue entry based on seeing other bank machines
-- in the same queue finish.
process (bm_end_in, rb_hit_busies_r_lcl)
begin
adv_queue <= REDUCTION_OR((bm_end_in((ID + nBANK_MACHS - 1) downto (ID + 1)) and rb_hit_busies_r_lcl((ID + nBANK_MACHS - 1) downto (ID + 1))));
end process;
-- Decide when to receive an open bank based on knowing this bank machine is
-- one entry from the head, and a passing_open_bank hits on the
-- rb_hit_busies vector.
process (idle_r_lcl, passing_open_bank_in, q_entry_r, rb_hit_busies_r_lcl)
begin
if (REDUCTION_OR(rb_hit_busies_r_lcl(ID + nBANK_MACHS - 1 downto ID + 1) and
passing_open_bank_in(ID + nBANK_MACHS - 1 downto ID + 1)) = '1') and
(q_entry_r = conv_std_logic_vector(1,BM_CNT_WIDTH)) and (idle_r_lcl ='0') then
rcv_open_bank <= '1';
else
rcv_open_bank <= '0';
end if;
end process;
end generate;
rb_hit_busies_r <= rb_hit_busies_r_lcl;
q_has_rd_ns <= not(clear_req) and (q_has_rd_r or (accept_req and rb_hit_busy_r and not(was_wr)) or (maint_req_r and maint_hit and not(idle_r_lcl)));
process (clk)
begin
if (clk'event and clk = '1') then
q_has_rd_r <= q_has_rd_ns after (TCQ)*1 ps;
end if;
end process;
q_has_rd <= q_has_rd_r;
q_has_priority_ns <= not(clear_req) and (q_has_priority_r or (accept_req and rb_hit_busy_r and was_priority));
process (clk)
begin
if (clk'event and clk = '1') then
q_has_priority_r <= q_has_priority_ns after (TCQ)*1 ps;
end if;
end process;
q_has_priority <= q_has_priority_r;
wait_for_maint_ns <= not(rst) and not(maint_idle) and (wait_for_maint_r_lcl or (maint_hit and accept_this_bm));
process (clk)
begin
if (clk'event and clk = '1') then
wait_for_maint_r_lcl <= wait_for_maint_ns after (TCQ)*1 ps;
end if;
end process;
wait_for_maint_r <= wait_for_maint_r_lcl;
end architecture trans;
-- bank_queue
|
entity bounds18 is
end entity;
architecture test of bounds18 is
function func(x : bit_vector(1 to 5)) return bit is
begin
return x(1) and x(5);
end function;
procedure proc(n : positive) is
variable v : bit_vector(1 to n);
begin
assert func(v) = '0';
end procedure;
begin
process is
variable v : bit_vector(1 to 4);
begin
--assert func(v) = '0'; -- Caught during analysis
proc(5); -- OK
proc(3); -- Failure here
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05/20/2015 05:54:19 PM
-- Design Name:
-- Module Name: SHL8Bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SHL8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end SHL8Bit;
architecture Behavioral of SHL8Bit is
begin
Cout <= Input(7);
Output(0) <= '0';
Output(1) <= Input(0);
Output(2) <= Input(1);
Output(3) <= Input(2);
Output(4) <= Input(3);
Output(5) <= Input(4);
Output(6) <= Input(5);
Output(7) <= Input(6);
end Behavioral;
|
architecture rtl of fifo is
constant sig8 : record_type_3(
element1(7 downto 0),
element2(4 downto 0)(7 downto 0)
(
elementA(7 downto 0)
,
elementB(3 downto 0)),
element3(3 downto 0)(elementC(4 downto 1), elementD(1 downto 0)),
element5(
elementE
(3 downto
0)
(6
downto 0)
,
elementF(7 downto 0)),
element6(4 downto
0),
element7(7 downto 0));
constant sig9 : t_data_struct(data(7 downto 0));
constant sig9 : t_data_struct(
data(7 downto 0));
begin
end architecture rtl;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 04/05/2017 02:01:44 PM
-- Design Name:
-- Module Name: game_logic - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity game_logic is
port(
clk : in STD_LOGIC;
usb_bt_clk : in STD_LOGIC;
save_button_input : in STD_LOGIC;
keyboard_input : in STD_LOGIC_VECTOR(7 downto 0);
x_pos_input : in STD_LOGIC_VECTOR(7 downto 0);
y_pos_input : in STD_LOGIC_VECTOR(7 downto 0);
usb_bt_input : in STD_LOGIC_VECTOR(7 downto 0);
reset_output : out STD_LOGIC;
screen_size_output : out STD_LOGIC;
pen_width_output : out STD_LOGIC_VECTOR(2 downto 0);
x_pos_output : out STD_LOGIC_VECTOR(7 downto 0);
y_pos_output : out STD_LOGIC_VECTOR(7 downto 0);
tricolor_led_output : out STD_LOGIC_VECTOR(11 downto 0);
usb_bt_output : out STD_LOGIC_VECTOR(15 downto 0);
color_output : out STD_LOGIC_VECTOR(23 downto 0);
ram_we_output : out STD_LOGIC_VECTOR(0 downto 0);
ram_val_output : out STD_LOGIC_VECTOR(11 downto 0);
ram_addr_output : out STD_LOGIC_VECTOR(16 downto 0)
);
end game_logic;
architecture Behavioral of game_logic is
-- Font ROM component
component font_rom is
port(
clk : in STD_LOGIC;
addr : in STD_LOGIC_VECTOR(10 downto 0);
data : out STD_LOGIC_VECTOR(7 downto 0)
);
end component;
-- RAM clock divider component
component clock_divider is
generic(count_max : INTEGER := 8); -- FIX THIS?
port(
clk : in STD_LOGIC;
reset : in STD_LOGIC;
clk_output : out STD_LOGIC
);
end component;
-- System properties
signal pc_connected : STD_LOGIC := '0';
signal screen_size : STD_LOGIC := '0';
signal reset : STD_LOGIC := '0';
signal pen_width : STD_LOGIC_VECTOR(2 downto 0) := "000";
signal ascii_char : STD_LOGIC_VECTOR(7 downto 0) := x"00";
signal color : STD_LOGIC_VECTOR(23 downto 0) := x"000000";
--USB/bluetooth control send signals
signal prev_screen_size : STD_LOGIC := '0';
signal prev_save : STD_LOGIC := '0';
signal prev_reset : STD_LOGIC := '0';
signal prev_pen_width : STD_LOGIC_VECTOR(2 downto 0):= "000";
signal prev_ascii_char : STD_LOGIC_VECTOR(7 downto 0):= x"00";
signal prev_x_pos, prev_y_pos : STD_LOGIC_VECTOR(7 downto 0):= x"00";
signal prev_color : STD_LOGIC_VECTOR(23 downto 0):= x"000000";
--USB/Bluetooth control receive signals
signal prev_connections : STD_LOGIC_VECTOR(7 downto 0);
-- Keyboard control signals
signal num_values_input : INTEGER := 0;
signal prev_pc_connected : STD_LOGIC := '0';
signal changing_item : STD_LOGIC_VECTOR(2 downto 0) := "000"; -- [color, pen_width, screen_size]
signal hex_input : STD_LOGIC_VECTOR(3 downto 0) := x"0";
signal temp_hex_input : STD_LOGIC_VECTOR(7 downto 0) := x"00";
signal input_values : STD_LOGIC_VECTOR(23 downto 0) := x"000000";
-- Font Rom signals
signal font_rom_addr : UNSIGNED(10 downto 0) := x"00" & "000";
signal font_rom_data : STD_LOGIC_VECTOR(7 downto 0) := x"00";
-- RAM clock divider signals
signal ram_divider_counter : INTEGER := 0;
signal ram_clk : STD_LOGIC := '0';
-- RAM Signals
signal x_pos_int : INTEGER := 0;
signal y_pos_int : INTEGER := 0;
-- RAM fast update signals
signal ram_update_count : INTEGER := 0;
signal ram_update_x_count : INTEGER := 0;
signal ram_update_y_count : INTEGER := 0;
signal ram_update_slow_int : INTEGER := 0;
signal ram_update : STD_LOGIC_VECTOR(2 downto 0) := "000"; -- [pos, sys_text, user_text]
signal ram_update_slow : STD_LOGIC_VECTOR(2 downto 0) := "000";
signal ram_update_pos_slow : STD_LOGIC_VECTOR(7 downto 0) := x"00";
signal ram_update_sys_text_slow : STD_LOGIC_VECTOR(7 downto 0) := x"00";
signal ram_update_user_text_slow : STD_LOGIC_VECTOR(7 downto 0) := x"00";
-- RAM slow control signals
signal x_addr_count, y_addr_count : INTEGER := 0;
-- RAM reset signals
signal ram_reset : STD_LOGIC := '0';
signal ram_reset_slow : STD_LOGIC := '0';
signal ram_reset_count : UNSIGNED(15 downto 0) := x"0000";
signal prev_ram_resets : STD_LOGIC_VECTOR(7 downto 0) := x"00";
begin
screen_size_output <= screen_size;
pen_width_output <= pen_width;
x_pos_output <= x_pos_input;
y_pos_output <= y_pos_input;
tricolor_led_output <= color(11 downto 0);
color_output <= color;
ram_we_output <= "1";
--ram_we_output <= "0";
reset_output <= reset;
-- Previous signal generation process
process(clk)
begin
prev_pc_connected <= pc_connected;
prev_x_pos <= x_pos_input;
prev_y_pos <= y_pos_input;
prev_color <= color;
prev_ascii_char <= ascii_char;
prev_screen_size <= screen_size;
prev_pen_width <= pen_width;
prev_save <= save_button_input;
prev_reset <= reset;
end process;
-- USB/Bluetooth control process
process(clk, usb_bt_clk, prev_x_pos, prev_y_pos) -- FIX THIS (add update method)
begin
-- Sending Data
if rising_edge(clk) then
if reset /= prev_reset then
usb_bt_output(15 downto 12) <= "1111";
usb_bt_output(1) <= save_button_input;
usb_bt_output(0) <= reset;
elsif prev_x_pos /= x_pos_input then
usb_bt_output(15 downto 14) <= "10";
usb_bt_output(8) <= '0';
usb_bt_output(7 downto 0) <= x_pos_input;
elsif prev_y_pos /= y_pos_input then
usb_bt_output(15 downto 14) <= "10";
usb_bt_output(8) <= '1';
usb_bt_output(7 downto 0) <= y_pos_input;
elsif prev_color /= color then
usb_bt_output(15 downto 12) <= "1100";
usb_bt_output(11 downto 0) <= color(11 downto 0); -- change to 24 bit?
elsif prev_screen_size /= screen_size or prev_pen_width /= pen_width then
usb_bt_output(15 downto 12) <= "1110";
usb_bt_output(3) <= screen_size;
usb_bt_output(2 downto 0) <= pen_width;
elsif prev_ascii_char /= ascii_char then
usb_bt_output(15 downto 12) <= "1101";
usb_bt_output(7 downto 0) <= ascii_char;
elsif prev_save /= save_button_input then
usb_bt_output(15 downto 12) <= "1111";
usb_bt_output(1) <= save_button_input;
usb_bt_output(0) <= reset;
else
usb_bt_output <= x"0000";
end if;
end if;
-- Recieving Data
if rising_edge(usb_bt_clk) then
prev_connections <= prev_connections(6 downto 0) & usb_bt_input(0);
if prev_connections = x"00" then
pc_connected <= '0';
else
pc_connected <= '1';
end if;
end if;
end process;
-- Keyboard control process
hex_input <= temp_hex_input(3 downto 0);
process(clk)
begin
-- Keyboard control
if rising_edge(clk) then
if reset = '0' then
if keyboard_input = x"77" and changing_item = "000" then -- input w and changing color
ascii_char <= x"77";
changing_item <= "100";
num_values_input <= 1;
elsif keyboard_input = x"63" and changing_item = "000" then -- input c and changing pen_width
ascii_char <= x"63";
changing_item <= "010";
num_values_input <= 1;
elsif keyboard_input = x"73" and changing_item = "000" then -- input s and changing screen_size
ascii_char <= x"73";
changing_item <= "001";
num_values_input <= 1;
elsif keyboard_input = x"72" and changing_item = "000" then -- input r
reset <= '1';
color <= x"FFFFFF";
pen_width <= "000";
screen_size <= '0';
elsif keyboard_input = x"71" and changing_item /= "000" then -- input q and exit command
ascii_char <= x"71";
-- FIX THIS
elsif keyboard_input = x"08" and num_values_input = 1 then -- input backspace
ascii_char <= x"08";
num_values_input <= 0;
changing_item <= "000";
elsif changing_item /= "000" then
-- Ascii to hex converter
if (keyboard_input >= x"30" and keyboard_input <= x"39") then
temp_hex_input <= std_logic_vector(unsigned(keyboard_input) - x"30");
elsif (keyboard_input >= x"61" and keyboard_input <= x"66") then
temp_hex_input <= std_logic_vector(unsigned(keyboard_input) - x"57");
else
temp_hex_input <= x"FF";
end if;
-- User keyboard input restrictions
if changing_item = "100" and hex_input <= x"F" then -- Limit color
input_values(((num_values_input * 4)-1) downto ((num_values_input-1) * 4)) <= hex_input;
num_values_input <= num_values_input + 1;
elsif changing_item = "010" and hex_input >= x"1" and hex_input <= x"7" then -- Limit pen_width
input_values(3 downto 0) <= hex_input;
num_values_input <= num_values_input + 1;
elsif changing_item = "001" and hex_input <= x"1" then -- Limit screen_size
input_values(3 downto 0) <= hex_input;
num_values_input <= num_values_input + 1;
end if;
elsif keyboard_input = x"0A" then -- input enter
ascii_char <= x"0A";
if changing_item = "100" and num_values_input = 7 then -- new color
color <= input_values;
changing_item <= "000";
elsif changing_item = "010" and num_values_input = 1 then -- new pen_width
pen_width <= input_values(2 downto 0);
changing_item <= "000";
elsif changing_item = "001" and num_values_input = 1 then -- new screen_size
screen_size <= input_values(0);
changing_item <= "000";
end if;
end if;
end if;
-- Reset handling
if reset = '1' and prev_reset = '1' and ram_reset = '0' then
reset <= '0';
color <= x"000000";
end if;
end if;
end process;
-- Font ROM port map
ram_font_rom : font_rom
port map(
clk => clk,
addr => std_logic_vector(font_rom_addr),
data => font_rom_data
);
-- RAM clock divider port map
ram_clock_divider : clock_divider
generic map(count_max => 2) -- CHANGE VALUE
port map(
clk => clk,
reset => '0',
clk_output => ram_clk
);
x_pos_int <= to_integer(unsigned(x_pos_input));
y_pos_int <= to_integer(unsigned(y_pos_input));
-- RAM control process
process(clk, ram_clk)
begin
-- When to update RAM
if rising_edge(clk) then
-- ram_update_pos_slow <= ram_update_pos_slow(6 downto 0) & ram_update_slow(2);
-- ram_update_pos_slow <= ram_update_pos_slow(6 downto 0) & ram_update_slow(2);
-- ram_update_pos_slow <= ram_update_pos_slow(6 downto 0) & ram_update_slow(2);
if (x_pos_input = "00000000" or y_pos_input = "000000000") then
ram_update(2) <= '1'; -- pos
ram_update_slow_int <= 1;
-- elsif (color /= prev_color or pen_width /= prev_pen_width
-- or pc_connected /= prev_pc_connected) then
-- ram_update(1) <= '1'; -- sys_text
-- elsif (ascii_char /= prev_ascii_char) then
-- ram_update(0) <= '1'; -- user_text
end if;
if ram_update_slow_int = std_logic_vector(to_unsigned(2048, 17)) then
ram_update_slow_int <= 0;
elsif ram_update_slow_int > 0 then
ram_update_slow_int <= ram_update_slow_int + 1;
ram_update(2) <= '1';
else
ram_update(2) <= '0';
end if;
-- if ram_update_pos_slow = x"00" then
-- ram_update(2) <= '0';
-- end if;
-- if ram_update_sys_text_slow = x"00" then
-- ram_update(1) <= '0';
-- end if;
-- if ram_update_user_text_slow = x"00" then
-- ram_update(0) <= '0';
-- end if;
-- if reset = '1' and ram_reset = '0' then
-- ram_reset <= '1';
-- prev_ram_resets <= prev_ram_resets(6 downto 0) & ram_reset;
-- end if;
--
-- if ram_reset_slow = '0' and prev_ram_resets = x"FF" then
-- ram_reset <= '0';
-- end if;
-- end if;
-- Draw to RAM
--if rising_edge(ram_clk) then
--if rising_edge(clk) then
--if ram_reset = '0' then
if ram_update(2) = '1' then -- pos
--if(true) then
--ram_we_output <= "1";
ram_val_output <= color(23 downto 20) & color(15 downto 12) & color(7 downto 4);
--ram_update_slow(2) <= '1';
--ram_update(2) <= '0';
-- if (y_addr_count < unsigned(pen_width)) and
-- ((y_pos_int + y_addr_count) < 256) and
-- ((y_pos_int + y_addr_count) >= 0) then
-- if (x_addr_count < unsigned(pen_width)) and
-- ((x_pos_int + x_addr_count) < 256) and
-- ((x_pos_int + x_addr_count) >= 0) then
ram_addr_output <= std_logic_vector(to_unsigned( ((x_pos_int+x_addr_count) + ((y_pos_int+y_addr_count) * 256)) , 17));
else
ram_val_output <= x"F00";
ram_addr_output <= std_logic_vector(to_unsigned(66666, 17));
--ram_update(2) <= '1';
-- else
-- x_addr_count <= 0;
-- end if;
-- y_addr_count <= y_addr_count + 1;
-- else
-- y_addr_count <= 0;
-- end if;
--elsif prev_x_pos /= x_pos_input and prev_y_pos /= y_pos_input then --Not needed?
--ram_update(1) <= '0';
--ram_we_output <= "0"; --Not needed?
--ram_update(2) <= '0'; --Not needed?
-- elsif ram_update(2 downto 1) = "01" then -- sys_text
-- ram_update_slow(2 downto 1) <= "01";
-- if ram_update_count < 3 then
-- if ram_update_y_count < 16 then
-- if ram_update_x_count < 8 then
-- if ram_update_count = 1 then -- Update color
-- ram_addr_output <= std_logic_vector(to_unsigned(65618 + ram_update_x_count
-- + (ram_update_y_count * 384), 17));
-- ram_val_output <= color(11 downto 0);
-- elsif ram_update_count = 2 then -- Update pen_width
-- ram_addr_output <= std_logic_vector(to_unsigned(65768 + ram_update_x_count
-- + (ram_update_y_count * 384), 17));
-- font_rom_addr <= "000" & (x"30" + unsigned("0000" & pen_width)); -- FIX THIS (concurency)
-- if font_rom_data(ram_update_x_count) = '1' then
-- ram_val_output <= x"000";
-- else
-- ram_val_output <= x"FFF";
-- end if;
-- else -- Update pc_connnection
-- ram_addr_output <= std_logic_vector(to_unsigned(65888 + ram_update_x_count
-- + (ram_update_count * 10)
-- + (ram_update_y_count * 384), 17));
-- font_rom_addr <= "00" & (x"30" + "0000000" & pc_connected); -- FIX THIS (concurency)
-- if font_rom_data(ram_update_x_count) = '1' then
-- ram_val_output <= x"000";
-- else
-- ram_val_output <= x"FFF";
-- end if;
-- end if;
-- ram_update_x_count <= ram_update_x_count + 1;
-- else
-- ram_update_x_count <= 0;
-- end if;
-- ram_update_y_count <= ram_update_x_count + 1;
-- else
-- ram_update_y_count <= 0;
-- end if;
-- ram_update_count <= ram_update_count + 1;
-- else
-- ram_update_slow(1) <= '0';
-- ram_update_count <= 0;
-- end if;
-- elsif ram_update = "001" then -- user_text
-- ram_update_slow <= "001";
-- if ram_update_count < 8 then
-- if ram_update_y_count < 16 then
-- if ram_update_x_count < 8 then
-- ram_addr_output <= std_logic_vector(to_unsigned(66102 + ram_update_x_count
-- + (ram_update_y_count * 384), 17));
-- font_rom_addr <= unsigned("000" & ascii_char); -- FIX THIS (concurency)
-- if font_rom_data(ram_update_x_count) = '1' then
-- ram_val_output <= x"000";
-- else
-- ram_val_output <= x"FFF";
-- end if;
-- ram_update_x_count <= ram_update_x_count + 1;
-- else
-- ram_update_x_count <= 0;
-- end if;
-- ram_update_y_count <= ram_update_x_count + 1;
-- else
-- ram_update_y_count <= 0;
-- end if;
-- ram_update_count <= ram_update_count + 1;
-- else
-- ram_update_slow(0) <= '0';
-- ram_update_count <= 0;
-- end if;
-- else
-- ram_update_slow <= "000";
--end if;
---- else -- ram_reset = 1
---- -- Drawing Screen (sys_text and user_text update automatically)
---- if ram_reset_count < 65536 then
---- ram_reset_slow <= '1';
---- ram_reset_count <= ram_reset_count + 1;
---- ram_addr_output <= "0" & std_logic_vector(ram_reset_count);
---- else
---- ram_reset_slow <= '0';
---- ram_reset_count <= x"0000";
---- end if;
end if;
end if;
end process;
end Behavioral;
|
-- TestBench Template
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
USE std.textio.all;
ENTITY cpu_branchtest_tb IS
END cpu_branchtest_tb;
ARCHITECTURE behavior OF cpu_branchtest_tb IS
signal clk, reset, tx, rx : std_logic;
-- Clock period definitions
constant clk_period : time := 10 ns;
signal uart_tx_req, uart_tx_end, uart_rx_ready : std_logic;
signal uart_tx_data, uart_rx_data : std_logic_vector(7 downto 0);
BEGIN
-- Component Instantiation
uut: entity work.cpu
Generic map ( INSTRUCTIONS => "scripts/branch.mif"
)
Port map(clk => clk,
reset => reset,
tx => rx,
rx => tx
);
uart1 : entity work.uart
Generic map(
CLK_FREQ => 100,
SER_FREQ => 1000000,
PARITY_BIT => false
)
Port map (
clk => clk,
rst => reset,
rx => rx,
tx => tx,
tx_req => uart_tx_req,
tx_end => uart_tx_end,
tx_data => uart_tx_data,
rx_ready => uart_rx_ready,
rx_data => uart_rx_data
);
-- Print received bytes
uart_process : process
begin
wait until uart_rx_ready = '1';
wait for clk_period;
if to_integer(unsigned(uart_rx_data)) > 31 and to_integer(unsigned(uart_rx_data)) < 127 then
report "Received ASCII: "&character'image(character'val(to_integer(unsigned(uart_rx_data))));
else
report "Received Dec: "&integer'image(to_integer(unsigned(uart_rx_data)));
end if;
end process;
-- Test received bytes
test_process : process
begin
wait until uart_rx_ready = '1';
wait for clk_period;
assert to_integer(unsigned(uart_rx_data)) = 255 report "First msg incorrect" severity failure;
wait until uart_rx_ready = '1';
wait for clk_period;
assert to_integer(unsigned(uart_rx_data)) = 0 report "Second msg incorrect" severity failure;
wait until uart_rx_ready = '1';
wait for clk_period;
assert to_integer(unsigned(uart_rx_data)) = 0 report "Third msg incorrect" severity failure;
wait until uart_rx_ready = '1';
assert false report "Received too many messages" severity failure;
end process;
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Test Bench Statements
tb : PROCESS
BEGIN
reset <= '1';
uart_tx_req <= '0';
wait for 100 ns; -- wait until global set/reset completes
reset <= '0';
uart_tx_req <= '1';
uart_tx_data <= x"50"; -- P
wait for clk_period;
uart_tx_req <= '0';
wait until uart_tx_end = '1';
wait for clk_period;
uart_tx_req <= '1';
uart_tx_data <= x"00";
wait for clk_period;
uart_tx_req <= '0';
wait for 19us;
assert false report "Completed succesfully" severity failure;
wait; -- will wait forever
END PROCESS tb;
-- End Test Bench
END;
|
-- NEED RESULT: ARCH00580: Check involving overloading context rule 1 passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00580
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 10.5 (1)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00580
-- PKG00580/BODY
-- ENT00580_Test_Bench(ARCH00580_Test_Bench)
--
-- REVISION HISTORY:
--
-- 20-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
package PKG00580 is
type COLOR is (RED, YELLOW, GREEN, BROWN, TAN, WHITE, BLUE) ;
type LIGHTS is (RED, YELLOW, GREEN, BROWN, TAN, WHITE, BLUE) ;
function F1 (A : COLOR := RED; B : LIGHTS := RED) return COLOR;
function F1 (A : LIGHTS := BLUE; B : COLOR := BLUE) return LIGHTS;
function "+" ( L,R : COLOR ) return COLOR ;
function "+" ( L,R : LIGHTS ) return LIGHTS ;
end PKG00580 ;
package body PKG00580 is
function F1 (A : COLOR := RED; B : LIGHTS := RED) return COLOR is
variable RESULT : COLOR := RED;
begin
if (A /= RED) and (B /= BLUE) then
RESULT := COLOR'PRED (A) ;
return RESULT ;
else
return GREEN;
end if;
end F1;
function F1 (A : LIGHTS := BLUE; B : COLOR := BLUE) return LIGHTS is
variable RESULT : LIGHTS := RED;
begin
if (A /= RED) and (B /= BLUE) then
RESULT := LIGHTS'PRED (A) ;
return RESULT ;
else
return GREEN;
end if;
end F1;
function "+" ( L,R : COLOR ) return COLOR is
begin
return COLOR'Val ((COLOR'Pos (L) + COLOR'Pos (R)) mod 7) ;
end "+" ;
function "+" ( L,R : LIGHTS ) return LIGHTS is
begin
return LIGHTS'Val (COLOR'Pos (COLOR'Val (LIGHTS'Pos (L)) +
COLOR'Val (LIGHTS'Pos (R))
)
) ;
end "+" ;
end PKG00580 ;
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00580.all ;
entity ENT00580_Test_Bench is
end ENT00580_Test_Bench ;
architecture ARCH00580_Test_Bench of ENT00580_Test_Bench is
begin
L1 :
block
constant CC1 : COLOR := F1 ; -- set to GREEN
constant LC1 : LIGHTS := F1 ; -- set to GREEN
constant CC2 : COLOR := F1 (COLOR'(GREEN)) ; -- set to YELLOW
constant LC2 : LIGHTS := F1 (LIGHTS'(GREEN)) ; -- set to GREEN
constant CC3 : COLOR := F1 (B => BLUE) ; -- set to GREEN
constant LC3 : LIGHTS := F1 (B => BLUE) ; -- set to GREEN
constant CC4 : COLOR := COLOR'(YELLOW) + COLOR'(GREEN) ; -- set to BRO
constant LC4 : LIGHTS := LIGHTS'(YELLOW) + LIGHTS'(GREEN) ; -- set to BRO
constant CC5 : COLOR := COLOR'(YELLOW) + BROWN ; -- set to TAN
constant LC5 : LIGHTS := LIGHTS'(YELLOW) + BROWN ; -- set to TAN
constant CC6 : COLOR := YELLOW + TAN ; -- set to WHITE
constant LC6 : LIGHTS := YELLOW + TAN ; -- set to WHITE
begin
process
begin
test_report ( "ARCH00580" ,
"Check involving overloading context rule 1" ,
(CC1 = GREEN) and
(LC1 = GREEN) and
(CC2 = YELLOW) and
(LC2 = GREEN) and
(CC3 = GREEN) and
(LC3 = GREEN) and
(CC4 = BROWN) and
(LC4 = BROWN) and
(CC5 = TAN) and
(LC5 = TAN) and
(CC6 = WHITE) and
(LC6 = WHITE)
) ;
wait ;
end process ;
end block L1 ;
end ARCH00580_Test_Bench ;
--
|
architecture RTL of FIFO is
function func1 return integer is
begin
return 99;
end func1;
-- Violations follow
function func1 return integer is
begin
return 99;
end func1;
function func1 return integer is
begin
return 99;
end func1;
begin
end architecture RTL;
|
-- ____ _____
-- ________ _________ ____ / __ \/ ___/
-- / ___/ _ \/ ___/ __ \/ __ \/ / / /\__ \
-- / / / __/ /__/ /_/ / / / / /_/ /___/ /
-- /_/ \___/\___/\____/_/ /_/\____//____/
--
-- ======================================================================
--
-- title: IP-Core - MEMIF MMU - TLB
--
-- project: ReconOS
-- author: Christoph R??thing, University of Paderborn
-- description: The TLB (translation lookaside buffer) caches the last
-- address translations for faster access.
--
-- ======================================================================
<<reconos_preproc>>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use ieee.math_real.all;
entity reconos_memif_mmu_zynq_tlb is
generic (
C_TLB_SIZE : integer := 128;
C_TAG_SIZE : integer := 20;
C_DATA_SIZE : integer := 32
);
port (
-- TLB ports
TLB_Tag : in std_logic_vector(C_TAG_SIZE - 1 downto 0);
TLB_DI : in std_logic_vector(C_DATA_SIZE - 1 downto 0);
TLB_DO : out std_logic_vector(C_DATA_SIZE - 1 downto 0);
TLB_WE : in std_logic;
TLB_Hit : out std_logic;
TLB_Clk : in std_logic;
TLB_Rst : in std_logic
);
end entity reconos_memif_mmu_zynq_tlb;
architecture implementation of reconos_memif_mmu_zynq_tlb is
signal do : std_logic_vector(C_DATA_SIZE - 1 downto 0);
signal hit : std_logic;
type TAG_MEM_T is array (0 to C_TLB_SIZE - 1) of std_logic_vector(C_TAG_SIZE - 1 downto 0);
type DATA_MEM_T is array (0 to C_TLB_SIZE - 1) of std_logic_vector(C_DATA_SIZE - 1 downto 0);
signal valid : std_logic_vector(0 to C_TLB_SIZE - 1);
signal tag_mem : TAG_MEM_T;
signal data_mem : DATA_MEM_T;
--signal wrptr : std_logic_vector(clog2(C_TLB_SIZE) - 1 downto 0);
signal wrptr : std_logic_vector(integer(ceil(log2(real(C_TLB_SIZE)))) - 1 downto 0);
begin
TLB_DO <= do;
TLB_Hit <= hit;
write_proc : process(TLB_Clk,TLB_Rst) is
begin
if TLB_Rst = '1' then
wrptr <= (others => '0');
valid <= (others => '0');
elsif rising_edge(TLB_Clk) then
if TLB_WE = '1' then
tag_mem(CONV_INTEGER(wrptr)) <= TLB_Tag;
data_mem(CONV_INTEGER(wrptr)) <= TLB_DI;
valid(CONV_INTEGER(wrptr)) <= '1';
wrptr <= wrptr + 1;
end if;
end if;
end process write_proc;
read_proc : process(TLB_Tag,data_mem,valid,tag_mem) is
begin
hit <= '0';
do <= (others => '0');
-- loop over all tlb entries and take the first hit
for i in 0 to C_TLB_SIZE - 1 loop
if valid(i) = '1' and tag_mem(i) = TLB_Tag then
hit <= '1';
do <= data_mem(i);
exit;
end if;
end loop;
end process read_proc;
end architecture implementation;
|
entity func16 is
end entity func16;
architecture test of func16 is
constant STATE_WORD_SIZE : integer := 8;
type state_type is array (3 downto 0) of bit_vector(STATE_WORD_SIZE-1 downto 0);
function TestFunction (StateInxD : state_type) return state_type is
variable StateOutxD : state_type;
begin
StateOutxD := StateInxD;
return StateOutxD;
end function TestFunction;
begin
process is
variable r : state_type;
begin
r(0) := x"12";
r(1) := x"34";
r(2) := x"56";
r(3) := x"78";
r := TestFunction(r);
assert r(0) = x"12" report "Vector mismatch!!";
assert r(1) = x"34" report "Vector mismatch!!";
assert r(2) = x"56" report "Vector mismatch!!";
assert r(3) = x"78" report "Vector mismatch!!";
wait;
end process;
end architecture;
|
entity func16 is
end entity func16;
architecture test of func16 is
constant STATE_WORD_SIZE : integer := 8;
type state_type is array (3 downto 0) of bit_vector(STATE_WORD_SIZE-1 downto 0);
function TestFunction (StateInxD : state_type) return state_type is
variable StateOutxD : state_type;
begin
StateOutxD := StateInxD;
return StateOutxD;
end function TestFunction;
begin
process is
variable r : state_type;
begin
r(0) := x"12";
r(1) := x"34";
r(2) := x"56";
r(3) := x"78";
r := TestFunction(r);
assert r(0) = x"12" report "Vector mismatch!!";
assert r(1) = x"34" report "Vector mismatch!!";
assert r(2) = x"56" report "Vector mismatch!!";
assert r(3) = x"78" report "Vector mismatch!!";
wait;
end process;
end architecture;
|
entity func16 is
end entity func16;
architecture test of func16 is
constant STATE_WORD_SIZE : integer := 8;
type state_type is array (3 downto 0) of bit_vector(STATE_WORD_SIZE-1 downto 0);
function TestFunction (StateInxD : state_type) return state_type is
variable StateOutxD : state_type;
begin
StateOutxD := StateInxD;
return StateOutxD;
end function TestFunction;
begin
process is
variable r : state_type;
begin
r(0) := x"12";
r(1) := x"34";
r(2) := x"56";
r(3) := x"78";
r := TestFunction(r);
assert r(0) = x"12" report "Vector mismatch!!";
assert r(1) = x"34" report "Vector mismatch!!";
assert r(2) = x"56" report "Vector mismatch!!";
assert r(3) = x"78" report "Vector mismatch!!";
wait;
end process;
end architecture;
|
entity func16 is
end entity func16;
architecture test of func16 is
constant STATE_WORD_SIZE : integer := 8;
type state_type is array (3 downto 0) of bit_vector(STATE_WORD_SIZE-1 downto 0);
function TestFunction (StateInxD : state_type) return state_type is
variable StateOutxD : state_type;
begin
StateOutxD := StateInxD;
return StateOutxD;
end function TestFunction;
begin
process is
variable r : state_type;
begin
r(0) := x"12";
r(1) := x"34";
r(2) := x"56";
r(3) := x"78";
r := TestFunction(r);
assert r(0) = x"12" report "Vector mismatch!!";
assert r(1) = x"34" report "Vector mismatch!!";
assert r(2) = x"56" report "Vector mismatch!!";
assert r(3) = x"78" report "Vector mismatch!!";
wait;
end process;
end architecture;
|
entity func16 is
end entity func16;
architecture test of func16 is
constant STATE_WORD_SIZE : integer := 8;
type state_type is array (3 downto 0) of bit_vector(STATE_WORD_SIZE-1 downto 0);
function TestFunction (StateInxD : state_type) return state_type is
variable StateOutxD : state_type;
begin
StateOutxD := StateInxD;
return StateOutxD;
end function TestFunction;
begin
process is
variable r : state_type;
begin
r(0) := x"12";
r(1) := x"34";
r(2) := x"56";
r(3) := x"78";
r := TestFunction(r);
assert r(0) = x"12" report "Vector mismatch!!";
assert r(1) = x"34" report "Vector mismatch!!";
assert r(2) = x"56" report "Vector mismatch!!";
assert r(3) = x"78" report "Vector mismatch!!";
wait;
end process;
end architecture;
|
--
-- Authors: Francisco Paiva Knebel
-- Gabriel Alexandre Zillmer
--
-- Universidade Federal do Rio Grande do Sul
-- Instituto de Informática
-- Sistemas Digitais
-- Prof. Fernanda Lima Kastensmidt
--
-- Create Date: 11:07:47 05/03/2016
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mux is
port (
REG1 : in std_logic_vector(7 downto 0);
REG2 : in std_logic_vector(7 downto 0);
sel : in std_logic;
S : out std_logic_vector(7 downto 0)
);
end mux;
architecture Behavioral of mux is
begin
S <= REG1 when sel = '0' else
REG2 when sel = '1';
end Behavioral; |
-------------------------------------------------------------------------------
-- axi_vdma_s2mm_linebuf
-------------------------------------------------------------------------------
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_s2mm_linebuf.vhd
-- Description: This entity encompases the line buffer logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_cdc_v1_0;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_s2mm_linebuf is
generic (
C_DATA_WIDTH : integer range 8 to 1024 := 32;
-- Line Buffer Data Width
C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0;
C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0;
-- Enable/Disable start of frame generation on tuser(0). This
-- is only valid for external frame sync (C_USE_FSYNC = 1)
-- 0 = disable SOF
-- 1 = enable SOF
C_S_AXIS_S2MM_TUSER_BITS : integer range 1 to 1 := 1;
-- Slave AXI Stream User Width for S2MM Channel
C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142
-- Depth as set by user at top level parameter
C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512;
-- Linebuffer depth in Bytes. Must be a power of 2
C_LINEBUFFER_AF_THRESH : integer range 1 to 65536 := 1;
-- Linebuffer almost full threshold in Bytes. Must be a power of 2
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_USE_S2MM_FSYNC : integer range 0 to 2 := 2; --2013.1
C_USE_FSYNC : integer range 0 to 1 := 0;
C_INCLUDE_MM2S : integer range 0 to 1 := 0 ;
C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1;
-- Setting this make core backward compatible to 2012.4 version in terms of ports and registers
--C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 --
--C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 --
C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1;
-- Enable debug information bit 0
C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1;
-- Enable debug information bit 1
C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1;
-- Enable debug information bit 2
C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1;
-- Enable debug information bit 3
C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1;
-- Enable debug information bit 4
C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1;
-- Enable debug information bit 5
C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1;
-- Enable debug information bit 6
C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1;
-- Enable debug information bit 7
C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1;
-- Enable debug information bit 8
C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1;
-- Enable debug information bit 9
C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1;
-- Enable debug information bit 10
C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1;
-- Enable debug information bit 11
C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1;
-- Enable debug information bit 12
C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1;
-- Enable debug information bit 13
C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1;
-- Enable debug information bit 14
C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1;
-- Enable debug information bit 15
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
s_axis_aclk : in std_logic ; --
s_axis_resetn : in std_logic ; --
--
m_axis_aclk : in std_logic ; --
m_axis_resetn : in std_logic ; --
--
s2mm_axis_linebuf_reset_out : out std_logic ; --
--
strm_not_finished : in std_logic ; --
-- Graceful shut down control --
run_stop : in std_logic ; --
dm_halt : in std_logic ; -- CR591965
dm_halt_cmplt : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err_s : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err : in std_logic ; -- CR591965
--
-- Line Tracking Control --
crnt_vsize : in std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
crnt_vsize_d2_s : out std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
chnl_ready_external : in std_logic ; -- CR575884
s2mm_fsync_core : out std_logic ; -- CR575884
s2mm_fsync : in std_logic ; -- CR575884
s2mm_tuser_fsync_top : in std_logic ; -- CR575884
mm2s_axis_resetn : in std_logic := '1' ; --
m_axis_mm2s_aclk : in std_logic := '0' ; --
mm2s_fsync : in std_logic ; --
fsync_src_select : in std_logic_vector(1 downto 0) ; --
fsync_src_select_s : out std_logic_vector(1 downto 0) ; --
drop_fsync_d_pulse_gen_fsize_less_err : out std_logic ; --
hold_dummy_tready_low : out std_logic ; --
hold_dummy_tready_low2 : out std_logic ; --
s2mm_dmasr_fsize_less_err : in std_logic ; --
no_fsync_before_vsize_sel_00_01 : in std_logic ; -- CR575884
s2mm_fsize_mismatch_err_flag : in std_logic ; -- CR575884
fsync_out_m : out std_logic ; -- CR575884
fsync_out : in std_logic ; -- CR575884
frame_sync : in std_logic ; -- CR575884
--
-- Line Buffer Threshold --
linebuf_threshold : in std_logic_vector --
(LINEBUFFER_THRESH_WIDTH-1 downto 0); --
-- Stream In --
s_axis_tdata : in std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
s_axis_tkeep : in std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
s_axis_tlast : in std_logic ; --
s_axis_tvalid : in std_logic ; --
s_axis_tready : out std_logic ; --
s_axis_tuser : in std_logic_vector --
(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0); --
capture_dm_done_vsize_counter : out std_logic_vector(12 downto 0); --
-- Stream Out --
m_axis_tdata : out std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
m_axis_tkeep : out std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
m_axis_tlast : out std_logic ; --
m_axis_tvalid : out std_logic ; --
m_axis_tready : in std_logic ; --
--
-- Fifo Status Flags --
s2mm_fifo_full : out std_logic ; --
s2mm_fifo_almost_full : out std_logic ; --
s2mm_all_lines_xfred : out std_logic ; -- CR591965
all_lasts_rcvd : out std_logic ;
s2mm_tuser_fsync : out std_logic
);
end axi_vdma_s2mm_linebuf;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_s2mm_linebuf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Bufer depth
--constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8));
constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH;
-- Buffer width is data width + strobe width + 1 bit for tlast
constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8)*C_INCLUDE_S2MM_DRE + 1; --tkeep
-- Buffer data count width
constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH);
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_TWO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0');
-- Linebuffer threshold support
constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0');
signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0):= (others => '0');
signal fifo_wren : std_logic := '0';
signal fifo_rden : std_logic := '0';
signal fifo_empty_i : std_logic := '0';
signal fifo_full_i : std_logic := '0';
signal fifo_ainit : std_logic := '0';
signal fifo_wrcount : std_logic_vector(DATACOUNT_WIDTH-1 downto 0);
signal fifo_almost_full_i : std_logic := '0'; -- CR604273/CR604272
signal s_axis_tready_i : std_logic := '0';
signal s_axis_tvalid_i : std_logic := '0';
signal s_axis_tlast_i : std_logic := '0';
signal s_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0');
signal s_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tuser_i : std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0');
signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
signal chnl_ready : std_logic := '0';
signal s_axis_tready_out : std_logic := '0';
signal slv2skid_s_axis_tvalid : std_logic := '0';
signal data_count_af_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal s_data_count_af_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal dm_halt_reg : std_logic := '0'; -- CR591965
signal run_stop_reg : std_logic := '0'; -- CR591965
signal s_axis_fifo_ainit : std_logic := '0';
signal s_axis_tuser_d1 : std_logic := '0';
signal tuser_fsync : std_logic := '0';
signal m_axis_fifo_ainit : std_logic := '0'; -- CR623449
signal done_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR623449
signal m_axis_tlast_i : std_logic := '0'; -- CR623449
signal m_axis_tvalid_i : std_logic := '0'; -- CR623449
signal done_decr_vcount : std_logic := '0'; -- CR623449
signal p_fsync_out : std_logic := '0';
-- Added for CR626585
signal s2mm_all_lines_xfred_i : std_logic := '0';
signal s_axis_fifo_ainit_nosync : std_logic := '0';
signal m_axis_fifo_ainit_nosync : std_logic := '0';
signal s2mm_axis_linebuf_reset_out_inv : std_logic := '0';
signal s2mm_tuser_fsync_sig : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_d1 : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_fe : std_logic := '0';
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_d1 : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
fsync_out_m <= p_fsync_out;
s2mm_axis_linebuf_reset_out_inv <= s_axis_fifo_ainit_nosync ;
s2mm_tuser_fsync <= s2mm_tuser_fsync_sig ;
crnt_vsize_d2_s <= crnt_vsize_d2 ;
s2mm_axis_linebuf_reset_out <= not(s2mm_axis_linebuf_reset_out_inv) ;
s_axis_fifo_ainit_nosync <= '1' when (s_axis_resetn = '0')
or (dm_halt_reg = '1')
else '0';
m_axis_fifo_ainit_nosync <= '1' when (m_axis_resetn = '0')
or (dm_halt = '1')
else '0';
-- fifo ainit in the S_AXIS clock domain
s_axis_fifo_ainit <= '1' when (s_axis_resetn = '0')
or (fsync_out = '1') -- CR591965
or (dm_halt_reg = '1') -- CR591965
else '0';
m_axis_fifo_ainit <= '1' when (m_axis_resetn = '0')
or (frame_sync = '1') -- CR623449
or (dm_halt = '1') -- CR623449
else '0'; -- CR623449
GEN_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 1 and (C_ENABLE_DEBUG_INFO_12 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate
begin
S2MM_DMASR_BIT7_D1 : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0')then
s2mm_dmasr_fsize_less_err_d1 <= '0';
else
s2mm_dmasr_fsize_less_err_d1 <= s2mm_dmasr_fsize_less_err;
end if;
end if;
end process S2MM_DMASR_BIT7_D1;
s2mm_dmasr_fsize_less_err_fe <= s2mm_dmasr_fsize_less_err_d1 and not s2mm_dmasr_fsize_less_err;
DM_VSIZE_AT_FSIZE_LESS_ERR : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' or s2mm_dmasr_fsize_less_err_fe = '1')then
capture_dm_done_vsize_counter <= (others => '0');
elsif (s2mm_fsize_mismatch_err = '1' and s2mm_dmasr_fsize_less_err = '0')then
capture_dm_done_vsize_counter <= done_vsize_counter;
end if;
end if;
end process DM_VSIZE_AT_FSIZE_LESS_ERR;
end generate GEN_VSIZE_SNAPSHOT_LOGIC;
GEN_NO_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 0 or (C_ENABLE_DEBUG_INFO_12 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate
begin
capture_dm_done_vsize_counter <= (others => '0');
end generate GEN_NO_VSIZE_SNAPSHOT_LOGIC;
GEN_S2MM_DRE_ON : if C_INCLUDE_S2MM_DRE = 1 generate
begin
m_axis_tkeep <= m_axis_tkeep_signal;
s_axis_tkeep_signal <= s_axis_tkeep;
end generate GEN_S2MM_DRE_ON;
GEN_S2MM_DRE_OFF : if C_INCLUDE_S2MM_DRE = 0 generate
begin
m_axis_tkeep <= (others => '1');
s_axis_tkeep_signal <= (others => '1');
end generate GEN_S2MM_DRE_OFF;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_FSYNC_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 0) generate
type STRM_WR_SM_TYPE is (STRM_WR_IDLE,
STRM_WR_START,
STRM_WR_RUNNING,
STRM_WR_LAST
);
signal strm_write_ns : STRM_WR_SM_TYPE;
signal strm_write_cs : STRM_WR_SM_TYPE;
type FIFO_RD_SM_TYPE is (FIFO_RD_IDLE,
-- FIFO_RD_START,
FIFO_RD_RUNNING,
FIFO_RD_FSYNC,
FIFO_RD_FSYNC_LAST,
FIFO_RD_LAST
);
signal fifo_read_ns : FIFO_RD_SM_TYPE;
signal fifo_read_cs : FIFO_RD_SM_TYPE;
signal load_counter : std_logic := '0';
signal load_counter_sm : std_logic := '0';
signal strm_write_pending_sm : std_logic := '0';
signal strm_write_pending : std_logic := '0';
signal fifo_rd_pending_sm : std_logic := '0';
signal fifo_rd_pending : std_logic := '0';
signal stop_tready_sm : std_logic := '0';
signal stop_tready : std_logic := '0';
signal strm_write_pending_m_axi : std_logic := '0';
signal stop_tready_s_axi : std_logic := '0';
signal dm_halt_frame : std_logic := '0';
begin
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
------ GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
------ begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig ;
----- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
-----
-----
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
----- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
----
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
----
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
----
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
----
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
----- end generate GEN_MSTR_SKID_NO_SOF;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--s_axis_tready <= s_axis_tready_out and not chnl_fsync ;
s_axis_tready <= s_axis_tready_out and chnl_ready and
not stop_tready_s_axi ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi ;
-- Generate start of frame fsync
------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
------- begin
-------
------- TUSER_RE_PROCESS : process(s_axis_aclk)
------- begin
------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
------- if(s_axis_fifo_ainit_nosync = '1')then
------- s_axis_tuser_d1 <= '0';
------- else
------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
------- end if;
------- end if;
------- end process TUSER_RE_PROCESS;
-------
------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-------
------- end generate GEN_SOF_FSYNC;
-------
------- -- Do not generate start of frame fsync
------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
------- begin
tuser_fsync <= '0';
------- end generate GEN_NO_SOF_FSYNC;
-------
-------
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready_out <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
----- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
----- begin
-----
----- TUSER_RE_PROCESS : process(s_axis_aclk)
----- begin
----- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
----- if(s_axis_fifo_ainit_nosync = '1')then
----- s_axis_tuser_d1 <= '0';
----- else
----- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
----- end if;
----- end if;
----- end process TUSER_RE_PROCESS;
-----
----- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-----
----- end generate GEN_SOF_FSYNC;
-----
----- -- Do not generate start of frame fsync
----- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
tuser_fsync <= '0';
----- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_1_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_1_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
-- WR_PENDING_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
-- generic map(
-- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
-- C_VECTOR_WIDTH => DATACOUNT_WIDTH
-- )
-- port map (
-- prmry_aclk => m_axis_aclk ,
-- prmry_resetn => m_axis_resetn ,
-- scndry_aclk => s_axis_aclk ,
-- scndry_resetn => s_axis_resetn ,
-- scndry_in => '0' ,
-- prmry_out => open ,
-- prmry_in => stop_tready ,
-- scndry_out => stop_tready_s_axi ,
-- scndry_vect_s_h => '0' ,
-- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
-- prmry_vect_out => open ,
-- prmry_vect_s_h => '1' ,
-- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
-- scndry_vect_out => open
-- );
--
WR_PENDING_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => stop_tready,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => stop_tready_s_axi,
scndry_vect_out => open
);
---- WR_PENDING_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P ,
---- C_VECTOR_WIDTH => DATACOUNT_WIDTH
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => strm_write_pending ,
---- prmry_out => strm_write_pending_m_axi ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '1' ,
---- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
---- scndry_vect_out => open
---- );
----
WR_PENDING_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => strm_write_pending,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => strm_write_pending_m_axi,
scndry_vect_out => open
);
--GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
--begin
FIFO_SIDE_DM_HALT_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' and p_fsync_out = '0')then
dm_halt_frame <= '0';
elsif (p_fsync_out = '1') then
dm_halt_frame <= '0';
elsif (dm_halt = '1') then
dm_halt_frame <= '1';
end if;
end if;
end process FIFO_SIDE_DM_HALT_REG;
--end generate GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF;
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
dm_halt_frame <= dm_halt;
s2mm_tuser_fsync_sig <= tuser_fsync;
p_fsync_out <= fsync_out;
--s2mm_all_lines_xfred <= all_lines_xfred; -- CR591965/CR623449
s_data_count_af_thresh <= data_count_af_threshold;
strm_write_pending_m_axi <= strm_write_pending;
stop_tready_s_axi <= stop_tready;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
----GEN_NO_SOF_SM : if C_S2MM_SOF_ENABLE = 0 generate
----begin
STRM_SIDE_SM: process (strm_write_cs,
fsync_out,
decr_vcount,
vsize_counter)is
begin
strm_write_pending_sm <= '0';
strm_write_ns <= strm_write_cs;
case strm_write_cs is
when STRM_WR_IDLE =>
if(fsync_out = '1') then
strm_write_ns <= STRM_WR_RUNNING;
strm_write_pending_sm <= '1';
end if;
when STRM_WR_RUNNING =>
if (decr_vcount = '1' and
vsize_counter = VSIZE_ONE_VALUE) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
elsif (decr_vcount = '1' and
vsize_counter = VSIZE_TWO_VALUE) then
strm_write_ns <= STRM_WR_LAST;
end if;
strm_write_pending_sm <= '1';
when STRM_WR_LAST =>
if (decr_vcount = '1' ) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
end if;
strm_write_pending_sm <= '1';
-- coverage off
when others =>
strm_write_ns <= STRM_WR_IDLE;
-- coverage on
end case;
end process STRM_SIDE_SM;
STRM_SIDE_SM_REG : process(s_axis_aclk) is
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1' and fsync_out = '0')then
strm_write_cs <= STRM_WR_IDLE;
strm_write_pending <= '0';
else
strm_write_cs <= strm_write_ns;
strm_write_pending <= strm_write_pending_sm;
end if;
end if;
end process STRM_SIDE_SM_REG;
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
elsif(load_counter = '1')then
done_vsize_counter <= crnt_vsize;
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
end if;
end if;
end process DONE_VERT_COUNTER;
FIFO_SIDE_SM: process (fifo_read_cs,
done_decr_vcount,
p_fsync_out,
done_vsize_counter,
strm_write_pending_m_axi,
crnt_vsize)is
begin
fifo_read_ns <= fifo_read_cs;
load_counter_sm <= '0';
fifo_rd_pending_sm <= '0';
stop_tready_sm <= '0';
case fifo_read_cs is
when FIFO_RD_IDLE =>
if(p_fsync_out = '1') then
fifo_rd_pending_sm <= '1';
load_counter_sm <= '1';
if (crnt_vsize = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
else
fifo_read_ns <= FIFO_RD_RUNNING;
end if;
end if;
when FIFO_RD_RUNNING =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
else
fifo_read_ns <= FIFO_RD_FSYNC;
end if;
else
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
end if;
end if;
fifo_rd_pending_sm <= '1';
when FIFO_RD_FSYNC =>
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_FSYNC_LAST =>
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
stop_tready_sm <= '0';
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_LAST =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
else
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
else
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_IDLE;
fifo_rd_pending_sm <= '0';
end if;
end if;
fifo_rd_pending_sm <= '1';
-- coverage off
when others =>
fifo_read_ns <= FIFO_RD_IDLE;
-- coverage on
end case;
end process FIFO_SIDE_SM;
FIFO_SIDE_SM_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0' ) or
dm_halt_frame = '1')then
fifo_read_cs <= FIFO_RD_IDLE;
load_counter <= '0';
fifo_rd_pending <= '0';
stop_tready <= '0';
else
fifo_read_cs <= fifo_read_ns;
load_counter <= load_counter_sm;
fifo_rd_pending <= fifo_rd_pending_sm;
stop_tready <= stop_tready_sm;
end if;
end if;
end process FIFO_SIDE_SM_REG;
DONE_XFER_SIG : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
s2mm_all_lines_xfred_i <= '1';
elsif(load_counter = '1' )then
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_XFER_SIG;
----end generate GEN_NO_SOF_SM;
all_lasts_rcvd <= not strm_write_pending_m_axi;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_NO_FSYNC_LOGIC : if ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
----
---- GEN_SYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
---- end generate GEN_SYNC_FIFO_NO_SOF;
----
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
----
---- GEN_ASYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
----
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
---- end generate GEN_ASYNC_FIFO_NO_SOF;
----
----
----
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
---- GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
---- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
---- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
s_axis_tready <= s_axis_tready_out and chnl_ready;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready;
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready;
s_axis_tready_out <= m_axis_tready and chnl_ready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Generate vertical size counter for case when SOF not used
GEN_NO_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 0 generate
begin
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_NO_SOF_VCOUNT;
----
----
----
------ Generate vertical size counter for case when SOF is used
GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
begin
chnl_ready <= run_stop_reg;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_SOF_VCOUNT;
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
all_lasts_rcvd <= s2mm_all_lines_xfred_i;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_NO_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_S2MM_FLUSH_SOF_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1) generate
signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0');
signal mmap_not_finished : std_logic := '0';
signal mmap_not_finished_s : std_logic := '0';
signal mm2s_fsync_s2mm_s : std_logic := '0';
signal s2mm_fsync_int : std_logic := '0';
signal s2mm_fsync_d_pulse : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag_d1 : std_logic := '0';
signal sig_drop_fsync_d_pulse_gen_fsize_less_err : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0';
signal dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0';
signal d_fsync_halt_cmplt_s : std_logic := '0';
signal fsize_err_to_dm_halt_flag : std_logic := '0';
signal fsize_err_to_dm_halt_flag_ored : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true";
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER_FLUSH_SOF : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO_FLUSH_SOF;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ((C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO_FLUSH_SOF;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
end generate GEN_THRESHOLD_ENABLED_FLUSH_SOF;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED_FLUSH_SOF;
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
-- I_MSTR_SKID_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_skid_buf
-- generic map(
-- C_WDATA_WIDTH => C_DATA_WIDTH ,
-- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
-- )
-- port map(
-- -- System Ports
-- ACLK => s_axis_aclk ,
-- ARST => s_axis_fifo_ainit ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => '0' ,
--
-- -- Slave Side (Stream Data Input)
-- S_VALID => slv2skid_s_axis_tvalid ,
-- S_READY => s_axis_tready_out ,
-- S_Data => s_axis_tdata ,
-- S_STRB => s_axis_tkeep ,
-- S_Last => s_axis_tlast ,
-- S_User => s_axis_tuser ,
--
-- -- Master Side (Stream Data Output)
-- M_VALID => s_axis_tvalid_i ,
-- M_READY => s_axis_tready_i ,
-- M_Data => s_axis_tdata_i ,
-- M_STRB => s_axis_tkeep_i ,
-- M_Last => s_axis_tlast_i ,
-- M_User => s_axis_tuser_i
-- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--------s_axis_tready <= s_axis_tready_out and chnl_ready_external;
s_axis_tready <= s_axis_tready_out ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
------slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready_external;
slv2skid_s_axis_tvalid <= s_axis_tvalid ;
end generate GEN_LINEBUFFER_FLUSH_SOF;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER_FLUSH_SOF : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid;
--------------------m_axis_tvalid_i <= s_axis_tvalid and chnl_ready_external;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
----------s_axis_tready_i <= m_axis_tready and chnl_ready_external;
s_axis_tready_i <= m_axis_tready;
---------s_axis_tready_out <= m_axis_tready and chnl_ready_external;
s_axis_tready_out <= m_axis_tready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-------------------------- -- Generate start of frame fsync
-------------------------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
-------------------------- begin
--------------------------
-------------------------- TUSER_RE_PROCESS : process(s_axis_aclk)
-------------------------- begin
-------------------------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
-------------------------- if(s_axis_fifo_ainit_nosync = '1')then
-------------------------- s_axis_tuser_d1 <= '0';
-------------------------- else
-------------------------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
-------------------------- end if;
-------------------------- end if;
-------------------------- end process TUSER_RE_PROCESS;
--------------------------
-------------------------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
--------------------------
-------------------------- end generate GEN_SOF_FSYNC;
--------------------------
-------------------------- -- Do not generate start of frame fsync
-------------------------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
-------------------------- begin
-------------------------- tuser_fsync <= '0';
-------------------------- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER_FLUSH_SOF;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
TUSER_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
---- MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mmap_not_finished ,
---- scndry_out => mmap_not_finished_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => mmap_not_finished,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mmap_not_finished_s,
scndry_vect_out => open
);
GEN_FSYNC_SEL_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
fsync_src_select_cdc_tig <= fsync_src_select;
fsync_src_select_d1 <= fsync_src_select_cdc_tig;
end if;
end process GEN_FSYNC_SEL_CROSSING;
fsync_src_select_s_int <= fsync_src_select_d1;
GEN_FOR_ASYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
---- CROSS_FSYNC_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_mm2s_aclk ,
---- prmry_resetn => mm2s_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mm2s_fsync ,
---- scndry_out => mm2s_fsync_s2mm_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
CROSS_FSYNC_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_mm2s_aclk,
prmry_resetn => mm2s_axis_resetn,
prmry_in => mm2s_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mm2s_fsync_s2mm_s,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC_CROSS_FSYNC;
GEN_FOR_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_ASYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_ASYNC_FLUSH_SOF;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
mmap_not_finished_s <= mmap_not_finished;
fsync_src_select_s_int <= fsync_src_select;
dm_halt_reg <= dm_halt;
--dm_halt_cmplt_s <= dm_halt_cmplt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
GEN_FOR_SYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
mm2s_fsync_s2mm_s <= mm2s_fsync;
end generate GEN_FOR_SYNC_CROSS_FSYNC;
GEN_FOR_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_SYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_SYNC_FLUSH_SOF;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-----------------------GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
-----------------------begin
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER_FLUSH_SOF : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit = '1' and p_fsync_out = '0') or s2mm_fsize_mismatch_err_flag = '1')then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
mmap_not_finished <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
mmap_not_finished <= '1';
end if;
end if;
end process DONE_VERT_COUNTER_FLUSH_SOF;
delay_s2mm_fsync_core_till_mmap_done <= '1' when mmap_not_finished_s = '1' and strm_not_finished = '0' and s2mm_fsync_int = '1' and delay_s2mm_fsync_core_till_mmap_done_flag = '0'
else '0';
hold_dummy_tready_low <= delay_s2mm_fsync_core_till_mmap_done or delay_s2mm_fsync_core_till_mmap_done_flag;
HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or mmap_not_finished_s = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '0';
elsif(delay_s2mm_fsync_core_till_mmap_done = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '1';
end if;
end if;
end process HOLD_DELAY_FSYNC_IN_FLAG;
D1_HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= '0';
else
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= delay_s2mm_fsync_core_till_mmap_done_flag;
end if;
end if;
end process D1_HOLD_DELAY_FSYNC_IN_FLAG;
s2mm_fsync_d_pulse <= delay_s2mm_fsync_core_till_mmap_done_flag_d1 and (not delay_s2mm_fsync_core_till_mmap_done_flag) ;
s2mm_fsync_core <= (s2mm_fsync_int and not (delay_s2mm_fsync_core_till_mmap_done) and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or s2mm_fsync_d_pulse or d_fsync_halt_cmplt_s;
sig_drop_fsync_d_pulse_gen_fsize_less_err <= '1' when delay_s2mm_fsync_core_till_mmap_done_flag = '1' and s2mm_fsync_int = '1'
else '0';
GEN_FOR_C_USE_S2MM_FSYNC_1 : if C_USE_S2MM_FSYNC = 1 generate
begin
s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
end generate GEN_FOR_C_USE_S2MM_FSYNC_1;
GEN_FOR_C_USE_S2MM_FSYNC_2 : if C_USE_S2MM_FSYNC = 2 generate
begin
s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
end generate GEN_FOR_C_USE_S2MM_FSYNC_2;
-- Frame sync cross bar
------ FSYNC_CROSSBAR_S2MM_S : process(fsync_src_select_s_int,
------ run_stop_reg,
------ s2mm_fsync,
------ mm2s_fsync_s2mm_s, no_fsync_before_vsize_sel_00_01,
------ s2mm_tuser_fsync_top)
------ begin
------ case fsync_src_select_s_int is
------
------ when "00" => -- primary fsync (default)
------ s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "01" => -- other channel fsync
------ s2mm_fsync_int <= mm2s_fsync_s2mm_s and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "10" => -- s2mm_tuser_fsync_top fsync (used only by s2mm)
------ s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
------ when others =>
------ s2mm_fsync_int <= '0';
------ end case;
------ end process FSYNC_CROSSBAR_S2MM_S;
------
-----------------------end generate GEN_SOF_VCOUNT;
S2MM_FSIZE_ERR_TO_DM_HALT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or dm_halt_reg = '1')then
fsize_err_to_dm_halt_flag <= '0';
elsif(s2mm_fsize_mismatch_err_s = '1')then
fsize_err_to_dm_halt_flag <= '1';
end if;
end if;
end process S2MM_FSIZE_ERR_TO_DM_HALT_FLAG;
fsize_err_to_dm_halt_flag_ored <= s2mm_fsize_mismatch_err_s or fsize_err_to_dm_halt_flag or dm_halt_reg;
delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and s2mm_fsync_int = '1'
else '0';
FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0';
elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1';
end if;
end if;
end process FSIZE_LESS_DM_HALT_CMPLT_FLAG;
REG_D_FSYNC : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0';
else
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
end if;
end if;
end process REG_D_FSYNC;
d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
hold_dummy_tready_low2 <= delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s or delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
s2mm_all_lines_xfred <= '0';
all_lasts_rcvd <= '0';
tuser_fsync <= '0';
fsync_src_select_s <= fsync_src_select_s_int;
drop_fsync_d_pulse_gen_fsize_less_err <= sig_drop_fsync_d_pulse_gen_fsize_less_err;
end generate GEN_S2MM_FLUSH_SOF_LOGIC;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_vdma_s2mm_linebuf
-------------------------------------------------------------------------------
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_s2mm_linebuf.vhd
-- Description: This entity encompases the line buffer logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_cdc_v1_0;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_s2mm_linebuf is
generic (
C_DATA_WIDTH : integer range 8 to 1024 := 32;
-- Line Buffer Data Width
C_INCLUDE_S2MM_DRE : integer range 0 to 1 := 0;
C_S2MM_SOF_ENABLE : integer range 0 to 1 := 0;
-- Enable/Disable start of frame generation on tuser(0). This
-- is only valid for external frame sync (C_USE_FSYNC = 1)
-- 0 = disable SOF
-- 1 = enable SOF
C_S_AXIS_S2MM_TUSER_BITS : integer range 1 to 1 := 1;
-- Slave AXI Stream User Width for S2MM Channel
C_TOPLVL_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512; -- CR625142
-- Depth as set by user at top level parameter
C_LINEBUFFER_DEPTH : integer range 0 to 65536 := 512;
-- Linebuffer depth in Bytes. Must be a power of 2
C_LINEBUFFER_AF_THRESH : integer range 1 to 65536 := 1;
-- Linebuffer almost full threshold in Bytes. Must be a power of 2
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 ;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
ENABLE_FLUSH_ON_FSYNC : integer range 0 to 1 := 0 ;
C_USE_S2MM_FSYNC : integer range 0 to 2 := 2; --2013.1
C_USE_FSYNC : integer range 0 to 1 := 0;
C_INCLUDE_MM2S : integer range 0 to 1 := 0 ;
C_ENABLE_DEBUG_ALL : integer range 0 to 1 := 1;
-- Setting this make core backward compatible to 2012.4 version in terms of ports and registers
--C_ENABLE_DEBUG_INFO : string := "1111111111111111"; -- 1 to 16 --
--C_ENABLE_DEBUG_INFO : bit_vector(15 downto 0) := (others => '1'); --15 downto 0 --
C_ENABLE_DEBUG_INFO_0 : integer range 0 to 1 := 1;
-- Enable debug information bit 0
C_ENABLE_DEBUG_INFO_1 : integer range 0 to 1 := 1;
-- Enable debug information bit 1
C_ENABLE_DEBUG_INFO_2 : integer range 0 to 1 := 1;
-- Enable debug information bit 2
C_ENABLE_DEBUG_INFO_3 : integer range 0 to 1 := 1;
-- Enable debug information bit 3
C_ENABLE_DEBUG_INFO_4 : integer range 0 to 1 := 1;
-- Enable debug information bit 4
C_ENABLE_DEBUG_INFO_5 : integer range 0 to 1 := 1;
-- Enable debug information bit 5
C_ENABLE_DEBUG_INFO_6 : integer range 0 to 1 := 1;
-- Enable debug information bit 6
C_ENABLE_DEBUG_INFO_7 : integer range 0 to 1 := 1;
-- Enable debug information bit 7
C_ENABLE_DEBUG_INFO_8 : integer range 0 to 1 := 1;
-- Enable debug information bit 8
C_ENABLE_DEBUG_INFO_9 : integer range 0 to 1 := 1;
-- Enable debug information bit 9
C_ENABLE_DEBUG_INFO_10 : integer range 0 to 1 := 1;
-- Enable debug information bit 10
C_ENABLE_DEBUG_INFO_11 : integer range 0 to 1 := 1;
-- Enable debug information bit 11
C_ENABLE_DEBUG_INFO_12 : integer range 0 to 1 := 1;
-- Enable debug information bit 12
C_ENABLE_DEBUG_INFO_13 : integer range 0 to 1 := 1;
-- Enable debug information bit 13
C_ENABLE_DEBUG_INFO_14 : integer range 0 to 1 := 1;
-- Enable debug information bit 14
C_ENABLE_DEBUG_INFO_15 : integer range 0 to 1 := 1;
-- Enable debug information bit 15
C_FAMILY : string := "virtex7"
-- Device family used for proper BRAM selection
);
port (
s_axis_aclk : in std_logic ; --
s_axis_resetn : in std_logic ; --
--
m_axis_aclk : in std_logic ; --
m_axis_resetn : in std_logic ; --
--
s2mm_axis_linebuf_reset_out : out std_logic ; --
--
strm_not_finished : in std_logic ; --
-- Graceful shut down control --
run_stop : in std_logic ; --
dm_halt : in std_logic ; -- CR591965
dm_halt_cmplt : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err_s : in std_logic ; -- CR591965
s2mm_fsize_mismatch_err : in std_logic ; -- CR591965
--
-- Line Tracking Control --
crnt_vsize : in std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
crnt_vsize_d2_s : out std_logic_vector -- CR575884
(VSIZE_DWIDTH-1 downto 0) ; -- CR575884
chnl_ready_external : in std_logic ; -- CR575884
s2mm_fsync_core : out std_logic ; -- CR575884
s2mm_fsync : in std_logic ; -- CR575884
s2mm_tuser_fsync_top : in std_logic ; -- CR575884
mm2s_axis_resetn : in std_logic := '1' ; --
m_axis_mm2s_aclk : in std_logic := '0' ; --
mm2s_fsync : in std_logic ; --
fsync_src_select : in std_logic_vector(1 downto 0) ; --
fsync_src_select_s : out std_logic_vector(1 downto 0) ; --
drop_fsync_d_pulse_gen_fsize_less_err : out std_logic ; --
hold_dummy_tready_low : out std_logic ; --
hold_dummy_tready_low2 : out std_logic ; --
s2mm_dmasr_fsize_less_err : in std_logic ; --
no_fsync_before_vsize_sel_00_01 : in std_logic ; -- CR575884
s2mm_fsize_mismatch_err_flag : in std_logic ; -- CR575884
fsync_out_m : out std_logic ; -- CR575884
fsync_out : in std_logic ; -- CR575884
frame_sync : in std_logic ; -- CR575884
--
-- Line Buffer Threshold --
linebuf_threshold : in std_logic_vector --
(LINEBUFFER_THRESH_WIDTH-1 downto 0); --
-- Stream In --
s_axis_tdata : in std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
s_axis_tkeep : in std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
s_axis_tlast : in std_logic ; --
s_axis_tvalid : in std_logic ; --
s_axis_tready : out std_logic ; --
s_axis_tuser : in std_logic_vector --
(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0); --
capture_dm_done_vsize_counter : out std_logic_vector(12 downto 0); --
-- Stream Out --
m_axis_tdata : out std_logic_vector --
(C_DATA_WIDTH-1 downto 0) ; --
m_axis_tkeep : out std_logic_vector --
((C_DATA_WIDTH/8)-1 downto 0) ; --
m_axis_tlast : out std_logic ; --
m_axis_tvalid : out std_logic ; --
m_axis_tready : in std_logic ; --
--
-- Fifo Status Flags --
s2mm_fifo_full : out std_logic ; --
s2mm_fifo_almost_full : out std_logic ; --
s2mm_all_lines_xfred : out std_logic ; -- CR591965
all_lasts_rcvd : out std_logic ;
s2mm_tuser_fsync : out std_logic
);
end axi_vdma_s2mm_linebuf;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_s2mm_linebuf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Bufer depth
--constant BUFFER_DEPTH : integer := max2(128,C_LINEBUFFER_DEPTH/(C_DATA_WIDTH/8));
constant BUFFER_DEPTH : integer := C_LINEBUFFER_DEPTH;
-- Buffer width is data width + strobe width + 1 bit for tlast
constant BUFFER_WIDTH : integer := C_DATA_WIDTH + (C_DATA_WIDTH/8)*C_INCLUDE_S2MM_DRE + 1; --tkeep
-- Buffer data count width
constant DATACOUNT_WIDTH : integer := clog2(BUFFER_DEPTH);
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-- Constants for line tracking logic
constant VSIZE_ONE_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,VSIZE_DWIDTH));
constant VSIZE_TWO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,VSIZE_DWIDTH));
constant VSIZE_ZERO_VALUE : std_logic_vector(VSIZE_DWIDTH-1 downto 0)
:= (others => '0');
constant ZERO_VALUE_VECT : std_logic_vector(255 downto 0) := (others => '0');
-- Linebuffer threshold support
constant THRESHOLD_LSB_INDEX : integer := clog2((C_DATA_WIDTH/8));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal fifo_din : std_logic_vector(BUFFER_WIDTH - 1 downto 0) := (others => '0');
signal fifo_dout : std_logic_vector(BUFFER_WIDTH - 1 downto 0):= (others => '0');
signal fifo_wren : std_logic := '0';
signal fifo_rden : std_logic := '0';
signal fifo_empty_i : std_logic := '0';
signal fifo_full_i : std_logic := '0';
signal fifo_ainit : std_logic := '0';
signal fifo_wrcount : std_logic_vector(DATACOUNT_WIDTH-1 downto 0);
signal fifo_almost_full_i : std_logic := '0'; -- CR604273/CR604272
signal s_axis_tready_i : std_logic := '0';
signal s_axis_tvalid_i : std_logic := '0';
signal s_axis_tlast_i : std_logic := '0';
signal s_axis_tdata_i : std_logic_vector(C_DATA_WIDTH-1 downto 0):= (others => '0');
signal s_axis_tkeep_i : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_axis_tkeep_signal : std_logic_vector((C_DATA_WIDTH/8)-1 downto 0) := (others => '0');
signal s_axis_tuser_i : std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 downto 0) := (others => '0');
signal crnt_vsize_cdc_tig : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d1 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal crnt_vsize_d2 : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal decr_vcount : std_logic := '0';
signal chnl_ready : std_logic := '0';
signal s_axis_tready_out : std_logic := '0';
signal slv2skid_s_axis_tvalid : std_logic := '0';
signal data_count_af_threshold_cdc_tig : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold_d1 : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal data_count_af_threshold : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal s_data_count_af_thresh : std_logic_vector(DATACOUNT_WIDTH-1 downto 0) := (others => '0');
signal dm_halt_reg : std_logic := '0'; -- CR591965
signal run_stop_reg : std_logic := '0'; -- CR591965
signal s_axis_fifo_ainit : std_logic := '0';
signal s_axis_tuser_d1 : std_logic := '0';
signal tuser_fsync : std_logic := '0';
signal m_axis_fifo_ainit : std_logic := '0'; -- CR623449
signal done_vsize_counter : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0'); -- CR623449
signal m_axis_tlast_i : std_logic := '0'; -- CR623449
signal m_axis_tvalid_i : std_logic := '0'; -- CR623449
signal done_decr_vcount : std_logic := '0'; -- CR623449
signal p_fsync_out : std_logic := '0';
-- Added for CR626585
signal s2mm_all_lines_xfred_i : std_logic := '0';
signal s_axis_fifo_ainit_nosync : std_logic := '0';
signal m_axis_fifo_ainit_nosync : std_logic := '0';
signal s2mm_axis_linebuf_reset_out_inv : std_logic := '0';
signal s2mm_tuser_fsync_sig : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_d1 : std_logic := '0';
signal s2mm_dmasr_fsize_less_err_fe : std_logic := '0';
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF crnt_vsize_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF crnt_vsize_d1 : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF data_count_af_threshold_d1 : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
fsync_out_m <= p_fsync_out;
s2mm_axis_linebuf_reset_out_inv <= s_axis_fifo_ainit_nosync ;
s2mm_tuser_fsync <= s2mm_tuser_fsync_sig ;
crnt_vsize_d2_s <= crnt_vsize_d2 ;
s2mm_axis_linebuf_reset_out <= not(s2mm_axis_linebuf_reset_out_inv) ;
s_axis_fifo_ainit_nosync <= '1' when (s_axis_resetn = '0')
or (dm_halt_reg = '1')
else '0';
m_axis_fifo_ainit_nosync <= '1' when (m_axis_resetn = '0')
or (dm_halt = '1')
else '0';
-- fifo ainit in the S_AXIS clock domain
s_axis_fifo_ainit <= '1' when (s_axis_resetn = '0')
or (fsync_out = '1') -- CR591965
or (dm_halt_reg = '1') -- CR591965
else '0';
m_axis_fifo_ainit <= '1' when (m_axis_resetn = '0')
or (frame_sync = '1') -- CR623449
or (dm_halt = '1') -- CR623449
else '0'; -- CR623449
GEN_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 1 and (C_ENABLE_DEBUG_INFO_12 = 1 or C_ENABLE_DEBUG_ALL = 1)) generate
begin
S2MM_DMASR_BIT7_D1 : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0')then
s2mm_dmasr_fsize_less_err_d1 <= '0';
else
s2mm_dmasr_fsize_less_err_d1 <= s2mm_dmasr_fsize_less_err;
end if;
end if;
end process S2MM_DMASR_BIT7_D1;
s2mm_dmasr_fsize_less_err_fe <= s2mm_dmasr_fsize_less_err_d1 and not s2mm_dmasr_fsize_less_err;
DM_VSIZE_AT_FSIZE_LESS_ERR : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' or s2mm_dmasr_fsize_less_err_fe = '1')then
capture_dm_done_vsize_counter <= (others => '0');
elsif (s2mm_fsize_mismatch_err = '1' and s2mm_dmasr_fsize_less_err = '0')then
capture_dm_done_vsize_counter <= done_vsize_counter;
end if;
end if;
end process DM_VSIZE_AT_FSIZE_LESS_ERR;
end generate GEN_VSIZE_SNAPSHOT_LOGIC;
GEN_NO_VSIZE_SNAPSHOT_LOGIC : if (C_USE_FSYNC = 0 or (C_ENABLE_DEBUG_INFO_12 = 0 and C_ENABLE_DEBUG_ALL = 0)) generate
begin
capture_dm_done_vsize_counter <= (others => '0');
end generate GEN_NO_VSIZE_SNAPSHOT_LOGIC;
GEN_S2MM_DRE_ON : if C_INCLUDE_S2MM_DRE = 1 generate
begin
m_axis_tkeep <= m_axis_tkeep_signal;
s_axis_tkeep_signal <= s_axis_tkeep;
end generate GEN_S2MM_DRE_ON;
GEN_S2MM_DRE_OFF : if C_INCLUDE_S2MM_DRE = 0 generate
begin
m_axis_tkeep <= (others => '1');
s_axis_tkeep_signal <= (others => '1');
end generate GEN_S2MM_DRE_OFF;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_FSYNC_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 0) generate
type STRM_WR_SM_TYPE is (STRM_WR_IDLE,
STRM_WR_START,
STRM_WR_RUNNING,
STRM_WR_LAST
);
signal strm_write_ns : STRM_WR_SM_TYPE;
signal strm_write_cs : STRM_WR_SM_TYPE;
type FIFO_RD_SM_TYPE is (FIFO_RD_IDLE,
-- FIFO_RD_START,
FIFO_RD_RUNNING,
FIFO_RD_FSYNC,
FIFO_RD_FSYNC_LAST,
FIFO_RD_LAST
);
signal fifo_read_ns : FIFO_RD_SM_TYPE;
signal fifo_read_cs : FIFO_RD_SM_TYPE;
signal load_counter : std_logic := '0';
signal load_counter_sm : std_logic := '0';
signal strm_write_pending_sm : std_logic := '0';
signal strm_write_pending : std_logic := '0';
signal fifo_rd_pending_sm : std_logic := '0';
signal fifo_rd_pending : std_logic := '0';
signal stop_tready_sm : std_logic := '0';
signal stop_tready : std_logic := '0';
signal strm_write_pending_m_axi : std_logic := '0';
signal stop_tready_s_axi : std_logic := '0';
signal dm_halt_frame : std_logic := '0';
begin
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ( (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
------ GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
------ begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig ;
----- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
-----
-----
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
----- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
----
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
----
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
----
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
----
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
----- end generate GEN_MSTR_SKID_NO_SOF;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--s_axis_tready <= s_axis_tready_out and not chnl_fsync ;
s_axis_tready <= s_axis_tready_out and chnl_ready and
not stop_tready_s_axi ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi ;
-- Generate start of frame fsync
------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
------- begin
-------
------- TUSER_RE_PROCESS : process(s_axis_aclk)
------- begin
------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
------- if(s_axis_fifo_ainit_nosync = '1')then
------- s_axis_tuser_d1 <= '0';
------- else
------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
------- end if;
------- end if;
------- end process TUSER_RE_PROCESS;
-------
------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-------
------- end generate GEN_SOF_FSYNC;
-------
------- -- Do not generate start of frame fsync
------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
------- begin
tuser_fsync <= '0';
------- end generate GEN_NO_SOF_FSYNC;
-------
-------
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready and
not stop_tready_s_axi;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready_out <= m_axis_tready and chnl_ready and
not stop_tready_s_axi;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
----- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
----- begin
-----
----- TUSER_RE_PROCESS : process(s_axis_aclk)
----- begin
----- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
----- if(s_axis_fifo_ainit_nosync = '1')then
----- s_axis_tuser_d1 <= '0';
----- else
----- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
----- end if;
----- end if;
----- end process TUSER_RE_PROCESS;
-----
----- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
-----
----- end generate GEN_SOF_FSYNC;
-----
----- -- Do not generate start of frame fsync
----- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
----- begin
tuser_fsync <= '0';
----- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_1_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_1_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
-- WR_PENDING_P_S_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
-- generic map(
-- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
-- C_VECTOR_WIDTH => DATACOUNT_WIDTH
-- )
-- port map (
-- prmry_aclk => m_axis_aclk ,
-- prmry_resetn => m_axis_resetn ,
-- scndry_aclk => s_axis_aclk ,
-- scndry_resetn => s_axis_resetn ,
-- scndry_in => '0' ,
-- prmry_out => open ,
-- prmry_in => stop_tready ,
-- scndry_out => stop_tready_s_axi ,
-- scndry_vect_s_h => '0' ,
-- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
-- prmry_vect_out => open ,
-- prmry_vect_s_h => '1' ,
-- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
-- scndry_vect_out => open
-- );
--
WR_PENDING_P_S_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => stop_tready,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => stop_tready_s_axi,
scndry_vect_out => open
);
---- WR_PENDING_S_P_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_S_P ,
---- C_VECTOR_WIDTH => DATACOUNT_WIDTH
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => strm_write_pending ,
---- prmry_out => strm_write_pending_m_axi ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '1' ,
---- prmry_vect_in => ZERO_VALUE_VECT(DATACOUNT_WIDTH-1 downto 0) ,
---- scndry_vect_out => open
---- );
----
WR_PENDING_S_P_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => strm_write_pending,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => strm_write_pending_m_axi,
scndry_vect_out => open
);
--GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
--begin
FIFO_SIDE_DM_HALT_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_resetn = '0' and p_fsync_out = '0')then
dm_halt_frame <= '0';
elsif (p_fsync_out = '1') then
dm_halt_frame <= '0';
elsif (dm_halt = '1') then
dm_halt_frame <= '1';
end if;
end if;
end process FIFO_SIDE_DM_HALT_REG;
--end generate GEN_FIFO_SIDE_DM_HALT_REG_NO_SOF;
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
dm_halt_frame <= dm_halt;
s2mm_tuser_fsync_sig <= tuser_fsync;
p_fsync_out <= fsync_out;
--s2mm_all_lines_xfred <= all_lines_xfred; -- CR591965/CR623449
s_data_count_af_thresh <= data_count_af_threshold;
strm_write_pending_m_axi <= strm_write_pending;
stop_tready_s_axi <= stop_tready;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
----GEN_NO_SOF_SM : if C_S2MM_SOF_ENABLE = 0 generate
----begin
STRM_SIDE_SM: process (strm_write_cs,
fsync_out,
decr_vcount,
vsize_counter)is
begin
strm_write_pending_sm <= '0';
strm_write_ns <= strm_write_cs;
case strm_write_cs is
when STRM_WR_IDLE =>
if(fsync_out = '1') then
strm_write_ns <= STRM_WR_RUNNING;
strm_write_pending_sm <= '1';
end if;
when STRM_WR_RUNNING =>
if (decr_vcount = '1' and
vsize_counter = VSIZE_ONE_VALUE) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
elsif (decr_vcount = '1' and
vsize_counter = VSIZE_TWO_VALUE) then
strm_write_ns <= STRM_WR_LAST;
end if;
strm_write_pending_sm <= '1';
when STRM_WR_LAST =>
if (decr_vcount = '1' ) then
strm_write_ns <= STRM_WR_IDLE;
strm_write_pending_sm <= '0';
end if;
strm_write_pending_sm <= '1';
-- coverage off
when others =>
strm_write_ns <= STRM_WR_IDLE;
-- coverage on
end case;
end process STRM_SIDE_SM;
STRM_SIDE_SM_REG : process(s_axis_aclk) is
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1' and fsync_out = '0')then
strm_write_cs <= STRM_WR_IDLE;
strm_write_pending <= '0';
else
strm_write_cs <= strm_write_ns;
strm_write_pending <= strm_write_pending_sm;
end if;
end if;
end process STRM_SIDE_SM_REG;
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
elsif(load_counter = '1')then
done_vsize_counter <= crnt_vsize;
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
end if;
end if;
end process DONE_VERT_COUNTER;
FIFO_SIDE_SM: process (fifo_read_cs,
done_decr_vcount,
p_fsync_out,
done_vsize_counter,
strm_write_pending_m_axi,
crnt_vsize)is
begin
fifo_read_ns <= fifo_read_cs;
load_counter_sm <= '0';
fifo_rd_pending_sm <= '0';
stop_tready_sm <= '0';
case fifo_read_cs is
when FIFO_RD_IDLE =>
if(p_fsync_out = '1') then
fifo_rd_pending_sm <= '1';
load_counter_sm <= '1';
if (crnt_vsize = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
else
fifo_read_ns <= FIFO_RD_RUNNING;
end if;
end if;
when FIFO_RD_RUNNING =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_ONE_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
else
fifo_read_ns <= FIFO_RD_FSYNC;
end if;
else
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_LAST;
end if;
end if;
fifo_rd_pending_sm <= '1';
when FIFO_RD_FSYNC =>
if (done_decr_vcount = '1' and
done_vsize_counter = VSIZE_TWO_VALUE) then
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_FSYNC_LAST =>
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
stop_tready_sm <= '0';
end if;
fifo_rd_pending_sm <= '1';
stop_tready_sm <= '1';
when FIFO_RD_LAST =>
if (p_fsync_out = '1') then
if (strm_write_pending_m_axi = '0') then
stop_tready_sm <= '1';
end if;
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_RUNNING;
load_counter_sm <= '1';
else
fifo_read_ns <= FIFO_RD_FSYNC_LAST;
end if;
else
if (done_decr_vcount = '1' ) then
fifo_read_ns <= FIFO_RD_IDLE;
fifo_rd_pending_sm <= '0';
end if;
end if;
fifo_rd_pending_sm <= '1';
-- coverage off
when others =>
fifo_read_ns <= FIFO_RD_IDLE;
-- coverage on
end case;
end process FIFO_SIDE_SM;
FIFO_SIDE_SM_REG : process(m_axis_aclk) is
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0' ) or
dm_halt_frame = '1')then
fifo_read_cs <= FIFO_RD_IDLE;
load_counter <= '0';
fifo_rd_pending <= '0';
stop_tready <= '0';
else
fifo_read_cs <= fifo_read_ns;
load_counter <= load_counter_sm;
fifo_rd_pending <= fifo_rd_pending_sm;
stop_tready <= stop_tready_sm;
end if;
end if;
end process FIFO_SIDE_SM_REG;
DONE_XFER_SIG : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit_nosync = '1' and p_fsync_out = '0')then
s2mm_all_lines_xfred_i <= '1';
elsif(load_counter = '1' )then
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_XFER_SIG;
----end generate GEN_NO_SOF_SM;
all_lasts_rcvd <= not strm_write_pending_m_axi;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_NO_FSYNC_LOGIC : if ENABLE_FLUSH_ON_FSYNC = 0 generate
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
----
---- GEN_SYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
---- end generate GEN_SYNC_FIFO_NO_SOF;
----
end generate GEN_SYNC_FIFO;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
----
---- GEN_ASYNC_FIFO_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
----
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ( (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
---- end generate GEN_ASYNC_FIFO_NO_SOF;
----
----
----
end generate GEN_ASYNC_FIFO;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
---- GEN_THRESHOLD_ENABLED_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
---- end generate GEN_THRESHOLD_ENABLED_NO_SOF;
end generate GEN_THRESHOLD_ENABLED;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED;
---- GEN_MSTR_SKID_NO_SOF : if C_S2MM_SOF_ENABLE = 0 generate
---- begin
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
---- I_MSTR_SKID : entity axi_vdma_v6_2.axi_vdma_skid_buf
---- generic map(
---- C_WDATA_WIDTH => C_DATA_WIDTH ,
---- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
---- )
---- port map(
---- -- System Ports
---- ACLK => s_axis_aclk ,
---- ARST => s_axis_fifo_ainit ,
---- -- Shutdown control (assert for 1 clk pulse)
---- skid_stop => '0' ,
---- -- Slave Side (Stream Data Input)
---- S_VALID => slv2skid_s_axis_tvalid ,
---- S_READY => s_axis_tready_out ,
---- S_Data => s_axis_tdata ,
---- S_STRB => s_axis_tkeep ,
---- S_Last => s_axis_tlast ,
---- S_User => s_axis_tuser ,
---- -- Master Side (Stream Data Output)
---- M_VALID => s_axis_tvalid_i ,
---- M_READY => s_axis_tready_i ,
---- M_Data => s_axis_tdata_i ,
---- M_STRB => s_axis_tkeep_i ,
---- M_Last => s_axis_tlast_i ,
---- M_User => s_axis_tuser_i
---- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
s_axis_tready <= s_axis_tready_out and chnl_ready;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready;
end generate GEN_LINEBUFFER;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid and chnl_ready;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
s_axis_tready_i <= m_axis_tready and chnl_ready;
s_axis_tready_out <= m_axis_tready and chnl_ready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-- Generate start of frame fsync
GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
begin
TUSER_RE_PROCESS : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit_nosync = '1')then
s_axis_tuser_d1 <= '0';
else
s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
end if;
end if;
end process TUSER_RE_PROCESS;
tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
end generate GEN_SOF_FSYNC;
-- Do not generate start of frame fsync
GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
begin
tuser_fsync <= '0';
end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
TUSER_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
dm_halt_reg <= dm_halt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
end generate GEN_FOR_SYNC;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-- Generate vertical size counter for case when SOF not used
GEN_NO_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 0 generate
begin
-- Decrement vertical count with each accept tlast
decr_vcount <= '1' when s_axis_tlast = '1'
and s_axis_tvalid = '1'
and s_axis_tready_out = '1'
else '0';
-- Drive ready at fsync out then de-assert once all lines have
-- been accepted.
VERT_COUNTER : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1' and fsync_out = '0')then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(fsync_out = '1')then
vsize_counter <= crnt_vsize_d2;
chnl_ready <= '1';
elsif(decr_vcount = '1' and vsize_counter = VSIZE_ONE_VALUE)then
vsize_counter <= (others => '0');
chnl_ready <= '0';
elsif(decr_vcount = '1' and vsize_counter /= VSIZE_ZERO_VALUE)then
vsize_counter <= std_logic_vector(unsigned(vsize_counter) - 1);
chnl_ready <= '1';
end if;
end if;
end process VERT_COUNTER;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_NO_SOF_VCOUNT;
----
----
----
------ Generate vertical size counter for case when SOF is used
GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
begin
chnl_ready <= run_stop_reg;
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if(m_axis_fifo_ainit = '1' and p_fsync_out = '0')then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
s2mm_all_lines_xfred_i <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
s2mm_all_lines_xfred_i <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
s2mm_all_lines_xfred_i <= '0';
end if;
end if;
end process DONE_VERT_COUNTER;
end generate GEN_SOF_VCOUNT;
s2mm_all_lines_xfred <= s2mm_all_lines_xfred_i;
all_lasts_rcvd <= s2mm_all_lines_xfred_i;
s2mm_fsync_core <= s2mm_fsync;
fsync_src_select_s <= (others => '0');
drop_fsync_d_pulse_gen_fsize_less_err <= '0';
hold_dummy_tready_low <= '0';
hold_dummy_tready_low2 <= '0';
end generate GEN_NO_FSYNC_LOGIC;
--*****************************************************************************--
--** USE FSYNC MODE **--
--*****************************************************************************--
GEN_S2MM_FLUSH_SOF_LOGIC : if (ENABLE_FLUSH_ON_FSYNC = 1 and C_S2MM_SOF_ENABLE = 1) generate
signal fsync_src_select_s_int : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_cdc_tig : std_logic_vector(1 downto 0) := (others => '0');
signal fsync_src_select_d1 : std_logic_vector(1 downto 0) := (others => '0');
signal mmap_not_finished : std_logic := '0';
signal mmap_not_finished_s : std_logic := '0';
signal mm2s_fsync_s2mm_s : std_logic := '0';
signal s2mm_fsync_int : std_logic := '0';
signal s2mm_fsync_d_pulse : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag : std_logic := '0';
signal delay_s2mm_fsync_core_till_mmap_done_flag_d1 : std_logic := '0';
signal sig_drop_fsync_d_pulse_gen_fsize_less_err : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s : std_logic := '0';
signal dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s : std_logic := '0';
signal delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 : std_logic := '0';
signal d_fsync_halt_cmplt_s : std_logic := '0';
signal fsize_err_to_dm_halt_flag : std_logic := '0';
signal fsize_err_to_dm_halt_flag_ored : std_logic := '0';
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF fsync_src_select_cdc_tig : SIGNAL IS "true";
ATTRIBUTE async_reg OF fsync_src_select_d1 : SIGNAL IS "true";
begin
--*****************************************************************************--
--*****************************************************************************--
--** LINE BUFFER MODE (Sync or Async) **--
--*****************************************************************************--
GEN_LINEBUFFER_FLUSH_SOF : if C_LINEBUFFER_DEPTH /= 0 generate
begin
-- Divide by number bytes per data beat and add padding to dynamic
-- threshold setting
data_count_af_threshold <= linebuf_threshold((DATACOUNT_WIDTH-1) + THRESHOLD_LSB_INDEX
downto THRESHOLD_LSB_INDEX);
-- Synchronous clock therefore instantiate an Asynchronous FIFO
GEN_SYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_sfifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
data_count => fifo_wrcount
);
--wr_rst_busy_sig <= '0';
--rd_rst_busy_sig <= '0';
end generate GEN_SYNC_FIFO_FLUSH_SOF;
-- Asynchronous clock therefore instantiate an Asynchronous FIFO
GEN_ASYNC_FIFO_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
LB_BRAM : if ((C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo
generic map(
UW_DATA_WIDTH => BUFFER_WIDTH ,
C_FULL_FLAGS_RST_VAL => 1 ,
UW_FIFO_DEPTH => BUFFER_DEPTH ,
C_FAMILY => C_FAMILY
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => open ,
rd_rst_busy => open ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i ,
wr_data_count => fifo_wrcount ,
rd_data_count => open
);
wr_rst_busy_sig <= '0';
rd_rst_busy_sig <= '0';
end generate LB_BRAM;
LB_BUILT_IN : if ((C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) )
generate
begin
I_LINEBUFFER_FIFO : entity axi_vdma_v6_2.axi_vdma_afifo_builtin
generic map(
PL_FIFO_TYPE => "BUILT_IN" ,
PL_READ_MODE => "FWFT" ,
PL_FASTER_CLOCK => "RD_CLK" , --WR_CLK
PL_FULL_FLAGS_RST_VAL => 0 , -- ?
PL_DATA_WIDTH => BUFFER_WIDTH ,
C_FAMILY => C_FAMILY ,
PL_FIFO_DEPTH => BUFFER_DEPTH
)
port map(
rst => s_axis_fifo_ainit_nosync ,
sleep => '0' ,
wr_rst_busy => wr_rst_busy_sig ,
rd_rst_busy => rd_rst_busy_sig ,
wr_clk => s_axis_aclk ,
wr_en => fifo_wren ,
din => fifo_din ,
rd_clk => m_axis_aclk ,
rd_en => fifo_rden ,
-- Outputs
dout => fifo_dout ,
full => fifo_full_i ,
empty => fifo_empty_i
);
end generate LB_BUILT_IN;
end generate GEN_ASYNC_FIFO_FLUSH_SOF;
GEN_S2MM_DRE_ENABLED_TKEEP : if C_INCLUDE_S2MM_DRE = 1 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tkeep_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= fifo_dout(BUFFER_WIDTH-2 downto (BUFFER_WIDTH-2) - (C_DATA_WIDTH/8) + 1);
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_S2MM_DRE_ENABLED_TKEEP;
GEN_NO_S2MM_DRE_DISABLE_TKEEP : if C_INCLUDE_S2MM_DRE = 0 generate
begin
-- AXI Slave Side of FIFO
fifo_din <= s_axis_tlast_i & s_axis_tdata_i;
fifo_wren <= s_axis_tvalid_i and s_axis_tready_i;
s_axis_tready_i <= not fifo_full_i and not wr_rst_busy_sig and not s_axis_fifo_ainit;
-- AXI Master Side of FIFO
fifo_rden <= m_axis_tready and m_axis_tvalid_i;
m_axis_tvalid_i <= not fifo_empty_i and not rd_rst_busy_sig;
m_axis_tdata <= fifo_dout(C_DATA_WIDTH-1 downto 0);
m_axis_tkeep_signal <= (others => '1');
m_axis_tlast_i <= fifo_dout(BUFFER_WIDTH-1);
m_axis_tlast <= m_axis_tlast_i;
m_axis_tvalid <= m_axis_tvalid_i;
end generate GEN_NO_S2MM_DRE_DISABLE_TKEEP;
-- Top level line buffer depth not equal to zero therefore gererate threshold
-- flags. (CR625142)
GEN_THRESHOLD_ENABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH /= 0 and (C_ENABLE_DEBUG_INFO_9 = 1 or C_ENABLE_DEBUG_ALL = 1) generate
begin
-- Almost full flag
-- This flag is only used by S2MM and the threshold has been adjusted to allow registering
-- of the flag for timing and also to assert and deassert from an outside S2MM perspective
REG_ALMST_FULL : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_fifo_ainit = '1')then
fifo_almost_full_i <= '0';
-- write count greater than or equal to threshold value therefore assert thresold flag
elsif(fifo_wrcount >= s_data_count_af_thresh or (fifo_full_i='1' or wr_rst_busy_sig = '1')) then
fifo_almost_full_i <= '1';
-- In all other cases de-assert flag
else
fifo_almost_full_i <= '0';
end if;
end if;
end process REG_ALMST_FULL;
-- Drive fifo flags out if Linebuffer included
s2mm_fifo_almost_full <= fifo_almost_full_i or fifo_full_i or wr_rst_busy_sig;
s2mm_fifo_full <= fifo_full_i or wr_rst_busy_sig;
end generate GEN_THRESHOLD_ENABLED_FLUSH_SOF;
-- Top level line buffer depth is zero therefore turn off threshold logic.
-- this occurs for async operation where the async fifo is needed for CDC (CR625142)
GEN_THRESHOLD_DISABLED_FLUSH_SOF : if C_TOPLVL_LINEBUFFER_DEPTH = 0 or (C_ENABLE_DEBUG_INFO_9 = 0 and C_ENABLE_DEBUG_ALL = 0) generate
begin
fifo_almost_full_i <= '0';
s2mm_fifo_almost_full <= '0';
s2mm_fifo_full <= '0';
end generate GEN_THRESHOLD_DISABLED_FLUSH_SOF;
--*********************************************************--
--** S2MM SLAVE SKID BUFFER **--
--*********************************************************--
-- I_MSTR_SKID_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_skid_buf
-- generic map(
-- C_WDATA_WIDTH => C_DATA_WIDTH ,
-- C_TUSER_WIDTH => C_S_AXIS_S2MM_TUSER_BITS
-- )
-- port map(
-- -- System Ports
-- ACLK => s_axis_aclk ,
-- ARST => s_axis_fifo_ainit ,
--
-- -- Shutdown control (assert for 1 clk pulse)
-- skid_stop => '0' ,
--
-- -- Slave Side (Stream Data Input)
-- S_VALID => slv2skid_s_axis_tvalid ,
-- S_READY => s_axis_tready_out ,
-- S_Data => s_axis_tdata ,
-- S_STRB => s_axis_tkeep ,
-- S_Last => s_axis_tlast ,
-- S_User => s_axis_tuser ,
--
-- -- Master Side (Stream Data Output)
-- M_VALID => s_axis_tvalid_i ,
-- M_READY => s_axis_tready_i ,
-- M_Data => s_axis_tdata_i ,
-- M_STRB => s_axis_tkeep_i ,
-- M_Last => s_axis_tlast_i ,
-- M_User => s_axis_tuser_i
-- );
s_axis_tvalid_i <= slv2skid_s_axis_tvalid;
s_axis_tdata_i <= s_axis_tdata;
s_axis_tkeep_i <= s_axis_tkeep_signal;
s_axis_tlast_i <= s_axis_tlast;
s_axis_tuser_i <= s_axis_tuser;
s_axis_tready_out <= s_axis_tready_i;
-- Pass out top level
-- Qualify with channel ready to 'turn off' ready
-- at end of video frame
--------s_axis_tready <= s_axis_tready_out and chnl_ready_external;
s_axis_tready <= s_axis_tready_out ;
-- Qualify with channel ready to 'turn off' writes to
-- fifo at end of video frame
------slv2skid_s_axis_tvalid <= s_axis_tvalid and chnl_ready_external;
slv2skid_s_axis_tvalid <= s_axis_tvalid ;
end generate GEN_LINEBUFFER_FLUSH_SOF;
--*****************************************************************************--
--** NO LINE BUFFER MODE (Sync Only) **--
--*****************************************************************************--
GEN_NO_LINEBUFFER_FLUSH_SOF : if (C_LINEBUFFER_DEPTH = 0) generate
begin
m_axis_tdata <= s_axis_tdata;
m_axis_tkeep <= s_axis_tkeep_signal;
m_axis_tvalid_i <= s_axis_tvalid;
--------------------m_axis_tvalid_i <= s_axis_tvalid and chnl_ready_external;
m_axis_tlast_i <= s_axis_tlast;
m_axis_tvalid <= m_axis_tvalid_i;
m_axis_tlast <= m_axis_tlast_i;
----------s_axis_tready_i <= m_axis_tready and chnl_ready_external;
s_axis_tready_i <= m_axis_tready;
---------s_axis_tready_out <= m_axis_tready and chnl_ready_external;
s_axis_tready_out <= m_axis_tready;
s_axis_tready <= s_axis_tready_i;
-- fifo signals not used
s2mm_fifo_full <= '0';
s2mm_fifo_almost_full <= '0';
-------------------------- -- Generate start of frame fsync
-------------------------- GEN_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 1 generate
-------------------------- begin
--------------------------
-------------------------- TUSER_RE_PROCESS : process(s_axis_aclk)
-------------------------- begin
-------------------------- if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
-------------------------- if(s_axis_fifo_ainit_nosync = '1')then
-------------------------- s_axis_tuser_d1 <= '0';
-------------------------- else
-------------------------- s_axis_tuser_d1 <= s_axis_tuser_i(0) and s_axis_tvalid_i;
-------------------------- end if;
-------------------------- end if;
-------------------------- end process TUSER_RE_PROCESS;
--------------------------
-------------------------- tuser_fsync <= s_axis_tuser_i(0) and s_axis_tvalid_i and not s_axis_tuser_d1;
--------------------------
-------------------------- end generate GEN_SOF_FSYNC;
--------------------------
-------------------------- -- Do not generate start of frame fsync
-------------------------- GEN_NO_SOF_FSYNC : if C_S2MM_SOF_ENABLE = 0 generate
-------------------------- begin
-------------------------- tuser_fsync <= '0';
-------------------------- end generate GEN_NO_SOF_FSYNC;
end generate GEN_NO_LINEBUFFER_FLUSH_SOF;
-- Instantiate Clock Domain Crossing for Asynchronous clock
GEN_FOR_ASYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
VSIZE_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
crnt_vsize_cdc_tig <= crnt_vsize;
crnt_vsize_d1 <= crnt_vsize_cdc_tig;
end if;
end process VSIZE_CNT_CROSSING;
crnt_vsize_d2 <= crnt_vsize_d1;
-- Cross datamover halt and fifo threshold to secondary for reset use
---- STRM_WR_HALT_CDC_I : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' ,
---- prmry_out => open ,
---- prmry_in => dm_halt , -- CR591965
---- scndry_out => dm_halt_reg , -- CR591965
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0),
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
STRM_WR_HALT_CDC_I : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => dm_halt,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => dm_halt_reg,
scndry_vect_out => open
);
THRESH_CNT_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
data_count_af_threshold_cdc_tig <= data_count_af_threshold;
data_count_af_threshold_d1 <= data_count_af_threshold_cdc_tig;
end if;
end process THRESH_CNT_CROSSING;
s_data_count_af_thresh <= data_count_af_threshold_d1;
-- Cross run_stop to secondary
---- RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => run_stop ,
---- scndry_out => run_stop_reg ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
RUNSTOP_AXIS_0_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => run_stop,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => run_stop_reg,
scndry_vect_out => open
);
-- CR623449 cross fsync_out back to primary
---- FSYNC_OUT_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => fsync_out ,
---- prmry_out => p_fsync_out ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
----
FSYNC_OUT_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => fsync_out,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => p_fsync_out,
scndry_vect_out => open
);
-- Cross tuser fsync to primary
---- TUSER_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_S_P_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => tuser_fsync ,
---- prmry_out => s2mm_tuser_fsync_sig ,
---- prmry_in => '0' ,
---- scndry_out => open ,
---- scndry_vect_s_h => '0' ,
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- prmry_vect_out => open ,
---- prmry_vect_s_h => '0' ,
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) ,
---- scndry_vect_out => open
---- );
TUSER_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => s_axis_aclk,
prmry_resetn => s_axis_resetn,
prmry_in => tuser_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => m_axis_aclk,
scndry_resetn => m_axis_resetn,
scndry_out => s2mm_tuser_fsync_sig,
scndry_vect_out => open
);
---- MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_LEVEL_P_S ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_aclk ,
---- prmry_resetn => m_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mmap_not_finished ,
---- scndry_out => mmap_not_finished_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
MMAP_NOT_FINISHED_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_aclk,
prmry_resetn => m_axis_resetn,
prmry_in => mmap_not_finished,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mmap_not_finished_s,
scndry_vect_out => open
);
GEN_FSYNC_SEL_CROSSING : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
fsync_src_select_cdc_tig <= fsync_src_select;
fsync_src_select_d1 <= fsync_src_select_cdc_tig;
end if;
end process GEN_FSYNC_SEL_CROSSING;
fsync_src_select_s_int <= fsync_src_select_d1;
GEN_FOR_ASYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
---- CROSS_FSYNC_CDC_I_FLUSH_SOF : entity axi_vdma_v6_2.axi_vdma_cdc
---- generic map(
---- C_CDC_TYPE => CDC_TYPE_PULSE_P_S_OPEN_ENDED ,
---- C_VECTOR_WIDTH => 1
---- )
---- port map (
---- prmry_aclk => m_axis_mm2s_aclk ,
---- prmry_resetn => mm2s_axis_resetn ,
---- scndry_aclk => s_axis_aclk ,
---- scndry_resetn => s_axis_resetn ,
---- scndry_in => '0' , -- Not Used
---- prmry_out => open , -- Not Used
---- prmry_in => mm2s_fsync ,
---- scndry_out => mm2s_fsync_s2mm_s ,
---- scndry_vect_s_h => '0' , -- Not Used
---- scndry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- prmry_vect_out => open , -- Not Used
---- prmry_vect_s_h => '0' , -- Not Used
---- prmry_vect_in => ZERO_VALUE_VECT(0 downto 0) , -- Not Used
---- scndry_vect_out => open -- Not Used
---- );
----
CROSS_FSYNC_CDC_I_FLUSH_SOF : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_FLOP_INPUT => 1, --valid only for level CDC
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => m_axis_mm2s_aclk,
prmry_resetn => mm2s_axis_resetn,
prmry_in => mm2s_fsync,
prmry_vect_in => (others => '0'),
prmry_ack => open,
scndry_aclk => s_axis_aclk,
scndry_resetn => s_axis_resetn,
scndry_out => mm2s_fsync_s2mm_s,
scndry_vect_out => open
);
end generate GEN_FOR_ASYNC_CROSS_FSYNC;
GEN_FOR_ASYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_ASYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_ASYNC_FLUSH_SOF;
-- Synchronous clock therefore just map signals across
GEN_FOR_SYNC_FLUSH_SOF : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
crnt_vsize_d2 <= crnt_vsize;
mmap_not_finished_s <= mmap_not_finished;
fsync_src_select_s_int <= fsync_src_select;
dm_halt_reg <= dm_halt;
--dm_halt_cmplt_s <= dm_halt_cmplt;
run_stop_reg <= run_stop;
p_fsync_out <= fsync_out;
s2mm_tuser_fsync_sig <= tuser_fsync;
s_data_count_af_thresh <= data_count_af_threshold;
GEN_FOR_SYNC_CROSS_FSYNC : if C_INCLUDE_MM2S = 1 generate
begin
mm2s_fsync_s2mm_s <= mm2s_fsync;
end generate GEN_FOR_SYNC_CROSS_FSYNC;
GEN_FOR_SYNC_NO_CROSS_FSYNC : if C_INCLUDE_MM2S = 0 generate
begin
mm2s_fsync_s2mm_s <= '0';
end generate GEN_FOR_SYNC_NO_CROSS_FSYNC;
end generate GEN_FOR_SYNC_FLUSH_SOF;
--*****************************************************************************
--** Vertical Line Tracking
--*****************************************************************************
-----------------------GEN_SOF_VCOUNT : if C_S2MM_SOF_ENABLE = 1 generate
-----------------------begin
-- decrement based on master axis signals for determining done (CR623449)
done_decr_vcount <= '1' when m_axis_tlast_i = '1'
and m_axis_tvalid_i = '1'
and m_axis_tready = '1'
else '0';
-- CR623449 - base done on master clock domain
DONE_VERT_COUNTER_FLUSH_SOF : process(m_axis_aclk)
begin
if(m_axis_aclk'EVENT and m_axis_aclk = '1')then
if((m_axis_fifo_ainit = '1' and p_fsync_out = '0') or s2mm_fsize_mismatch_err_flag = '1')then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(p_fsync_out = '1')then
done_vsize_counter <= crnt_vsize;
mmap_not_finished <= '1';
elsif(done_decr_vcount = '1' and done_vsize_counter = VSIZE_ONE_VALUE)then
done_vsize_counter <= (others => '0');
mmap_not_finished <= '0';
elsif(done_decr_vcount = '1' and done_vsize_counter /= VSIZE_ZERO_VALUE)then
done_vsize_counter <= std_logic_vector(unsigned(done_vsize_counter) - 1);
mmap_not_finished <= '1';
end if;
end if;
end process DONE_VERT_COUNTER_FLUSH_SOF;
delay_s2mm_fsync_core_till_mmap_done <= '1' when mmap_not_finished_s = '1' and strm_not_finished = '0' and s2mm_fsync_int = '1' and delay_s2mm_fsync_core_till_mmap_done_flag = '0'
else '0';
hold_dummy_tready_low <= delay_s2mm_fsync_core_till_mmap_done or delay_s2mm_fsync_core_till_mmap_done_flag;
HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or mmap_not_finished_s = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '0';
elsif(delay_s2mm_fsync_core_till_mmap_done = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag <= '1';
end if;
end if;
end process HOLD_DELAY_FSYNC_IN_FLAG;
D1_HOLD_DELAY_FSYNC_IN_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or sig_drop_fsync_d_pulse_gen_fsize_less_err = '1')then
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= '0';
else
delay_s2mm_fsync_core_till_mmap_done_flag_d1 <= delay_s2mm_fsync_core_till_mmap_done_flag;
end if;
end if;
end process D1_HOLD_DELAY_FSYNC_IN_FLAG;
s2mm_fsync_d_pulse <= delay_s2mm_fsync_core_till_mmap_done_flag_d1 and (not delay_s2mm_fsync_core_till_mmap_done_flag) ;
s2mm_fsync_core <= (s2mm_fsync_int and not (delay_s2mm_fsync_core_till_mmap_done) and not (delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s)) or s2mm_fsync_d_pulse or d_fsync_halt_cmplt_s;
sig_drop_fsync_d_pulse_gen_fsize_less_err <= '1' when delay_s2mm_fsync_core_till_mmap_done_flag = '1' and s2mm_fsync_int = '1'
else '0';
GEN_FOR_C_USE_S2MM_FSYNC_1 : if C_USE_S2MM_FSYNC = 1 generate
begin
s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
end generate GEN_FOR_C_USE_S2MM_FSYNC_1;
GEN_FOR_C_USE_S2MM_FSYNC_2 : if C_USE_S2MM_FSYNC = 2 generate
begin
s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
end generate GEN_FOR_C_USE_S2MM_FSYNC_2;
-- Frame sync cross bar
------ FSYNC_CROSSBAR_S2MM_S : process(fsync_src_select_s_int,
------ run_stop_reg,
------ s2mm_fsync,
------ mm2s_fsync_s2mm_s, no_fsync_before_vsize_sel_00_01,
------ s2mm_tuser_fsync_top)
------ begin
------ case fsync_src_select_s_int is
------
------ when "00" => -- primary fsync (default)
------ s2mm_fsync_int <= s2mm_fsync and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "01" => -- other channel fsync
------ s2mm_fsync_int <= mm2s_fsync_s2mm_s and run_stop_reg and no_fsync_before_vsize_sel_00_01;
------ when "10" => -- s2mm_tuser_fsync_top fsync (used only by s2mm)
------ s2mm_fsync_int <= s2mm_tuser_fsync_top and run_stop_reg;
------ when others =>
------ s2mm_fsync_int <= '0';
------ end case;
------ end process FSYNC_CROSSBAR_S2MM_S;
------
-----------------------end generate GEN_SOF_VCOUNT;
S2MM_FSIZE_ERR_TO_DM_HALT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or dm_halt_reg = '1')then
fsize_err_to_dm_halt_flag <= '0';
elsif(s2mm_fsize_mismatch_err_s = '1')then
fsize_err_to_dm_halt_flag <= '1';
end if;
end if;
end process S2MM_FSIZE_ERR_TO_DM_HALT_FLAG;
fsize_err_to_dm_halt_flag_ored <= s2mm_fsize_mismatch_err_s or fsize_err_to_dm_halt_flag or dm_halt_reg;
delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s <= '1' when fsize_err_to_dm_halt_flag_ored = '1' and s2mm_fsync_int = '1'
else '0';
FSIZE_LESS_DM_HALT_CMPLT_FLAG : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0' or fsize_err_to_dm_halt_flag_ored = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '0';
elsif(delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s = '1')then
delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s <= '1';
end if;
end if;
end process FSIZE_LESS_DM_HALT_CMPLT_FLAG;
REG_D_FSYNC : process(s_axis_aclk)
begin
if(s_axis_aclk'EVENT and s_axis_aclk = '1')then
if(s_axis_resetn = '0')then
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= '0';
else
delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 <= delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
end if;
end if;
end process REG_D_FSYNC;
d_fsync_halt_cmplt_s <= delay_fsync_fsize_err_till_dm_halt_cmplt_s_d1 and not delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
hold_dummy_tready_low2 <= delay_fsync_fsize_err_till_dm_halt_cmplt_pulse_s or delay_fsync_fsize_err_till_dm_halt_cmplt_flag_s;
s2mm_all_lines_xfred <= '0';
all_lasts_rcvd <= '0';
tuser_fsync <= '0';
fsync_src_select_s <= fsync_src_select_s_int;
drop_fsync_d_pulse_gen_fsize_less_err <= sig_drop_fsync_d_pulse_gen_fsize_less_err;
end generate GEN_S2MM_FLUSH_SOF_LOGIC;
end implementation;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_10_e
--
-- Generated
-- by: wig
-- on: Fri Jul 15 13:54:30 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_10_e-e.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $
-- $Date: 2005/07/15 16:20:01 $
-- $Log: inst_10_e-e.vhd,v $
-- Revision 1.2 2005/07/15 16:20:01 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_10_e
--
entity inst_10_e is
-- Generics:
-- No Generated Generics for Entity inst_10_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_10_e
end inst_10_e;
--
-- End of Generated Entity inst_10_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity feedforward is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
P_config_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0);
P_config_V_TVALID : IN STD_LOGIC;
P_config_V_TREADY : OUT STD_LOGIC;
P_WandB_TDATA : IN STD_LOGIC_VECTOR (63 downto 0);
P_WandB_TVALID : IN STD_LOGIC;
P_WandB_TREADY : OUT STD_LOGIC;
P_uOut_TDATA : OUT STD_LOGIC_VECTOR (63 downto 0);
P_uOut_TVALID : OUT STD_LOGIC;
P_uOut_TREADY : IN STD_LOGIC;
P_netIn_TDATA : IN STD_LOGIC_VECTOR (63 downto 0);
P_netIn_TVALID : IN STD_LOGIC;
P_netIn_TREADY : OUT STD_LOGIC;
P_netOut_V_TDATA : OUT STD_LOGIC_VECTOR (7 downto 0);
P_netOut_V_TVALID : OUT STD_LOGIC;
P_netOut_V_TREADY : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of feedforward is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"feedforward,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=1,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=9.395400,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=36,HLS_SYN_DSP=45,HLS_SYN_FF=7119,HLS_SYN_LUT=10499}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st151_fsm_150 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st152_fsm_151 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st153_fsm_152 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st154_fsm_153 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st155_fsm_154 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st156_fsm_155 : STD_LOGIC_VECTOR (166 downto 0) := "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st157_fsm_156 : STD_LOGIC_VECTOR (166 downto 0) := "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st158_fsm_157 : STD_LOGIC_VECTOR (166 downto 0) := "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st159_fsm_158 : STD_LOGIC_VECTOR (166 downto 0) := "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st160_fsm_159 : STD_LOGIC_VECTOR (166 downto 0) := "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st161_fsm_160 : STD_LOGIC_VECTOR (166 downto 0) := "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st162_fsm_161 : STD_LOGIC_VECTOR (166 downto 0) := "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st163_fsm_162 : STD_LOGIC_VECTOR (166 downto 0) := "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st164_fsm_163 : STD_LOGIC_VECTOR (166 downto 0) := "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st165_fsm_164 : STD_LOGIC_VECTOR (166 downto 0) := "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st166_fsm_165 : STD_LOGIC_VECTOR (166 downto 0) := "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st167_fsm_166 : STD_LOGIC_VECTOR (166 downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_50 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010000";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv32_9C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011100";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_2D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101101";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000";
constant ap_const_lv32_73 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110011";
constant ap_const_lv32_4C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001100";
constant ap_const_lv32_99 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011001";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_4E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001110";
constant ap_const_lv32_4F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001111";
constant ap_const_lv32_78 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111000";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_9B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011011";
constant ap_const_lv32_9D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011101";
constant ap_const_lv32_9E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011110";
constant ap_const_lv32_9F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011111";
constant ap_const_lv32_A0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100000";
constant ap_const_lv32_A2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100010";
constant ap_const_lv32_A3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100011";
constant ap_const_lv32_A4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100100";
constant ap_const_lv32_A5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100101";
constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001";
constant ap_const_lv32_4D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_9A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010011010";
constant ap_const_lv14_0 : STD_LOGIC_VECTOR (13 downto 0) := "00000000000000";
constant ap_const_lv32_A1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100001";
constant ap_const_lv9_0 : STD_LOGIC_VECTOR (8 downto 0) := "000000000";
constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_const_lv3_4 : STD_LOGIC_VECTOR (2 downto 0) := "100";
constant ap_const_lv3_3 : STD_LOGIC_VECTOR (2 downto 0) := "011";
constant ap_const_lv3_2 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv32_74 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001110100";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_29 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101001";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_5D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011101";
constant ap_const_lv32_2E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101110";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv8_2 : STD_LOGIC_VECTOR (7 downto 0) := "00000010";
constant ap_const_lv8_3 : STD_LOGIC_VECTOR (7 downto 0) := "00000011";
constant ap_const_lv15_23 : STD_LOGIC_VECTOR (14 downto 0) := "000000000100011";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv9_23 : STD_LOGIC_VECTOR (8 downto 0) := "000100011";
constant ap_const_lv9_1FF : STD_LOGIC_VECTOR (8 downto 0) := "111111111";
constant ap_const_lv16_23 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000100011";
constant ap_const_lv9_1FE : STD_LOGIC_VECTOR (8 downto 0) := "111111110";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv64_8000000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "1000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv8_4 : STD_LOGIC_VECTOR (7 downto 0) := "00000100";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_3E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111110";
constant ap_const_lv11_7FF : STD_LOGIC_VECTOR (10 downto 0) := "11111111111";
constant ap_const_lv52_0 : STD_LOGIC_VECTOR (51 downto 0) := "0000000000000000000000000000000000000000000000000000";
constant ap_const_lv14_23 : STD_LOGIC_VECTOR (13 downto 0) := "00000000100011";
constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11";
constant ap_const_lv9_1 : STD_LOGIC_VECTOR (8 downto 0) := "000000001";
constant ap_const_lv14_5 : STD_LOGIC_VECTOR (13 downto 0) := "00000000000101";
constant ap_const_lv14_2 : STD_LOGIC_VECTOR (13 downto 0) := "00000000000010";
constant ap_const_lv32_A6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010100110";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (166 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_185 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode_V : STD_LOGIC_VECTOR (7 downto 0);
signal ST_numLayer_V : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
signal ST_layerSize_V_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
signal ST_layerSize_V_3 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
signal ST_layerSize_V_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
signal ST_layerSize_V_2 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (63 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_return : STD_LOGIC_VECTOR (7 downto 0);
signal feedforward_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal p_uOut_q0 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_578 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st6_fsm_5 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st81_fsm_80 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal ap_sig_cseq_ST_st157_fsm_156 : STD_LOGIC;
signal ap_sig_bdd_291 : BOOLEAN;
signal reg_585 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_300 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_309 : BOOLEAN;
signal grp_fu_543_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_591 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_319 : BOOLEAN;
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_326 : BOOLEAN;
signal grp_fu_535_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st17_fsm_16 : STD_LOGIC;
signal ap_sig_bdd_336 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_343 : BOOLEAN;
signal reg_602 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_352 : BOOLEAN;
signal ap_sig_cseq_ST_st46_fsm_45 : STD_LOGIC;
signal ap_sig_bdd_359 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_367 : BOOLEAN;
signal grp_fu_557_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_608 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st41_fsm_40 : STD_LOGIC;
signal ap_sig_bdd_377 : BOOLEAN;
signal ap_sig_cseq_ST_st116_fsm_115 : STD_LOGIC;
signal ap_sig_bdd_384 : BOOLEAN;
signal grp_fu_547_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_615 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st77_fsm_76 : STD_LOGIC;
signal ap_sig_bdd_394 : BOOLEAN;
signal ap_sig_cseq_ST_st154_fsm_153 : STD_LOGIC;
signal ap_sig_bdd_401 : BOOLEAN;
signal P_mode_V_read_reg_1453 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_fu_620_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_bdd_414 : BOOLEAN;
signal ST_numLayer_V_load_reg_1461 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_layerSize_V_0_load_reg_1472 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_1_fu_630_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal P_config_V_read_reg_1477 : STD_LOGIC_VECTOR (7 downto 0);
signal i_8_fu_647_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_435 : BOOLEAN;
signal exitcond1_fu_642_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_bdd_441 : BOOLEAN;
signal tmp_59_cast_fu_673_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_59_cast_reg_1493 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_451 : BOOLEAN;
signal tmp_7_fu_658_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_24_fu_677_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_24_reg_1498 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_fu_691_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_29_reg_1503 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_697_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_31_reg_1508 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_36_fu_720_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_36_reg_1513 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_61_cast_fu_724_p1 : STD_LOGIC_VECTOR (32 downto 0);
signal tmp_61_cast_reg_1520 : STD_LOGIC_VECTOR (32 downto 0);
signal tmp_39_fu_728_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_39_reg_1525 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_41_fu_738_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_41_reg_1530 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_42_fu_744_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_42_reg_1535 : STD_LOGIC_VECTOR (1 downto 0);
signal j_5_fu_771_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_5_reg_1543 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_481 : BOOLEAN;
signal tmp_20_fu_777_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_20_reg_1548 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_16_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_54_fu_824_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_54_reg_1554 : STD_LOGIC_VECTOR (13 downto 0);
signal p_uOut_addr_1_reg_1560 : STD_LOGIC_VECTOR (7 downto 0);
signal i_10_fu_830_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal k_3_fu_841_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal k_3_reg_1573 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_503 : BOOLEAN;
signal exitcond3_fu_836_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_25_fu_898_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_523 : BOOLEAN;
signal tmp_17_fu_903_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_17_reg_1598 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st79_fsm_78 : STD_LOGIC;
signal ap_sig_bdd_532 : BOOLEAN;
signal i_12_fu_926_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_12_reg_1607 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_932_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_22_reg_1612 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_19_fu_920_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_58_fu_983_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_reg_1618 : STD_LOGIC_VECTOR (13 downto 0);
signal p_uOut_addr_3_reg_1624 : STD_LOGIC_VECTOR (7 downto 0);
signal j_6_fu_994_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal j_6_reg_1632 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st80_fsm_79 : STD_LOGIC;
signal ap_sig_bdd_553 : BOOLEAN;
signal exitcond4_fu_989_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st121_fsm_120 : STD_LOGIC;
signal ap_sig_bdd_572 : BOOLEAN;
signal i_11_fu_1046_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal i_11_reg_1660 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_581 : BOOLEAN;
signal p_uOut_addr_5_reg_1665 : STD_LOGIC_VECTOR (7 downto 0);
signal exitcond5_fu_1041_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st156_fsm_155 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal tmp_38_fu_1071_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_ioackin_P_netOut_V_TREADY : STD_LOGIC;
signal p_uOut_q1 : STD_LOGIC_VECTOR (63 downto 0);
signal p_uOut_load_4_reg_1686 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_51_fu_1181_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_51_reg_1692 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st158_fsm_157 : STD_LOGIC;
signal ap_sig_bdd_617 : BOOLEAN;
signal p_netOut_V_1_fu_1187_p3 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st159_fsm_158 : STD_LOGIC;
signal ap_sig_bdd_626 : BOOLEAN;
signal i_15_fu_1194_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_66_fu_1200_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_reg_1707 : STD_LOGIC_VECTOR (8 downto 0);
signal ap_sig_cseq_ST_st160_fsm_159 : STD_LOGIC;
signal ap_sig_bdd_637 : BOOLEAN;
signal next_mul_fu_1204_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal next_mul_reg_1712 : STD_LOGIC_VECTOR (13 downto 0);
signal i_14_fu_1215_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal i_14_reg_1720 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_40_fu_1225_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_40_reg_1725 : STD_LOGIC_VECTOR (7 downto 0);
signal exitcond6_fu_1210_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal j_7_fu_1244_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal j_7_reg_1733 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st161_fsm_160 : STD_LOGIC;
signal ap_sig_bdd_655 : BOOLEAN;
signal exitcond_fu_1239_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_1273_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_s_reg_1746 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st163_fsm_162 : STD_LOGIC;
signal ap_sig_bdd_669 : BOOLEAN;
signal tmp_8_fu_1264_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ST_layerSize_V_load_1_phi_fu_1303_p3 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_layerSize_V_load_1_phi_reg_1751 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_10_fu_1335_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_10_reg_1756 : STD_LOGIC_VECTOR (8 downto 0);
signal j_4_fu_1346_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal j_4_reg_1764 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st164_fsm_163 : STD_LOGIC;
signal ap_sig_bdd_686 : BOOLEAN;
signal tmp_21_fu_1373_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1769 : STD_LOGIC_VECTOR (13 downto 0);
signal exitcond2_fu_1341_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_9_fu_1379_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal k_2_fu_1404_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ap_sig_cseq_ST_st165_fsm_164 : STD_LOGIC;
signal ap_sig_bdd_704 : BOOLEAN;
signal exitcond8_fu_1399_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_bdd_710 : BOOLEAN;
signal i_7_fu_1415_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st166_fsm_165 : STD_LOGIC;
signal ap_sig_bdd_720 : BOOLEAN;
signal exitcond7_fu_1410_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_bdd_725 : BOOLEAN;
signal p_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal p_uOut_ce0 : STD_LOGIC;
signal p_uOut_we0 : STD_LOGIC;
signal p_uOut_d0 : STD_LOGIC_VECTOR (63 downto 0);
signal p_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal p_uOut_ce1 : STD_LOGIC;
signal i_2_reg_297 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_reg_308 : STD_LOGIC_VECTOR (7 downto 0);
signal j_1_reg_320 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st78_fsm_77 : STD_LOGIC;
signal ap_sig_bdd_754 : BOOLEAN;
signal sum_reg_331 : STD_LOGIC_VECTOR (63 downto 0);
signal k_1_reg_343 : STD_LOGIC_VECTOR (7 downto 0);
signal sumsoft_reg_354 : STD_LOGIC_VECTOR (63 downto 0);
signal i_4_reg_366 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_377 : STD_LOGIC_VECTOR (63 downto 0);
signal j_2_reg_389 : STD_LOGIC_VECTOR (7 downto 0);
signal i_5_reg_400 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st155_fsm_154 : STD_LOGIC;
signal ap_sig_bdd_776 : BOOLEAN;
signal p_s_reg_411 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal p_netOut_V_reg_424 : STD_LOGIC_VECTOR (7 downto 0);
signal i_6_reg_436 : STD_LOGIC_VECTOR (7 downto 0);
signal phi_mul_reg_447 : STD_LOGIC_VECTOR (13 downto 0);
signal j_3_reg_458 : STD_LOGIC_VECTOR (7 downto 0);
signal ap_sig_cseq_ST_st162_fsm_161 : STD_LOGIC;
signal ap_sig_bdd_805 : BOOLEAN;
signal ap_sig_ioackin_P_uOut_TREADY : STD_LOGIC;
signal i_1_reg_469 : STD_LOGIC_VECTOR (7 downto 0);
signal j_reg_481 : STD_LOGIC_VECTOR (7 downto 0);
signal k_reg_492 : STD_LOGIC_VECTOR (8 downto 0);
signal i_reg_503 : STD_LOGIC_VECTOR (7 downto 0);
signal agg_result_V_reg_514 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_6_fu_653_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_64_cast_fu_795_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_73_cast_fu_860_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_74_cast_fu_870_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_72_cast_fu_883_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_68_cast_fu_954_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_76_cast_fu_1013_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_77_cast_fu_1023_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_75_cast_fu_1036_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_78_cast_fu_1061_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_80_cast_fu_1085_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_81_cast_fu_1099_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1259_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_63_cast_fu_1394_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_3_fu_1421_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_reg_ioackin_P_netOut_V_TREADY : STD_LOGIC := '0';
signal ap_reg_ioackin_P_uOut_TREADY : STD_LOGIC := '0';
signal ap_sig_cseq_ST_st117_fsm_116 : STD_LOGIC;
signal ap_sig_bdd_929 : BOOLEAN;
signal grp_fu_535_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_535_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_954 : BOOLEAN;
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_961 : BOOLEAN;
signal ap_sig_cseq_ST_st42_fsm_41 : STD_LOGIC;
signal ap_sig_bdd_969 : BOOLEAN;
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_976 : BOOLEAN;
signal ap_sig_cseq_ST_st94_fsm_93 : STD_LOGIC;
signal ap_sig_bdd_983 : BOOLEAN;
signal grp_fu_547_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_547_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st47_fsm_46 : STD_LOGIC;
signal ap_sig_bdd_1012 : BOOLEAN;
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_1019 : BOOLEAN;
signal grp_fu_557_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_1029 : BOOLEAN;
signal tmp_23_fu_667_p1 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_23_fu_667_p2 : STD_LOGIC_VECTOR (14 downto 0);
signal tmp_4_fu_681_p2 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_29_fu_691_p1 : STD_LOGIC_VECTOR (7 downto 0);
signal lhs_V_1_cast_fu_701_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal r_V_fu_704_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_33_fu_714_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_33_fu_714_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal r_V_1_fu_732_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_14_fu_748_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_15_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_46_fu_790_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_fu_800_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_53_fu_812_p1 : STD_LOGIC_VECTOR (11 downto 0);
signal p_shl2_cast_fu_804_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl3_cast_fu_816_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_29_cast_fu_851_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_61_fu_855_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_29_cast1_fu_847_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_62_fu_865_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_24_cast_fu_875_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_60_fu_878_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_38_to_int_fu_888_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_38_neg_fu_892_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_18_fu_916_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_23_cast_fu_945_p1 : STD_LOGIC_VECTOR (32 downto 0);
signal tmp_55_fu_949_p2 : STD_LOGIC_VECTOR (32 downto 0);
signal tmp_56_fu_959_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_57_fu_971_p1 : STD_LOGIC_VECTOR (11 downto 0);
signal p_shl4_cast_fu_963_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_975_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_33_cast_fu_1004_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_64_fu_1008_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_33_cast1_fu_1000_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_1018_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_cast_fu_1028_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_63_fu_1031_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_36_cast_fu_1052_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_59_fu_1056_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_41_cast_fu_1076_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_68_fu_1080_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_42_cast_fu_1090_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_fu_1094_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal p_uOut_load_3_to_int_fu_1104_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal p_uOut_load_4_to_int_fu_1122_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_fu_1108_p4 : STD_LOGIC_VECTOR (10 downto 0);
signal tmp_70_fu_1118_p1 : STD_LOGIC_VECTOR (51 downto 0);
signal notrhs_fu_1145_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_1139_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_45_fu_1125_p4 : STD_LOGIC_VECTOR (10 downto 0);
signal tmp_71_fu_1135_p1 : STD_LOGIC_VECTOR (51 downto 0);
signal notrhs1_fu_1163_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_1157_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_47_fu_1151_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_48_fu_1169_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_49_fu_1175_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_50_fu_553_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_40_fu_1225_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_52_cast_fu_1250_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_72_fu_1254_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_s_fu_1273_p1 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_5_fu_1279_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal sel_tmp_fu_1283_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal sel_tmp2_fu_1297_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal sel_tmp1_fu_1289_p3 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_2_fu_1317_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_2_fu_1317_p6 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_9_fu_1331_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_cast_fu_1352_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_11_fu_1356_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_12_fu_1361_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_1367_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_12_cast_fu_1385_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_44_fu_1389_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st167_fsm_166 : STD_LOGIC;
signal ap_sig_bdd_1384 : BOOLEAN;
signal grp_fu_535_ce : STD_LOGIC;
signal grp_fu_543_ce : STD_LOGIC;
signal grp_fu_547_ce : STD_LOGIC;
signal tmp_50_fu_553_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_557_ce : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR (166 downto 0);
signal tmp_23_fu_667_p10 : STD_LOGIC_VECTOR (14 downto 0);
signal tmp_29_fu_691_p10 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_s_fu_1273_p10 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_bdd_909 : BOOLEAN;
component feedforward_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_dmul_64ns_64ns_64_6_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_dcmp_64ns_64ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component feedforward_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_mux_4to1_sel2_8_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (7 downto 0);
din2 : IN STD_LOGIC_VECTOR (7 downto 0);
din3 : IN STD_LOGIC_VECTOR (7 downto 0);
din4 : IN STD_LOGIC_VECTOR (7 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (7 downto 0) );
end component;
component feedforward_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (63 downto 0);
q0 : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_p_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (63 downto 0);
q0 : OUT STD_LOGIC_VECTOR (63 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component feedforward_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (7 downto 0);
P_mode_V : OUT STD_LOGIC_VECTOR (7 downto 0) );
end component;
begin
ST_WandB_U : component feedforward_ST_WandB
generic map (
DataWidth => 64,
AddressRange => 5040,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
feedforward_AXILiteS_s_axi_U : component feedforward_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => feedforward_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode_V => P_mode_V);
p_uOut_U : component feedforward_p_uOut
generic map (
DataWidth => 64,
AddressRange => 140,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => p_uOut_address0,
ce0 => p_uOut_ce0,
we0 => p_uOut_we0,
d0 => p_uOut_d0,
q0 => p_uOut_q0,
address1 => p_uOut_address1,
ce1 => p_uOut_ce1,
q1 => p_uOut_q1);
feedforward_dadd_64ns_64ns_64_5_full_dsp_U0 : component feedforward_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_535_p0,
din1 => grp_fu_535_p1,
ce => grp_fu_535_ce,
dout => grp_fu_535_p2);
feedforward_dmul_64ns_64ns_64_6_max_dsp_U1 : component feedforward_dmul_64ns_64ns_64_6_max_dsp
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_578,
din1 => reg_585,
ce => grp_fu_543_ce,
dout => grp_fu_543_p2);
feedforward_ddiv_64ns_64ns_64_31_U2 : component feedforward_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_547_p0,
din1 => grp_fu_547_p1,
ce => grp_fu_547_ce,
dout => grp_fu_547_p2);
feedforward_dcmp_64ns_64ns_1_1_U3 : component feedforward_dcmp_64ns_64ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 1)
port map (
din0 => reg_578,
din1 => p_uOut_load_4_reg_1686,
opcode => tmp_50_fu_553_opcode,
dout => tmp_50_fu_553_p2);
feedforward_dexp_64ns_64ns_64_18_full_dsp_U4 : component feedforward_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => grp_fu_557_p1,
ce => grp_fu_557_ce,
dout => grp_fu_557_p2);
feedforward_mux_4to1_sel2_8_1_U5 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_24_reg_1498,
dout => tmp_14_fu_748_p6);
feedforward_mux_4to1_sel2_8_1_U6 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_31_reg_1508,
dout => tmp_20_fu_777_p6);
feedforward_mux_4to1_sel2_8_1_U7 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_39_reg_1525,
dout => tmp_17_fu_903_p6);
feedforward_mux_4to1_sel2_8_1_U8 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_42_reg_1535,
dout => tmp_22_fu_932_p6);
feedforward_mux_4to1_sel2_8_1_U9 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_40_fu_1225_p5,
dout => tmp_40_fu_1225_p6);
feedforward_mux_4to1_sel2_8_1_U10 : component feedforward_mux_4to1_sel2_8_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 8,
din2_WIDTH => 8,
din3_WIDTH => 8,
din4_WIDTH => 8,
din5_WIDTH => 2,
dout_WIDTH => 8)
port map (
din1 => ST_layerSize_V_0,
din2 => ST_layerSize_V_1,
din3 => ST_layerSize_V_2,
din4 => ST_layerSize_V_3,
din5 => tmp_2_fu_1317_p5,
dout => tmp_2_fu_1317_p6);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- ap_reg_ioackin_P_netOut_V_TREADY assign process. --
ap_reg_ioackin_P_netOut_V_TREADY_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ioackin_P_netOut_V_TREADY <= ap_const_logic_0;
else
if (ap_sig_bdd_909) then
if (not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY)))) then
ap_reg_ioackin_P_netOut_V_TREADY <= ap_const_logic_0;
elsif ((ap_const_logic_1 = P_netOut_V_TREADY)) then
ap_reg_ioackin_P_netOut_V_TREADY <= ap_const_logic_1;
end if;
end if;
end if;
end if;
end process;
-- ap_reg_ioackin_P_uOut_TREADY assign process. --
ap_reg_ioackin_P_uOut_TREADY_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_reg_ioackin_P_uOut_TREADY <= ap_const_logic_0;
else
if ((ap_const_logic_1 = ap_sig_cseq_ST_st162_fsm_161)) then
if (not((ap_const_logic_0 = ap_sig_ioackin_P_uOut_TREADY))) then
ap_reg_ioackin_P_uOut_TREADY <= ap_const_logic_0;
elsif ((ap_const_logic_1 = P_uOut_TREADY)) then
ap_reg_ioackin_P_uOut_TREADY <= ap_const_logic_1;
end if;
end if;
end if;
end if;
end process;
-- agg_result_V_reg_514 assign process. --
agg_result_V_reg_514_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and not(ap_sig_bdd_725) and not((ap_const_lv1_0 = exitcond7_fu_1410_p2)))) then
agg_result_V_reg_514 <= ap_const_lv3_2;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st163_fsm_162) and (ap_const_lv1_0 = tmp_8_fu_1264_p2))) then
agg_result_V_reg_514 <= ap_const_lv3_3;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159) and not((ap_const_lv1_0 = exitcond6_fu_1210_p2)))) then
agg_result_V_reg_514 <= ap_const_lv3_4;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155) and (ap_const_lv1_0 = tmp_38_fu_1071_p2) and not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY))))) then
agg_result_V_reg_514 <= ap_const_lv3_1;
end if;
end if;
end process;
-- i_1_reg_469 assign process. --
i_1_reg_469_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_fu_620_p2 = ap_const_lv1_0) and not(ap_sig_bdd_414) and not((ap_const_lv1_0 = tmp_1_fu_630_p2)))) then
i_1_reg_469 <= ap_const_lv8_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163) and not((ap_const_lv1_0 = exitcond2_fu_1341_p2)))) then
i_1_reg_469 <= i_9_fu_1379_p2;
end if;
end if;
end process;
-- i_2_reg_297 assign process. --
i_2_reg_297_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_fu_620_p2 = ap_const_lv1_0) and not(ap_sig_bdd_414) and (ap_const_lv1_0 = tmp_1_fu_630_p2))) then
i_2_reg_297 <= ap_const_lv8_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (ap_const_lv1_0 = exitcond1_fu_642_p2) and not(ap_sig_bdd_441))) then
i_2_reg_297 <= i_8_fu_647_p2;
end if;
end if;
end process;
-- i_3_reg_308 assign process. --
i_3_reg_308_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not(ap_sig_bdd_441) and not((ap_const_lv1_0 = exitcond1_fu_642_p2)))) then
i_3_reg_308 <= ap_const_lv8_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3) and (ap_const_lv1_0 = tmp_16_fu_765_p2))) then
i_3_reg_308 <= i_10_fu_830_p2;
end if;
end if;
end process;
-- i_4_reg_366 assign process. --
i_4_reg_366_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) and (ap_const_lv1_0 = tmp_7_fu_658_p2))) then
i_4_reg_366 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_120)) then
i_4_reg_366 <= i_12_reg_1607;
end if;
end if;
end process;
-- i_5_reg_400 assign process. --
i_5_reg_400_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78) and (ap_const_lv1_0 = tmp_19_fu_920_p2))) then
i_5_reg_400 <= ap_const_lv8_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154)) then
i_5_reg_400 <= i_11_reg_1660;
end if;
end if;
end process;
-- i_6_reg_436 assign process. --
i_6_reg_436_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160) and not((ap_const_lv1_0 = exitcond_fu_1239_p2)))) then
i_6_reg_436 <= i_14_reg_1720;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) and not((ap_const_lv1_0 = exitcond5_fu_1041_p2)) and not((ap_const_lv1_0 = tmp_35_fu_1066_p2)))) then
i_6_reg_436 <= ap_const_lv8_0;
end if;
end if;
end process;
-- i_reg_503 assign process. --
i_reg_503_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725))) then
i_reg_503 <= i_7_fu_1415_p2;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((tmp_fu_620_p2 = ap_const_lv1_0)) and not(ap_sig_bdd_414))) then
i_reg_503 <= ap_const_lv8_0;
end if;
end if;
end process;
-- j_1_reg_320 assign process. --
j_1_reg_320_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) and not((ap_const_lv1_0 = tmp_7_fu_658_p2)))) then
j_1_reg_320 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77)) then
j_1_reg_320 <= j_5_reg_1543;
end if;
end if;
end process;
-- j_2_reg_389 assign process. --
j_2_reg_389_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78) and not((ap_const_lv1_0 = tmp_19_fu_920_p2)))) then
j_2_reg_389 <= ap_const_lv8_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91)) then
j_2_reg_389 <= j_6_reg_1632;
end if;
end if;
end process;
-- j_3_reg_458 assign process. --
j_3_reg_458_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159) and (ap_const_lv1_0 = exitcond6_fu_1210_p2))) then
j_3_reg_458 <= ap_const_lv8_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st162_fsm_161) and not((ap_const_logic_0 = ap_sig_ioackin_P_uOut_TREADY)))) then
j_3_reg_458 <= j_7_reg_1733;
end if;
end if;
end process;
-- j_reg_481 assign process. --
j_reg_481_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164) and not(ap_sig_bdd_710) and not((ap_const_lv1_0 = exitcond8_fu_1399_p2)))) then
j_reg_481 <= j_4_reg_1764;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st163_fsm_162) and not((ap_const_lv1_0 = tmp_8_fu_1264_p2)))) then
j_reg_481 <= ap_const_lv8_0;
end if;
end if;
end process;
-- k_1_reg_343 assign process. --
k_1_reg_343_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3) and not((ap_const_lv1_0 = tmp_16_fu_765_p2)))) then
k_1_reg_343 <= ap_const_lv8_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16)) then
k_1_reg_343 <= k_3_reg_1573;
end if;
end if;
end process;
-- k_reg_492 assign process. --
k_reg_492_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163) and (ap_const_lv1_0 = exitcond2_fu_1341_p2))) then
k_reg_492 <= ap_const_lv9_0;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164) and (ap_const_lv1_0 = exitcond8_fu_1399_p2) and not(ap_sig_bdd_710))) then
k_reg_492 <= k_2_fu_1404_p2;
end if;
end if;
end process;
-- p_netOut_V_reg_424 assign process. --
p_netOut_V_reg_424_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) and (ap_const_lv1_0 = tmp_35_fu_1066_p2) and not((ap_const_lv1_0 = exitcond5_fu_1041_p2)))) then
p_netOut_V_reg_424 <= ap_const_lv8_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st159_fsm_158)) then
p_netOut_V_reg_424 <= i_15_fu_1194_p2;
end if;
end if;
end process;
-- p_s_reg_411 assign process. --
p_s_reg_411_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) and (ap_const_lv1_0 = tmp_35_fu_1066_p2) and not((ap_const_lv1_0 = exitcond5_fu_1041_p2)))) then
p_s_reg_411 <= ap_const_lv8_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st159_fsm_158)) then
p_s_reg_411 <= p_netOut_V_1_fu_1187_p3;
end if;
end if;
end process;
-- phi_mul_reg_447 assign process. --
phi_mul_reg_447_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160) and not((ap_const_lv1_0 = exitcond_fu_1239_p2)))) then
phi_mul_reg_447 <= next_mul_reg_1712;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) and not((ap_const_lv1_0 = exitcond5_fu_1041_p2)) and not((ap_const_lv1_0 = tmp_35_fu_1066_p2)))) then
phi_mul_reg_447 <= ap_const_lv14_0;
end if;
end if;
end process;
-- sum_1_reg_377 assign process. --
sum_1_reg_377_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78) and not((ap_const_lv1_0 = tmp_19_fu_920_p2)))) then
sum_1_reg_377 <= ap_const_lv64_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91)) then
sum_1_reg_377 <= grp_fu_535_p2;
end if;
end if;
end process;
-- sum_reg_331 assign process. --
sum_reg_331_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3) and not((ap_const_lv1_0 = tmp_16_fu_765_p2)))) then
sum_reg_331 <= ap_const_lv64_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st17_fsm_16)) then
sum_reg_331 <= grp_fu_535_p2;
end if;
end if;
end process;
-- sumsoft_reg_354 assign process. --
sumsoft_reg_354_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) and (ap_const_lv1_0 = tmp_7_fu_658_p2))) then
sumsoft_reg_354 <= ap_const_lv64_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st121_fsm_120)) then
sumsoft_reg_354 <= grp_fu_535_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((tmp_fu_620_p2 = ap_const_lv1_0)) and not(ap_sig_bdd_414))) then
P_config_V_read_reg_1477 <= P_config_V_TDATA;
ST_numLayer_V <= P_config_V_TDATA;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not(ap_sig_bdd_414))) then
P_mode_V_read_reg_1453 <= P_mode_V;
ST_numLayer_V_load_reg_1461 <= ST_numLayer_V;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725) and (tmp_3_fu_1421_p1 = ap_const_lv2_0))) then
ST_layerSize_V_0 <= P_config_V_TDATA;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_fu_620_p2 = ap_const_lv1_0) and not(ap_sig_bdd_414) and (ap_const_lv1_0 = tmp_1_fu_630_p2))) then
ST_layerSize_V_0_load_reg_1472 <= ST_layerSize_V_0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725) and (tmp_3_fu_1421_p1 = ap_const_lv2_1))) then
ST_layerSize_V_1 <= P_config_V_TDATA;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725) and (tmp_3_fu_1421_p1 = ap_const_lv2_2))) then
ST_layerSize_V_2 <= P_config_V_TDATA;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725) and not((tmp_3_fu_1421_p1 = ap_const_lv2_2)) and not((tmp_3_fu_1421_p1 = ap_const_lv2_1)) and not((tmp_3_fu_1421_p1 = ap_const_lv2_0)))) then
ST_layerSize_V_3 <= P_config_V_TDATA;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st163_fsm_162) and not((ap_const_lv1_0 = tmp_8_fu_1264_p2)))) then
ST_layerSize_V_load_1_phi_reg_1751 <= ST_layerSize_V_load_1_phi_fu_1303_p3;
tmp_10_reg_1756 <= tmp_10_fu_1335_p2;
tmp_s_reg_1746 <= tmp_s_fu_1273_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121)) then
i_11_reg_1660 <= i_11_fu_1046_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78)) then
i_12_reg_1607 <= i_12_fu_926_p2;
tmp_17_reg_1598 <= tmp_17_fu_903_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159)) then
i_14_reg_1720 <= i_14_fu_1215_p2;
next_mul_reg_1712 <= next_mul_fu_1204_p2;
tmp_66_reg_1707 <= tmp_66_fu_1200_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163)) then
j_4_reg_1764 <= j_4_fu_1346_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
j_5_reg_1543 <= j_5_fu_771_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79)) then
j_6_reg_1632 <= j_6_fu_994_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160)) then
j_7_reg_1733 <= j_7_fu_1244_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
k_3_reg_1573 <= k_3_fu_841_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3) and not((ap_const_lv1_0 = tmp_16_fu_765_p2)))) then
p_uOut_addr_1_reg_1560 <= tmp_64_cast_fu_795_p1(8 - 1 downto 0);
tmp_20_reg_1548 <= tmp_20_fu_777_p6;
tmp_54_reg_1554(13 downto 2) <= tmp_54_fu_824_p2(13 downto 2);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st79_fsm_78) and not((ap_const_lv1_0 = tmp_19_fu_920_p2)))) then
p_uOut_addr_3_reg_1624 <= tmp_68_cast_fu_954_p1(8 - 1 downto 0);
tmp_22_reg_1612 <= tmp_22_fu_932_p6;
tmp_58_reg_1618(13 downto 2) <= tmp_58_fu_983_p2(13 downto 2);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) and (ap_const_lv1_0 = exitcond5_fu_1041_p2))) then
p_uOut_addr_5_reg_1665 <= tmp_78_cast_fu_1061_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st157_fsm_156)) then
p_uOut_load_4_reg_1686 <= p_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5) or (ap_const_logic_1 = ap_sig_cseq_ST_st81_fsm_80) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122) or (ap_const_logic_1 = ap_sig_cseq_ST_st157_fsm_156))) then
reg_578 <= p_uOut_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st6_fsm_5) or (ap_const_logic_1 = ap_sig_cseq_ST_st81_fsm_80) or (ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
reg_585 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) or (ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86))) then
reg_591 <= grp_fu_543_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22) or (ap_const_logic_1 = ap_sig_cseq_ST_st46_fsm_45) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_602 <= grp_fu_535_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st41_fsm_40) or (ap_const_logic_1 = ap_sig_cseq_ST_st116_fsm_115))) then
reg_608 <= grp_fu_557_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st77_fsm_76) or (ap_const_logic_1 = ap_sig_cseq_ST_st154_fsm_153))) then
reg_615 <= grp_fu_547_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st164_fsm_163) and (ap_const_lv1_0 = exitcond2_fu_1341_p2))) then
tmp_21_reg_1769(13 downto 2) <= tmp_21_fu_1373_p2(13 downto 2);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) and not((ap_const_lv1_0 = tmp_7_fu_658_p2)))) then
tmp_24_reg_1498 <= tmp_24_fu_677_p1;
tmp_29_reg_1503 <= tmp_29_fu_691_p2;
tmp_31_reg_1508 <= tmp_31_fu_697_p1;
tmp_59_cast_reg_1493(14 downto 0) <= tmp_59_cast_fu_673_p1(14 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) and (ap_const_lv1_0 = tmp_7_fu_658_p2))) then
tmp_36_reg_1513 <= tmp_36_fu_720_p1;
tmp_39_reg_1525 <= tmp_39_fu_728_p1;
tmp_41_reg_1530 <= tmp_41_fu_738_p2;
tmp_42_reg_1535 <= tmp_42_fu_744_p1;
tmp_61_cast_reg_1520 <= tmp_61_cast_fu_724_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st160_fsm_159) and (ap_const_lv1_0 = exitcond6_fu_1210_p2))) then
tmp_40_reg_1725 <= tmp_40_fu_1225_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st158_fsm_157)) then
tmp_51_reg_1692 <= tmp_51_fu_1181_p2;
end if;
end if;
end process;
tmp_59_cast_reg_1493(31 downto 15) <= "00000000000000000";
tmp_54_reg_1554(1 downto 0) <= "00";
tmp_58_reg_1618(1 downto 0) <= "00";
tmp_21_reg_1769(1 downto 0) <= "00";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_CS_fsm, tmp_fu_620_p2, ap_sig_bdd_414, tmp_1_fu_630_p2, exitcond1_fu_642_p2, ap_sig_bdd_441, tmp_7_fu_658_p2, tmp_16_fu_765_p2, exitcond3_fu_836_p2, tmp_19_fu_920_p2, exitcond4_fu_989_p2, exitcond5_fu_1041_p2, tmp_38_fu_1071_p2, ap_sig_ioackin_P_netOut_V_TREADY, exitcond6_fu_1210_p2, exitcond_fu_1239_p2, tmp_8_fu_1264_p2, exitcond2_fu_1341_p2, exitcond8_fu_1399_p2, ap_sig_bdd_710, exitcond7_fu_1410_p2, ap_sig_bdd_725, tmp_35_fu_1066_p2, ap_sig_ioackin_P_uOut_TREADY)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((tmp_fu_620_p2 = ap_const_lv1_0)) and not(ap_sig_bdd_414))) then
ap_NS_fsm <= ap_ST_st166_fsm_165;
elsif (((tmp_fu_620_p2 = ap_const_lv1_0) and not(ap_sig_bdd_414) and (ap_const_lv1_0 = tmp_1_fu_630_p2))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif (((tmp_fu_620_p2 = ap_const_lv1_0) and not(ap_sig_bdd_414) and not((ap_const_lv1_0 = tmp_1_fu_630_p2)))) then
ap_NS_fsm <= ap_ST_st163_fsm_162;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if (((ap_const_lv1_0 = exitcond1_fu_642_p2) and not(ap_sig_bdd_441))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not(ap_sig_bdd_441) and not((ap_const_lv1_0 = exitcond1_fu_642_p2)))) then
ap_NS_fsm <= ap_ST_st3_fsm_2;
else
ap_NS_fsm <= ap_ST_st2_fsm_1;
end if;
when ap_ST_st3_fsm_2 =>
if ((ap_const_lv1_0 = tmp_7_fu_658_p2)) then
ap_NS_fsm <= ap_ST_st79_fsm_78;
else
ap_NS_fsm <= ap_ST_st4_fsm_3;
end if;
when ap_ST_st4_fsm_3 =>
if ((ap_const_lv1_0 = tmp_16_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st3_fsm_2;
else
ap_NS_fsm <= ap_ST_st5_fsm_4;
end if;
when ap_ST_st5_fsm_4 =>
if (not((ap_const_lv1_0 = exitcond3_fu_836_p2))) then
ap_NS_fsm <= ap_ST_st18_fsm_17;
else
ap_NS_fsm <= ap_ST_st6_fsm_5;
end if;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st11_fsm_10;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st12_fsm_11;
when ap_ST_st12_fsm_11 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st13_fsm_12 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st14_fsm_13 =>
ap_NS_fsm <= ap_ST_st15_fsm_14;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st24_fsm_23;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st79_fsm_78 =>
if (not((ap_const_lv1_0 = tmp_19_fu_920_p2))) then
ap_NS_fsm <= ap_ST_st80_fsm_79;
else
ap_NS_fsm <= ap_ST_st122_fsm_121;
end if;
when ap_ST_st80_fsm_79 =>
if (not((ap_const_lv1_0 = exitcond4_fu_989_p2))) then
ap_NS_fsm <= ap_ST_st93_fsm_92;
else
ap_NS_fsm <= ap_ST_st81_fsm_80;
end if;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st87_fsm_86 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st88_fsm_87 =>
ap_NS_fsm <= ap_ST_st89_fsm_88;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st98_fsm_97;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st122_fsm_121 =>
if ((not((ap_const_lv1_0 = exitcond5_fu_1041_p2)) and not((ap_const_lv1_0 = tmp_35_fu_1066_p2)))) then
ap_NS_fsm <= ap_ST_st160_fsm_159;
elsif (((ap_const_lv1_0 = tmp_35_fu_1066_p2) and not((ap_const_lv1_0 = exitcond5_fu_1041_p2)))) then
ap_NS_fsm <= ap_ST_st156_fsm_155;
else
ap_NS_fsm <= ap_ST_st123_fsm_122;
end if;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st129_fsm_128 =>
ap_NS_fsm <= ap_ST_st130_fsm_129;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st148_fsm_147;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st151_fsm_150;
when ap_ST_st151_fsm_150 =>
ap_NS_fsm <= ap_ST_st152_fsm_151;
when ap_ST_st152_fsm_151 =>
ap_NS_fsm <= ap_ST_st153_fsm_152;
when ap_ST_st153_fsm_152 =>
ap_NS_fsm <= ap_ST_st154_fsm_153;
when ap_ST_st154_fsm_153 =>
ap_NS_fsm <= ap_ST_st155_fsm_154;
when ap_ST_st155_fsm_154 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st156_fsm_155 =>
if (((ap_const_lv1_0 = tmp_38_fu_1071_p2) and not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY))))) then
ap_NS_fsm <= ap_ST_st167_fsm_166;
elsif ((not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY))) and not((ap_const_lv1_0 = tmp_38_fu_1071_p2)))) then
ap_NS_fsm <= ap_ST_st157_fsm_156;
else
ap_NS_fsm <= ap_ST_st156_fsm_155;
end if;
when ap_ST_st157_fsm_156 =>
ap_NS_fsm <= ap_ST_st158_fsm_157;
when ap_ST_st158_fsm_157 =>
ap_NS_fsm <= ap_ST_st159_fsm_158;
when ap_ST_st159_fsm_158 =>
ap_NS_fsm <= ap_ST_st156_fsm_155;
when ap_ST_st160_fsm_159 =>
if ((ap_const_lv1_0 = exitcond6_fu_1210_p2)) then
ap_NS_fsm <= ap_ST_st161_fsm_160;
else
ap_NS_fsm <= ap_ST_st167_fsm_166;
end if;
when ap_ST_st161_fsm_160 =>
if ((ap_const_lv1_0 = exitcond_fu_1239_p2)) then
ap_NS_fsm <= ap_ST_st162_fsm_161;
else
ap_NS_fsm <= ap_ST_st160_fsm_159;
end if;
when ap_ST_st162_fsm_161 =>
if (not((ap_const_logic_0 = ap_sig_ioackin_P_uOut_TREADY))) then
ap_NS_fsm <= ap_ST_st161_fsm_160;
else
ap_NS_fsm <= ap_ST_st162_fsm_161;
end if;
when ap_ST_st163_fsm_162 =>
if (not((ap_const_lv1_0 = tmp_8_fu_1264_p2))) then
ap_NS_fsm <= ap_ST_st164_fsm_163;
else
ap_NS_fsm <= ap_ST_st167_fsm_166;
end if;
when ap_ST_st164_fsm_163 =>
if (not((ap_const_lv1_0 = exitcond2_fu_1341_p2))) then
ap_NS_fsm <= ap_ST_st163_fsm_162;
else
ap_NS_fsm <= ap_ST_st165_fsm_164;
end if;
when ap_ST_st165_fsm_164 =>
if (((ap_const_lv1_0 = exitcond8_fu_1399_p2) and not(ap_sig_bdd_710))) then
ap_NS_fsm <= ap_ST_st165_fsm_164;
elsif ((not(ap_sig_bdd_710) and not((ap_const_lv1_0 = exitcond8_fu_1399_p2)))) then
ap_NS_fsm <= ap_ST_st164_fsm_163;
else
ap_NS_fsm <= ap_ST_st165_fsm_164;
end if;
when ap_ST_st166_fsm_165 =>
if (((ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725))) then
ap_NS_fsm <= ap_ST_st166_fsm_165;
elsif ((not(ap_sig_bdd_725) and not((ap_const_lv1_0 = exitcond7_fu_1410_p2)))) then
ap_NS_fsm <= ap_ST_st167_fsm_166;
else
ap_NS_fsm <= ap_ST_st166_fsm_165;
end if;
when ap_ST_st167_fsm_166 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
-- P_WandB_TREADY assign process. --
P_WandB_TREADY_assign_proc : process(ap_sig_cseq_ST_st165_fsm_164, exitcond8_fu_1399_p2, ap_sig_bdd_710)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164) and (ap_const_lv1_0 = exitcond8_fu_1399_p2) and not(ap_sig_bdd_710))) then
P_WandB_TREADY <= ap_const_logic_1;
else
P_WandB_TREADY <= ap_const_logic_0;
end if;
end process;
-- P_config_V_TREADY assign process. --
P_config_V_TREADY_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_fu_620_p2, ap_sig_bdd_414, ap_sig_cseq_ST_st166_fsm_165, exitcond7_fu_1410_p2, ap_sig_bdd_725)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((tmp_fu_620_p2 = ap_const_lv1_0)) and not(ap_sig_bdd_414)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st166_fsm_165) and (ap_const_lv1_0 = exitcond7_fu_1410_p2) and not(ap_sig_bdd_725)))) then
P_config_V_TREADY <= ap_const_logic_1;
else
P_config_V_TREADY <= ap_const_logic_0;
end if;
end process;
-- P_netIn_TREADY assign process. --
P_netIn_TREADY_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, exitcond1_fu_642_p2, ap_sig_bdd_441)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (ap_const_lv1_0 = exitcond1_fu_642_p2) and not(ap_sig_bdd_441))) then
P_netIn_TREADY <= ap_const_logic_1;
else
P_netIn_TREADY <= ap_const_logic_0;
end if;
end process;
P_netOut_V_TDATA <= p_s_reg_411;
-- P_netOut_V_TVALID assign process. --
P_netOut_V_TVALID_assign_proc : process(ap_sig_cseq_ST_st156_fsm_155, tmp_38_fu_1071_p2, ap_reg_ioackin_P_netOut_V_TREADY)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155) and (ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_reg_ioackin_P_netOut_V_TREADY))) then
P_netOut_V_TVALID <= ap_const_logic_1;
else
P_netOut_V_TVALID <= ap_const_logic_0;
end if;
end process;
P_uOut_TDATA <= p_uOut_q1;
-- P_uOut_TVALID assign process. --
P_uOut_TVALID_assign_proc : process(ap_sig_cseq_ST_st162_fsm_161, ap_reg_ioackin_P_uOut_TREADY)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st162_fsm_161) and (ap_const_logic_0 = ap_reg_ioackin_P_uOut_TREADY))) then
P_uOut_TVALID <= ap_const_logic_1;
else
P_uOut_TVALID <= ap_const_logic_0;
end if;
end process;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st5_fsm_4, exitcond3_fu_836_p2, ap_sig_cseq_ST_st80_fsm_79, exitcond4_fu_989_p2, ap_sig_cseq_ST_st165_fsm_164, tmp_73_cast_fu_860_p1, tmp_72_cast_fu_883_p1, tmp_76_cast_fu_1013_p1, tmp_75_cast_fu_1036_p1, tmp_63_cast_fu_1394_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164)) then
ST_WandB_address0 <= tmp_63_cast_fu_1394_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79) and not((ap_const_lv1_0 = exitcond4_fu_989_p2)))) then
ST_WandB_address0 <= tmp_75_cast_fu_1036_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79) and (ap_const_lv1_0 = exitcond4_fu_989_p2))) then
ST_WandB_address0 <= tmp_76_cast_fu_1013_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4) and not((ap_const_lv1_0 = exitcond3_fu_836_p2)))) then
ST_WandB_address0 <= tmp_72_cast_fu_883_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4) and (ap_const_lv1_0 = exitcond3_fu_836_p2))) then
ST_WandB_address0 <= tmp_73_cast_fu_860_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st5_fsm_4, exitcond3_fu_836_p2, ap_sig_cseq_ST_st80_fsm_79, exitcond4_fu_989_p2, ap_sig_cseq_ST_st165_fsm_164, ap_sig_bdd_710)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4) and (ap_const_lv1_0 = exitcond3_fu_836_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4) and not((ap_const_lv1_0 = exitcond3_fu_836_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79) and (ap_const_lv1_0 = exitcond4_fu_989_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79) and not((ap_const_lv1_0 = exitcond4_fu_989_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164) and not(ap_sig_bdd_710)))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_WandB_TDATA;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st165_fsm_164, exitcond8_fu_1399_p2, ap_sig_bdd_710)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st165_fsm_164) and (ap_const_lv1_0 = exitcond8_fu_1399_p2) and not(ap_sig_bdd_710)))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
ST_layerSize_V_load_1_phi_fu_1303_p3 <=
ST_layerSize_V_2 when (sel_tmp2_fu_1297_p2(0) = '1') else
sel_tmp1_fu_1289_p3;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st167_fsm_166)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st167_fsm_166)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st167_fsm_166)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st167_fsm_166)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= std_logic_vector(resize(unsigned(agg_result_V_reg_514),8));
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1012 assign process. --
ap_sig_bdd_1012_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1012 <= (ap_const_lv1_1 = ap_CS_fsm(46 downto 46));
end process;
-- ap_sig_bdd_1019 assign process. --
ap_sig_bdd_1019_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1019 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_1029 assign process. --
ap_sig_bdd_1029_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1029 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_bdd_1384 assign process. --
ap_sig_bdd_1384_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1384 <= (ap_const_lv1_1 = ap_CS_fsm(166 downto 166));
end process;
-- ap_sig_bdd_185 assign process. --
ap_sig_bdd_185_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_185 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(5 downto 5));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(80 downto 80));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_291 assign process. --
ap_sig_bdd_291_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_291 <= (ap_const_lv1_1 = ap_CS_fsm(156 downto 156));
end process;
-- ap_sig_bdd_300 assign process. --
ap_sig_bdd_300_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_300 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_309 assign process. --
ap_sig_bdd_309_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_309 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_319 assign process. --
ap_sig_bdd_319_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_319 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_326 assign process. --
ap_sig_bdd_326_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_326 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_336 assign process. --
ap_sig_bdd_336_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_336 <= (ap_const_lv1_1 = ap_CS_fsm(16 downto 16));
end process;
-- ap_sig_bdd_343 assign process. --
ap_sig_bdd_343_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_343 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_352 assign process. --
ap_sig_bdd_352_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_352 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_359 assign process. --
ap_sig_bdd_359_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_359 <= (ap_const_lv1_1 = ap_CS_fsm(45 downto 45));
end process;
-- ap_sig_bdd_367 assign process. --
ap_sig_bdd_367_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_367 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_377 assign process. --
ap_sig_bdd_377_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_377 <= (ap_const_lv1_1 = ap_CS_fsm(40 downto 40));
end process;
-- ap_sig_bdd_384 assign process. --
ap_sig_bdd_384_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_384 <= (ap_const_lv1_1 = ap_CS_fsm(115 downto 115));
end process;
-- ap_sig_bdd_394 assign process. --
ap_sig_bdd_394_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_394 <= (ap_const_lv1_1 = ap_CS_fsm(76 downto 76));
end process;
-- ap_sig_bdd_401 assign process. --
ap_sig_bdd_401_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_401 <= (ap_const_lv1_1 = ap_CS_fsm(153 downto 153));
end process;
-- ap_sig_bdd_414 assign process. --
ap_sig_bdd_414_assign_proc : process(ap_start, P_config_V_TVALID, tmp_fu_620_p2)
begin
ap_sig_bdd_414 <= (((P_config_V_TVALID = ap_const_logic_0) and not((tmp_fu_620_p2 = ap_const_lv1_0))) or (ap_start = ap_const_logic_0));
end process;
-- ap_sig_bdd_435 assign process. --
ap_sig_bdd_435_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_435 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_441 assign process. --
ap_sig_bdd_441_assign_proc : process(P_netIn_TVALID, exitcond1_fu_642_p2)
begin
ap_sig_bdd_441 <= ((P_netIn_TVALID = ap_const_logic_0) and (ap_const_lv1_0 = exitcond1_fu_642_p2));
end process;
-- ap_sig_bdd_451 assign process. --
ap_sig_bdd_451_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_451 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_481 assign process. --
ap_sig_bdd_481_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_481 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_503 assign process. --
ap_sig_bdd_503_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_503 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_523 assign process. --
ap_sig_bdd_523_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_523 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_532 assign process. --
ap_sig_bdd_532_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_532 <= (ap_const_lv1_1 = ap_CS_fsm(78 downto 78));
end process;
-- ap_sig_bdd_553 assign process. --
ap_sig_bdd_553_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_553 <= (ap_const_lv1_1 = ap_CS_fsm(79 downto 79));
end process;
-- ap_sig_bdd_572 assign process. --
ap_sig_bdd_572_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_572 <= (ap_const_lv1_1 = ap_CS_fsm(120 downto 120));
end process;
-- ap_sig_bdd_581 assign process. --
ap_sig_bdd_581_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_581 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(155 downto 155));
end process;
-- ap_sig_bdd_617 assign process. --
ap_sig_bdd_617_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_617 <= (ap_const_lv1_1 = ap_CS_fsm(157 downto 157));
end process;
-- ap_sig_bdd_626 assign process. --
ap_sig_bdd_626_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_626 <= (ap_const_lv1_1 = ap_CS_fsm(158 downto 158));
end process;
-- ap_sig_bdd_637 assign process. --
ap_sig_bdd_637_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_637 <= (ap_const_lv1_1 = ap_CS_fsm(159 downto 159));
end process;
-- ap_sig_bdd_655 assign process. --
ap_sig_bdd_655_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_655 <= (ap_const_lv1_1 = ap_CS_fsm(160 downto 160));
end process;
-- ap_sig_bdd_669 assign process. --
ap_sig_bdd_669_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_669 <= (ap_const_lv1_1 = ap_CS_fsm(162 downto 162));
end process;
-- ap_sig_bdd_686 assign process. --
ap_sig_bdd_686_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_686 <= (ap_const_lv1_1 = ap_CS_fsm(163 downto 163));
end process;
-- ap_sig_bdd_704 assign process. --
ap_sig_bdd_704_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_704 <= (ap_const_lv1_1 = ap_CS_fsm(164 downto 164));
end process;
-- ap_sig_bdd_710 assign process. --
ap_sig_bdd_710_assign_proc : process(P_WandB_TVALID, exitcond8_fu_1399_p2)
begin
ap_sig_bdd_710 <= ((P_WandB_TVALID = ap_const_logic_0) and (ap_const_lv1_0 = exitcond8_fu_1399_p2));
end process;
-- ap_sig_bdd_720 assign process. --
ap_sig_bdd_720_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_720 <= (ap_const_lv1_1 = ap_CS_fsm(165 downto 165));
end process;
-- ap_sig_bdd_725 assign process. --
ap_sig_bdd_725_assign_proc : process(P_config_V_TVALID, exitcond7_fu_1410_p2)
begin
ap_sig_bdd_725 <= ((P_config_V_TVALID = ap_const_logic_0) and (ap_const_lv1_0 = exitcond7_fu_1410_p2));
end process;
-- ap_sig_bdd_754 assign process. --
ap_sig_bdd_754_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_754 <= (ap_const_lv1_1 = ap_CS_fsm(77 downto 77));
end process;
-- ap_sig_bdd_776 assign process. --
ap_sig_bdd_776_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_776 <= (ap_const_lv1_1 = ap_CS_fsm(154 downto 154));
end process;
-- ap_sig_bdd_805 assign process. --
ap_sig_bdd_805_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_805 <= (ap_const_lv1_1 = ap_CS_fsm(161 downto 161));
end process;
-- ap_sig_bdd_909 assign process. --
ap_sig_bdd_909_assign_proc : process(ap_sig_cseq_ST_st156_fsm_155, tmp_38_fu_1071_p2)
begin
ap_sig_bdd_909 <= ((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155) and (ap_const_lv1_0 = tmp_38_fu_1071_p2));
end process;
-- ap_sig_bdd_929 assign process. --
ap_sig_bdd_929_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_929 <= (ap_const_lv1_1 = ap_CS_fsm(116 downto 116));
end process;
-- ap_sig_bdd_954 assign process. --
ap_sig_bdd_954_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_954 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_961 assign process. --
ap_sig_bdd_961_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_961 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_969 assign process. --
ap_sig_bdd_969_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_969 <= (ap_const_lv1_1 = ap_CS_fsm(41 downto 41));
end process;
-- ap_sig_bdd_976 assign process. --
ap_sig_bdd_976_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_976 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_983 assign process. --
ap_sig_bdd_983_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_983 <= (ap_const_lv1_1 = ap_CS_fsm(93 downto 93));
end process;
-- ap_sig_cseq_ST_st116_fsm_115 assign process. --
ap_sig_cseq_ST_st116_fsm_115_assign_proc : process(ap_sig_bdd_384)
begin
if (ap_sig_bdd_384) then
ap_sig_cseq_ST_st116_fsm_115 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st116_fsm_115 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st117_fsm_116 assign process. --
ap_sig_cseq_ST_st117_fsm_116_assign_proc : process(ap_sig_bdd_929)
begin
if (ap_sig_bdd_929) then
ap_sig_cseq_ST_st117_fsm_116 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st117_fsm_116 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st121_fsm_120 assign process. --
ap_sig_cseq_ST_st121_fsm_120_assign_proc : process(ap_sig_bdd_572)
begin
if (ap_sig_bdd_572) then
ap_sig_cseq_ST_st121_fsm_120 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st121_fsm_120 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_581)
begin
if (ap_sig_bdd_581) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_1019)
begin
if (ap_sig_bdd_1019) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_319)
begin
if (ap_sig_bdd_319) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_954)
begin
if (ap_sig_bdd_954) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st154_fsm_153 assign process. --
ap_sig_cseq_ST_st154_fsm_153_assign_proc : process(ap_sig_bdd_401)
begin
if (ap_sig_bdd_401) then
ap_sig_cseq_ST_st154_fsm_153 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st154_fsm_153 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st155_fsm_154 assign process. --
ap_sig_cseq_ST_st155_fsm_154_assign_proc : process(ap_sig_bdd_776)
begin
if (ap_sig_bdd_776) then
ap_sig_cseq_ST_st155_fsm_154 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st155_fsm_154 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st156_fsm_155 assign process. --
ap_sig_cseq_ST_st156_fsm_155_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st156_fsm_155 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st156_fsm_155 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st157_fsm_156 assign process. --
ap_sig_cseq_ST_st157_fsm_156_assign_proc : process(ap_sig_bdd_291)
begin
if (ap_sig_bdd_291) then
ap_sig_cseq_ST_st157_fsm_156 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st157_fsm_156 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st158_fsm_157 assign process. --
ap_sig_cseq_ST_st158_fsm_157_assign_proc : process(ap_sig_bdd_617)
begin
if (ap_sig_bdd_617) then
ap_sig_cseq_ST_st158_fsm_157 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st158_fsm_157 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st159_fsm_158 assign process. --
ap_sig_cseq_ST_st159_fsm_158_assign_proc : process(ap_sig_bdd_626)
begin
if (ap_sig_bdd_626) then
ap_sig_cseq_ST_st159_fsm_158 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st159_fsm_158 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st160_fsm_159 assign process. --
ap_sig_cseq_ST_st160_fsm_159_assign_proc : process(ap_sig_bdd_637)
begin
if (ap_sig_bdd_637) then
ap_sig_cseq_ST_st160_fsm_159 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st160_fsm_159 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st161_fsm_160 assign process. --
ap_sig_cseq_ST_st161_fsm_160_assign_proc : process(ap_sig_bdd_655)
begin
if (ap_sig_bdd_655) then
ap_sig_cseq_ST_st161_fsm_160 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st161_fsm_160 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st162_fsm_161 assign process. --
ap_sig_cseq_ST_st162_fsm_161_assign_proc : process(ap_sig_bdd_805)
begin
if (ap_sig_bdd_805) then
ap_sig_cseq_ST_st162_fsm_161 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st162_fsm_161 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st163_fsm_162 assign process. --
ap_sig_cseq_ST_st163_fsm_162_assign_proc : process(ap_sig_bdd_669)
begin
if (ap_sig_bdd_669) then
ap_sig_cseq_ST_st163_fsm_162 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st163_fsm_162 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st164_fsm_163 assign process. --
ap_sig_cseq_ST_st164_fsm_163_assign_proc : process(ap_sig_bdd_686)
begin
if (ap_sig_bdd_686) then
ap_sig_cseq_ST_st164_fsm_163 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st164_fsm_163 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st165_fsm_164 assign process. --
ap_sig_cseq_ST_st165_fsm_164_assign_proc : process(ap_sig_bdd_704)
begin
if (ap_sig_bdd_704) then
ap_sig_cseq_ST_st165_fsm_164 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st165_fsm_164 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st166_fsm_165 assign process. --
ap_sig_cseq_ST_st166_fsm_165_assign_proc : process(ap_sig_bdd_720)
begin
if (ap_sig_bdd_720) then
ap_sig_cseq_ST_st166_fsm_165 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st166_fsm_165 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st167_fsm_166 assign process. --
ap_sig_cseq_ST_st167_fsm_166_assign_proc : process(ap_sig_bdd_1384)
begin
if (ap_sig_bdd_1384) then
ap_sig_cseq_ST_st167_fsm_166 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st167_fsm_166 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st17_fsm_16 assign process. --
ap_sig_cseq_ST_st17_fsm_16_assign_proc : process(ap_sig_bdd_336)
begin
if (ap_sig_bdd_336) then
ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st17_fsm_16 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_300)
begin
if (ap_sig_bdd_300) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_961)
begin
if (ap_sig_bdd_961) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_185)
begin
if (ap_sig_bdd_185) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_352)
begin
if (ap_sig_bdd_352) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_523)
begin
if (ap_sig_bdd_523) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_435)
begin
if (ap_sig_bdd_435) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_451)
begin
if (ap_sig_bdd_451) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st41_fsm_40 assign process. --
ap_sig_cseq_ST_st41_fsm_40_assign_proc : process(ap_sig_bdd_377)
begin
if (ap_sig_bdd_377) then
ap_sig_cseq_ST_st41_fsm_40 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st41_fsm_40 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st42_fsm_41 assign process. --
ap_sig_cseq_ST_st42_fsm_41_assign_proc : process(ap_sig_bdd_969)
begin
if (ap_sig_bdd_969) then
ap_sig_cseq_ST_st42_fsm_41 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st42_fsm_41 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st46_fsm_45 assign process. --
ap_sig_cseq_ST_st46_fsm_45_assign_proc : process(ap_sig_bdd_359)
begin
if (ap_sig_bdd_359) then
ap_sig_cseq_ST_st46_fsm_45 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st46_fsm_45 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st47_fsm_46 assign process. --
ap_sig_cseq_ST_st47_fsm_46_assign_proc : process(ap_sig_bdd_1012)
begin
if (ap_sig_bdd_1012) then
ap_sig_cseq_ST_st47_fsm_46 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st47_fsm_46 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_481)
begin
if (ap_sig_bdd_481) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_503)
begin
if (ap_sig_bdd_503) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st6_fsm_5 assign process. --
ap_sig_cseq_ST_st6_fsm_5_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st6_fsm_5 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st77_fsm_76 assign process. --
ap_sig_cseq_ST_st77_fsm_76_assign_proc : process(ap_sig_bdd_394)
begin
if (ap_sig_bdd_394) then
ap_sig_cseq_ST_st77_fsm_76 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st77_fsm_76 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st78_fsm_77 assign process. --
ap_sig_cseq_ST_st78_fsm_77_assign_proc : process(ap_sig_bdd_754)
begin
if (ap_sig_bdd_754) then
ap_sig_cseq_ST_st78_fsm_77 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st78_fsm_77 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st79_fsm_78 assign process. --
ap_sig_cseq_ST_st79_fsm_78_assign_proc : process(ap_sig_bdd_532)
begin
if (ap_sig_bdd_532) then
ap_sig_cseq_ST_st79_fsm_78 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st79_fsm_78 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st80_fsm_79 assign process. --
ap_sig_cseq_ST_st80_fsm_79_assign_proc : process(ap_sig_bdd_553)
begin
if (ap_sig_bdd_553) then
ap_sig_cseq_ST_st80_fsm_79 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st80_fsm_79 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st81_fsm_80 assign process. --
ap_sig_cseq_ST_st81_fsm_80_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st81_fsm_80 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st81_fsm_80 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_326)
begin
if (ap_sig_bdd_326) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_976)
begin
if (ap_sig_bdd_976) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_343)
begin
if (ap_sig_bdd_343) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_309)
begin
if (ap_sig_bdd_309) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st94_fsm_93 assign process. --
ap_sig_cseq_ST_st94_fsm_93_assign_proc : process(ap_sig_bdd_983)
begin
if (ap_sig_bdd_983) then
ap_sig_cseq_ST_st94_fsm_93 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st94_fsm_93 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_367)
begin
if (ap_sig_bdd_367) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_1029)
begin
if (ap_sig_bdd_1029) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_ioackin_P_netOut_V_TREADY assign process. --
ap_sig_ioackin_P_netOut_V_TREADY_assign_proc : process(P_netOut_V_TREADY, ap_reg_ioackin_P_netOut_V_TREADY)
begin
if ((ap_const_logic_0 = ap_reg_ioackin_P_netOut_V_TREADY)) then
ap_sig_ioackin_P_netOut_V_TREADY <= P_netOut_V_TREADY;
else
ap_sig_ioackin_P_netOut_V_TREADY <= ap_const_logic_1;
end if;
end process;
-- ap_sig_ioackin_P_uOut_TREADY assign process. --
ap_sig_ioackin_P_uOut_TREADY_assign_proc : process(P_uOut_TREADY, ap_reg_ioackin_P_uOut_TREADY)
begin
if ((ap_const_logic_0 = ap_reg_ioackin_P_uOut_TREADY)) then
ap_sig_ioackin_P_uOut_TREADY <= P_uOut_TREADY;
else
ap_sig_ioackin_P_uOut_TREADY <= ap_const_logic_1;
end if;
end process;
exitcond1_fu_642_p2 <= "1" when (i_2_reg_297 = ST_layerSize_V_0_load_reg_1472) else "0";
exitcond2_fu_1341_p2 <= "1" when (j_reg_481 = ST_layerSize_V_load_1_phi_reg_1751) else "0";
exitcond3_fu_836_p2 <= "1" when (k_1_reg_343 = tmp_20_reg_1548) else "0";
exitcond4_fu_989_p2 <= "1" when (j_2_reg_389 = tmp_22_reg_1612) else "0";
exitcond5_fu_1041_p2 <= "1" when (i_5_reg_400 = tmp_17_reg_1598) else "0";
exitcond6_fu_1210_p2 <= "1" when (i_6_reg_436 = ST_numLayer_V_load_reg_1461) else "0";
exitcond7_fu_1410_p2 <= "1" when (i_reg_503 = P_config_V_read_reg_1477) else "0";
exitcond8_fu_1399_p2 <= "1" when (k_reg_492 = tmp_10_reg_1756) else "0";
exitcond_fu_1239_p2 <= "1" when (j_3_reg_458 = tmp_40_reg_1725) else "0";
feedforward_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
grp_fu_535_ce <= ap_const_logic_1;
-- grp_fu_535_p0 assign process. --
grp_fu_535_p0_assign_proc : process(reg_608, sum_reg_331, sumsoft_reg_354, sum_1_reg_377, ap_sig_cseq_ST_st117_fsm_116, ap_sig_cseq_ST_st13_fsm_12, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st42_fsm_41, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st94_fsm_93)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) then
grp_fu_535_p0 <= sumsoft_reg_354;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st94_fsm_93))) then
grp_fu_535_p0 <= sum_1_reg_377;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st42_fsm_41)) then
grp_fu_535_p0 <= reg_608;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) or (ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18))) then
grp_fu_535_p0 <= sum_reg_331;
else
grp_fu_535_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_535_p1 assign process. --
grp_fu_535_p1_assign_proc : process(reg_585, reg_591, reg_608, ap_sig_cseq_ST_st117_fsm_116, ap_sig_cseq_ST_st13_fsm_12, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st42_fsm_41, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st94_fsm_93)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) then
grp_fu_535_p1 <= reg_608;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st42_fsm_41)) then
grp_fu_535_p1 <= ap_const_lv64_3FF0000000000000;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st94_fsm_93))) then
grp_fu_535_p1 <= reg_585;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87))) then
grp_fu_535_p1 <= reg_591;
else
grp_fu_535_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_543_ce <= ap_const_logic_1;
grp_fu_547_ce <= ap_const_logic_1;
-- grp_fu_547_p0 assign process. --
grp_fu_547_p0_assign_proc : process(reg_578, ap_sig_cseq_ST_st47_fsm_46, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_547_p0 <= reg_578;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st47_fsm_46)) then
grp_fu_547_p0 <= ap_const_lv64_3FF0000000000000;
else
grp_fu_547_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_547_p1 assign process. --
grp_fu_547_p1_assign_proc : process(reg_602, sumsoft_reg_354, ap_sig_cseq_ST_st47_fsm_46, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_547_p1 <= sumsoft_reg_354;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st47_fsm_46)) then
grp_fu_547_p1 <= reg_602;
else
grp_fu_547_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_557_ce <= ap_const_logic_1;
-- grp_fu_557_p1 assign process. --
grp_fu_557_p1_assign_proc : process(reg_602, tmp_25_fu_898_p1, ap_sig_cseq_ST_st24_fsm_23, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98)) then
grp_fu_557_p1 <= reg_602;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23)) then
grp_fu_557_p1 <= tmp_25_fu_898_p1;
else
grp_fu_557_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
i_10_fu_830_p2 <= std_logic_vector(unsigned(i_3_reg_308) + unsigned(ap_const_lv8_1));
i_11_fu_1046_p2 <= std_logic_vector(unsigned(i_5_reg_400) + unsigned(ap_const_lv8_1));
i_12_fu_926_p2 <= std_logic_vector(unsigned(i_4_reg_366) + unsigned(ap_const_lv32_1));
i_14_fu_1215_p2 <= std_logic_vector(unsigned(ap_const_lv8_1) + unsigned(i_6_reg_436));
i_15_fu_1194_p2 <= std_logic_vector(unsigned(ap_const_lv8_1) + unsigned(p_netOut_V_reg_424));
i_7_fu_1415_p2 <= std_logic_vector(unsigned(i_reg_503) + unsigned(ap_const_lv8_1));
i_8_fu_647_p2 <= std_logic_vector(unsigned(i_2_reg_297) + unsigned(ap_const_lv8_1));
i_9_fu_1379_p2 <= std_logic_vector(unsigned(i_1_reg_469) + unsigned(ap_const_lv8_1));
j_4_fu_1346_p2 <= std_logic_vector(unsigned(j_reg_481) + unsigned(ap_const_lv8_1));
j_5_fu_771_p2 <= std_logic_vector(unsigned(j_1_reg_320) + unsigned(ap_const_lv32_1));
j_6_fu_994_p2 <= std_logic_vector(unsigned(j_2_reg_389) + unsigned(ap_const_lv8_1));
j_7_fu_1244_p2 <= std_logic_vector(unsigned(j_3_reg_458) + unsigned(ap_const_lv8_1));
k_2_fu_1404_p2 <= std_logic_vector(unsigned(k_reg_492) + unsigned(ap_const_lv9_1));
k_3_fu_841_p2 <= std_logic_vector(unsigned(k_1_reg_343) + unsigned(ap_const_lv8_1));
lhs_V_1_cast_fu_701_p1 <= std_logic_vector(resize(unsigned(ST_numLayer_V_load_reg_1461),9));
next_mul_fu_1204_p2 <= std_logic_vector(unsigned(ap_const_lv14_23) + unsigned(phi_mul_reg_447));
notlhs1_fu_1157_p2 <= "0" when (tmp_45_fu_1125_p4 = ap_const_lv11_7FF) else "1";
notlhs_fu_1139_p2 <= "0" when (tmp_43_fu_1108_p4 = ap_const_lv11_7FF) else "1";
notrhs1_fu_1163_p2 <= "1" when (tmp_71_fu_1135_p1 = ap_const_lv52_0) else "0";
notrhs_fu_1145_p2 <= "1" when (tmp_70_fu_1118_p1 = ap_const_lv52_0) else "0";
p_netOut_V_1_fu_1187_p3 <=
p_netOut_V_reg_424 when (tmp_51_reg_1692(0) = '1') else
p_s_reg_411;
p_shl2_cast_fu_804_p3 <= (tmp_52_fu_800_p1 & ap_const_lv5_0);
p_shl3_cast_fu_816_p3 <= (tmp_53_fu_812_p1 & ap_const_lv2_0);
p_shl4_cast_fu_963_p3 <= (tmp_56_fu_959_p1 & ap_const_lv5_0);
p_shl5_cast_fu_975_p3 <= (tmp_57_fu_971_p1 & ap_const_lv2_0);
-- p_uOut_address0 assign process. --
p_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, p_uOut_addr_1_reg_1560, ap_sig_cseq_ST_st5_fsm_4, p_uOut_addr_3_reg_1624, ap_sig_cseq_ST_st80_fsm_79, ap_sig_cseq_ST_st122_fsm_121, p_uOut_addr_5_reg_1665, ap_sig_cseq_ST_st156_fsm_155, ap_sig_cseq_ST_st78_fsm_77, ap_sig_cseq_ST_st155_fsm_154, tmp_6_fu_653_p1, tmp_74_cast_fu_870_p1, tmp_77_cast_fu_1023_p1, tmp_78_cast_fu_1061_p1, tmp_80_cast_fu_1085_p1, ap_sig_cseq_ST_st117_fsm_116)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154)) then
p_uOut_address0 <= p_uOut_addr_5_reg_1665;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) then
p_uOut_address0 <= p_uOut_addr_3_reg_1624;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77)) then
p_uOut_address0 <= p_uOut_addr_1_reg_1560;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
p_uOut_address0 <= tmp_6_fu_653_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155)) then
p_uOut_address0 <= tmp_80_cast_fu_1085_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121)) then
p_uOut_address0 <= tmp_78_cast_fu_1061_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79)) then
p_uOut_address0 <= tmp_77_cast_fu_1023_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
p_uOut_address0 <= tmp_74_cast_fu_870_p1(8 - 1 downto 0);
else
p_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- p_uOut_address1 assign process. --
p_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st156_fsm_155, ap_sig_cseq_ST_st161_fsm_160, tmp_81_cast_fu_1099_p1, tmp_82_cast_fu_1259_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160)) then
p_uOut_address1 <= tmp_82_cast_fu_1259_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155)) then
p_uOut_address1 <= tmp_81_cast_fu_1099_p1(8 - 1 downto 0);
else
p_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- p_uOut_ce0 assign process. --
p_uOut_ce0_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_bdd_441, ap_sig_cseq_ST_st5_fsm_4, ap_sig_cseq_ST_st80_fsm_79, ap_sig_cseq_ST_st122_fsm_121, ap_sig_cseq_ST_st156_fsm_155, tmp_38_fu_1071_p2, ap_sig_ioackin_P_netOut_V_TREADY, ap_sig_cseq_ST_st78_fsm_77, ap_sig_cseq_ST_st155_fsm_154, ap_sig_cseq_ST_st117_fsm_116)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not(ap_sig_bdd_441)) or (ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4) or (ap_const_logic_1 = ap_sig_cseq_ST_st80_fsm_79) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121) or ((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155) and not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY)))) or (ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77) or (ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154) or (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116))) then
p_uOut_ce0 <= ap_const_logic_1;
else
p_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- p_uOut_ce1 assign process. --
p_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st156_fsm_155, tmp_38_fu_1071_p2, ap_sig_ioackin_P_netOut_V_TREADY, ap_sig_cseq_ST_st161_fsm_160)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st156_fsm_155) and not(((ap_const_lv1_0 = tmp_38_fu_1071_p2) and (ap_const_logic_0 = ap_sig_ioackin_P_netOut_V_TREADY)))) or (ap_const_logic_1 = ap_sig_cseq_ST_st161_fsm_160))) then
p_uOut_ce1 <= ap_const_logic_1;
else
p_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
-- p_uOut_d0 assign process. --
p_uOut_d0_assign_proc : process(P_netIn_TDATA, reg_608, reg_615, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st78_fsm_77, ap_sig_cseq_ST_st155_fsm_154, ap_sig_cseq_ST_st117_fsm_116)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116)) then
p_uOut_d0 <= reg_608;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77) or (ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154))) then
p_uOut_d0 <= reg_615;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
p_uOut_d0 <= P_netIn_TDATA;
else
p_uOut_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
p_uOut_load_3_to_int_fu_1104_p1 <= reg_578;
p_uOut_load_4_to_int_fu_1122_p1 <= p_uOut_load_4_reg_1686;
-- p_uOut_we0 assign process. --
p_uOut_we0_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, exitcond1_fu_642_p2, ap_sig_bdd_441, ap_sig_cseq_ST_st78_fsm_77, ap_sig_cseq_ST_st155_fsm_154, ap_sig_cseq_ST_st117_fsm_116)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and (ap_const_lv1_0 = exitcond1_fu_642_p2) and not(ap_sig_bdd_441)) or (ap_const_logic_1 = ap_sig_cseq_ST_st78_fsm_77) or (ap_const_logic_1 = ap_sig_cseq_ST_st155_fsm_154) or (ap_const_logic_1 = ap_sig_cseq_ST_st117_fsm_116))) then
p_uOut_we0 <= ap_const_logic_1;
else
p_uOut_we0 <= ap_const_logic_0;
end if;
end process;
r_V_1_fu_732_p2 <= std_logic_vector(signed(ap_const_lv9_1FE) + signed(lhs_V_1_cast_fu_701_p1));
r_V_fu_704_p2 <= std_logic_vector(signed(ap_const_lv9_1FF) + signed(lhs_V_1_cast_fu_701_p1));
sel_tmp1_fu_1289_p3 <=
ST_layerSize_V_1 when (sel_tmp_fu_1283_p2(0) = '1') else
ST_layerSize_V_3;
sel_tmp2_fu_1297_p2 <= "1" when (tmp_5_fu_1279_p1 = ap_const_lv2_2) else "0";
sel_tmp_fu_1283_p2 <= "1" when (tmp_5_fu_1279_p1 = ap_const_lv2_1) else "0";
tmp_10_fu_1335_p2 <= std_logic_vector(unsigned(ap_const_lv9_1) + unsigned(tmp_9_fu_1331_p1));
tmp_11_fu_1356_p2 <= std_logic_vector(unsigned(tmp_cast_fu_1352_p1) + unsigned(tmp_s_reg_1746));
tmp_12_cast_fu_1385_p1 <= std_logic_vector(resize(unsigned(k_reg_492),14));
tmp_12_fu_1361_p2 <= std_logic_vector(shift_left(unsigned(tmp_11_fu_1356_p2),to_integer(unsigned('0' & ap_const_lv14_5(14-1 downto 0)))));
tmp_13_fu_1367_p2 <= std_logic_vector(shift_left(unsigned(tmp_11_fu_1356_p2),to_integer(unsigned('0' & ap_const_lv14_2(14-1 downto 0)))));
tmp_15_fu_761_p1 <= std_logic_vector(resize(unsigned(tmp_14_fu_748_p6),32));
tmp_16_fu_765_p2 <= "1" when (signed(j_1_reg_320) < signed(tmp_15_fu_761_p1)) else "0";
tmp_18_fu_916_p1 <= std_logic_vector(resize(unsigned(tmp_17_fu_903_p6),32));
tmp_19_fu_920_p2 <= "1" when (signed(i_4_reg_366) < signed(tmp_18_fu_916_p1)) else "0";
tmp_1_fu_630_p2 <= "1" when (P_mode_V = ap_const_lv8_3) else "0";
tmp_21_fu_1373_p2 <= std_logic_vector(unsigned(tmp_12_fu_1361_p2) + unsigned(tmp_13_fu_1367_p2));
tmp_23_cast_fu_945_p1 <= std_logic_vector(resize(signed(i_4_reg_366),33));
tmp_23_fu_667_p1 <= tmp_23_fu_667_p10(8 - 1 downto 0);
tmp_23_fu_667_p10 <= std_logic_vector(resize(unsigned(i_3_reg_308),15));
tmp_23_fu_667_p2 <= std_logic_vector(resize(unsigned(ap_const_lv15_23) * unsigned(tmp_23_fu_667_p1), 15));
tmp_24_cast_fu_875_p1 <= std_logic_vector(resize(unsigned(tmp_20_reg_1548),14));
tmp_24_fu_677_p1 <= i_3_reg_308(2 - 1 downto 0);
tmp_25_fu_898_p1 <= tmp_38_neg_fu_892_p2;
tmp_29_cast1_fu_847_p1 <= std_logic_vector(resize(unsigned(k_1_reg_343),9));
tmp_29_cast_fu_851_p1 <= std_logic_vector(resize(unsigned(k_1_reg_343),14));
tmp_29_fu_691_p1 <= tmp_29_fu_691_p10(8 - 1 downto 0);
tmp_29_fu_691_p10 <= std_logic_vector(resize(unsigned(tmp_4_fu_681_p2),9));
tmp_29_fu_691_p2 <= std_logic_vector(resize(unsigned(ap_const_lv9_23) * unsigned(tmp_29_fu_691_p1), 9));
tmp_2_fu_1317_p5 <= std_logic_vector(signed(ap_const_lv2_3) + signed(tmp_5_fu_1279_p1));
tmp_31_cast_fu_1028_p1 <= std_logic_vector(resize(unsigned(tmp_22_reg_1612),14));
tmp_31_fu_697_p1 <= tmp_4_fu_681_p2(2 - 1 downto 0);
tmp_33_cast1_fu_1000_p1 <= std_logic_vector(resize(unsigned(j_2_reg_389),9));
tmp_33_cast_fu_1004_p1 <= std_logic_vector(resize(unsigned(j_2_reg_389),14));
tmp_33_fu_714_p1 <= r_V_fu_704_p2;
tmp_33_fu_714_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv16_23) * signed(tmp_33_fu_714_p1))), 16));
tmp_35_fu_1066_p2 <= "1" when (P_mode_V_read_reg_1453 = ap_const_lv8_4) else "0";
tmp_36_cast_fu_1052_p1 <= std_logic_vector(resize(unsigned(i_5_reg_400),9));
tmp_36_fu_720_p1 <= tmp_33_fu_714_p2(9 - 1 downto 0);
tmp_38_fu_1071_p2 <= "1" when (unsigned(p_netOut_V_reg_424) < unsigned(tmp_17_reg_1598)) else "0";
tmp_38_neg_fu_892_p2 <= (tmp_38_to_int_fu_888_p1 xor ap_const_lv64_8000000000000000);
tmp_38_to_int_fu_888_p1 <= reg_602;
tmp_39_fu_728_p1 <= r_V_fu_704_p2(2 - 1 downto 0);
tmp_3_fu_1421_p1 <= i_reg_503(2 - 1 downto 0);
tmp_40_fu_1225_p5 <= i_6_reg_436(2 - 1 downto 0);
tmp_41_cast_fu_1076_p1 <= std_logic_vector(resize(unsigned(p_netOut_V_reg_424),9));
tmp_41_fu_738_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv9_23) * signed(r_V_1_fu_732_p2))), 9));
tmp_42_cast_fu_1090_p1 <= std_logic_vector(resize(unsigned(p_s_reg_411),9));
tmp_42_fu_744_p1 <= r_V_1_fu_732_p2(2 - 1 downto 0);
tmp_43_fu_1108_p4 <= p_uOut_load_3_to_int_fu_1104_p1(62 downto 52);
tmp_44_fu_1389_p2 <= std_logic_vector(unsigned(tmp_21_reg_1769) + unsigned(tmp_12_cast_fu_1385_p1));
tmp_45_fu_1125_p4 <= p_uOut_load_4_to_int_fu_1122_p1(62 downto 52);
tmp_46_fu_790_p2 <= std_logic_vector(unsigned(j_1_reg_320) + unsigned(tmp_59_cast_reg_1493));
tmp_47_fu_1151_p2 <= (notrhs_fu_1145_p2 or notlhs_fu_1139_p2);
tmp_48_fu_1169_p2 <= (notrhs1_fu_1163_p2 or notlhs1_fu_1157_p2);
tmp_49_fu_1175_p2 <= (tmp_47_fu_1151_p2 and tmp_48_fu_1169_p2);
tmp_4_fu_681_p2 <= std_logic_vector(signed(ap_const_lv8_FF) + signed(i_3_reg_308));
tmp_50_fu_553_opcode <= ap_const_lv5_2;
tmp_51_fu_1181_p2 <= (tmp_49_fu_1175_p2 and tmp_50_fu_553_p2);
tmp_52_cast_fu_1250_p1 <= std_logic_vector(resize(unsigned(j_3_reg_458),9));
tmp_52_fu_800_p1 <= tmp_46_fu_790_p2(9 - 1 downto 0);
tmp_53_fu_812_p1 <= tmp_46_fu_790_p2(12 - 1 downto 0);
tmp_54_fu_824_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_804_p3) + unsigned(p_shl3_cast_fu_816_p3));
tmp_55_fu_949_p2 <= std_logic_vector(signed(tmp_23_cast_fu_945_p1) + signed(tmp_61_cast_reg_1520));
tmp_56_fu_959_p1 <= tmp_55_fu_949_p2(9 - 1 downto 0);
tmp_57_fu_971_p1 <= tmp_55_fu_949_p2(12 - 1 downto 0);
tmp_58_fu_983_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_963_p3) + unsigned(p_shl5_cast_fu_975_p3));
tmp_59_cast_fu_673_p1 <= std_logic_vector(resize(unsigned(tmp_23_fu_667_p2),32));
tmp_59_fu_1056_p2 <= std_logic_vector(unsigned(tmp_36_reg_1513) + unsigned(tmp_36_cast_fu_1052_p1));
tmp_5_fu_1279_p1 <= i_1_reg_469(2 - 1 downto 0);
tmp_60_fu_878_p2 <= std_logic_vector(unsigned(tmp_54_reg_1554) + unsigned(tmp_24_cast_fu_875_p1));
tmp_61_cast_fu_724_p1 <= std_logic_vector(resize(signed(tmp_33_fu_714_p2),33));
tmp_61_fu_855_p2 <= std_logic_vector(unsigned(tmp_54_reg_1554) + unsigned(tmp_29_cast_fu_851_p1));
tmp_62_fu_865_p2 <= std_logic_vector(unsigned(tmp_29_reg_1503) + unsigned(tmp_29_cast1_fu_847_p1));
tmp_63_cast_fu_1394_p1 <= std_logic_vector(resize(unsigned(tmp_44_fu_1389_p2),64));
tmp_63_fu_1031_p2 <= std_logic_vector(unsigned(tmp_58_reg_1618) + unsigned(tmp_31_cast_fu_1028_p1));
tmp_64_cast_fu_795_p1 <= std_logic_vector(resize(signed(tmp_46_fu_790_p2),64));
tmp_64_fu_1008_p2 <= std_logic_vector(unsigned(tmp_58_reg_1618) + unsigned(tmp_33_cast_fu_1004_p1));
tmp_65_fu_1018_p2 <= std_logic_vector(unsigned(tmp_41_reg_1530) + unsigned(tmp_33_cast1_fu_1000_p1));
tmp_66_fu_1200_p1 <= phi_mul_reg_447(9 - 1 downto 0);
tmp_68_cast_fu_954_p1 <= std_logic_vector(resize(signed(tmp_55_fu_949_p2),64));
tmp_68_fu_1080_p2 <= std_logic_vector(unsigned(tmp_36_reg_1513) + unsigned(tmp_41_cast_fu_1076_p1));
tmp_69_fu_1094_p2 <= std_logic_vector(unsigned(tmp_36_reg_1513) + unsigned(tmp_42_cast_fu_1090_p1));
tmp_6_fu_653_p1 <= std_logic_vector(resize(unsigned(i_2_reg_297),64));
tmp_70_fu_1118_p1 <= p_uOut_load_3_to_int_fu_1104_p1(52 - 1 downto 0);
tmp_71_fu_1135_p1 <= p_uOut_load_4_to_int_fu_1122_p1(52 - 1 downto 0);
tmp_72_cast_fu_883_p1 <= std_logic_vector(resize(unsigned(tmp_60_fu_878_p2),64));
tmp_72_fu_1254_p2 <= std_logic_vector(unsigned(tmp_66_reg_1707) + unsigned(tmp_52_cast_fu_1250_p1));
tmp_73_cast_fu_860_p1 <= std_logic_vector(resize(unsigned(tmp_61_fu_855_p2),64));
tmp_74_cast_fu_870_p1 <= std_logic_vector(resize(signed(tmp_62_fu_865_p2),64));
tmp_75_cast_fu_1036_p1 <= std_logic_vector(resize(unsigned(tmp_63_fu_1031_p2),64));
tmp_76_cast_fu_1013_p1 <= std_logic_vector(resize(unsigned(tmp_64_fu_1008_p2),64));
tmp_77_cast_fu_1023_p1 <= std_logic_vector(resize(signed(tmp_65_fu_1018_p2),64));
tmp_78_cast_fu_1061_p1 <= std_logic_vector(resize(signed(tmp_59_fu_1056_p2),64));
tmp_7_fu_658_p2 <= "1" when (unsigned(i_3_reg_308) < unsigned(ST_numLayer_V_load_reg_1461)) else "0";
tmp_80_cast_fu_1085_p1 <= std_logic_vector(resize(signed(tmp_68_fu_1080_p2),64));
tmp_81_cast_fu_1099_p1 <= std_logic_vector(resize(signed(tmp_69_fu_1094_p2),64));
tmp_82_cast_fu_1259_p1 <= std_logic_vector(resize(unsigned(tmp_72_fu_1254_p2),64));
tmp_8_fu_1264_p2 <= "1" when (unsigned(i_1_reg_469) < unsigned(ST_numLayer_V_load_reg_1461)) else "0";
tmp_9_fu_1331_p1 <= std_logic_vector(resize(unsigned(tmp_2_fu_1317_p6),9));
tmp_cast_fu_1352_p1 <= std_logic_vector(resize(unsigned(j_reg_481),14));
tmp_fu_620_p2 <= "1" when (P_mode_V = ap_const_lv8_2) else "0";
tmp_s_fu_1273_p1 <= tmp_s_fu_1273_p10(8 - 1 downto 0);
tmp_s_fu_1273_p10 <= std_logic_vector(resize(unsigned(i_1_reg_469),14));
tmp_s_fu_1273_p2 <= std_logic_vector(resize(unsigned(ap_const_lv14_23) * unsigned(tmp_s_fu_1273_p1), 14));
end behav;
|
-------------------------------------------------------------------------------
--
-- File: SyncAsync.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 20 October 2014
-- Last modification date: 05 October 2022
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module synchronizes the asynchronous signal (aIn) with the OutClk clock
-- domain and provides it on oOut. The number of FFs in the synchronizer chain
-- can be configured with kStages. The reset value for oOut can be configured
-- with kResetTo. The asynchronous reset (aoReset) is always active-high.
-- Changelog:
-- 2020-Dec-14: Changed the single asynchronous reset source (aReset)
-- with an RSD reset (asynchronous assertion, synchronous de-assertion)
-- signal (aoReset).
-- 2022-Oct-05: Added Constraints section to header. Added keep_hierarchy
-- attribute to entity.
--
-- Constraints:
-- # Replace <InstSyncAsync> with path to SyncAsync instance, keep rest unchanged
-- # Begin scope to SyncAsync instance
-- current_instance [get_cells <InstSyncAsync>]
-- # Input to synchronizer ignored for timing analysis
-- set_false_path -through [get_ports -scoped_to_current_instance aIn]
-- # Constrain internal synchronizer paths to half-period, which is expected to be easily met with ASYNC_REG=true
-- set ClkPeriod [get_property PERIOD [get_clocks -of_objects [get_ports -scoped_to_current_instance OutClk]]]
-- set_max_delay -from [get_cells oSyncStages_reg[*]] -to [get_cells oSyncStages_reg[*]] [expr $ClkPeriod/2]
-- current_instance -quiet
-- # End scope to SyncAsync instance
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2); --double sync by default
Port (
aoReset : in STD_LOGIC; -- active-high asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
attribute keep_hierarchy : string;
attribute keep_hierarchy of SyncAsync : entity is "yes";
end SyncAsync;
architecture Behavioral of SyncAsync is
signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo);
attribute ASYNC_REG : string;
attribute ASYNC_REG of oSyncStages: signal is "TRUE";
begin
Sync: process (OutClk, aoReset)
begin
if (aoReset = '1') then
oSyncStages <= (others => kResetTo);
elsif Rising_Edge(OutClk) then
oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn;
end if;
end process Sync;
oOut <= oSyncStages(oSyncStages'high);
end Behavioral; |
-------------------------------------------------------------------------------
--
-- File: SyncAsync.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 20 October 2014
-- Last modification date: 05 October 2022
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module synchronizes the asynchronous signal (aIn) with the OutClk clock
-- domain and provides it on oOut. The number of FFs in the synchronizer chain
-- can be configured with kStages. The reset value for oOut can be configured
-- with kResetTo. The asynchronous reset (aoReset) is always active-high.
-- Changelog:
-- 2020-Dec-14: Changed the single asynchronous reset source (aReset)
-- with an RSD reset (asynchronous assertion, synchronous de-assertion)
-- signal (aoReset).
-- 2022-Oct-05: Added Constraints section to header. Added keep_hierarchy
-- attribute to entity.
--
-- Constraints:
-- # Replace <InstSyncAsync> with path to SyncAsync instance, keep rest unchanged
-- # Begin scope to SyncAsync instance
-- current_instance [get_cells <InstSyncAsync>]
-- # Input to synchronizer ignored for timing analysis
-- set_false_path -through [get_ports -scoped_to_current_instance aIn]
-- # Constrain internal synchronizer paths to half-period, which is expected to be easily met with ASYNC_REG=true
-- set ClkPeriod [get_property PERIOD [get_clocks -of_objects [get_ports -scoped_to_current_instance OutClk]]]
-- set_max_delay -from [get_cells oSyncStages_reg[*]] -to [get_cells oSyncStages_reg[*]] [expr $ClkPeriod/2]
-- current_instance -quiet
-- # End scope to SyncAsync instance
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2); --double sync by default
Port (
aoReset : in STD_LOGIC; -- active-high asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
attribute keep_hierarchy : string;
attribute keep_hierarchy of SyncAsync : entity is "yes";
end SyncAsync;
architecture Behavioral of SyncAsync is
signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo);
attribute ASYNC_REG : string;
attribute ASYNC_REG of oSyncStages: signal is "TRUE";
begin
Sync: process (OutClk, aoReset)
begin
if (aoReset = '1') then
oSyncStages <= (others => kResetTo);
elsif Rising_Edge(OutClk) then
oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn;
end if;
end process Sync;
oOut <= oSyncStages(oSyncStages'high);
end Behavioral; |
--!
--! Copyright 2019 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.ALL;
use IEEE.STD_LOGIC_TEXTIO.ALL;
use std.textio.all;
library commonlib;
use commonlib.types_common.all;
entity otp_clocked is
port (
clk : in std_ulogic;
we : in std_ulogic;
re : in std_ulogic;
address : in std_logic_vector(11 downto 0);
wdata : in std_logic_vector(15 downto 0);
rdata : out std_logic_vector(15 downto 0)
);
end;
architecture arch_otp_clocked of otp_clocked is
constant SRAM_LENGTH : integer := 2**12;
constant FILE_IMAGE_LINES_TOTAL : integer := SRAM_LENGTH;
type ram_type is array (0 to SRAM_LENGTH-1) of std_logic_vector(15 downto 0);
impure function init_ram(file_name : in string) return ram_type is
file ram_file : text open read_mode is file_name;
variable ram_line : line;
variable temp_bv : std_logic_vector(15 downto 0);
variable temp_mem : ram_type;
begin
for i in 0 to (FILE_IMAGE_LINES_TOTAL-1) loop
readline(ram_file, ram_line);
hread(ram_line, temp_bv);
temp_mem(i) := temp_bv;
end loop;
return temp_mem;
end function;
--! @warning SIMULATION INITIALIZATION
signal ram : ram_type;-- := init_ram(init_file);
begin
reg : process (clk, address, we, re, wdata, ram) begin
if rising_edge(clk) then
if we = '1' then
ram(conv_integer(address)) <= wdata;
end if;
end if;
if wdata = X"FFFF" and re = '1' then
rdata <= ram(conv_integer(address));
else
rdata <= X"CCCC";
end if;
end process;
end;
|
-- wasca_rst_controller_001.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_001 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req : out std_logic;
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_001;
architecture rtl of wasca_rst_controller_001 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_001 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => open, -- (terminated)
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_001
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ECO is
Port ( Rx : in STD_LOGIC;
Tx : out STD_LOGIC;
CLK : in STD_LOGIC);
end ECO;
architecture Behavioral of ECO is
COMPONENT ProtocoloRS232_v2
PORT(
Rx_entrada : IN std_logic;
CLK : IN std_logic;
CampanaTx : IN std_logic;
Dato_Tx : IN std_logic_vector(7 downto 0);
Tx_salida : OUT std_logic;
CampanaRx : OUT std_logic;
Dato_Rx : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
signal campana : std_logic := '0';
signal Dato : std_logic_vector(7 downto 0) := "00000000";
begin
Inst_ProtocoloRS232_v2: ProtocoloRS232_v2 PORT MAP(
Tx_salida => Tx,
Rx_entrada => Rx,
CLK => CLK,
CampanaTx => campana,
CampanaRx => campana,
Dato_Tx => Dato,
Dato_Rx => Dato
);
end Behavioral;
|
------------------------------------------------------------------------------------------------------------------------------------
--********************************************************************************************************************
-- Design : Return Address Stack
-- Project : EE560 Summer 2010
-- Entity : ras
-- Author : Varun Khadilkar
-- Company : University of Southern California
-- Last Updated : March 19, 2010
--********************************************************************************************************************
------------------------------------------------------------------------------------------------------------------------------------
--Comments:
--Mar 23 : Last location is never emptied. Added extra register to empty last locations.
--Mar 19 : TOSP, TOSP+1 changed from integer to counters. Removed ras_addr_valid. Now we give help from RAS all the time
--Mar 8 : Can we help even if RAS is empty? Keep driving output with last value. Change the code.
--Mar 5 : New code Complete.
--Mar 1 : Some singals needs to be continuously driven, e.g. Output ADDR by RAS. Change.
--Feb 25 : RAS in Dispatch. Code changed. Now component of Dipatch stage. Checkpoints removed.
--Feb 21 : RAS no longer in Fetch !! Change Design.
--Feb 16 : RAS Design updated. RAS to be checkpointed. Detail Circuit Diagram designed.
--Feb 12 : RAS Designed. 4 location. 32 wide. In Fetch.
------------------------------------------------------------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_signed.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
------------------------------------------------------------------------------------------------------------------------------------
entity ras is
generic (size : integer :=4);
port(
--global signals
Resetb : in std_logic;
Clk : in std_logic;
-- Interface with Dispatch
--inputs
Dis_PcPlusFour : in std_logic_vector(31 downto 0); -- the PC+4 value carried forward for storing in RAS
Dis_RasJalInst : in std_logic; -- set to 1 if instruction is JAL
Dis_RasJr31Inst : in std_logic; -- set to 1 if instruction is JR
--outputs
Ras_Addr : out std_logic_vector(31 downto 0) -- The address given by RAS for JR
);
end ras;
-------------------------------------------------------------------------------------------------------------------------------------------
architecture ras_arch of ras is
-- RAS counter
-- Used to Keep track of how filled is Stack. "000" means Empty. "100" means Full.
-- Counter Saturates at "100". This means even if we push more data, we can have only latest 4 stored.
signal RasCounter : std_logic_vector (2 downto 0);
-- Top of the stack pointer
-- Tosp in this design Points to the FILLED LOCATION. Thus we always push at TospPlusOne. But Pop from Tosp.
signal Tosp : std_logic_vector (1 downto 0);
signal TospPlusOne : std_logic_vector (1 downto 0);
--RAS data
-- UseWhen Empty latches the last Poped address and drives output when RAS is empty.
-- Thus for external World, RAS is never empty. It keeps giving data which is a prediction and may be wrong.
signal UseWhenEmpty : std_logic_vector(31 downto 0);
subtype RasData is std_logic_vector(31 downto 0);
type RasDepth is array(0 to size-1) of RASData;
signal Ras : RasDepth;
-------------------------------------------------------------------------------------------------------------------------------------------
begin
--Ras_Addr is continueously giving address stored at location pointed by TOSP
--This way we can POP data stored in RAS without wasting a clock.
Ras_Addr <= Ras(CONV_INTEGER (unsigned( Tosp))) when RasCounter /= "000" else UseWhenEmpty;
process (Clk, Resetb)
begin
-- in Resetb, we set ras counter to zero (ras is empty)
-- tosp and tospplusone both point to zero. but its fine because as soon as we start filling ras, they are updated as desired.
--
if (Resetb = '0') then
Rascounter <= "000";
Tosp <= "11";
TospPlusOne <= "00";
UseWhenEmpty <= (others => '0');
for i in (size-1) downto 0 loop
Ras(i) <= (others => '0');
end loop;
elsif (Clk'event and Clk = '1') then
if (Dis_RasJalInst = '1') then
-- NOTE: we push on tospplusone and not tosp.
-- This is because in our design, top of the stack pointer (TOSP) always points to a filled location.
--Thus when we need to push data onto RAS, we have to do it at TOSP+1.
Ras(CONV_INTEGER (unsigned( TospPlusOne))) <= Dis_PcPlusFour;
--if instruction is JAL, we advise to push PC+4 in RAS at the next clock edge, increment TOSP and RASCON
Tosp <= Tosp + 1;
TospPlusOne <= TospPlusOne + 1;
Rascounter <= Rascounter + 1;
if (Rascounter = "100") then Rascounter <= "100"; end if;
end if;
--if instruction is JR, if RASCON is not zero, then we advise to decrement TOSP and RASCON on next clock edge.
if (Dis_RasJr31Inst = '1' ) then
if (Rascounter /= "000") then
TospPlusOne <= TospPlusOne - 1;
Tosp <= Tosp - 1;
Rascounter <= Rascounter - 1;
UseWhenEmpty <= Ras(CONV_INTEGER (unsigned( Tosp)));
end if;
end if;
end if;
end process;
end ras_arch;
-------------------------------------------------------------------------------------------------------------------------------------
|
--========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_axistream;
use bitvis_vip_axistream.axistream_bfm_pkg.all;
use bitvis_vip_axistream.vvc_cmd_pkg.all;
use bitvis_vip_axistream.vvc_methods_pkg.all;
use bitvis_vip_axistream.td_vvc_framework_common_methods_pkg.all;
end context; |
-- ========== Copyright Header Begin =============================================
-- AmgPacman File: cont255_V2.vhd
-- Copyright (c) 2015 Alberto Miedes Garcés
-- DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
--
-- The above named program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- The above named program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- ========== Copyright Header End ===============================================
----------------------------------------------------------------------------------
-- Engineer: Alberto Miedes Garcés
-- Correo: [email protected]
-- Create Date: January 2015
-- Target Devices: Spartan3E - XC3S500E - Nexys 2 (Digilent)
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- =================================================================================
-- ENTITY
-- =================================================================================
entity cont255_V2 is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
ena: in STD_LOGIC;
fin : out STD_LOGIC
);
end cont255_V2;
-- =================================================================================
-- ARCHITECTURE
-- =================================================================================
architecture rtl of cont255_V2 is
-----------------------------------------------------------------------------
-- Componentes
-----------------------------------------------------------------------------
COMPONENT incrCuenta8bits_conFin
PORT(
num_in : IN std_logic_vector(7 downto 0);
num_out : OUT std_logic_vector(7 downto 0);
fin : OUT std_logic
);
END COMPONENT;
-----------------------------------------------------------------------------
-- Declaracion de senales
-----------------------------------------------------------------------------
signal reg_cuenta: std_logic_vector(7 downto 0);
signal reg_cuenta_in: std_logic_vector(7 downto 0);
signal fin_aux: std_logic;
signal ff_fin: std_logic;
begin
-----------------------------------------------------------------------------
-- Conexion de senales
-----------------------------------------------------------------------------
fin <= ff_fin;
incr_0: incrCuenta8bits_conFin PORT MAP(
num_in => reg_cuenta,
num_out => reg_cuenta_in,
fin => fin_aux
);
-----------------------------------------------------------------------------
-- Procesos
-----------------------------------------------------------------------------
-- Biestable de cuenta
p_cuenta: process(rst, clk, ff_fin)
begin
if rst = '1' then
reg_cuenta <= (others => '0');
elsif rising_edge(clk) then
if ff_fin = '0' and ena = '1' then -- Si no ha terminado y esta habilitado
reg_cuenta <= reg_cuenta_in; -- cuenta++
elsif ff_fin = '1' then
reg_cuenta <= (others => '0');
else
reg_cuenta <= reg_cuenta;
end if;
end if;
end process p_cuenta;
-- Biestable ff_fin
p_ff_fin: process(rst, clk, fin_aux)
begin
if rst = '1' then
ff_fin <= '0';
elsif rising_edge(clk) then
if fin_aux = '1' then
ff_fin <= '1';
else
ff_fin <= '0';
end if;
end if;
end process p_ff_fin;
end rtl;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.2
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity convolve_kernel is
generic (
C_S_AXI_CONTROL_ADDR_WIDTH : INTEGER := 4;
C_S_AXI_CONTROL_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
bufw_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufw_EN_A : OUT STD_LOGIC;
bufw_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0);
bufw_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufw_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0);
bufw_Clk_A : OUT STD_LOGIC;
bufw_Rst_A : OUT STD_LOGIC;
bufi_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufi_EN_A : OUT STD_LOGIC;
bufi_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0);
bufi_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufi_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0);
bufi_Clk_A : OUT STD_LOGIC;
bufi_Rst_A : OUT STD_LOGIC;
bufo_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufo_EN_A : OUT STD_LOGIC;
bufo_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0);
bufo_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0);
bufo_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0);
bufo_Clk_A : OUT STD_LOGIC;
bufo_Rst_A : OUT STD_LOGIC;
s_axi_control_AWVALID : IN STD_LOGIC;
s_axi_control_AWREADY : OUT STD_LOGIC;
s_axi_control_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0);
s_axi_control_WVALID : IN STD_LOGIC;
s_axi_control_WREADY : OUT STD_LOGIC;
s_axi_control_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0);
s_axi_control_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH/8-1 downto 0);
s_axi_control_ARVALID : IN STD_LOGIC;
s_axi_control_ARREADY : OUT STD_LOGIC;
s_axi_control_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0);
s_axi_control_RVALID : OUT STD_LOGIC;
s_axi_control_RREADY : IN STD_LOGIC;
s_axi_control_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0);
s_axi_control_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_control_BVALID : OUT STD_LOGIC;
s_axi_control_BREADY : IN STD_LOGIC;
s_axi_control_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of convolve_kernel is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"convolve_kernel,hls_ip_2017_2,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.174000,HLS_SYN_LAT=25351,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=5,HLS_SYN_FF=1218,HLS_SYN_LUT=1185}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000100";
constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000001000";
constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000010000";
constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000100000";
constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (17 downto 0) := "000000000001000000";
constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (17 downto 0) := "000000000010000000";
constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (17 downto 0) := "000000000100000000";
constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (17 downto 0) := "000000001000000000";
constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (17 downto 0) := "000000010000000000";
constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (17 downto 0) := "000000100000000000";
constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (17 downto 0) := "000001000000000000";
constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (17 downto 0) := "000010000000000000";
constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (17 downto 0) := "000100000000000000";
constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (17 downto 0) := "001000000000000000";
constant ap_ST_fsm_state17 : STD_LOGIC_VECTOR (17 downto 0) := "010000000000000000";
constant ap_ST_fsm_state18 : STD_LOGIC_VECTOR (17 downto 0) := "100000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000";
constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv6_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010";
constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101";
constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal row_b_cast6_cast_fu_169_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal row_b_cast6_cast_reg_503 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal row_b_1_fu_179_p2 : STD_LOGIC_VECTOR (1 downto 0);
signal row_b_1_reg_511 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_1_cast_fu_193_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_1_cast_reg_516 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_fu_173_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal col_b_cast5_cast_fu_197_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal col_b_cast5_cast_reg_521 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal col_b_1_fu_207_p2 : STD_LOGIC_VECTOR (1 downto 0);
signal col_b_1_reg_529 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_4_cast_fu_221_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_4_cast_reg_534 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_2_fu_201_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_12_cast_fu_247_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_12_cast_reg_539 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_CS_fsm_state4 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none";
signal bufo_addr_reg_544 : STD_LOGIC_VECTOR (4 downto 0);
signal to_b_1_fu_284_p2 : STD_LOGIC_VECTOR (1 downto 0);
signal to_b_1_reg_552 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_19_fu_315_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_19_reg_557 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state5 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none";
signal tmp_22_fu_345_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_22_reg_562 : STD_LOGIC_VECTOR (5 downto 0);
signal ti_b_1_fu_357_p2 : STD_LOGIC_VECTOR (1 downto 0);
signal ti_b_1_reg_570 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_25_fu_388_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_25_reg_575 : STD_LOGIC_VECTOR (8 downto 0);
signal ap_CS_fsm_state6 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none";
signal i_1_fu_404_p2 : STD_LOGIC_VECTOR (2 downto 0);
signal i_1_reg_583 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_29_fu_448_p2 : STD_LOGIC_VECTOR (9 downto 0);
signal tmp_29_reg_588 : STD_LOGIC_VECTOR (9 downto 0);
signal tmp_9_fu_398_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_CS_fsm_state7 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none";
signal j_1_fu_478_p2 : STD_LOGIC_VECTOR (2 downto 0);
signal j_1_reg_601 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_3_fu_472_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal bufw_load_reg_611 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state8 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none";
signal bufi_load_reg_616 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_165_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_8_reg_621 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state12 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none";
signal bufo_load_reg_626 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_161_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_10_reg_631 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state17 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state17 : signal is "none";
signal row_b_reg_95 : STD_LOGIC_VECTOR (1 downto 0);
signal col_b_reg_106 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_5_fu_278_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal to_b_reg_117 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_7_fu_351_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ti_b_reg_128 : STD_LOGIC_VECTOR (1 downto 0);
signal i_reg_139 : STD_LOGIC_VECTOR (2 downto 0);
signal j_reg_150 : STD_LOGIC_VECTOR (2 downto 0);
signal ap_CS_fsm_state18 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state18 : signal is "none";
signal tmp_16_cast_fu_273_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_30_cast_fu_463_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_cast_fu_498_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state11 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none";
signal bufo_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state13 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none";
signal ap_CS_fsm_state9 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none";
signal tmp_1_fu_185_p3 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_4_fu_213_p3 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_11_fu_229_p3 : STD_LOGIC_VECTOR (3 downto 0);
signal p_shl1_cast_fu_237_p1 : STD_LOGIC_VECTOR (4 downto 0);
signal to_b_cast4_cast_fu_225_p1 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_12_fu_241_p2 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_13_fu_251_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_14_fu_256_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_15_fu_262_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_16_fu_268_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal ti_b_cast3_cast_fu_290_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_17_fu_294_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_18_fu_303_p3 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_17_cast_fu_299_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal p_shl4_fu_311_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_321_p3 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_21_fu_333_p3 : STD_LOGIC_VECTOR (2 downto 0);
signal p_shl3_cast_fu_341_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_329_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal i_cast2_fu_363_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_23_fu_367_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_fu_376_p1 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_24_fu_372_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl5_cast_fu_380_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal i_cast_fu_394_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_s_fu_410_p2 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_cast_cast_fu_415_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_26_fu_419_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_28_fu_424_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_32_fu_436_p3 : STD_LOGIC_VECTOR (6 downto 0);
signal p_shl6_cast_fu_432_p1 : STD_LOGIC_VECTOR (9 downto 0);
signal p_shl7_cast_fu_444_p1 : STD_LOGIC_VECTOR (9 downto 0);
signal j_cast1_cast_fu_454_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_30_fu_458_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal j_cast_fu_468_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_6_fu_484_p2 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_6_cast_cast_fu_489_p1 : STD_LOGIC_VECTOR (9 downto 0);
signal tmp_31_fu_493_p2 : STD_LOGIC_VECTOR (9 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (17 downto 0);
component convolve_kernel_fbkb IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component convolve_kernel_fcud IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component convolve_kernel_control_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC );
end component;
begin
convolve_kernel_control_s_axi_U : component convolve_kernel_control_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_CONTROL_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_CONTROL_DATA_WIDTH)
port map (
AWVALID => s_axi_control_AWVALID,
AWREADY => s_axi_control_AWREADY,
AWADDR => s_axi_control_AWADDR,
WVALID => s_axi_control_WVALID,
WREADY => s_axi_control_WREADY,
WDATA => s_axi_control_WDATA,
WSTRB => s_axi_control_WSTRB,
ARVALID => s_axi_control_ARVALID,
ARREADY => s_axi_control_ARREADY,
ARADDR => s_axi_control_ARADDR,
RVALID => s_axi_control_RVALID,
RREADY => s_axi_control_RREADY,
RDATA => s_axi_control_RDATA,
RRESP => s_axi_control_RRESP,
BVALID => s_axi_control_BVALID,
BREADY => s_axi_control_BREADY,
BRESP => s_axi_control_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle);
convolve_kernel_fbkb_U0 : component convolve_kernel_fbkb
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => bufo_load_reg_626,
din1 => tmp_8_reg_621,
ce => ap_const_logic_1,
dout => grp_fu_161_p2);
convolve_kernel_fcud_U1 : component convolve_kernel_fcud
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => bufw_load_reg_611,
din1 => bufi_load_reg_616,
ce => ap_const_logic_1,
dout => grp_fu_165_p2);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
col_b_reg_106_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = tmp_5_fu_278_p2))) then
col_b_reg_106 <= col_b_1_reg_529;
elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (tmp_fu_173_p2 = ap_const_lv1_0))) then
col_b_reg_106 <= ap_const_lv2_0;
end if;
end if;
end process;
i_reg_139_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_7_fu_351_p2))) then
i_reg_139 <= ap_const_lv3_0;
elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_3_fu_472_p2 = ap_const_lv1_1))) then
i_reg_139 <= i_1_reg_583;
end if;
end if;
end process;
j_reg_150_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = tmp_9_fu_398_p2))) then
j_reg_150 <= ap_const_lv3_0;
elsif ((ap_const_logic_1 = ap_CS_fsm_state18)) then
j_reg_150 <= j_1_reg_601;
end if;
end if;
end process;
row_b_reg_95_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state3) and (tmp_2_fu_201_p2 = ap_const_lv1_1))) then
row_b_reg_95 <= row_b_1_reg_511;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
row_b_reg_95 <= ap_const_lv2_0;
end if;
end if;
end process;
ti_b_reg_128_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = tmp_5_fu_278_p2))) then
ti_b_reg_128 <= ap_const_lv2_0;
elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_9_fu_398_p2 = ap_const_lv1_1))) then
ti_b_reg_128 <= ti_b_1_reg_570;
end if;
end if;
end process;
to_b_reg_117_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_7_fu_351_p2))) then
to_b_reg_117 <= to_b_1_reg_552;
elsif (((ap_const_logic_1 = ap_CS_fsm_state3) and (ap_const_lv1_0 = tmp_2_fu_201_p2))) then
to_b_reg_117 <= ap_const_lv2_0;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state8)) then
bufi_load_reg_616 <= bufi_Dout_A;
bufw_load_reg_611 <= bufw_Dout_A;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
bufo_addr_reg_544 <= tmp_16_cast_fu_273_p1(5 - 1 downto 0);
tmp_12_cast_reg_539 <= tmp_12_cast_fu_247_p1;
to_b_1_reg_552 <= to_b_1_fu_284_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state12)) then
bufo_load_reg_626 <= bufo_Dout_A;
tmp_8_reg_621 <= grp_fu_165_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
col_b_1_reg_529 <= col_b_1_fu_207_p2;
col_b_cast5_cast_reg_521(1 downto 0) <= col_b_cast5_cast_fu_197_p1(1 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state6)) then
i_1_reg_583 <= i_1_fu_404_p2;
tmp_25_reg_575 <= tmp_25_fu_388_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
j_1_reg_601 <= j_1_fu_478_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state2)) then
row_b_1_reg_511 <= row_b_1_fu_179_p2;
row_b_cast6_cast_reg_503(1 downto 0) <= row_b_cast6_cast_fu_169_p1(1 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state5)) then
ti_b_1_reg_570 <= ti_b_1_fu_357_p2;
tmp_19_reg_557 <= tmp_19_fu_315_p2;
tmp_22_reg_562(5 downto 1) <= tmp_22_fu_345_p2(5 downto 1);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state17)) then
tmp_10_reg_631 <= grp_fu_161_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state2) and (tmp_fu_173_p2 = ap_const_lv1_0))) then
tmp_1_cast_reg_516(2 downto 1) <= tmp_1_cast_fu_193_p1(2 downto 1);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = tmp_9_fu_398_p2))) then
tmp_29_reg_588(9 downto 1) <= tmp_29_fu_448_p2(9 downto 1);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state3) and (ap_const_lv1_0 = tmp_2_fu_201_p2))) then
tmp_4_cast_reg_534(2 downto 1) <= tmp_4_cast_fu_221_p1(2 downto 1);
end if;
end if;
end process;
row_b_cast6_cast_reg_503(5 downto 2) <= "0000";
tmp_1_cast_reg_516(0) <= '0';
tmp_1_cast_reg_516(3) <= '0';
col_b_cast5_cast_reg_521(5 downto 2) <= "0000";
tmp_4_cast_reg_534(0) <= '0';
tmp_4_cast_reg_534(3) <= '0';
tmp_22_reg_562(0) <= '0';
tmp_29_reg_588(0) <= '0';
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, tmp_fu_173_p2, ap_CS_fsm_state3, tmp_2_fu_201_p2, ap_CS_fsm_state4, ap_CS_fsm_state5, ap_CS_fsm_state6, tmp_9_fu_398_p2, ap_CS_fsm_state7, tmp_3_fu_472_p2, tmp_5_fu_278_p2, tmp_7_fu_351_p2)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
if (((ap_const_logic_1 = ap_CS_fsm_state2) and (tmp_fu_173_p2 = ap_const_lv1_1))) then
ap_NS_fsm <= ap_ST_fsm_state1;
else
ap_NS_fsm <= ap_ST_fsm_state3;
end if;
when ap_ST_fsm_state3 =>
if (((ap_const_logic_1 = ap_CS_fsm_state3) and (tmp_2_fu_201_p2 = ap_const_lv1_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state4;
end if;
when ap_ST_fsm_state4 =>
if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = tmp_5_fu_278_p2))) then
ap_NS_fsm <= ap_ST_fsm_state3;
else
ap_NS_fsm <= ap_ST_fsm_state5;
end if;
when ap_ST_fsm_state5 =>
if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_7_fu_351_p2))) then
ap_NS_fsm <= ap_ST_fsm_state4;
else
ap_NS_fsm <= ap_ST_fsm_state6;
end if;
when ap_ST_fsm_state6 =>
if (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_9_fu_398_p2 = ap_const_lv1_1))) then
ap_NS_fsm <= ap_ST_fsm_state5;
else
ap_NS_fsm <= ap_ST_fsm_state7;
end if;
when ap_ST_fsm_state7 =>
if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_3_fu_472_p2 = ap_const_lv1_1))) then
ap_NS_fsm <= ap_ST_fsm_state6;
else
ap_NS_fsm <= ap_ST_fsm_state8;
end if;
when ap_ST_fsm_state8 =>
ap_NS_fsm <= ap_ST_fsm_state9;
when ap_ST_fsm_state9 =>
ap_NS_fsm <= ap_ST_fsm_state10;
when ap_ST_fsm_state10 =>
ap_NS_fsm <= ap_ST_fsm_state11;
when ap_ST_fsm_state11 =>
ap_NS_fsm <= ap_ST_fsm_state12;
when ap_ST_fsm_state12 =>
ap_NS_fsm <= ap_ST_fsm_state13;
when ap_ST_fsm_state13 =>
ap_NS_fsm <= ap_ST_fsm_state14;
when ap_ST_fsm_state14 =>
ap_NS_fsm <= ap_ST_fsm_state15;
when ap_ST_fsm_state15 =>
ap_NS_fsm <= ap_ST_fsm_state16;
when ap_ST_fsm_state16 =>
ap_NS_fsm <= ap_ST_fsm_state17;
when ap_ST_fsm_state17 =>
ap_NS_fsm <= ap_ST_fsm_state18;
when ap_ST_fsm_state18 =>
ap_NS_fsm <= ap_ST_fsm_state7;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXX";
end case;
end process;
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state11 <= ap_CS_fsm(10);
ap_CS_fsm_state12 <= ap_CS_fsm(11);
ap_CS_fsm_state13 <= ap_CS_fsm(12);
ap_CS_fsm_state17 <= ap_CS_fsm(16);
ap_CS_fsm_state18 <= ap_CS_fsm(17);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_CS_fsm_state4 <= ap_CS_fsm(3);
ap_CS_fsm_state5 <= ap_CS_fsm(4);
ap_CS_fsm_state6 <= ap_CS_fsm(5);
ap_CS_fsm_state7 <= ap_CS_fsm(6);
ap_CS_fsm_state8 <= ap_CS_fsm(7);
ap_CS_fsm_state9 <= ap_CS_fsm(8);
ap_done_assign_proc : process(ap_CS_fsm_state2, tmp_fu_173_p2)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state2) and (tmp_fu_173_p2 = ap_const_lv1_1))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state2, tmp_fu_173_p2)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state2) and (tmp_fu_173_p2 = ap_const_lv1_1))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
bufi_Addr_A <= std_logic_vector(shift_left(unsigned(tmp_31_cast_fu_498_p1),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0)))));
bufi_Clk_A <= ap_clk;
bufi_Din_A <= ap_const_lv32_0;
bufi_EN_A_assign_proc : process(ap_CS_fsm_state7)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
bufi_EN_A <= ap_const_logic_1;
else
bufi_EN_A <= ap_const_logic_0;
end if;
end process;
bufi_Rst_A <= ap_rst_n_inv;
bufi_WEN_A <= ap_const_lv4_0;
bufo_Addr_A <= std_logic_vector(shift_left(unsigned(bufo_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0)))));
bufo_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufo_addr_reg_544),32));
bufo_Clk_A <= ap_clk;
bufo_Din_A <= tmp_10_reg_631;
bufo_EN_A_assign_proc : process(ap_CS_fsm_state18, ap_CS_fsm_state11)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state18) or (ap_const_logic_1 = ap_CS_fsm_state11))) then
bufo_EN_A <= ap_const_logic_1;
else
bufo_EN_A <= ap_const_logic_0;
end if;
end process;
bufo_Rst_A <= ap_rst_n_inv;
bufo_WEN_A_assign_proc : process(ap_CS_fsm_state18)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state18)) then
bufo_WEN_A <= ap_const_lv4_F;
else
bufo_WEN_A <= ap_const_lv4_0;
end if;
end process;
bufw_Addr_A <= std_logic_vector(shift_left(unsigned(tmp_30_cast_fu_463_p1),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0)))));
bufw_Clk_A <= ap_clk;
bufw_Din_A <= ap_const_lv32_0;
bufw_EN_A_assign_proc : process(ap_CS_fsm_state7)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
bufw_EN_A <= ap_const_logic_1;
else
bufw_EN_A <= ap_const_logic_0;
end if;
end process;
bufw_Rst_A <= ap_rst_n_inv;
bufw_WEN_A <= ap_const_lv4_0;
col_b_1_fu_207_p2 <= std_logic_vector(unsigned(col_b_reg_106) + unsigned(ap_const_lv2_1));
col_b_cast5_cast_fu_197_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_106),6));
i_1_fu_404_p2 <= std_logic_vector(unsigned(ap_const_lv3_1) + unsigned(i_reg_139));
i_cast2_fu_363_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_139),32));
i_cast_fu_394_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_139),4));
j_1_fu_478_p2 <= std_logic_vector(unsigned(j_reg_150) + unsigned(ap_const_lv3_1));
j_cast1_cast_fu_454_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(j_reg_150),9));
j_cast_fu_468_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(j_reg_150),4));
p_shl1_cast_fu_237_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_11_fu_229_p3),5));
p_shl2_cast_fu_329_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_20_fu_321_p3),6));
p_shl3_cast_fu_341_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_21_fu_333_p3),6));
p_shl4_fu_311_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_18_fu_303_p3),32));
p_shl5_cast_fu_380_p3 <= (tmp_27_fu_376_p1 & ap_const_lv2_0);
p_shl6_cast_fu_432_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_28_fu_424_p3),10));
p_shl7_cast_fu_444_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_32_fu_436_p3),10));
row_b_1_fu_179_p2 <= std_logic_vector(unsigned(row_b_reg_95) + unsigned(ap_const_lv2_1));
row_b_cast6_cast_fu_169_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_95),6));
ti_b_1_fu_357_p2 <= std_logic_vector(unsigned(ti_b_reg_128) + unsigned(ap_const_lv2_1));
ti_b_cast3_cast_fu_290_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(ti_b_reg_128),6));
tmp_11_fu_229_p3 <= (to_b_reg_117 & ap_const_lv2_0);
tmp_12_cast_fu_247_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_12_fu_241_p2),6));
tmp_12_fu_241_p2 <= std_logic_vector(unsigned(p_shl1_cast_fu_237_p1) - unsigned(to_b_cast4_cast_fu_225_p1));
tmp_13_fu_251_p2 <= std_logic_vector(unsigned(row_b_cast6_cast_reg_503) + unsigned(tmp_12_cast_fu_247_p1));
tmp_14_fu_256_p2 <= std_logic_vector(shift_left(unsigned(tmp_13_fu_251_p2),to_integer(unsigned('0' & ap_const_lv6_2(6-1 downto 0)))));
tmp_15_fu_262_p2 <= std_logic_vector(unsigned(tmp_14_fu_256_p2) - unsigned(tmp_13_fu_251_p2));
tmp_16_cast_fu_273_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_16_fu_268_p2),32));
tmp_16_fu_268_p2 <= std_logic_vector(unsigned(col_b_cast5_cast_reg_521) + unsigned(tmp_15_fu_262_p2));
tmp_17_cast_fu_299_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_17_fu_294_p2),32));
tmp_17_fu_294_p2 <= std_logic_vector(signed(tmp_12_cast_reg_539) + signed(ti_b_cast3_cast_fu_290_p1));
tmp_18_fu_303_p3 <= (tmp_17_fu_294_p2 & ap_const_lv2_0);
tmp_19_fu_315_p2 <= std_logic_vector(signed(tmp_17_cast_fu_299_p1) + signed(p_shl4_fu_311_p1));
tmp_1_cast_fu_193_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_1_fu_185_p3),4));
tmp_1_fu_185_p3 <= (row_b_reg_95 & ap_const_lv1_0);
tmp_20_fu_321_p3 <= (ti_b_reg_128 & ap_const_lv3_0);
tmp_21_fu_333_p3 <= (ti_b_reg_128 & ap_const_lv1_0);
tmp_22_fu_345_p2 <= std_logic_vector(unsigned(p_shl3_cast_fu_341_p1) + unsigned(p_shl2_cast_fu_329_p1));
tmp_23_fu_367_p2 <= std_logic_vector(unsigned(tmp_19_reg_557) + unsigned(i_cast2_fu_363_p1));
tmp_24_fu_372_p1 <= tmp_23_fu_367_p2(9 - 1 downto 0);
tmp_25_fu_388_p2 <= std_logic_vector(unsigned(tmp_24_fu_372_p1) + unsigned(p_shl5_cast_fu_380_p3));
tmp_26_fu_419_p2 <= std_logic_vector(unsigned(tmp_cast_cast_fu_415_p1) + unsigned(tmp_22_reg_562));
tmp_27_fu_376_p1 <= tmp_23_fu_367_p2(7 - 1 downto 0);
tmp_28_fu_424_p3 <= (tmp_26_fu_419_p2 & ap_const_lv3_0);
tmp_29_fu_448_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_432_p1) + unsigned(p_shl7_cast_fu_444_p1));
tmp_2_fu_201_p2 <= "1" when (col_b_reg_106 = ap_const_lv2_3) else "0";
tmp_30_cast_fu_463_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_30_fu_458_p2),32));
tmp_30_fu_458_p2 <= std_logic_vector(unsigned(tmp_25_reg_575) + unsigned(j_cast1_cast_fu_454_p1));
tmp_31_cast_fu_498_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_31_fu_493_p2),32));
tmp_31_fu_493_p2 <= std_logic_vector(unsigned(tmp_29_reg_588) + unsigned(tmp_6_cast_cast_fu_489_p1));
tmp_32_fu_436_p3 <= (tmp_26_fu_419_p2 & ap_const_lv1_0);
tmp_3_fu_472_p2 <= "1" when (j_reg_150 = ap_const_lv3_5) else "0";
tmp_4_cast_fu_221_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_4_fu_213_p3),4));
tmp_4_fu_213_p3 <= (col_b_reg_106 & ap_const_lv1_0);
tmp_5_fu_278_p2 <= "1" when (to_b_reg_117 = ap_const_lv2_3) else "0";
tmp_6_cast_cast_fu_489_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_6_fu_484_p2),10));
tmp_6_fu_484_p2 <= std_logic_vector(unsigned(tmp_4_cast_reg_534) + unsigned(j_cast_fu_468_p1));
tmp_7_fu_351_p2 <= "1" when (ti_b_reg_128 = ap_const_lv2_3) else "0";
tmp_9_fu_398_p2 <= "1" when (i_reg_139 = ap_const_lv3_5) else "0";
tmp_cast_cast_fu_415_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_s_fu_410_p2),6));
tmp_fu_173_p2 <= "1" when (row_b_reg_95 = ap_const_lv2_3) else "0";
tmp_s_fu_410_p2 <= std_logic_vector(unsigned(i_cast_fu_394_p1) + unsigned(tmp_1_cast_reg_516));
to_b_1_fu_284_p2 <= std_logic_vector(unsigned(ap_const_lv2_1) + unsigned(to_b_reg_117));
to_b_cast4_cast_fu_225_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(to_b_reg_117),5));
end behav;
|
Library ieee;
Use ieee.std_logic_1164.all;
ENTITY my_nadder IS
PORT (a, b : in std_logic_vector(15 downto 0) ;
s : out std_logic_vector(15 downto 0);
cout : out std_logic);
END my_nadder;
Architecture a_my_nadder of my_nadder is
Component my_adder is
port( a,b,cin: in std_logic; s,cout : out std_logic);
end component;
signal temp : std_logic_vector(15 downto 0);
begin
f0 : my_adder port map(a(0),b(0),'0',s(0),temp(0));
loop1: for i in 1 to 15 generate
fx: my_adder port map(a(i),b(i),temp(i-1),s(i),temp(i));
end generate;
cout <= temp(15);
end a_my_nadder;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Tue Sep 19 09:39:36 2017
-- Host : DarkCube running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_axi_bram_ctrl_0_bram_0/zynq_design_1_axi_bram_ctrl_0_bram_0_sim_netlist.vhdl
-- Design : zynq_design_1_axi_bram_ctrl_0_bram_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[1:0][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized0\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized0\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[3:2][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized1\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized1\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[5:4][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized10\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized10\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized10\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized10\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[23:22][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized11\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized11\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized11\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized11\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[25:24][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized12\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized12\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized12\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized12\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[27:26][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized13\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized13\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized13\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized13\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[29:28][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized14\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized14\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized14\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized14\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[31:30][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized2\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized2\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[7:6][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized3\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized3\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[9:8][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized4\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized4\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[11:10][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized5\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized5\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[13:12][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized6\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized6\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[15:14][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized7\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized7\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized7\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized7\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[17:16][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized8\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized8\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized8\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized8\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[19:18][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized9\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized9\ : entity is "blk_mem_gen_prim_wrapper";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized9\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized9\ is
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 2 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute bmm_info_memory_device : string;
attribute bmm_info_memory_device of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "[21:20][0:16383]";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 2,
READ_WIDTH_B => 2,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 2,
WRITE_WIDTH_B => 2
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 1) => addra(13 downto 0),
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 1) => addrb(13 downto 0),
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 2) => B"000000000000000000000000000000",
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(31 downto 2) => B"000000000000000000000000000000",
DIBDI(1 downto 0) => dinb(1 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOADO_UNCONNECTED\(31 downto 2),
DOADO(1 downto 0) => douta(1 downto 0),
DOBDO(31 downto 2) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOBDO_UNCONNECTED\(31 downto 2),
DOBDO(1 downto 0) => doutb(1 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => ena,
ENBWREN => enb,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => rsta,
RSTRAMB => rstb,
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.WITH_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.TDP_SP36_NO_ECC_ATTR.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized0\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized1\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized1\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized1\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized1\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized10\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized10\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized10\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized10\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized10\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized11\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized11\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized11\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized11\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized11\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized12\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized12\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized12\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized12\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized12\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized13\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized13\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized13\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized13\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized13\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized14\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized14\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized14\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized14\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized14\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized2\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized2\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized2\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized2\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized3\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized3\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized3\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized3\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized4\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized4\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized4\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized4\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized5\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized5\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized5\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized5\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized6\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized6\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized6\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized6\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized7\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized7\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized7\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized7\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized7\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized8\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized8\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized8\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized8\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized8\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized9\ is
port (
douta : out STD_LOGIC_VECTOR ( 1 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 1 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 1 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 1 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized9\ : entity is "blk_mem_gen_prim_width";
end \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized9\;
architecture STRUCTURE of \zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized9\ is
begin
\prim_noinit.ram\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_wrapper__parameterized9\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_generic_cstr is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_generic_cstr;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(1 downto 0),
dinb(1 downto 0) => dinb(1 downto 0),
douta(1 downto 0) => douta(1 downto 0),
doutb(1 downto 0) => doutb(1 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[10].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized9\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(21 downto 20),
dinb(1 downto 0) => dinb(21 downto 20),
douta(1 downto 0) => douta(21 downto 20),
doutb(1 downto 0) => doutb(21 downto 20),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(2),
web(0) => web(2)
);
\ramloop[11].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized10\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(23 downto 22),
dinb(1 downto 0) => dinb(23 downto 22),
douta(1 downto 0) => douta(23 downto 22),
doutb(1 downto 0) => doutb(23 downto 22),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(2),
web(0) => web(2)
);
\ramloop[12].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized11\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(25 downto 24),
dinb(1 downto 0) => dinb(25 downto 24),
douta(1 downto 0) => douta(25 downto 24),
doutb(1 downto 0) => doutb(25 downto 24),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(3),
web(0) => web(3)
);
\ramloop[13].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized12\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(27 downto 26),
dinb(1 downto 0) => dinb(27 downto 26),
douta(1 downto 0) => douta(27 downto 26),
doutb(1 downto 0) => doutb(27 downto 26),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(3),
web(0) => web(3)
);
\ramloop[14].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized13\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(29 downto 28),
dinb(1 downto 0) => dinb(29 downto 28),
douta(1 downto 0) => douta(29 downto 28),
doutb(1 downto 0) => doutb(29 downto 28),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(3),
web(0) => web(3)
);
\ramloop[15].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized14\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(31 downto 30),
dinb(1 downto 0) => dinb(31 downto 30),
douta(1 downto 0) => douta(31 downto 30),
doutb(1 downto 0) => doutb(31 downto 30),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(3),
web(0) => web(3)
);
\ramloop[1].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(3 downto 2),
dinb(1 downto 0) => dinb(3 downto 2),
douta(1 downto 0) => douta(3 downto 2),
doutb(1 downto 0) => doutb(3 downto 2),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[2].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized1\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(5 downto 4),
dinb(1 downto 0) => dinb(5 downto 4),
douta(1 downto 0) => douta(5 downto 4),
doutb(1 downto 0) => doutb(5 downto 4),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[3].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized2\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(7 downto 6),
dinb(1 downto 0) => dinb(7 downto 6),
douta(1 downto 0) => douta(7 downto 6),
doutb(1 downto 0) => doutb(7 downto 6),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[4].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized3\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(9 downto 8),
dinb(1 downto 0) => dinb(9 downto 8),
douta(1 downto 0) => douta(9 downto 8),
doutb(1 downto 0) => doutb(9 downto 8),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(1),
web(0) => web(1)
);
\ramloop[5].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized4\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(11 downto 10),
dinb(1 downto 0) => dinb(11 downto 10),
douta(1 downto 0) => douta(11 downto 10),
doutb(1 downto 0) => doutb(11 downto 10),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(1),
web(0) => web(1)
);
\ramloop[6].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized5\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(13 downto 12),
dinb(1 downto 0) => dinb(13 downto 12),
douta(1 downto 0) => douta(13 downto 12),
doutb(1 downto 0) => doutb(13 downto 12),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(1),
web(0) => web(1)
);
\ramloop[7].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized6\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(15 downto 14),
dinb(1 downto 0) => dinb(15 downto 14),
douta(1 downto 0) => douta(15 downto 14),
doutb(1 downto 0) => doutb(15 downto 14),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(1),
web(0) => web(1)
);
\ramloop[8].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized7\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(17 downto 16),
dinb(1 downto 0) => dinb(17 downto 16),
douta(1 downto 0) => douta(17 downto 16),
doutb(1 downto 0) => doutb(17 downto 16),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(2),
web(0) => web(2)
);
\ramloop[9].ram.r\: entity work.\zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_prim_width__parameterized8\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(1 downto 0) => dina(19 downto 18),
dinb(1 downto 0) => dinb(19 downto 18),
douta(1 downto 0) => douta(19 downto 18),
doutb(1 downto 0) => doutb(19 downto 18),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(0) => wea(2),
web(0) => web(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_top is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_top : entity is "blk_mem_gen_top";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_top;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_top is
begin
\valid.cstr\: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_generic_cstr
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6_synth is
port (
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
ena : in STD_LOGIC;
enb : in STD_LOGIC;
rsta : in STD_LOGIC;
rstb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
web : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6_synth : entity is "blk_mem_gen_v8_3_6_synth";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6_synth;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6_synth is
begin
\gnbram.gnative_mem_map_bmg.native_mem_map_blk_mem_gen\: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_top
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "16";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "Estimated Power for IP : 20.388 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "NONE";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 16384;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 16384;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 4;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 16384;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 16384;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is 32;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "zynq";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "blk_mem_gen_v8_3_6";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 : entity is "yes";
end zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6 is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
rdaddrecc(31) <= \<const0>\;
rdaddrecc(30) <= \<const0>\;
rdaddrecc(29) <= \<const0>\;
rdaddrecc(28) <= \<const0>\;
rdaddrecc(27) <= \<const0>\;
rdaddrecc(26) <= \<const0>\;
rdaddrecc(25) <= \<const0>\;
rdaddrecc(24) <= \<const0>\;
rdaddrecc(23) <= \<const0>\;
rdaddrecc(22) <= \<const0>\;
rdaddrecc(21) <= \<const0>\;
rdaddrecc(20) <= \<const0>\;
rdaddrecc(19) <= \<const0>\;
rdaddrecc(18) <= \<const0>\;
rdaddrecc(17) <= \<const0>\;
rdaddrecc(16) <= \<const0>\;
rdaddrecc(15) <= \<const0>\;
rdaddrecc(14) <= \<const0>\;
rdaddrecc(13) <= \<const0>\;
rdaddrecc(12) <= \<const0>\;
rdaddrecc(11) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
rsta_busy <= \<const0>\;
rstb_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(31) <= \<const0>\;
s_axi_rdaddrecc(30) <= \<const0>\;
s_axi_rdaddrecc(29) <= \<const0>\;
s_axi_rdaddrecc(28) <= \<const0>\;
s_axi_rdaddrecc(27) <= \<const0>\;
s_axi_rdaddrecc(26) <= \<const0>\;
s_axi_rdaddrecc(25) <= \<const0>\;
s_axi_rdaddrecc(24) <= \<const0>\;
s_axi_rdaddrecc(23) <= \<const0>\;
s_axi_rdaddrecc(22) <= \<const0>\;
s_axi_rdaddrecc(21) <= \<const0>\;
s_axi_rdaddrecc(20) <= \<const0>\;
s_axi_rdaddrecc(19) <= \<const0>\;
s_axi_rdaddrecc(18) <= \<const0>\;
s_axi_rdaddrecc(17) <= \<const0>\;
s_axi_rdaddrecc(16) <= \<const0>\;
s_axi_rdaddrecc(15) <= \<const0>\;
s_axi_rdaddrecc(14) <= \<const0>\;
s_axi_rdaddrecc(13) <= \<const0>\;
s_axi_rdaddrecc(12) <= \<const0>\;
s_axi_rdaddrecc(11) <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6_synth
port map (
addra(13 downto 0) => addra(15 downto 2),
addrb(13 downto 0) => addrb(15 downto 2),
clka => clka,
clkb => clkb,
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
ena => ena,
enb => enb,
rsta => rsta,
rstb => rstb,
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zynq_design_1_axi_bram_ctrl_0_bram_0 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of zynq_design_1_axi_bram_ctrl_0_bram_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of zynq_design_1_axi_bram_ctrl_0_bram_0 : entity is "zynq_design_1_axi_bram_ctrl_0_bram_0,blk_mem_gen_v8_3_6,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zynq_design_1_axi_bram_ctrl_0_bram_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of zynq_design_1_axi_bram_ctrl_0_bram_0 : entity is "blk_mem_gen_v8_3_6,Vivado 2017.2";
end zynq_design_1_axi_bram_ctrl_0_bram_0;
architecture STRUCTURE of zynq_design_1_axi_bram_ctrl_0_bram_0 is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 32;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 32;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "16";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 1;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 20.388 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 1;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 1;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 1;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "NONE";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 16384;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 16384;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 32;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 32;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 1;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 4;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 4;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 16384;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 16384;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 32;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 32;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.zynq_design_1_axi_bram_ctrl_0_bram_0_blk_mem_gen_v8_3_6
port map (
addra(31 downto 0) => addra(31 downto 0),
addrb(31 downto 0) => addrb(31 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => '0',
dina(31 downto 0) => dina(31 downto 0),
dinb(31 downto 0) => dinb(31 downto 0),
douta(31 downto 0) => douta(31 downto 0),
doutb(31 downto 0) => doutb(31 downto 0),
eccpipece => '0',
ena => ena,
enb => enb,
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(31 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(31 downto 0),
regcea => '0',
regceb => '0',
rsta => rsta,
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => rstb,
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arid(3 downto 0) => B"0000",
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => B"000",
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awid(3 downto 0) => B"0000",
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(31 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(31 downto 0),
s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000",
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(3 downto 0) => B"0000",
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => '0',
sleep => '0',
wea(3 downto 0) => wea(3 downto 0),
web(3 downto 0) => web(3 downto 0)
);
end STRUCTURE;
|
-------------------------------------------------------------------------
-- Class: CPE233
-- Engineer: Jacob Hladky
-------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity uart_wrapper is
Port( TX : out std_logic; -- Transmit pin.
RX : in std_logic; -- Receive pin.
CLK, RST : in std_logic; -- Clock and reset.
DATA_IN : in std_logic_vector(7 downto 0); -- Data from the RAT into the UART
DATA_OUT : out std_logic_vector(7 downto 0); -- Data from the UART to the RAT
INT : out std_logic); -- Interrupt to the RAT to signal the data is ready.
end uart_wrapper;
architecture uart_wrapper_a of uart_wrapper is
constant BAUD_RATE : positive := 115200;
constant CLOCK_FREQUENCY : positive := 50000000;
-- The actual UART.
component UART is
generic (
BAUD_RATE : positive;
CLOCK_FREQUENCY : positive
);
port ( -- General
CLOCK : in std_logic;
RESET : in std_logic;
DATA_STREAM_IN : in std_logic_vector(7 downto 0);
DATA_STREAM_IN_STB : in std_logic;
DATA_STREAM_IN_ACK : out std_logic;
DATA_STREAM_OUT : out std_logic_vector(7 downto 0);
DATA_STREAM_OUT_STB : out std_logic;
DATA_STREAM_OUT_ACK : in std_logic;
TX : out std_logic;
RX : in std_logic
);
end component UART;
-- Convert data from the UART from ASCII.
component ascii_to_int is
Port( ascii_in : in STD_LOGIC_VECTOR(7 downto 0);
int_out : out STD_LOGIC_VECTOR(7 downto 0));
end component;
-- Convert data going to the UART to ASCII.
component int_to_ascii is
Port( int_in : in STD_LOGIC_VECTOR(7 downto 0);
ascii_out: out STD_LOGIC_VECTOR(7 downto 0));
end component;
-- Signals to interface with the UART.
signal s_conv_to_uart : std_logic_vector(7 downto 0);
signal s_uart_to_conv : std_logic_vector(7 downto 0);
signal s_in_stb : std_logic;
signal s_in_ack : std_logic;
signal s_out_stb : std_logic;
signal s_out_ack : std_logic;
-- Register for storing the data we're expecting.
signal s_expect : std_logic_vector(7 downto 0);
signal s_expect_new : std_logic_vector(7 downto 0);
signal s_expect_strb : std_logic;
type state_type is (
st_wait_receive, -- Wait for the UART to receive data.
st_assert_int, -- State to assert the interrupt for an extra tick.
st_wait_rat, -- Wait for the RAT CPU to proces the data.
st_wait_send -- Wait for the UART to send the data.
);
signal ps : state_type := st_wait_receive;
signal ns : state_type;
begin
atoi : ascii_to_int port map (
ascii_in => s_uart_to_conv,
int_out => data_out);
itoa : int_to_ascii port map(
int_in => data_in,
ascii_out => s_conv_to_uart);
uart1: uart
generic map(
BAUD_RATE => BAUD_RATE,
CLOCK_FREQUENCY => CLOCK_FREQUENCY
)
port map(
clock => clk,
reset => rst,
data_stream_in => s_conv_to_uart, -- Transmit data bus.
data_stream_in_stb => s_in_stb, -- Transmit strobe.
data_stream_in_ack => s_in_ack, -- Transmit acknowledgement.
data_stream_out => s_uart_to_conv, -- Receive data bus.
data_stream_out_stb => s_out_stb, -- Receive strobe.
data_stream_out_ack => s_out_ack, -- Receive acknowledgement.
tx => tx,
rx => rx
);
-- State machine controller.
process (clk, rst) begin
if(rst = '1') then
ps <= st_wait_receive;
s_expect <= x"00";
elsif(rising_edge(clk)) then
ps <= ns;
if (s_expect_strb = '1') then
s_expect <= s_expect_new;
end if;
end if;
end process;
-- We're listening to s_in_ack, to know when we've successfully sent data,
-- and s_out_stb, to know when there is data available to us.
process(ps, s_conv_to_uart, s_uart_to_conv, s_in_ack, s_out_stb, data_in, s_expect) begin
int <= '0';
s_in_stb <= '0';
s_out_ack <= '0';
s_expect_strb <= '0';
s_expect_new <= x"00";
case ps is
when st_wait_receive =>
ns <= st_wait_receive;
if (s_out_stb = '1') then
s_out_ack <= '1';
int <= '1';
s_expect_strb <= '1';
s_expect_new <= s_uart_to_conv;
ns <= st_assert_int;
end if;
when st_assert_int =>
int <= '1';
ns <= st_wait_rat;
when st_wait_rat =>
ns <= st_wait_rat;
if (s_conv_to_uart = s_expect) then
s_in_stb <= '1';
ns <= st_wait_send;
end if;
when st_wait_send =>
ns <= st_wait_send;
s_in_stb <= '1';
if (s_in_ack = '1') then
ns <= st_wait_receive;
end if;
when others =>
ns <= st_wait_receive;
end case;
end process;
end uart_wrapper_a; |
----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov
--! @brief Clock multiplexer with buffered output for Xilinx FPGA.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity bufgmux_fpga is
generic (
tmode_always_ena : boolean := false
);
port (
O : out std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
S : in std_ulogic
);
end;
architecture rtl of bufgmux_fpga is
begin
good : if not tmode_always_ena generate
mux_buf : BUFGMUX
port map (
O => O,
I0 => I1,
I1 => I2,
S => S
);
end generate;
bad : if tmode_always_ena generate
mux_buf : BUFG
port map (
O => O,
I => I2
);
end generate;
end;
|
----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov
--! @brief Clock multiplexer with buffered output for Xilinx FPGA.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity bufgmux_fpga is
generic (
tmode_always_ena : boolean := false
);
port (
O : out std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
S : in std_ulogic
);
end;
architecture rtl of bufgmux_fpga is
begin
good : if not tmode_always_ena generate
mux_buf : BUFGMUX
port map (
O => O,
I0 => I1,
I1 => I2,
S => S
);
end generate;
bad : if tmode_always_ena generate
mux_buf : BUFG
port map (
O => O,
I => I2
);
end generate;
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ACaQLrgiAwBqKfO7RcqifjXiE8JUtA6JR9Wxi47RWPcgyMhFXgFpSeXvoy1f+r4I91jTo4Q9Z1YM
R05BUszfbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IdiP9BjaETNfIgCbirRBYMRUqc6s07ihYzlPoUcG1/y2zJoB48KbezAQHqwmTFnp5Q9TqwO0/M7Z
vBDiR2sTM9UJ9hWYVgyocd59iQdM+1zIB+WHgEiws+idJkayF/7YBT/nb2nt8QT5jwvByM4kXQn1
gAQ+yThg6V8/B/NDjhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gYCM3Vlf33miFGU0bjTbnNlrICs4GcyZPr82Xj7vwJ1eCYwaRSLK10Tk2+E2eExnBmVADu0oFySX
800j2uMmoAUEH/FmMzU+1MqDRANaHAVn347LkAr5T9zr72oAFHG0D22Ncr1iL4QVaVI0m7BaeSma
kKwQ2AYPX8Xpma6YH9O0OqzQhcbh0CevD9WXZRKnFvx/Rj4OZBIAGdXKPAMPmnjn7QyIimXPDVL2
SH+BCNAMBg354JTpVv8Jjf085H4mnmn7DNMpEoktwrPN8yt+yYpENMsAAoV83zX1J1JksXfSwHlr
xtAOFWO2X7tZBQYtAnQhUkzD73nz1eoALkdMEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
s7glTu9QSgb3p22b2+yA/jINphYZv3EFBBLqcxEdfh4WrDOZJRSzg0sl2n+jWJB+cUFFjFn4iCDY
hgNKPg8hbIKwzwvVX3i4OFv81sk6eKweVpcfrlEQqm4XBTMDfpJkbBfqBcaNQViwLZH7XO1CTeXS
9lTXP7WlWqXdeVzYFaY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MoHn4+CnxB7cvzN9gvRderNF0GZaJR09k3ONYCsR3TcHiOYs4dnG0mJN/TSxupzZGSW4o0nMF51Q
CjhiaBvlA0UgqUQVpYixsjfen5l0PYG5dL9iftCRDBHmejz5YhuC0q03D6fkMuhTzCoZ1EYaoBeZ
KGS28tNhimLybw4i4b+bbbfGRPJXN3woY7aXbZRFmw0uIfXn53eUfQsEqdQH7aWPdyA1siVFz2Cn
uqNbak48RKq5D1+EvhY/MYFPTHDkxJ+BniThG1ohNTUTSmbrMHO/s7lEprqM25lAi3BagQhstEOz
Nyp0F/AbEjF8l7pXi5UqLxXJcejYnFBa7K94pg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ACaQLrgiAwBqKfO7RcqifjXiE8JUtA6JR9Wxi47RWPcgyMhFXgFpSeXvoy1f+r4I91jTo4Q9Z1YM
R05BUszfbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IdiP9BjaETNfIgCbirRBYMRUqc6s07ihYzlPoUcG1/y2zJoB48KbezAQHqwmTFnp5Q9TqwO0/M7Z
vBDiR2sTM9UJ9hWYVgyocd59iQdM+1zIB+WHgEiws+idJkayF/7YBT/nb2nt8QT5jwvByM4kXQn1
gAQ+yThg6V8/B/NDjhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gYCM3Vlf33miFGU0bjTbnNlrICs4GcyZPr82Xj7vwJ1eCYwaRSLK10Tk2+E2eExnBmVADu0oFySX
800j2uMmoAUEH/FmMzU+1MqDRANaHAVn347LkAr5T9zr72oAFHG0D22Ncr1iL4QVaVI0m7BaeSma
kKwQ2AYPX8Xpma6YH9O0OqzQhcbh0CevD9WXZRKnFvx/Rj4OZBIAGdXKPAMPmnjn7QyIimXPDVL2
SH+BCNAMBg354JTpVv8Jjf085H4mnmn7DNMpEoktwrPN8yt+yYpENMsAAoV83zX1J1JksXfSwHlr
xtAOFWO2X7tZBQYtAnQhUkzD73nz1eoALkdMEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
s7glTu9QSgb3p22b2+yA/jINphYZv3EFBBLqcxEdfh4WrDOZJRSzg0sl2n+jWJB+cUFFjFn4iCDY
hgNKPg8hbIKwzwvVX3i4OFv81sk6eKweVpcfrlEQqm4XBTMDfpJkbBfqBcaNQViwLZH7XO1CTeXS
9lTXP7WlWqXdeVzYFaY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MoHn4+CnxB7cvzN9gvRderNF0GZaJR09k3ONYCsR3TcHiOYs4dnG0mJN/TSxupzZGSW4o0nMF51Q
CjhiaBvlA0UgqUQVpYixsjfen5l0PYG5dL9iftCRDBHmejz5YhuC0q03D6fkMuhTzCoZ1EYaoBeZ
KGS28tNhimLybw4i4b+bbbfGRPJXN3woY7aXbZRFmw0uIfXn53eUfQsEqdQH7aWPdyA1siVFz2Cn
uqNbak48RKq5D1+EvhY/MYFPTHDkxJ+BniThG1ohNTUTSmbrMHO/s7lEprqM25lAi3BagQhstEOz
Nyp0F/AbEjF8l7pXi5UqLxXJcejYnFBa7K94pg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block
y0PRE7XpjOt/+g4ojIhm2hTNtt4CelKv2+RZhss9nuvABCIi6b4mtDWL4y++EcLvIX1Xp23MkxfN
Ic+WW7le1KarrDP6yL1kSBrnZlH48MbBwGlmjKfjNB31wvqa14JjhQcKeyDmavkDKrTzoO3qcB5L
h19ApK8vrMnTk/fQ5qyazOCNQE590q300Hy9eiK64hI4USVYHltasT1TCfy/Nxj62AzXsnsM0lDD
c+ZGhD/RiEISHI/ndFEUUfkbbsYt0Mxt/n6E3rjM7cIe+LO+FdU5j9d2TAd6euc40PkRLdvRU+Kg
o6cnDdT4ugHZl/7ILsuXPtWx6KnTC/t+pZ32Nhq3D9ekay6hwSxTMQgw9cdps+YqK/NO5UMdVnZg
xoAa4Qo7nyEKIZdqlUnKRN7iBbbQ6oBb6KpNVklU+2rnHB7u3cnHV9+T/sgqcVRS2KpD/eYFED68
JRekVb2mOKp+6nGJfpanIAdwl6VV7RhqYxBm2nUY3NyfesESrHibHqJlNNTJzxoHrbHxac8LDldn
VS9Lb29N/uYgpEJtfAwk70UYn0tNx6xCPagFn943CUaughKl2DQJtvE1j4DGfDekKpANdAZp5pJ3
Qz23IhodIgnUeZrMOWiuhMmCsjhEva7/kAeyq15VUP0CrEo9TwDUeeCcRMAM3+9+6vUIdsM0CLit
hCxxJN5jUVFoQzW/b1Jfro4VlCDLATaPe5mqbYEjQxtd+jRMl0IhxvvF8sxEQRlLWkLo8rLIETKl
+F8H9lLydJao4GKHGTe7u7wyxz+Wdrc2Uy1obSCZAtRIUdnanL/wOWi1ucKvA4BPFtkTKSN0nTB/
18vRsT9VuiRlhGtdAgLU4nkRX9bDICW84WlhoS2lajIskuM1GOPFDL17MZHCeEEp0zPZyFvMwN1C
GTWCjMtBYysue7iLlGirOi1BAMZW8oiao3/nHls/0zQYKYafg9izhLjxp+fyq0QGd56qbTNzQy43
23A6Ugy3gYQ4NF57A8ID+EJ0Txmp8xxa8Ah2YVjyVMZeY9gzlPW2WJS7pNfDjOso7bqz/dm2nsnX
Eklh93yC/CiwGHT50l4JdyhnN1vK8iXEowKUDvFKiENuOM2ksYCFLJeYpjZ00mRLVRP2/DB5MvJV
tNkdUDGvoPzwihN0pzODASR53559k6gANV4W27txOR05cnULhzAhgtIfcgy1D04whF9e/2/EeOcZ
otTy4q3rnFkUpSTBTsLQ+7OvDpmzopEMGH9Fg3iyRxmyAUfsH43LmrYc6wfmHyFTlJJa88U6ivbQ
GNT3TudQduY88MgDRUMKI4erzjNa/zRXR5fW8bxOJLdkVFRgDOLuvvczAqUd2y1nYiXV0mjB89JH
RjeYM9xFYoVi7LyHWeLhdaXOFoqpC4trpJA02uhggG3ek4bdcUAH+VWOITbclAv6FkGb5X9z5mqY
Sk7bNUjLljDTmi5MO9izeJESrV5mw/ZCXRdjKExKdT6eMVziTD76sAKRNo9S3rVBN9uTLYVxo6sc
mxWNzUfhW28RhyvqrbojY2O4Tfn2/6KTrUkcZS+hpmbeVQDVODnGMkh2KBfqNuYNqRPa4eYWqG6i
ozo9v+DzeNpnZ1IKwbqmNbzEmVuiz9fLiak/jSv9/ZxVC+G8ubP1RdFVZpU1fcIgZ6BWwcvjp/e4
5ANGYTJc2xkRdWZj5xyLxZeKiEuxJLPAuxDPINPS+RXPQPs0MbV7nshjRNCnI3Dp2yrDlLr8NNdN
6r1OfvPEOJApc2V0uReEd9pYb9YE9PtcaAcwj9Zr7YIpsFQR8k3BK2UXYA0w42EKemmg+3UcrJCg
Q/N8K+60WDLNf3j1LiUVta6FOEOZiNE+gxujPwBkwIBLTCB3mZ/oz0xlHoSQhU47AD7/xyNubdQa
BxToiA4lTMwuJ60pH2QuxaIfp7VsPyfP86YdujPRvcrW9xa5f6smnLVF8viY5j8bTPhFyVjVx5wM
GzJOWbCOdq/eue2f7DFlERDc65D5BJQhoFoaneoBZy2Fh/hE0LGOIK6bjX3dJvzoDwvfdh3JxbWN
P3zWMgt7g5ISoFc+yHBQolbbpNP50YxqHROnYnflDceWmQHmJw/jC/dg5xZdjP81DolKp5d5RsJE
rBHadRYWA5x4AAchEP2AwJsY7kX0l3AbDqCHkGGhP9/b4pcmcO1E7JxqACI7xCDAzHPfNg7dvNUj
9zirXfWm2x8HdjwylzwTmaJhtNIylEFE+G8hirqPhoQ7pHkrfhsuxCvIx/9GmycP2p60a5j05oGW
z3mj3eaiA0LdBUvVAm8VlRekXN7KcN8vS5O/UzTKUBwIk1Q12t8cZAs+mKssDii4QIxa0R9jzTcS
bAMg7uieZE86frgZcNN0urkBDC8qQywBS+hOdyFWb4hJUEaVRLvUur40ZUqHqDY8daYAMA8Cdxhk
IARKCd0CKSiZWDKYV9uxwrB5/tkQycBfWt88xnWOfMk5BDBi7bOWb0i+qY5RQgwMGvSUJcAiqV2P
a1DnuvU8KZidKhNfHdqQ5E4yp7ml/SZUXwCFX2atlNfMRforY/M5VmQiekJzxUzN+ZmzEeZOqJBo
SfuPwkR6gdpNIwDKIOO1QKkXYo+3B7j4xNUfSsZxHz5BG+SkAzHiG1cwUATOZK8rRsVxu0Ram6VD
Bgmiq6Xx5j9ooy7B/QadqSytIeXY8OdamOtSLTrl9eeX6Glm6aU6OR1dJwV8dLkIZTR18us/pt6q
fAQBgP8hK73KmH6WrpsYlKb7rz6MxnB8NhZyGBtAf0NvawIWTDa3RmYZR1PIlr2OQXgjEkoyBb0N
+5Dq43oJDXWX7TjvX0Sd6rgXN1CCoZ5SDA+q9Kl/egDBlzfjzTsPWuja5VHmOzdaAmQbmnOrinGJ
shp0zJhQAVM2BJcanH8b7Pa2xtIPXK8eT7elU8JXu8AtHnyEokbjheprZtLPSi29Z+txpobXR0ZG
BTWb5qkTmJjAmQ/6kPfUfyeq31yxIGeoUUoricAI4f4IANLNTGCjVap7/G09fesukIdfSDbh7UIq
ExxkQh41Pp5/ieJSUdzakcjq5guxoQjgoxLYvdmsJDkP0tFVe1Lyxx6IukTRaYvIoFeZ8v2YSkls
BoA8dSA+N65aZyGwj33VhCWi4uYDB47JB/DpNATrQ1mwH4BUMx/4dEtgC6mYZvF8ceQ7McBuSKbC
8PMpZ6ilDtE7Rj683NAbjDolzxDtMKh0/yS3KAaYJC5VfQBG99Z7TcdLtT/LppMvn0Yek7Fi5NBE
r+rB6hgRoahg1DBOFt6WY1XrSQAHyeJ3NIANxvZmsF58ZdUtwLYbH0ODO1bVlJVYlgBuFlzW3Fn7
iDwUAFzSxOI2wywumaYPSucmYzzZhJUjg0ovjgKlYs7PyweZ7lyNDQ0lAdK5YFz/IDzADiyhDq1j
X66qp7hYRobzKDtyqujYMGGAnDZiG8XBLszZgB242Db5oaPDxTMAXcuY2MffZjAiB6br5LXZtZaL
9GmYZxfwzGJ+ZCbdZHj6SnTg/55nAqYa8IGe5+u63X1H0lbIpwvG1VquBl9+7ewVlewS7gSAemll
axgCuuFt6S/b+GkLZQBl+7jKZWO9245EY5YImGAeU0sNBzJeyv75lIqoJYV228WRCzJqGReiH8hy
vNzlziULGfXFXbUEnZInQ8b14d+IFvkNpvkyGbuaDobB9G1fg+PD0CpfSAEWhduhCG59+av7dwrK
Dph48vcb1GQU6cZ52gKaO5X7LSHqSNHjpzEIrbjprYoAOb+hizq7qVQi5Z5G6ZQpL7Qnxmu9bh3y
ecyGWBu+KeEczJTf6ZH4y01hFDOhzyLD+VMbvd5GZoDY8qWWLeMdfsNXgeFFXF7NcCVirWEijpd3
50Re+F5f/0vikTNdBxhXr5AD1wnAyX7at4RYmIcJurq3/8QkeflWTXh3gIlph5jbFX0t2IRDcpn8
ZZIqCxucAbXFjJ6B6l/LjPkCukuhANSfESFLXM2xcfSmmxIW/HJJ40hs8dnslLfu/w8PzLHAegNv
+6Hm/JJ8KJQVLcIEhLQgDi//xEI7s67H+Tr3D3bJ4sMYrBb6mBgiXVlQIBoyxrXKdpLU5QIwTPct
xdohPV5vwScg0YkizlEPK0qbEkG5jnkKrgdXagDrVhb44QXNx8cv/8YShp39TbrgD0jS+bgsg45E
DlScpd2Q1oEWm8aK+ZjdTP9It0ADnpcE9b6Eib1qVjXzbk09NRW5/F2PZQTeq5Ca+hczrJBLe08E
IyjFkGjOZPC9mNTvYDW4szaYimgn5W7N7wksKXEqfkFXXK21rYltjoLyx8GAH1woOgDmOWiZI2gm
k0hNASXphYN5cax8zNaTUAfyi3W7Rnm6/m67lO/NFxCROSniLphuFCjihVowwnF4U13aYvrwupJ5
jMfrvrZGo4xVKnzO+VhNZE2ENf9uYE9qAFp6QB2qY7omi+cl+xeqDqbpViWwgokV6j7NZg6NJ6Wi
/z/WRsoenuc5UzB4sun6MW9w4St0yYg4yA5YMEKpMfQQO8NEC6ylOjaMvVnioDfLpED8mLPf1Bxe
4ZcI4FIWBZetks4RAhyjmxGts26z8iSy12M4fRX1/US/wZRwQlrGMY8nI7rbe+Jc7N+QthU9AwrQ
F48u3O0XweAZhPygOmSUJbD+28cdrmhA6AbtC51x9THDQsI95v9W0DgeE2YDLgA+KzdQlBze2+QV
RcIRhdd585OwXO6ZATWhY/ZL60C6L4ZeaZ8oo1Yq3kOX5mdZRxzy/D5VkQmxRfibNIgfeNBlkL7m
psy9zLPvGw669VJVDvyDdbhB8+OlG5InHe1A4ez+Ik+Rxfb6QXP12bDSZyRQEZEfUOLoRZqgJUQi
GGEHlAoAHfy6JWSs6196T4wVKxLcP//QTtP9IZTBhnOpe6PUD16p2htRahz49jh6YijhWhXbdo/2
Un4b9gW1BBbp45muNprK2blFeU/CSNokaMOIDf76nnHBIqyDK2+McWJ8WI3CWrfikkraqUa58I0t
3E/iP0juVoUPkZj4vkuEs9UgTgXcQi9z+ttmQn25v/udYIBKomJbkyPRfEMlX1cp/yCBYFiwjzV2
x3zt2LzGm9Immo6LBw6zYARW73E/Bmz8SQaGoOAjB/Ga+Xmj4naIlKGLs6hnskH43lSw1uUgJ0uN
/pf9cB32FttaLbaGfwKEi/RKBX0CfiNdPdjYRGjDUZie8Zy5LVR8PWW0SaE75KKNR1wgNiYsRmaE
vpbueH+YZlIeqwcLriy4A1w9ChMElUPT7sWRLOFaRam+ceVsYvjKVAGetZZXOBMy5Bl6lbqbA8YA
FsmQYewccZVS78SmYVGIcsTmddYqrNVA20jNWiJordCJiri9J7ZY+LeGJiBmyYiv3LoWy/eExvKr
pEPdoDlVv0YAmwYUX/TABbqnzHcopIvDZ98yqD0yZ06F1Nnf6K9HbT8FoTlxRrxmNRbhNQmGg6uP
ecrHT0y0nw9cnqicBoI3Tpo9veRK2iaIxoVK5Qcp1ohuavTdZB7/vksrrfV5URZI7ViezGzlzdRj
L9kXtA7ujzqBD3kIWXLuAAqQbUGntCzVzcq2skL33UxygH6m+Qh9ura5U+p1yNPQa+KrS6NNd81u
kTtsjv3FIlkUZfiqLMPMNGlnTCuNc7xh27psb+OxRiYy4ROx4J7g8trJkDKoKELndTR9SQOJcsmA
N9m7XZ4PDoPZPP+JFX/i0w8Zg1Jpwrc+idqhYnR7hTM5SUUFY6QR4agbNZ8gKhe1fOD5tplopHdL
CeBmfmlJrSp3QTOs6qfoz1eQgPxs7ETcP/ggj1lvHwebKxts16U06empAE9LsWrCSriwXjW3ib35
+MZEAYyPLF2aXRQa9m/NvOzVk6fHGyjOqogO/DpVB6SVO9Hh/iH1DnFTz1o0mg1web+Nfjwdm11X
tDcMh94z3Bxu6DjTmP7qfBdHAK5fSg2ktKTKHhW4cGU+uLRyYX6k1e4uBoXi31sCBxV1c0tdsP/f
rMGdCZ62YxWcxFgL/Cfn1Es5k4IDTTYDn/gZqPGz6vJAYdTmVc4gj5YcTn3xfoXhJ5EVlJGZhNXe
70BUbky/i/Da0VOutSgoY2hrT5YGPXU8H6uyoPtkXinVN85vqwpQok8uQpnv47lZTjCE6/zyMI/K
n1OwrrZAalesJvtqxNefnTD0J4r2pKET21b6oS0f74SJy4jaTHL7YmoXfwwW24yuvUXe7ohHWDGP
jsAnpPIqdGARRkTtlw3teIe3kBQBR6isb9MVpK7HPULy6+YBZQS9w1f/OtjXiEMPTb2KvFZIP9J1
7GcJNvgEN0E3iF+JQVHVyUNQMmrqGUJ1+9cKxbzWRlilucN5YbSt+SAybrTrsWeB5VhVwCa8+uOr
1Ec2qNUm/GzI7QNlfFVMcyHUi5F/1O39p+iLaELn4ZnnzytlJB+z1/AFUMa0l82oILDH1strvYVS
1kCzFNNJaR+Vjdp8G9sl7Gfx8M7aQrEFEAMMheu9GaPaOYYeVOb20aDkocWTkAKT7m0Xw8hA7mJH
EgAFRETt7rXGO2azLfo80LS5zEBt/+rLxhmXYW1pwcaFaTKner09kZ3rjP9iIt3vIhAHdtGihW5c
W4b/XfPL62XH2FkrkbCPvH2uMA6QTn7PH8mZpedPrx+qz8qRI+ttLxV9s4g1j7O9jFOnsjyvGH4r
y2nj8SxV5iDVFGCKGF6FKPNWjrPMzLjnUFFAa6n7dTUb/1Zs7Bz5YUX4g9EDkeuEE799EQWM/DNd
3U6Ct1lAR0+71rGO0pUwB0BuRgtGTQO3vdfJn38AeSG4w+sbjcYc5+x7TY+LUFKfaxPUgD5NIT+a
ajVJAGcD2CpCzpbX2mMzP5Rc4cqikcYUUN4ChhDvAE7gFRoxCBd4rcvgv3miq1hUf5jtwuiZ3QEz
r6rh3UFCSZnAzVjCNhZqKozfuEl5hCz7U/iyB6ghDSMUWPwk0QUdy6CI/5hK2l8WdukmfCEpDbyh
H9IepPzNT4LPXzII0MUup1wG6fdkOvPYRYRZDDazXHDrt9tXAj92rKTRtUry5M2hYpB0zc8RMKZ+
FrR7EKVTVafDyJGkjkb93Pen3XImAqzXPe0QlSnH7yhJenWsAm/oGv+qcq6bgx9FoLfgicA1S4Ej
N+gb49c9atTYelDhLJUkjOchaoeV9SazTJfGkjngXrN58JwAnXF+lq6DxwFpU+LsAIliSyj854vd
O2eyR4FcxeQ7NEIsVGPhIgfjaW5UTAdg97ksFAXJFlF4dSNW06Vs7vACYxgbgpDNvL2Yi7UVDxVO
69A4LzPaDSqk/iAjWaiq6524bmP6DHhCCWIlGlBRuGKqIfF6bpVag5xM2F+hr1C+w0D6z+Et2TIi
cmWwIzG6L3d09gjlNcFkLRwNc1QrxzPhIxhaubOsSDgJqg4rbMRQoSM2duBcOD1S0EdLsO4MzAWa
Zq7Qqqh9emt8c3sV5cPmvVzTZ4MpeUo0o8F8HHc2/z1qkNquE8S7/YfnlQqSpiSJ3ZeB7/gUxXgf
VvCJzAfk9BLY6TJBhwleT8SVIOY3pdfWhjMC6oaIwDJC3P1QGMl+xmQHI9AblhaGwwq7p1VnVspl
6rzbh1ik6SfyH7CDoISkEihQT4q1l5E6AfkNtbpVzb8TqneqP6pDQ638+A5Sx/zb3ADSpYiUt20B
1FEW9uZWKP1OOkLHioZe/EJFswAXSGXlOSw33PxBoATOgEM6TinYI0eawFjmo7aTvYoBIZN0ZuNY
WFAuXg2CLzZeKdYLEPW0g+4ryzVzvTYM2Qc8n9V6uvgmmDLDK997J6M8SeZfNvWOIJozbNILL4ru
IDQqp4tfbIa04jg4VaqMp7x26/RZcIAa4vojvzMqejMvauJwaCV8VBaCLuE173V7TezH1MOAi4IP
yrl72ibc8DTL1F/IfKxEinpyFaKnrTrbWV8kutjeI3wAC3IHW31kHbSmjwQjGAX3EfdUt0idWrLe
6AxFWiDgcSVwhOHmUCvwX+uPkMq+jSLQl/RsiJsqzW02kPdDHUy3q9J6pZmGETQuUQVi4nBDabeD
S0p0Ir1J/CCCPSsBper9fBPScWidMm22BMQDXqDQrig6VmHmF9uA1csJEvEeCRyOmG4pooVe+2xN
mt1cgDsC1UGAYATVxOqf3QuH4Dp3uxtHGyNizUMbNYJuoDOl9Az6XhGSSSq37byu13roE3uHEesy
RTny5j9xeqKpQt2vwFlZ+Tze5ySl2nrKbm36dOKEIGGjL4EEkhmCv+skbGQPTAkump0NgV9sbTJ+
Miud1CZQTr2mcQeE6D4ypevMtLM88B+iw+/0UegomvVkM2HrnaMoE+oVFikeulu/YtuRlrXby2eL
Sy/0ZHEuwcei7te6ept5PnW4eMp9YC0HsPBWcC0rd2CO3ptjZlH/2HY1kxKSXRx38zCjcyinmbog
tLrrGfv6mZWVydxyb58nxUN4YH9kdS2W/6ac4yN0gzh1BqiAYihI4EtUPfmDjoZpKiGtZ6603KxA
IYRpHsggzxjzr4v20PS7BhfYR7Li6F0BPqCMmei5/ZZFueFoX/nTmzpr2nueKScnIYKfBwlNWm3Q
30niQU/bZNdRrPEK0eI4plgW/vbNsEKsZsnt9UAWOOghiR/L7F/TEw/Gsya8zJbzL6Iiu4Cb8FI6
8dzZrNV3mpKYVkZ+cEtfB743I1YCSSB0kX0dR6q2M9eJ9HwKo9TlYnsBK9Pph5ioNXTGlfRXIjIj
16Nl/xlLqAlAPSQezbLml1R6daVE3Whm9xgnWk8WQe3AY5VvN73sVUB3U2FcoPmiju7uJ7KBuB1e
ttSouRTpcIvDr36Fjb9+A0lOME3QVjGWSNpgHVYkvnyvaBRZcG3GtYOR/71N4aYCiLDXOh2SC7dL
xgAlUs26f81Gop5E0B9BhhuAQKE2qT6TiIl4XF1NRKNe1Sc0qeTmAdMAVQByExE7rp/epSo4qcM1
Pc4YDJRRH2yf18WCc1Eb6CM/J6jA1ntQjDljeAw1N/3A7HTma2m/Ma3+8EecH8e8DLGPFSi8/Oc5
Vg52N00e2E2E8asjBkoOSb3XnTT+bgkE1h8+Gby5kHKNTgxkTfsk1kj2Nb+0zvu5hGCBlO1kIEkH
IJQe3zaIRn84dIuOy55mioftJkaw8S0qiXrzi+en4X96B3xiDv/9BROsr6Z/RkSeAPzG5Z+EFhiP
o4cJWsrLBQ/WzFrF2qmdIod61OZE2fX2fVqytQYyRQAQ7LjBCOZ2tigoOL/h+xaKAbKGv8zH0YoI
/8iRfrK71T0GbNdy925b00GEMAE5xtmpQBLhT+Bc0CXrkKpbSOrTXG1ZLZOnczNQ5nVqhjySCUJD
3O35Wtl6tPtDDkf8UQUE1KMBqxcOdJKJ6zeoTXj7N8B8eGYRKy7epU2WSPIdlFKFtcZkItEOaFQZ
oWj5tFm2lazorTHalinci3SrUwtZGfnyqj/xheHOSFtxvqgzx/G3FdB69hLvs7NRbSCute0Rs6BQ
rIn5BSlx+rnlnBSGWty2XsKs/KKh285PQcIdWGNUfr+pwHMu8WKrqgiac/ozvi4vn2qnNteu3cg/
QiJGyWESwvhhxDaHtbmzR1maiwlThLLcSASLyUwHF1qXwxeMdh2/p1j+N0i/ZejRAJ+jRE+THVSz
jtwU6K7jNZXYX+Rtx+IyRPn79gFJLXBqQAdZOOfvCJeLHWy8UVSun+rzUNnYmHlQAIMSEiOclwJ9
kH5WISrM1qnoasqX4i6vGhZNqWekLc9MJlk/XEHF29zPp4w+9j0GDJKzrVVBP5MRJQvYIBAN8/eR
7P6cIt4yzejTjm8Gmyzf04IVli/mhsD9vB+l/SWUfsM7AqTaxQo1asjjSMuNBABg9z2FsN0CR5uF
ljhtdG5jo8uRp4hrs4nbcxPqbD3ayiRfBAihLMupm+O+o+ZUgPbKmgbB8CztPcoJnWeYUwIu9m4z
VbCSZRykz2P24pMw4Q5T+WBJJ+0Z3qtm5yubarkLkBTh3Dkh75PsD09nogre4sxWMGVaMjBzxEeF
d/4floS4cD0/LGrIoLMPy8j+fjGabFzXV6eMGczT12Bx3N+uR5bN5Jt3s8ol1BG/xpFcsbbRV8KR
VUrSK3XMyS3nEwwq3IbbQCtDLz4Dm8WjMBYDp4sgZ85kQFSWdYK52/nrrb2T8vYV1oha/uYgv0EQ
YGq8JLSUyk+nDIXybULowqX5e/HkfMnJ2gJ/IrfouUknPna/j+cskaZq50OyBGDpSRGacqZL47o+
aabhTknFRSqgZQp9M/OotTAZFdwbGwmUzv0q/Rz/+Qb1tep5tjve1KbTm+oM1DawAQ/HSwgPnZ4w
ZKaU733OBSLEt4I/r6P0V/syjbldlOPmRIdbPuRtCMshgrQDwqpw++mljzzr+gTYkUaV0MZpxz8b
kLLVUsoIAGKeDagnWWLu3J56/wRM010gt4LXQoH2cDOgUo0F3n4mX/bkcfh0TOdzRS2/mE6XQSSA
F16K9OIhDbVuRI0Ki2KCJu+99GhTFW5eSbD169KcGh+CaBdJVhc170npNFRTom5g3Q7QVEZdFr05
+eiv8oQFdorMx1vASYV9cGFs6OabXVV3gJZHPKUECBx6i+oS8TKkwcqzQZENN1HvWeqL9R+D0ET2
0e4tBz82nb6PJzSPJ4czw6DCq+AXqwyACS+Z5gJPjaGxpbdMJCuuI+M6jodnL7P8QhIfo5xIXEyB
qRCxSfndgEoZ+BFked0QexwDEo4QbYDI1Oh0KbugyM0YDnusUaawgqGZfjFbJiIi/QINoj/N+lZ5
L3SEe792S5pNGOH6b31tvBku08xq+yTAkQE5erxMHlQm7AEX07SXXkaTke+E3ywVRfGNpkxLy6d0
h/BO/ZEKZeyin2VpllLb6uKEbJOpEQ9bkqgKNamIZt3tb98jcTwfceGvjSvRTd/q6Im9+F3ZE/ce
6qaUhCVQr3Y1766y5r/bZveLC3gB9/uitDzIdinFu6zR2FHKptsIyVCppexJjQu1+u739gC3Ow5F
r+zwBQUH3h4cbEb8aFpgHnwfbU0NGxZzCcFoUkKmcp84u+SfbAepcAmjiawzqz6n/BrHrc2DIpev
WAvVU1CDEmmyt8AowGKVj855jAODx9tJJ0XNkXdiwfYgyXIoU1ckDC9nDX3XxV4nJs+38rxpRizN
qX3ZKrKEGhK5ueIvtb3c/dr5yPZeiMbMTEh7GmnC089UUuCvBg==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ACaQLrgiAwBqKfO7RcqifjXiE8JUtA6JR9Wxi47RWPcgyMhFXgFpSeXvoy1f+r4I91jTo4Q9Z1YM
R05BUszfbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IdiP9BjaETNfIgCbirRBYMRUqc6s07ihYzlPoUcG1/y2zJoB48KbezAQHqwmTFnp5Q9TqwO0/M7Z
vBDiR2sTM9UJ9hWYVgyocd59iQdM+1zIB+WHgEiws+idJkayF/7YBT/nb2nt8QT5jwvByM4kXQn1
gAQ+yThg6V8/B/NDjhE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gYCM3Vlf33miFGU0bjTbnNlrICs4GcyZPr82Xj7vwJ1eCYwaRSLK10Tk2+E2eExnBmVADu0oFySX
800j2uMmoAUEH/FmMzU+1MqDRANaHAVn347LkAr5T9zr72oAFHG0D22Ncr1iL4QVaVI0m7BaeSma
kKwQ2AYPX8Xpma6YH9O0OqzQhcbh0CevD9WXZRKnFvx/Rj4OZBIAGdXKPAMPmnjn7QyIimXPDVL2
SH+BCNAMBg354JTpVv8Jjf085H4mnmn7DNMpEoktwrPN8yt+yYpENMsAAoV83zX1J1JksXfSwHlr
xtAOFWO2X7tZBQYtAnQhUkzD73nz1eoALkdMEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
s7glTu9QSgb3p22b2+yA/jINphYZv3EFBBLqcxEdfh4WrDOZJRSzg0sl2n+jWJB+cUFFjFn4iCDY
hgNKPg8hbIKwzwvVX3i4OFv81sk6eKweVpcfrlEQqm4XBTMDfpJkbBfqBcaNQViwLZH7XO1CTeXS
9lTXP7WlWqXdeVzYFaY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MoHn4+CnxB7cvzN9gvRderNF0GZaJR09k3ONYCsR3TcHiOYs4dnG0mJN/TSxupzZGSW4o0nMF51Q
CjhiaBvlA0UgqUQVpYixsjfen5l0PYG5dL9iftCRDBHmejz5YhuC0q03D6fkMuhTzCoZ1EYaoBeZ
KGS28tNhimLybw4i4b+bbbfGRPJXN3woY7aXbZRFmw0uIfXn53eUfQsEqdQH7aWPdyA1siVFz2Cn
uqNbak48RKq5D1+EvhY/MYFPTHDkxJ+BniThG1ohNTUTSmbrMHO/s7lEprqM25lAi3BagQhstEOz
Nyp0F/AbEjF8l7pXi5UqLxXJcejYnFBa7K94pg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- modulo
use IEEE.NUMERIC_STD.ALL;
--use work.fontRom.all;
entity sync_test is
Port ( clkExtOsc : in STD_LOGIC;
ledOut : out STD_LOGIC_VECTOR(7 downto 0);
sw : in STD_LOGIC_VECTOR (2 downto 0);
-- ODD columns
CK1IN : out STD_LOGIC;
RXIN2 : out STD_LOGIC;
RXIN1 : out STD_LOGIC;
RXIN0 : out STD_LOGIC;
-- Even columns
ECK1IN : out STD_LOGIC;
ERXIN2 : out STD_LOGIC;
ERXIN1 : out STD_LOGIC;
ERXIN0 : out STD_LOGIC;
-- Logic analyzer debug outputs
LCK1IN : out STD_LOGIC;
LRXIN2 : out STD_LOGIC;
LRXIN1 : out STD_LOGIC;
LRXIN0 : out STD_LOGIC;
LTRIG : out STD_LOGIC;
LTRIG2 : out STD_LOGIC;
clkOut : out STD_LOGIC );
end sync_test;
architecture Behavioral of sync_test is
SIGNAL clk : STD_LOGIC;
signal led : STD_LOGIC_VECTOR (7 downto 0);
signal CLK_DIV : std_logic_vector (8 downto 0);
-- colors
signal red : std_logic_vector(5 downto 0) := "000000";
signal green : std_logic_vector(5 downto 0) := "000000";
signal blue : std_logic_vector(5 downto 0) := "000000";
signal nextColor : std_logic_vector(17 downto 0) := "000000000000000000";
-- which slot are we in right now?
signal slot : integer range 0 to 6;
-- control signals
signal hsync : std_logic := '0';
signal vsync : std_logic := '0';
signal dataenable : std_logic := '0';
-- display parameters
constant htotal : integer := 980; -- screen size, with back porch ;1920+40 / 2
constant hfront : integer := 12; -- front porch 24 / 2
constant hactive : integer := 960; -- display size 1920/2
signal hcurrent : integer range 0 to htotal := 0;
constant vtotal : integer := 1226; -- screen size, with back porch 1200+26
constant vfront : integer := 3; -- front porch 3
constant vactive : integer := 1200; -- display size
signal vcurrent : integer range 0 to vtotal := 0;
-- the signals holding the data to be sent to the lcd on each slot.
-- this is hardwired on the RGB, hsync and vsync signals.
signal RX0DATA : std_logic_vector(0 to 6) := "0000000";
signal RX1DATA : std_logic_vector(0 to 6) := "0000000";
signal RX2DATA : std_logic_vector(0 to 6) := "0000000";
constant CK1DATA : std_logic_vector(0 to 6) := "1100011"; -- this is per spec, the clock
-- is always the same
-- Moving green bar
signal gbarpos : integer range 0 to vtotal := 0;
--signal color_cur : integer range 0 to 2 := 0;
subtype subCharacterItem is integer range 0 to 1200;
type typeCharArray is array (integer range 0 to 3) of subCharacterItem;
--CONSTANT characterArray: typeCharArray := (
shared variable segmentOffsetX: typeCharArray := (20, 230, 500, 710);
-- 7 segment decoder array
--subtype subSevenSegType is std_logic_vector(6 downto 0);
--type typeSevenSeg is array (integer range 0 to 9) of subSevenSegType;
--shared variable sevenSegment: typeSevenSeg := ("0000001","1001111","0010010","0000110","1001100","0100100","0100000","0001111","0000000","0000100");
-- Color output from every segment
subtype subColorOutType is std_logic_vector(17 downto 0);
type typeColorOut is array (integer range 0 to 3) of subColorOutType;
shared variable colorOut: typeColorOut;
-- array of 4 number on display
subtype subDisplayNumberType is integer range 0 to 9;
type typeDisplayNumber is array (integer range 0 to 3) of subDisplayNumberType;
shared variable displayNumber: typeDisplayNumber := (0,8,3,0);
-- divider 200MHz to 1 second
signal clockMinute: STD_LOGIC;
signal clockSecond: STD_LOGIC;
signal counterMinute : integer range 0 to 8000000*61 := 0;
signal counterSecond : integer range 0 to 8000000+1 := 0;
-- parameterized module component declaration
component ROM
port (Address: in std_logic_vector(3 downto 0);
OutClock: in std_logic; OutClockEn: in std_logic;
Reset: in std_logic; Q: out std_logic_vector(7 downto 0));
end component;
component pll
port (CLKI: in std_logic; CLKOP: out std_logic);
end component;
COMPONENT debounce
PORT( clk : IN std_logic; button : IN std_logic; result : OUT std_logic );
END COMPONENT;
COMPONENT digit7seg
PORT( hcurrent : IN integer; vcurrent : IN integer; offsetX : IN integer; offsetY : IN integer; dispNumber : IN integer; display : OUT std_logic ; colorIn : in std_logic_vector(17 downto 0); colorOut : out std_logic_vector(17 downto 0));
END COMPONENT;
-- Clock multiplexer
component DCMA
port( CLK0 : in std_logic;
CLK1 : in std_logic;
SEL : in std_logic;
DCMOUT : out std_logic);
end component;
--internal oscillator
COMPONENT OSCH
GENERIC(
NOM_FREQ: string := "2.08");
PORT(
STDBY : IN STD_LOGIC;
OSC : OUT STD_LOGIC;
SEDSTDBY : OUT STD_LOGIC);
END COMPONENT;
SIGNAL clkRC : STD_LOGIC;
SIGNAL clkPLL : STD_LOGIC;
signal swDebounced : STD_LOGIC_VECTOR (2 downto 0);
signal romAddr : STD_LOGIC_VECTOR (3 downto 0) := "0000";
signal romOut : STD_LOGIC_VECTOR (7 downto 0);
signal tempRomAddr : STD_LOGIC_VECTOR (9 downto 0);
signal tempGbarPos : STD_LOGIC_VECTOR (9 downto 0);
signal tempFlag : STD_LOGIC_VECTOR (9 downto 0);
signal digitDisplay : std_logic_vector(3 downto 0);
begin
MyROM : ROM
port map (Address(3 downto 0)=> romAddr, OutClock=>clkPLL, OutClockEn=>'1',
Reset=> '0', Q(7 downto 0)=> romOut);
-- Clock multiplexer
--I1: DCMA
--port map (CLK0 => clkRC, CLK1 => clkPLL, SEL => '1', DCMOUT => clk);
--internal oscillator
OSCInst0: OSCH
GENERIC MAP (NOM_FREQ => "2.08")
PORT MAP (STDBY => '0', OSC => clkRC, SEDSTDBY => OPEN);
myPll : pll port map (CLKI=>clkExtOsc, CLKOP=> clkPLL);
clk <= clkPLL;
Inst_debounce: debounce PORT MAP( clk => clkExtOsc, button => sw(0), result => swDebounced(0) );
Inst_debounc2: debounce PORT MAP( clk => clkExtOsc, button => sw(1), result => swDebounced(1) );
digit0: digit7seg PORT MAP(vcurrent => vcurrent, hcurrent => hcurrent, offsetX => 20 , offsetY => 50, dispNumber => displayNumber(0), display => digitDisplay(0), colorIn => "100000111111000000", colorOut => colorOut(0));
digit1: digit7seg PORT MAP(vcurrent => vcurrent, hcurrent => hcurrent, offsetX => 230, offsetY => 50, dispNumber => displayNumber(1), display => digitDisplay(1), colorIn => "000000111111100000", colorOut => colorOut(1));
digit2: digit7seg PORT MAP(vcurrent => vcurrent, hcurrent => hcurrent, offsetX => 500, offsetY => 50, dispNumber => displayNumber(2), display => digitDisplay(2), colorIn => "100000111111100000", colorOut => colorOut(2));
digit3: digit7seg PORT MAP(vcurrent => vcurrent, hcurrent => hcurrent, offsetX => 710, offsetY => 50, dispNumber => displayNumber(3), display => digitDisplay(3), colorIn => "000000111111000000", colorOut => colorOut(3));
--led(2 downto 0) <= sw(2 downto 0);
--led(3) <= swDebounced(0);
--led(4) <= swDebounced(2);
ledOut <= not led;
--clkOut <= CLK_DIV(8);
--led(5) <= CLK_DIV(8);
--led <= std_logic_vector( to_unsigned(gbarpos, 8) );
-- data enable: should be high when the data is valid for display
dataenable <= vsync and hsync;
-- RX2DATA is (DE, vsync, hsync, blue[5:2])
RX2DATA(0) <= dataenable;
RX2DATA(1) <= vsync;
RX2DATA(2) <= hsync;
RX2DATA(3 to 6) <= blue(5 downto 2);-- when dataenable else "0000";
-- RX1DATA is (blue[1:0], green[5:1])
RX1DATA(0 to 1) <= blue(1 downto 0);-- when dataenable else "00";
RX1DATA(2 to 6) <= green(5 downto 1);-- when dataenable else "00000";
-- RX1DATA is (green[0], red[5:0])
RX0DATA(0) <= green(0);-- when dataenable else '0';
RX0DATA(1 to 6) <= red(5 downto 0);-- when dataenable else "000000";
-- RX2DATA synchro data
-- connect signals with the appropriate slot
RXIN0 <= RX0DATA(slot);
RXIN1 <= RX1DATA(slot);
RXIN2 <= RX2DATA(slot);
CK1IN <= CK1DATA(slot);
-- dual channel output
ERXIN0 <= RXIN0;
ERXIN1 <= RXIN1;
ERXIN2 <= RXIN2;
ECK1IN <= CK1IN;
-- debug logic analyzer
LCK1IN <= CK1IN;
LRXIN2 <= RXIN2;
LRXIN1 <= RXIN1;
LRXIN0 <= RXIN0;
LTRIG <= vsync;
LTRIG2 <= hsync;
led(0) <= clockSecond;
led(1) <= clockMinute;
process (slot) is
variable offsetX : integer range 0 to 1200;
variable digitValue : std_logic_vector(6 downto 0);
variable actColor : std_logic_vector(17 downto 0);
begin
if (slot = 5) then
nextColor <= "000000000000000000";
if vcurrent = gbarpos then
nextColor <= "000000111111000000";
end if;
actColor := "000000111111000000";
--nextColor <= colorOut(0) OR colorOut(1) OR colorOut(2) OR colorOut(3);
if( not (digitDisplay = "0000") ) then
nextColor <= actColor;
--nextColor <= colorOut(0) OR colorOut(1) OR colorOut(2) OR colorOut(3);
end if;
end if;
end process;
process (clkExtOsc, sw(0)) is
begin
if( sw(0) = '1' ) then
counterMinute <= 0;
counterSecond <= 0;
clockSecond <= '0';
clockMinute <= '0';
else
if rising_edge(clkExtOsc) then
if (counterMinute = 8000000*60) then
clockMinute <= NOT(clockMinute);
counterMinute <= 0;
else
counterMinute <= counterMinute + 1;
end if;
if (counterSecond = 8000000) then
clockSecond <= NOT(clockSecond);
counterSecond <= 0;
else
counterSecond <= counterSecond + 1;
end if;
end if;
end if;
end process;
process (clockMinute, sw(0)) is
begin
if( sw(0) = '1' ) then
displayNumber(0) := 0;
displayNumber(1) := 8;
displayNumber(2) := 3;
displayNumber(3) := 0;
else
if rising_edge(clockMinute) then
if( displayNumber(3) = 0 ) then -- minuty
if( displayNumber(2) = 0 ) then -- desitky minut
if( displayNumber(1) = 0 ) then -- hodiny
else
displayNumber(1) := displayNumber(1) - 1; --dec hodiny
displayNumber(2) := 5; --desitky minut
displayNumber(3) := 9; --minuty
end if;
else
-- sub minuty
displayNumber(3) := 9;
displayNumber(2) := displayNumber(2) - 1;
end if;
else
-- sub minuty
displayNumber(3) := displayNumber(3) - 1;
end if;
end if;
end if;
end process;
process (clk) is
begin
if rising_edge(clk) then
if hcurrent < hfront or (hcurrent >= (hfront+hactive)) then
hsync <= '0';
else
hsync <= '1';
end if;
if vcurrent < vfront or (vcurrent >= (vfront+vactive)) then
vsync <= '0';
else
vsync <= '1';
end if;
if slot = 6 then
-- this is the last slot, wrap around
slot <= 0;
green <= nextColor(17 downto 12);
red <= nextColor(11 downto 6);
blue <= nextColor(5 downto 0);
-- if this is the last pixel in the line, wrap around
if hcurrent = htotal then
hcurrent <= 0;
-- if this is the last line in the screen, wrap around.
if vcurrent = vtotal then
vcurrent <= 0;
if swDebounced(0) = '1' then
gbarpos <= gbarpos + 1;
end if;
if swDebounced(1) = '1' then
gbarpos <= gbarpos - 1;
end if;
else
vcurrent <= vcurrent + 1;
end if;
else
hcurrent <= hcurrent + 1;
end if;
else
slot <= slot + 1;
end if;
end if;
end process;
end Behavioral; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MUCmVgcvuH0++PEC0RbFEv8rOQ+Br6iIqpq4noD0hAEdcYqeUZwYwukk5GvTlEvGsa4CwC/pgcx5
MhGEDNIzFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cXuUNKUvCjifAcLW3mNAmpMe6jK8qud1dVy9yR2Ske6+GOf1Fke/StFGyF73FFxsfASgJOaXypCm
6lzof61vUVhwvQjLOuYzyavrQqclk+VQOTT0EbE54BgzDx8UQtT7p54P2FgikkkByEfnTwn9wgJ2
bxKefo4qQ7ebRlnChQc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
agzRGb/EBw69i3SUkuAklTyT9UE3hVIkTxksd8EfiEg8ZqtWGuAJnSHsTsfM6hD/JGrHZkYs/CbD
hci1zHM8BW8qqJ/0LCRdEM4ebi3sbX0HF2TxBhBDjgj6adnu5jDX/xdrIjWfdckE9Iw/YX6C2UDh
/gv9KLf7GyV+kkYa/F4NPPayOT889KWLeGr1e/pr4fBA0+Rw/0kwCFLSkYCV8AXIOQssL0NGT7V+
GOe5sGjclM5zLc5UQFytWysLv2m7hXMeQreHuwDNlbne128Ronzmxl4GAJAlU7AuxxYd7DLpRku7
hRbMP4M/Z2pVaHeEGAGJd6cwRiLp0lfv1f4orA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
So+naAkb3dLhtEgNktJdK05Q9t+6K0KLZsrKdTa9SvgyXtwu4Ube9Qsd185zwO5x5YqNvVvFre//
TNyjopcYbF579fRE1V8ZMGCvCPTyutT7j8MnHhD8Z19hsGtfpKtv5ARjjNDUuIycR2Zkk57pLtHu
smGlTwSzTZ5ML7uIgS8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
juiiR9oM22c+vW9XvhlcmJmCdmTW4QxlzY/gsyGu3SKE/2eOoxhs9tx2+ohBibavgOYCmm8gg3pR
WlMKQBNlimYEBn+nCzmMUXbFBVpT//w1gVyQ60j7t7BfzoRV8m+cFjhO6NPEX1BIOSV27ViV2xWs
2u0KU7iFZ+6bMJj8BbA4Q4kFX9TcbsXaT9u/6zZx0SJzZi2FBVMVnKPDO4c0qUewpx2b5OkvOBP5
yhR4sBDXWGiHZqiCWsE/txmhb9uF9HPPUDWIu/XCVfTZqckv0s0myKE7s5teWcLv6xuLMVVnIlsQ
9dFJD48Zohbw+0WBVtZXSUrJvxo87yES6c9UMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53456)
`protect data_block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=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MUCmVgcvuH0++PEC0RbFEv8rOQ+Br6iIqpq4noD0hAEdcYqeUZwYwukk5GvTlEvGsa4CwC/pgcx5
MhGEDNIzFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cXuUNKUvCjifAcLW3mNAmpMe6jK8qud1dVy9yR2Ske6+GOf1Fke/StFGyF73FFxsfASgJOaXypCm
6lzof61vUVhwvQjLOuYzyavrQqclk+VQOTT0EbE54BgzDx8UQtT7p54P2FgikkkByEfnTwn9wgJ2
bxKefo4qQ7ebRlnChQc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
agzRGb/EBw69i3SUkuAklTyT9UE3hVIkTxksd8EfiEg8ZqtWGuAJnSHsTsfM6hD/JGrHZkYs/CbD
hci1zHM8BW8qqJ/0LCRdEM4ebi3sbX0HF2TxBhBDjgj6adnu5jDX/xdrIjWfdckE9Iw/YX6C2UDh
/gv9KLf7GyV+kkYa/F4NPPayOT889KWLeGr1e/pr4fBA0+Rw/0kwCFLSkYCV8AXIOQssL0NGT7V+
GOe5sGjclM5zLc5UQFytWysLv2m7hXMeQreHuwDNlbne128Ronzmxl4GAJAlU7AuxxYd7DLpRku7
hRbMP4M/Z2pVaHeEGAGJd6cwRiLp0lfv1f4orA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
So+naAkb3dLhtEgNktJdK05Q9t+6K0KLZsrKdTa9SvgyXtwu4Ube9Qsd185zwO5x5YqNvVvFre//
TNyjopcYbF579fRE1V8ZMGCvCPTyutT7j8MnHhD8Z19hsGtfpKtv5ARjjNDUuIycR2Zkk57pLtHu
smGlTwSzTZ5ML7uIgS8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
juiiR9oM22c+vW9XvhlcmJmCdmTW4QxlzY/gsyGu3SKE/2eOoxhs9tx2+ohBibavgOYCmm8gg3pR
WlMKQBNlimYEBn+nCzmMUXbFBVpT//w1gVyQ60j7t7BfzoRV8m+cFjhO6NPEX1BIOSV27ViV2xWs
2u0KU7iFZ+6bMJj8BbA4Q4kFX9TcbsXaT9u/6zZx0SJzZi2FBVMVnKPDO4c0qUewpx2b5OkvOBP5
yhR4sBDXWGiHZqiCWsE/txmhb9uF9HPPUDWIu/XCVfTZqckv0s0myKE7s5teWcLv6xuLMVVnIlsQ
9dFJD48Zohbw+0WBVtZXSUrJvxo87yES6c9UMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53456)
`protect data_block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=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MUCmVgcvuH0++PEC0RbFEv8rOQ+Br6iIqpq4noD0hAEdcYqeUZwYwukk5GvTlEvGsa4CwC/pgcx5
MhGEDNIzFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cXuUNKUvCjifAcLW3mNAmpMe6jK8qud1dVy9yR2Ske6+GOf1Fke/StFGyF73FFxsfASgJOaXypCm
6lzof61vUVhwvQjLOuYzyavrQqclk+VQOTT0EbE54BgzDx8UQtT7p54P2FgikkkByEfnTwn9wgJ2
bxKefo4qQ7ebRlnChQc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
agzRGb/EBw69i3SUkuAklTyT9UE3hVIkTxksd8EfiEg8ZqtWGuAJnSHsTsfM6hD/JGrHZkYs/CbD
hci1zHM8BW8qqJ/0LCRdEM4ebi3sbX0HF2TxBhBDjgj6adnu5jDX/xdrIjWfdckE9Iw/YX6C2UDh
/gv9KLf7GyV+kkYa/F4NPPayOT889KWLeGr1e/pr4fBA0+Rw/0kwCFLSkYCV8AXIOQssL0NGT7V+
GOe5sGjclM5zLc5UQFytWysLv2m7hXMeQreHuwDNlbne128Ronzmxl4GAJAlU7AuxxYd7DLpRku7
hRbMP4M/Z2pVaHeEGAGJd6cwRiLp0lfv1f4orA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
So+naAkb3dLhtEgNktJdK05Q9t+6K0KLZsrKdTa9SvgyXtwu4Ube9Qsd185zwO5x5YqNvVvFre//
TNyjopcYbF579fRE1V8ZMGCvCPTyutT7j8MnHhD8Z19hsGtfpKtv5ARjjNDUuIycR2Zkk57pLtHu
smGlTwSzTZ5ML7uIgS8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
juiiR9oM22c+vW9XvhlcmJmCdmTW4QxlzY/gsyGu3SKE/2eOoxhs9tx2+ohBibavgOYCmm8gg3pR
WlMKQBNlimYEBn+nCzmMUXbFBVpT//w1gVyQ60j7t7BfzoRV8m+cFjhO6NPEX1BIOSV27ViV2xWs
2u0KU7iFZ+6bMJj8BbA4Q4kFX9TcbsXaT9u/6zZx0SJzZi2FBVMVnKPDO4c0qUewpx2b5OkvOBP5
yhR4sBDXWGiHZqiCWsE/txmhb9uF9HPPUDWIu/XCVfTZqckv0s0myKE7s5teWcLv6xuLMVVnIlsQ
9dFJD48Zohbw+0WBVtZXSUrJvxo87yES6c9UMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53456)
`protect data_block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=
`protect end_protected
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.