content
stringlengths
1
1.04M
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc835.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s03b00x00p06n01i00835ent IS END c01s03b00x00p06n01i00835ent; ARCHITECTURE c01s03b00x00p06n01i00835arch OF c01s03b00x00p06n01i00835ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s03b00x00p06n01i00835 - Simple name at beginning and end of configuration should be the same." severity ERROR; wait; END PROCESS TESTING; END c01s03b00x00p06n01i00835arch; configuration C of c01s03b00x00p06n01i00835ent is for c01s03b00x00p06n01i00835arch use WORK.all ; end for ; end C2; -- Failure_here -- ERROR: name given at the end must be the same as that given beginning.
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc835.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s03b00x00p06n01i00835ent IS END c01s03b00x00p06n01i00835ent; ARCHITECTURE c01s03b00x00p06n01i00835arch OF c01s03b00x00p06n01i00835ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s03b00x00p06n01i00835 - Simple name at beginning and end of configuration should be the same." severity ERROR; wait; END PROCESS TESTING; END c01s03b00x00p06n01i00835arch; configuration C of c01s03b00x00p06n01i00835ent is for c01s03b00x00p06n01i00835arch use WORK.all ; end for ; end C2; -- Failure_here -- ERROR: name given at the end must be the same as that given beginning.
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc835.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c01s03b00x00p06n01i00835ent IS END c01s03b00x00p06n01i00835ent; ARCHITECTURE c01s03b00x00p06n01i00835arch OF c01s03b00x00p06n01i00835ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c01s03b00x00p06n01i00835 - Simple name at beginning and end of configuration should be the same." severity ERROR; wait; END PROCESS TESTING; END c01s03b00x00p06n01i00835arch; configuration C of c01s03b00x00p06n01i00835ent is for c01s03b00x00p06n01i00835arch use WORK.all ; end for ; end C2; -- Failure_here -- ERROR: name given at the end must be the same as that given beginning.
------------------------------------------------------------------------------ -- Title : Wishbone Orbit Interlock Core ------------------------------------------------------------------------------ -- Author : Lucas Maziero Russo -- Company : CNPEM LNLS-DIG -- Created : 2022-06-12 -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: Wishbone wrapper for orbit interlock ------------------------------------------------------------------------------- -- Copyright (c) 2020 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2020-06-02 1.0 lucas.russo Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- Main Wishbone Definitions use work.wishbone_pkg.all; -- Orbit interlock cores use work.orbit_intlk_pkg.all; -- BPM cores use work.bpm_cores_pkg.all; entity xwb_orbit_intlk is generic ( -- Wishbone g_INTERFACE_MODE : t_wishbone_interface_mode := CLASSIC; g_ADDRESS_GRANULARITY : t_wishbone_address_granularity := WORD; g_WITH_EXTRA_WB_REG : boolean := false; -- Position g_ADC_WIDTH : natural := 16; g_DECIM_WIDTH : natural := 32 ); port ( ----------------------------- -- Clocks and resets ----------------------------- rst_n_i : in std_logic; clk_i : in std_logic; -- Wishbone clock ref_rst_n_i : in std_logic; ref_clk_i : in std_logic; ----------------------------- -- Wishbone signals ----------------------------- wb_slv_i : in t_wishbone_slave_in; wb_slv_o : out t_wishbone_slave_out; ----------------------------- -- Downstream ADC and position signals ----------------------------- fs_clk_ds_i : in std_logic; adc_ds_ch0_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_ds_ch1_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_ds_ch2_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_ds_ch3_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_ds_tag_i : in std_logic_vector(0 downto 0) := (others => '0'); adc_ds_swap_valid_i : in std_logic := '0'; decim_ds_pos_x_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_ds_pos_y_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_ds_pos_q_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_ds_pos_sum_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_ds_pos_valid_i : in std_logic; ----------------------------- -- Upstream ADC and position signals ----------------------------- fs_clk_us_i : in std_logic; adc_us_ch0_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_us_ch1_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_us_ch2_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_us_ch3_swap_i : in std_logic_vector(g_ADC_WIDTH-1 downto 0) := (others => '0'); adc_us_tag_i : in std_logic_vector(0 downto 0) := (others => '0'); adc_us_swap_valid_i : in std_logic := '0'; decim_us_pos_x_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_us_pos_y_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_us_pos_q_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_us_pos_sum_i : in std_logic_vector(g_DECIM_WIDTH-1 downto 0); decim_us_pos_valid_i : in std_logic; ----------------------------- -- Interlock outputs ----------------------------- intlk_trans_bigger_x_o : out std_logic; intlk_trans_bigger_y_o : out std_logic; -- only cleared when intlk_trans_clr_i is asserted intlk_trans_bigger_ltc_x_o : out std_logic; intlk_trans_bigger_ltc_y_o : out std_logic; intlk_trans_bigger_any_o : out std_logic; -- only cleared when intlk_trans_clr_i is asserted intlk_trans_bigger_ltc_o : out std_logic; -- conditional to intlk_trans_en_i intlk_trans_bigger_o : out std_logic; intlk_trans_smaller_x_o : out std_logic; intlk_trans_smaller_y_o : out std_logic; -- only cleared when intlk_trans_clr_i is asserted intlk_trans_smaller_ltc_x_o : out std_logic; intlk_trans_smaller_ltc_y_o : out std_logic; intlk_trans_smaller_any_o : out std_logic; -- only cleared when intlk_trans_clr_i is asserted intlk_trans_smaller_ltc_o : out std_logic; -- conditional to intlk_trans_en_i intlk_trans_smaller_o : out std_logic; intlk_ang_bigger_x_o : out std_logic; intlk_ang_bigger_y_o : out std_logic; intlk_ang_bigger_ltc_x_o : out std_logic; intlk_ang_bigger_ltc_y_o : out std_logic; intlk_ang_bigger_any_o : out std_logic; -- only cleared when intlk_ang_clr_i is asserted intlk_ang_bigger_ltc_o : out std_logic; -- conditional to intlk_ang_en_i intlk_ang_bigger_o : out std_logic; intlk_ang_smaller_x_o : out std_logic; intlk_ang_smaller_y_o : out std_logic; intlk_ang_smaller_ltc_x_o : out std_logic; intlk_ang_smaller_ltc_y_o : out std_logic; intlk_ang_smaller_any_o : out std_logic; -- only cleared when intlk_ang_clr_i is asserted intlk_ang_smaller_ltc_o : out std_logic; -- conditional to intlk_ang_en_i intlk_ang_smaller_o : out std_logic; -- only cleared when intlk_clr_i is asserted intlk_ltc_o : out std_logic; -- conditional to intlk_en_i intlk_o : out std_logic ); end xwb_orbit_intlk; architecture rtl of xwb_orbit_intlk is begin cmp_wb_orbit_intlk : wb_orbit_intlk generic map ( -- Wishbone g_INTERFACE_MODE => g_INTERFACE_MODE, g_ADDRESS_GRANULARITY => g_ADDRESS_GRANULARITY, g_WITH_EXTRA_WB_REG => g_WITH_EXTRA_WB_REG, -- Position g_ADC_WIDTH => g_ADC_WIDTH, g_DECIM_WIDTH => g_DECIM_WIDTH ) port map ( ----------------------------- -- Clocks and resets ----------------------------- rst_n_i => rst_n_i, clk_i => clk_i, ref_rst_n_i => ref_rst_n_i, ref_clk_i => ref_clk_i, ----------------------------- -- Wishbone signals ----------------------------- wb_adr_i => wb_slv_i.adr, wb_dat_i => wb_slv_i.dat, wb_dat_o => wb_slv_o.dat, wb_sel_i => wb_slv_i.sel, wb_we_i => wb_slv_i.we, wb_cyc_i => wb_slv_i.cyc, wb_stb_i => wb_slv_i.stb, wb_ack_o => wb_slv_o.ack, wb_stall_o => wb_slv_o.stall, ----------------------------- -- Downstream ADC and position signals ----------------------------- fs_clk_ds_i => fs_clk_ds_i, adc_ds_ch0_swap_i => adc_ds_ch0_swap_i, adc_ds_ch1_swap_i => adc_ds_ch1_swap_i, adc_ds_ch2_swap_i => adc_ds_ch2_swap_i, adc_ds_ch3_swap_i => adc_ds_ch3_swap_i, adc_ds_tag_i => adc_ds_tag_i, adc_ds_swap_valid_i => adc_ds_swap_valid_i, decim_ds_pos_x_i => decim_ds_pos_x_i, decim_ds_pos_y_i => decim_ds_pos_y_i, decim_ds_pos_q_i => decim_ds_pos_q_i, decim_ds_pos_sum_i => decim_ds_pos_sum_i, decim_ds_pos_valid_i => decim_ds_pos_valid_i, ----------------------------- -- Upstream ADC and position signals ----------------------------- fs_clk_us_i => fs_clk_us_i, adc_us_ch0_swap_i => adc_us_ch0_swap_i, adc_us_ch1_swap_i => adc_us_ch1_swap_i, adc_us_ch2_swap_i => adc_us_ch2_swap_i, adc_us_ch3_swap_i => adc_us_ch3_swap_i, adc_us_tag_i => adc_us_tag_i, adc_us_swap_valid_i => adc_us_swap_valid_i, decim_us_pos_x_i => decim_us_pos_x_i, decim_us_pos_y_i => decim_us_pos_y_i, decim_us_pos_q_i => decim_us_pos_q_i, decim_us_pos_sum_i => decim_us_pos_sum_i, decim_us_pos_valid_i => decim_us_pos_valid_i, ----------------------------- -- Interlock outputs ----------------------------- intlk_trans_bigger_x_o => intlk_trans_bigger_x_o, intlk_trans_bigger_y_o => intlk_trans_bigger_y_o, intlk_trans_bigger_ltc_x_o => intlk_trans_bigger_ltc_x_o, intlk_trans_bigger_ltc_y_o => intlk_trans_bigger_ltc_y_o, intlk_trans_bigger_any_o => intlk_trans_bigger_any_o, intlk_trans_bigger_ltc_o => intlk_trans_bigger_ltc_o, intlk_trans_bigger_o => intlk_trans_bigger_o, intlk_trans_smaller_x_o => intlk_trans_smaller_x_o, intlk_trans_smaller_y_o => intlk_trans_smaller_y_o, intlk_trans_smaller_ltc_x_o => intlk_trans_smaller_ltc_x_o, intlk_trans_smaller_ltc_y_o => intlk_trans_smaller_ltc_y_o, intlk_trans_smaller_any_o => intlk_trans_smaller_any_o, intlk_trans_smaller_ltc_o => intlk_trans_smaller_ltc_o, intlk_trans_smaller_o => intlk_trans_smaller_o, intlk_ang_bigger_x_o => intlk_ang_bigger_x_o, intlk_ang_bigger_y_o => intlk_ang_bigger_y_o, intlk_ang_bigger_ltc_x_o => intlk_ang_bigger_ltc_x_o, intlk_ang_bigger_ltc_y_o => intlk_ang_bigger_ltc_y_o, intlk_ang_bigger_any_o => intlk_ang_bigger_any_o, intlk_ang_bigger_ltc_o => intlk_ang_bigger_ltc_o, intlk_ang_bigger_o => intlk_ang_bigger_o, intlk_ang_smaller_x_o => intlk_ang_smaller_x_o, intlk_ang_smaller_y_o => intlk_ang_smaller_y_o, intlk_ang_smaller_ltc_x_o => intlk_ang_smaller_ltc_x_o, intlk_ang_smaller_ltc_y_o => intlk_ang_smaller_ltc_y_o, intlk_ang_smaller_any_o => intlk_ang_smaller_any_o, intlk_ang_smaller_ltc_o => intlk_ang_smaller_ltc_o, intlk_ang_smaller_o => intlk_ang_smaller_o, intlk_ltc_o => intlk_ltc_o, intlk_o => intlk_o ); end rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity vga_axi_mem_buffer_v1_0 is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S_AXI C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 6; C_S_AXI_AWUSER_WIDTH : integer := 0; C_S_AXI_ARUSER_WIDTH : integer := 0; C_S_AXI_WUSER_WIDTH : integer := 0; C_S_AXI_RUSER_WIDTH : integer := 0; C_S_AXI_BUSER_WIDTH : integer := 0 ); port ( -- Users to add ports here -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S_AXI s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; s_axi_awid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_awlen : in std_logic_vector(7 downto 0); s_axi_awsize : in std_logic_vector(2 downto 0); s_axi_awburst : in std_logic_vector(1 downto 0); s_axi_awlock : in std_logic; s_axi_awcache : in std_logic_vector(3 downto 0); s_axi_awprot : in std_logic_vector(2 downto 0); s_axi_awqos : in std_logic_vector(3 downto 0); s_axi_awregion : in std_logic_vector(3 downto 0); s_axi_awuser : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); s_axi_wlast : in std_logic; s_axi_wuser : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_buser : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_arid : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_arlen : in std_logic_vector(7 downto 0); s_axi_arsize : in std_logic_vector(2 downto 0); s_axi_arburst : in std_logic_vector(1 downto 0); s_axi_arlock : in std_logic; s_axi_arcache : in std_logic_vector(3 downto 0); s_axi_arprot : in std_logic_vector(2 downto 0); s_axi_arqos : in std_logic_vector(3 downto 0); s_axi_arregion : in std_logic_vector(3 downto 0); s_axi_aruser : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rid : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rlast : out std_logic; s_axi_ruser : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic ); end vga_axi_mem_buffer_v1_0; architecture arch_imp of vga_axi_mem_buffer_v1_0 is -- component declaration component vga_axi_mem_buffer_v1_0_S_AXI is generic ( C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 6; C_S_AXI_AWUSER_WIDTH : integer := 0; C_S_AXI_ARUSER_WIDTH : integer := 0; C_S_AXI_WUSER_WIDTH : integer := 0; C_S_AXI_RUSER_WIDTH : integer := 0; C_S_AXI_BUSER_WIDTH : integer := 0 ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWQOS : in std_logic_vector(3 downto 0); S_AXI_AWREGION : in std_logic_vector(3 downto 0); S_AXI_AWUSER : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WUSER : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BUSER : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARQOS : in std_logic_vector(3 downto 0); S_AXI_ARREGION : in std_logic_vector(3 downto 0); S_AXI_ARUSER : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RUSER : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component vga_axi_mem_buffer_v1_0_S_AXI; begin -- Instantiation of Axi Bus Interface S_AXI vga_axi_mem_buffer_v1_0_S_AXI_inst : vga_axi_mem_buffer_v1_0_S_AXI generic map ( C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_AWUSER_WIDTH => C_S_AXI_AWUSER_WIDTH, C_S_AXI_ARUSER_WIDTH => C_S_AXI_ARUSER_WIDTH, C_S_AXI_WUSER_WIDTH => C_S_AXI_WUSER_WIDTH, C_S_AXI_RUSER_WIDTH => C_S_AXI_RUSER_WIDTH, C_S_AXI_BUSER_WIDTH => C_S_AXI_BUSER_WIDTH ) port map ( S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWID => s_axi_awid, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWLEN => s_axi_awlen, S_AXI_AWSIZE => s_axi_awsize, S_AXI_AWBURST => s_axi_awburst, S_AXI_AWLOCK => s_axi_awlock, S_AXI_AWCACHE => s_axi_awcache, S_AXI_AWPROT => s_axi_awprot, S_AXI_AWQOS => s_axi_awqos, S_AXI_AWREGION => s_axi_awregion, S_AXI_AWUSER => s_axi_awuser, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WLAST => s_axi_wlast, S_AXI_WUSER => s_axi_wuser, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BID => s_axi_bid, S_AXI_BRESP => s_axi_bresp, S_AXI_BUSER => s_axi_buser, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARID => s_axi_arid, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARLEN => s_axi_arlen, S_AXI_ARSIZE => s_axi_arsize, S_AXI_ARBURST => s_axi_arburst, S_AXI_ARLOCK => s_axi_arlock, S_AXI_ARCACHE => s_axi_arcache, S_AXI_ARPROT => s_axi_arprot, S_AXI_ARQOS => s_axi_arqos, S_AXI_ARREGION => s_axi_arregion, S_AXI_ARUSER => s_axi_aruser, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RID => s_axi_rid, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RLAST => s_axi_rlast, S_AXI_RUSER => s_axi_ruser, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready ); -- Add user logic here -- User logic ends end arch_imp;
-- -- Authors: Francisco Paiva Knebel -- Gabriel Alexandre Zillmer -- -- Universidade Federal do Rio Grande do Sul -- Instituto de Informática -- Sistemas Digitais -- Prof. Fernanda Lima Kastensmidt -- -- Create Date: 10:44:10 05/03/2016 LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; use IEEE.std_logic_arith.all; USE ieee.numeric_std.all; entity ula is Port ( X : in STD_LOGIC_VECTOR (7 downto 0); Y : in STD_LOGIC_VECTOR (7 downto 0); selector : in STD_LOGIC_VECTOR (2 downto 0); N : out STD_LOGIC; Z : out STD_LOGIC; output : out STD_LOGIC_VECTOR (7 downto 0); carryMUL: out STD_LOGIC_VECTOR (7 downto 0) -- need to make this save to address 100, add control unit state ); end ula; architecture Behavioral of ula is signal result : STD_LOGIC_VECTOR(7 downto 0); signal e1, e2 : STD_LOGIC_VECTOR(7 downto 0); signal MULTIPLICATION: STD_LOGIC_VECTOR(15 downto 0); begin e1 <= X; e2 <= Y; process(selector, e1, e2) begin -- 000 ADD -- 001 AND -- 010 OR -- 011 NOT -- 100 LDA -- 101 SHR -- 110 SHL -- 111 MUL case selector is when "000" => result <= e1 + e2; -- ADD when "001" => result <= e1 AND e2; -- AND when "010" => result <= e1 OR e2; -- OR when "011" => result <= not(e1); -- NOT when "100" => result <= e2; -- LDA when "101" => result <= "0" & e1(7 downto 1); -- SHR when "110" => result <= e1(6 downto 0) & "0"; -- SHL when "111" => MULTIPLICATION <= e1 * e2; -- MUL result <= MULTIPLICATION(7 downto 0); -- lsb when others => result <= e2; end case; -- Zero if(result = "00000000") then Z <= '1'; else Z <= '0'; end if; -- Negative N <= result(7); end process; carryMUL <= MULTIPLICATION(15 downto 8); output <= result; end Behavioral;
------------------------------------------------------------------------------- -- axi_master_lite_cntlr.vhd ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_master_lite_cntlr.vhd -- -- Description: -- -- This VHDL file is the design implementation for the Read/Write Controller -- that is part of the AXI Master Lite core. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_master_lite.vhd (v3_00_a) -- | -- |- axi_master_lite_cntlr.vhd -- | -- |- axi_master_lite_reset.vhd -- ------------------------------------------------------------------------------- -- Author: DET -- Revision: $Revision: 1.1.2.3 $ -- Date: $12/01/2010$ -- -- History: -- DET 12/01/2010 Initial Version -- -- DET 12/14/2010 Initial -- ~~~~~~ -- -- Per CR587090 -- - Removed the input port m_axi_rlast. It is not part of the AXI4-Lite -- signal set. -- ^^^^^^ -- -- DET 12/15/2010 Initial -- ~~~~~~ -- -- Per CR587194 -- - Fixed the Bus2IP_Error assertion logic. -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v3_00_a; Use proc_common_v3_00_a.proc_common_pkg.all; Use proc_common_v3_00_a.family_support.all; ------------------------------------------------------------------------------- entity axi_master_lite_cntlr is generic ( -- AXI4 Parameters C_M_AXI_LITE_ADDR_WIDTH : INTEGER range 32 to 32 := 32; -- width of AXI4 Address Bus (in bits) C_M_AXI_LITE_DATA_WIDTH : INTEGER range 32 to 32 := 32; -- Width of the AXI4 Data Bus (in bits) -- FPGA Family Parameter C_FAMILY : String := "virtex6" -- Select the target architecture type -- see the family.vhd package in the proc_common -- library ); port ( ----------------------------------------------------------------------- -- Clock Input ----------------------------------------------------------------------- axi_aclk : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- Reset Input (active high) ----------------------------------------------------------------------- axi_reset : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- Master Detected Error output ----------------------------------------------------------------------- md_error : out std_logic ;-- Discrete Out ---------------------------------------------------------------------------- -- AXI4 Read Channels ---------------------------------------------------------------------------- -- AXI4 Read Address Channel -- AXI4 m_axi_arready : in std_logic ; -- AXI4 m_axi_arvalid : out std_logic ; -- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_LITE_ADDR_WIDTH-1 downto 0); -- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ; -- AXI4 -- AXI4 -- AXI4 Read Data Channel -- AXI4 m_axi_rready : out std_logic ; -- AXI4 m_axi_rvalid : in std_logic ; -- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_LITE_DATA_WIDTH-1 downto 0); -- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ; -- AXI4 ----------------------------------------------------------------------------- -- AXI4 Write Channels ----------------------------------------------------------------------------- -- AXI4 Write Address Channel m_axi_awready : in std_logic ; -- AXI4 m_axi_awvalid : out std_logic ; -- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_LITE_ADDR_WIDTH-1 downto 0); -- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ; -- AXI4 -- AXI4 -- AXI4 Write Data Channel -- AXI4 m_axi_wready : in std_logic ; -- AXI4 m_axi_wvalid : out std_logic ; -- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_LITE_DATA_WIDTH-1 downto 0); -- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0);-- AXI4 -- AXI4 -- AXI4 Write Response Channel -- AXI4 m_axi_bready : out std_logic ; -- AXI4 m_axi_bvalid : in std_logic ; -- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ; -- AXI4 ----------------------------------------------------------------------------- -- IP Master Request/Qualifers ----------------------------------------------------------------------------- ip2bus_mstrd_req : In std_logic; -- IPIC ip2bus_mstwr_req : In std_logic; -- IPIC ip2bus_mst_addr : in std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0); -- IPIC ip2bus_mst_be : in std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0);-- IPIC ip2bus_mst_lock : In std_logic; -- IPIC -- IPIC ----------------------------------------------------------------------------- -- IP Request Status Reply ----------------------------------------------------------------------------- bus2ip_mst_cmdack : Out std_logic; -- IPIC bus2ip_mst_cmplt : Out std_logic; -- IPIC bus2ip_mst_error : Out std_logic; -- IPIC bus2ip_mst_rearbitrate : Out std_logic; -- IPIC bus2ip_mst_cmd_timeout : out std_logic; -- IPIC -- IPIC -- IPIC ----------------------------------------------------------------------------- -- IPIC Read data ----------------------------------------------------------------------------- bus2ip_mstrd_d : out std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0); -- IPIC bus2ip_mstrd_src_rdy_n : Out std_logic; -- IPIC -- IPIC ----------------------------------------------------------------------------- -- IPIC Write data ----------------------------------------------------------------------------- ip2bus_mstwr_d : In std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0); -- IPIC bus2ip_mstwr_dst_rdy_n : Out std_logic -- IPIC ); end entity axi_master_lite_cntlr; architecture implementation of axi_master_lite_cntlr is -- Signal declarations --------------------------------------- -- AXI4 Read Address Channel signal sig_m_axi_arready : std_logic := '0'; signal sig_m_axi_arvalid : std_logic := '0'; signal sig_m_axi_araddr : std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_m_axi_arprot : std_logic_vector(2 downto 0) := (others => '0') ; -- AXI4 Read Data Channel signal sig_m_axi_rready : std_logic := '0'; signal sig_m_axi_rvalid : std_logic := '0'; signal sig_m_axi_rdata : std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_m_axi_rresp : std_logic_vector(1 downto 0) := (others => '0'); -- Write Address Channel signal sig_m_axi_awready : std_logic := '0'; signal sig_m_axi_awvalid : std_logic := '0'; signal sig_m_axi_awaddr : std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_m_axi_awprot : std_logic_vector(2 downto 0) := (others => '0'); -- Write Data Channel signal sig_m_axi_wready : std_logic := '0'; signal sig_m_axi_wvalid : std_logic := '0'; signal sig_m_axi_wdata : std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_m_axi_wstrb : std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Write Response Channel signal sig_m_axi_bready : std_logic := '0'; signal sig_m_axi_bvalid : std_logic := '0'; signal sig_m_axi_bresp : std_logic_vector(1 downto 0) := (others => '0'); -- IP Master Request Qualifers signal sig_ip2bus_rd_req : std_logic := '0'; signal sig_ip2bus_wr_req : std_logic := '0'; signal sig_ip2bus_addr : std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_ip2bus_addr_reg : std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_ip2bus_be : std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_ip2bus_lock : std_logic := '0'; -- IPIC Status Reply signal sig_bus2ip_cmdack : std_logic := '0'; signal sig_bus2ip_rearbitrate : std_logic := '0'; signal sig_bus2ip_cmd_timeout : std_logic := '0'; signal sig_bus2ip_cmplt : std_logic := '0'; signal sig_bus2ip_error : std_logic := '0'; -- IPIC Data Interface signal sig_bus2ip_mstrd_d : std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_bus2ip_rd_src_rdy : std_logic := '0'; signal sig_ip2bus_mstwr_d : std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_bus2ip_wr_dst_rdy : std_logic := '0'; -- AXI Error Response signal sig_read_resp_error : std_logic := '0'; signal sig_read_error : std_logic := '0'; signal sig_write_resp_error : std_logic := '0'; signal sig_write_error : std_logic := '0'; -- Transfer completion signal sig_rd_addrqual_taken : std_logic := '0'; signal sig_wr_addrqual_taken : std_logic := '0'; signal sig_rd_data_taken : std_logic := '0'; signal sig_wr_data_taken : std_logic := '0'; signal sig_wr_resp_taken : std_logic := '0'; -- Transfer Startup signal sig_rd_start : std_logic := '0'; signal sig_wr_start : std_logic := '0'; signal sig_ld_addr : std_logic := '0'; -- Read Transfer sequence signal sig_rd_req_reg : std_logic := '0'; signal sig_rd_in_prog : std_logic := '0'; signal sig_rd_addr_cmplt : std_logic := '0'; signal sig_rd_data_cmplt : std_logic := '0'; signal sig_rd_cmplt : std_logic := '0'; -- Write Transfer sequence signal sig_wr_req_reg : std_logic := '0'; signal sig_wr_in_prog : std_logic := '0'; signal sig_wr_addr_cmplt : std_logic := '0'; signal sig_wr_data_cmplt : std_logic := '0'; signal sig_wr_resp_cmplt : std_logic := '0'; signal sig_wr_cmplt : std_logic := '0'; -- Command Completion signal sig_bus2ip_cmplt_local : std_logic := '0'; -- Detected error signal sig_md_error : std_logic := '0'; ---------------------------------------------------------------- -- Register duplication attribute assignments to control fanout -- on register clear signals ---------------------------------------------------------------- Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_bus2ip_cmplt_local : signal is "TRUE"; Attribute KEEP of sig_bus2ip_cmplt : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_bus2ip_cmplt_local : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_bus2ip_cmplt : signal is "no"; begin --(architecture implementation) ----------------------------------------------------------------------------- -- Port Assignments ----------------------------------------------------------------------------- -- Read Address Channel port assignments sig_m_axi_arready <= m_axi_arready ; m_axi_arvalid <= sig_m_axi_arvalid ; m_axi_araddr <= sig_m_axi_araddr ; m_axi_arprot <= sig_m_axi_arprot ; -- Read Data Channel port assignments m_axi_rready <= sig_m_axi_rready ; sig_m_axi_rvalid <= m_axi_rvalid ; sig_m_axi_rdata <= m_axi_rdata ; sig_m_axi_rresp <= m_axi_rresp ; -- Write Address Channel port assignments sig_m_axi_awready <= m_axi_awready ; m_axi_awvalid <= sig_m_axi_awvalid ; m_axi_awaddr <= sig_m_axi_awaddr ; m_axi_awprot <= sig_m_axi_awprot ; -- AXI4 Write Data Channel port assignments sig_m_axi_wready <= m_axi_wready ; m_axi_wvalid <= sig_m_axi_wvalid ; m_axi_wdata <= sig_m_axi_wdata ; m_axi_wstrb <= sig_m_axi_wstrb ; -- AXI4 Write Response Channel port assignments m_axi_bready <= sig_m_axi_bready ; sig_m_axi_bvalid <= m_axi_bvalid ; sig_m_axi_bresp <= m_axi_bresp ; -- IPIC Command Qualifiers sig_ip2bus_rd_req <= ip2bus_mstrd_req ; -- Input sig_ip2bus_wr_req <= ip2bus_mstwr_req ; -- Input sig_ip2bus_addr <= ip2bus_mst_addr ; -- Input sig_ip2bus_be <= ip2bus_mst_be ; -- Input sig_ip2bus_lock <= ip2bus_mst_lock ; -- Input -- IPIC Status reply bus2ip_mst_cmdack <= sig_bus2ip_cmdack ; -- output bus2ip_mst_rearbitrate <= sig_bus2ip_rearbitrate ; -- output bus2ip_mst_cmd_timeout <= sig_bus2ip_cmd_timeout ; -- output bus2ip_mst_cmplt <= sig_bus2ip_cmplt ; -- output bus2ip_mst_error <= sig_bus2ip_error ; -- output -- IPIC Read Data IF bus2ip_mstrd_d <= sig_bus2ip_mstrd_d ; -- output bus2ip_mstrd_src_rdy_n <= not(sig_bus2ip_rd_src_rdy); -- output -- IPIC write Data IF sig_ip2bus_mstwr_d <= ip2bus_mstwr_d ; -- input bus2ip_mstwr_dst_rdy_n <= not(sig_bus2ip_wr_dst_rdy); -- output -- MD Error output md_error <= sig_md_error ; -- output -- IPIC Error status output sig_bus2ip_error <= sig_read_error or -- Assert on either a read sig_write_error ; -- or write error detection ----------------------------------------------------------------------------- -- Combinitorial logic ----------------------------------------------------------------------------- -- Drive the IPIC Rearbitrate and Timeout to zeros sig_bus2ip_rearbitrate <= '0' ; -- not available in AXI4 sig_bus2ip_cmd_timeout <= '0' ; -- not available in AXI4 -- Drive the axi protection qualifiers to zeros sig_m_axi_awprot <= (others => '0') ; -- always driven to zeros sig_m_axi_arprot <= (others => '0') ; -- always driven to zeros -- Share the address register for both read and write xfers sig_m_axi_araddr <= sig_ip2bus_addr_reg ; sig_m_axi_awaddr <= sig_ip2bus_addr_reg ; -- Detect when the read address has been accepted on the axi bus sig_rd_addrqual_taken <= sig_m_axi_arvalid and sig_m_axi_arready ; -- Detect when the write address has been accepted axi bus sig_wr_addrqual_taken <= sig_m_axi_awvalid and sig_m_axi_awready ; -- Detect when the read data has been accepted axi bus sig_rd_data_taken <= sig_m_axi_rvalid and sig_m_axi_rready ; -- Detect when the write data has been accepted axi bus sig_wr_data_taken <= sig_m_axi_wvalid and sig_m_axi_wready ; -- Detect when the write response has been accepted axi bus sig_wr_resp_taken <= sig_m_axi_bvalid and sig_m_axi_bready ; -- Detirmine if a read response error is being flagged sig_read_resp_error <= '1' when (sig_m_axi_rresp(0) = '1' or sig_m_axi_rresp(1) = '1') else '0'; -- Detirmine if a write response error is being flagged sig_write_resp_error <= '1' when (sig_m_axi_bresp(0) = '1' or sig_m_axi_bresp(1) = '1') else '0'; -- Detirmine if a read transfer sequence has completed -- or is about to complete sig_rd_cmplt <= sig_rd_in_prog and (sig_rd_addr_cmplt or sig_rd_addrqual_taken) and (sig_rd_data_cmplt or sig_rd_data_taken); -- Detirmine if a write transfer sequence has completed -- or is about to complete sig_wr_cmplt <= sig_wr_in_prog and (sig_wr_addr_cmplt or sig_wr_addrqual_taken) and (sig_wr_data_cmplt or sig_wr_data_taken) and (sig_wr_resp_cmplt or sig_wr_resp_taken); -- Generate the Command Address sample and hold signal sig_ld_addr <= sig_rd_start or sig_wr_start; -- Detect rising edge of new read command sig_rd_start <= sig_ip2bus_rd_req and not(sig_rd_req_reg); -- Detect rising edge of new write command sig_wr_start <= sig_ip2bus_wr_req and not(sig_wr_req_reg); ----------------------------------------------------------------------------- -- Command Start detection ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RDREQ_REG -- -- Process Description: -- Registers the IPIC read request input. -- ------------------------------------------------------------- IMP_RDREQ_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_rd_req_reg <= '0' ; else sig_rd_req_reg <= sig_ip2bus_rd_req ; end if; end if; end process IMP_RDREQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WRREQ_REG -- -- Process Description: -- Registers the IPIC write request input. -- ------------------------------------------------------------- IMP_WRREQ_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_wr_req_reg <= '0' ; else sig_wr_req_reg <= sig_ip2bus_wr_req ; end if; end if; end process IMP_WRREQ_REG; ----------------------------------------------------------------------------- -- shared Address Register ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_REG -- -- Process Description: -- Registers the IPIC addres input whenever a new command -- has been detected. -- ------------------------------------------------------------- IMP_ADDR_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_ip2bus_addr_reg <= (others => '0') ; elsif (sig_ld_addr = '1') then sig_ip2bus_addr_reg <= sig_ip2bus_addr ; else null; -- Hold Current State end if; end if; end process IMP_ADDR_REG; ----------------------------------------------------------------------------- -- Generate Write Transfer Registers and Control ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WRITE_IN_PROG_FLOP -- -- Process Description: -- Implements the read in progress flop. -- ------------------------------------------------------------- IMP_WRITE_IN_PROG_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_wr_in_prog <= '0' ; elsif (sig_wr_start = '1') then sig_wr_in_prog <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WRITE_IN_PROG_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AWVALID_FLOP -- -- Process Description: -- Implements the write awvalid flop. -- ------------------------------------------------------------- IMP_AWVALID_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_wr_addrqual_taken = '1') then sig_m_axi_awvalid <= '0' ; elsif (sig_wr_start = '1') then sig_m_axi_awvalid <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_AWVALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WVALID_FLOP -- -- Process Description: -- Implements the write wvalid flop. -- ------------------------------------------------------------- IMP_WVALID_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_wr_data_taken = '1') then sig_m_axi_wvalid <= '0' ; elsif (sig_wr_start = '1') then sig_m_axi_wvalid <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WVALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WDATA_REG -- -- Process Description: -- Implements the write data register. -- ------------------------------------------------------------- IMP_WDATA_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_m_axi_wdata <= (others => '0') ; sig_m_axi_wstrb <= (others => '0') ; elsif (sig_wr_start = '1') then sig_m_axi_wdata <= sig_ip2bus_mstwr_d ; sig_m_axi_wstrb <= sig_ip2bus_be ; else null; -- Hold Current State end if; end if; end process IMP_WDATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ERR_REG -- -- Process Description: -- Implements the read response error flag. -- ------------------------------------------------------------- IMP_WR_ERR_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_write_error <= '0' ; elsif (sig_wr_resp_taken = '1') then sig_write_error <= sig_write_resp_error ; else null; -- Hold Current State end if; end if; end process IMP_WR_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_DST_RDY_FLAG -- -- Process Description: -- Implements the IPIC write destination ready flag. -- ------------------------------------------------------------- IMP_WR_DST_RDY_FLAG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_wr_dst_rdy = '1') then sig_bus2ip_wr_dst_rdy <= '0' ; --elsif (sig_wr_data_taken = '1') then elsif (sig_wr_cmplt = '1') then sig_bus2ip_wr_dst_rdy <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WR_DST_RDY_FLAG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_BREADY_FLOP -- -- Process Description: -- Implements the write response channel bready flop. -- ------------------------------------------------------------- IMP_WR_BREADY_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_wr_resp_taken = '1') then sig_m_axi_bready <= '0' ; elsif (sig_wr_start = '1') then sig_m_axi_bready <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WR_BREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ADDR_CMPLT_FLOP -- -- Process Description: -- Implements the write address complete flag. -- ------------------------------------------------------------- IMP_WR_ADDR_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_wr_addr_cmplt <= '0' ; elsif (sig_wr_addrqual_taken = '1') then sig_wr_addr_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WR_ADDR_CMPLT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_DATA_CMPLT_FLOP -- -- Process Description: -- Implements the write data complete flag. -- ------------------------------------------------------------- IMP_WR_DATA_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_wr_data_cmplt <= '0' ; elsif (sig_wr_data_taken = '1') then sig_wr_data_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WR_DATA_CMPLT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_RESP_CMPLT_FLOP -- -- Process Description: -- Implements the write data complete flag. -- ------------------------------------------------------------- IMP_WR_RESP_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_wr_resp_cmplt <= '0' ; elsif (sig_wr_resp_taken = '1') then sig_wr_resp_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_WR_RESP_CMPLT_FLOP; ----------------------------------------------------------------------------- -- Generate Read Transfer Registers and Control ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_READ_IN_PROG_FLOP -- -- Process Description: -- Implements the read in progress flop. -- ------------------------------------------------------------- IMP_READ_IN_PROG_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_rd_in_prog <= '0' ; elsif (sig_rd_start = '1') then sig_rd_in_prog <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_READ_IN_PROG_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RVALID_FLOP -- -- Process Description: -- Implements the write awvalid flop. -- ------------------------------------------------------------- IMP_RVALID_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_rd_addrqual_taken = '1') then sig_m_axi_arvalid <= '0' ; elsif (sig_rd_start = '1') then sig_m_axi_arvalid <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_RVALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RREADY_FLOP -- -- Process Description: -- Implements the read rready flop. -- ------------------------------------------------------------- IMP_RREADY_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_rd_data_taken = '1') then sig_m_axi_rready <= '0' ; elsif (sig_rd_start = '1') then sig_m_axi_rready <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_RREADY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RDATA_REG -- -- Process Description: -- Implements the read data register. -- ------------------------------------------------------------- IMP_RDATA_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_bus2ip_mstrd_d <= (others => '0') ; elsif (sig_rd_data_taken = '1') then sig_bus2ip_mstrd_d <= sig_m_axi_rdata ; else null; -- Hold Current State end if; end if; end process IMP_RDATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RD_SRC_RDY_FLAG -- -- Process Description: -- Implements the IPIC read source ready flag. -- ------------------------------------------------------------- IMP_RD_SRC_RDY_FLAG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_rd_src_rdy = '1') then sig_bus2ip_rd_src_rdy <= '0' ; elsif (sig_rd_data_taken = '1') then sig_bus2ip_rd_src_rdy <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_RD_SRC_RDY_FLAG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_READ_ERR_REG -- -- Process Description: -- Implements the read response error flag. -- ------------------------------------------------------------- IMP_READ_ERR_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_read_error <= '0' ; elsif (sig_rd_data_taken = '1') then sig_read_error <= sig_read_resp_error ; else null; -- Hold Current State end if; end if; end process IMP_READ_ERR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RD_ADDR_CMPLT_FLOP -- -- Process Description: -- Implements the read address complete flag. -- ------------------------------------------------------------- IMP_RD_ADDR_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_rd_addr_cmplt <= '0' ; elsif (sig_rd_addrqual_taken = '1') then sig_rd_addr_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_RD_ADDR_CMPLT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RD_DATA_CMPLT_FLOP -- -- Process Description: -- Implements the read data complete flag. -- ------------------------------------------------------------- IMP_RD_DATA_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_rd_data_cmplt <= '0' ; elsif (sig_rd_data_taken = '1') then sig_rd_data_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_RD_DATA_CMPLT_FLOP; ----------------------------------------------------------------------------- -- IPIC Command Status Generation logic ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMDACK_FLOP -- -- Process Description: -- Implements the IPIC Command Acknowledge status flag. -- ------------------------------------------------------------- IMP_CMDACK_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmdack = '1') then sig_bus2ip_cmdack <= '0' ; elsif (sig_rd_addrqual_taken = '1' or sig_wr_addrqual_taken = '1') then sig_bus2ip_cmdack <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_CMDACK_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_CMPLT_LOCAL_FLOP -- -- Process Description: -- Implements the IPIC Command Acknowledge status flag. -- ------------------------------------------------------------- IMP_CMD_CMPLT_LOCAL_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_bus2ip_cmplt_local <= '0' ; elsif (sig_rd_cmplt = '1' or sig_wr_cmplt = '1') then sig_bus2ip_cmplt_local <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_CMD_CMPLT_LOCAL_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CMD_CMPLT_FLOP -- -- Process Description: -- Implements the IPIC Command Acknowledge status flag. -- ------------------------------------------------------------- IMP_CMD_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_bus2ip_cmplt_local = '1') then sig_bus2ip_cmplt <= '0' ; elsif (sig_rd_cmplt = '1' or sig_wr_cmplt = '1') then sig_bus2ip_cmplt <= '1' ; else null; -- Hold Current State end if; end if; end process IMP_CMD_CMPLT_FLOP; ----------------------------------------------------------------------------- -- Master Detected error logic ----------------------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_H_ERRORS -- -- Process Description: -- This process implements the sample and hold logic for -- any detected errors. If an error is detected, then the -- md_error output signal is driven high until the Master -- is reset. -- ------------------------------------------------------------- S_H_ERRORS : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_md_error <= '0'; elsif (sig_read_error = '1' or sig_write_error = '1') then sig_md_error <= '1'; else null; -- hold last state end if; else null; end if; end process S_H_ERRORS; end implementation;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc613.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:43 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:03 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:22 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00613ent IS END c03s04b01x00p01n01i00613ent; ARCHITECTURE c03s04b01x00p01n01i00613arch OF c03s04b01x00p01n01i00613ent IS constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; type boolean_cons_vector is array (15 downto 0) of boolean; type severity_level_cons_vector is array (15 downto 0) of severity_level; type integer_cons_vector is array (15 downto 0) of integer; type real_cons_vector is array (15 downto 0) of real; type time_cons_vector is array (15 downto 0) of time; type natural_cons_vector is array (15 downto 0) of natural; type positive_cons_vector is array (15 downto 0) of positive; constant C19 : boolean_cons_vector := (others => C1); constant C20 : severity_level_cons_vector := (others => C4); constant C21 : integer_cons_vector := (others => C5); constant C22 : real_cons_vector := (others => C6); constant C23 : time_cons_vector := (others => C7); constant C24 : natural_cons_vector := (others => C8); constant C25 : positive_cons_vector := (others => C9); type record_cons_array is record a:boolean_cons_vector; b:severity_level_cons_vector; c:integer_cons_vector; d:real_cons_vector; e:time_cons_vector; f:natural_cons_vector; g:positive_cons_vector; end record; type record_cons_array_file is file of record_cons_array; constant C27 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_cons_array_file open read_mode is "iofile.34"; variable v : record_cons_array; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C27) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00613" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00613 - File reading operation (record_cons_array file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00613arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc613.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:43 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:03 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:22 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00613ent IS END c03s04b01x00p01n01i00613ent; ARCHITECTURE c03s04b01x00p01n01i00613arch OF c03s04b01x00p01n01i00613ent IS constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; type boolean_cons_vector is array (15 downto 0) of boolean; type severity_level_cons_vector is array (15 downto 0) of severity_level; type integer_cons_vector is array (15 downto 0) of integer; type real_cons_vector is array (15 downto 0) of real; type time_cons_vector is array (15 downto 0) of time; type natural_cons_vector is array (15 downto 0) of natural; type positive_cons_vector is array (15 downto 0) of positive; constant C19 : boolean_cons_vector := (others => C1); constant C20 : severity_level_cons_vector := (others => C4); constant C21 : integer_cons_vector := (others => C5); constant C22 : real_cons_vector := (others => C6); constant C23 : time_cons_vector := (others => C7); constant C24 : natural_cons_vector := (others => C8); constant C25 : positive_cons_vector := (others => C9); type record_cons_array is record a:boolean_cons_vector; b:severity_level_cons_vector; c:integer_cons_vector; d:real_cons_vector; e:time_cons_vector; f:natural_cons_vector; g:positive_cons_vector; end record; type record_cons_array_file is file of record_cons_array; constant C27 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_cons_array_file open read_mode is "iofile.34"; variable v : record_cons_array; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C27) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00613" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00613 - File reading operation (record_cons_array file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00613arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc613.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:37:43 1996 -- -- **************************** -- -- **************************** -- -- Reversed to VHDL 87 by reverse87.pl - Tue Nov 5 11:26:03 1996 -- -- **************************** -- -- **************************** -- -- Ported to VHDL 93 by port93.pl - Mon Nov 4 17:36:22 1996 -- -- **************************** -- ENTITY c03s04b01x00p01n01i00613ent IS END c03s04b01x00p01n01i00613ent; ARCHITECTURE c03s04b01x00p01n01i00613arch OF c03s04b01x00p01n01i00613ent IS constant C1 : boolean := true; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 3; constant C9 : positive := 3; type boolean_cons_vector is array (15 downto 0) of boolean; type severity_level_cons_vector is array (15 downto 0) of severity_level; type integer_cons_vector is array (15 downto 0) of integer; type real_cons_vector is array (15 downto 0) of real; type time_cons_vector is array (15 downto 0) of time; type natural_cons_vector is array (15 downto 0) of natural; type positive_cons_vector is array (15 downto 0) of positive; constant C19 : boolean_cons_vector := (others => C1); constant C20 : severity_level_cons_vector := (others => C4); constant C21 : integer_cons_vector := (others => C5); constant C22 : real_cons_vector := (others => C6); constant C23 : time_cons_vector := (others => C7); constant C24 : natural_cons_vector := (others => C8); constant C25 : positive_cons_vector := (others => C9); type record_cons_array is record a:boolean_cons_vector; b:severity_level_cons_vector; c:integer_cons_vector; d:real_cons_vector; e:time_cons_vector; f:natural_cons_vector; g:positive_cons_vector; end record; type record_cons_array_file is file of record_cons_array; constant C27 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25); signal k : integer := 0; BEGIN TESTING: PROCESS file filein : record_cons_array_file open read_mode is "iofile.34"; variable v : record_cons_array; BEGIN for i in 1 to 100 loop assert(endfile(filein) = false) report"end of file reached before expected"; read(filein,v); if (v /= C27) then k <= 1; end if; end loop; wait for 1 ns; assert NOT(k = 0) report "***PASSED TEST: c03s04b01x00p01n01i00613" severity NOTE; assert (k = 0) report "***FAILED TEST: c03s04b01x00p01n01i00613 - File reading operation (record_cons_array file type) failed." severity ERROR; wait; END PROCESS TESTING; END c03s04b01x00p01n01i00613arch;
library IEEE; use ieee.std_logic_1164.all; entity pw_process is port ( --length : in natural; clk : in std_logic; pwd : out string ); end pw_process; architecture arch_pw_process of pw_process is component pwd_string port ( push_pop : in std_logic; char : in character; clk : in std_logic; enable: in std_logic; pwd : out string ); end component; component recursive_stack port ( length_in : in natural; length_out : out natural; index_in : in natural; index_out : out natural; enable : in std_logic; push_pop: in std_logic; clk : in std_logic ); end component; component vowels port ( length_in : in natural; length_out : out natural; enable : in STD_LOGIC; reset : in std_logic; load_index : in natural; current_index: out natural; clk : in std_logic; data : out character; valid : out std_logic := '0' ); end component; type DenyFlags is (F_CONSONANT, F_VOWEL, F_DIPTHONG, F_NOT_FIRST, F_DIGIT, F_FIRST, F_UPPERS); -- signal valid : std_logic; signal char : character; signal length, length_addr : natural := 0; signal load_index, current_index : natural := 0; signal next_char : std_logic; signal stack_register : std_logic := '0'; begin final_pwd : pwd_string port map ( push_pop => valid, char => char, clk => clk, enable => '1', pwd => pwd ); stack : recursive_stack port map ( enable => stack_register, push_pop => next_char, length_in => length_addr, length_out => length, index_in => current_index, index_out => load_index, clk => clk ); vowel : vowels port map ( length_in => length, length_out => length_addr, enable => '1', reset => next_char, load_index => load_index, current_index => current_index, clk => clk, data => char, valid => valid ); process(clk) begin if rising_edge(clk) then if valid = '1' and length_addr < 4 then next_char <= '1'; else next_char <= '0'; end if; if length_addr >= 4 and valid = '1' then stack_register <= '0'; else stack_register <= '1'; end if; end if; end process; end arch_pw_process;
---------------------------------------------------------------------------------- -- Felix Winterstein, Imperial College London -- -- Module Name: centre_buffer_mgmt - Behavioral -- -- Revision 1.01 -- Additional Comments: distributed under a BSD license, see LICENSE.txt -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use ieee.math_real.all; use work.lloyds_algorithm_pkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity centre_buffer_mgmt is port ( clk : in std_logic; sclr : in std_logic; init : in std_logic; addr_in_init : in centre_index_type; nd : in std_logic; request_rdo : in std_logic; addr_in : in centre_index_type; wgtCent_in : in data_type_ext; sum_sq_in : in coord_type_ext; count_in : in coord_type; valid : out std_logic; wgtCent_out : out data_type_ext; sum_sq_out : out coord_type_ext; count_out : out coord_type ); end centre_buffer_mgmt; architecture Behavioral of centre_buffer_mgmt is constant DIM : integer := D; constant LAT : integer := 2; type state_type is (read, write); component centre_buffer_dist port ( a : IN STD_LOGIC_VECTOR(integer(ceil(log2(real(K_MAX))))-1 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(integer(ceil(log2(real(K_MAX))))-1 DOWNTO 0); d : IN STD_LOGIC_VECTOR(COORD_BITWIDTH+COORD_BITWIDTH_EXT+DIM*COORD_BITWIDTH_EXT-1 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; qdpo : OUT STD_LOGIC_VECTOR(COORD_BITWIDTH+COORD_BITWIDTH_EXT+DIM*COORD_BITWIDTH_EXT-1 DOWNTO 0) ); end component; signal state : state_type; signal tmp_we : std_logic; signal we_reg : std_logic; signal rdo_delay : std_logic_vector(0 to LAT-1); signal wr_addr_in_reg : centre_index_type; signal rd_addr_in_reg : centre_index_type; signal wgtCent_reg : data_type_ext; signal sum_sq_reg : coord_type_ext; signal count_reg : coord_type; signal tmp_dina : std_logic_vector(COORD_BITWIDTH+COORD_BITWIDTH_EXT+DIM*COORD_BITWIDTH_EXT-1 downto 0); signal tmp_doutb : std_logic_vector(COORD_BITWIDTH+COORD_BITWIDTH_EXT+DIM*COORD_BITWIDTH_EXT-1 downto 0); signal tmp_wgtCent_int : data_type_ext; signal tmp_sum_sq_int : coord_type_ext; signal tmp_count_int : coord_type; signal tmp_wgtCent_int_sum : data_type_ext; signal tmp_sum_sq_int_sum : coord_type_ext; signal tmp_count_int_sum : coord_type; begin fsm_proc : process(clk) begin if rising_edge(clk) then if sclr = '1' then state <= read; elsif state = read AND nd = '1' then state <= write; elsif state = write then state <= read; end if; end if; end process fsm_proc; tmp_we <= '1' WHEN state = write ELSE '0'; reg_proc : process(clk) begin if rising_edge(clk) then if init = '1' then wr_addr_in_reg <= addr_in_init; rd_addr_in_reg <= addr_in; for I in 0 to D-1 loop wgtCent_reg(I) <= (others => '0'); end loop; sum_sq_reg <= (others => '0'); count_reg <= (others => '0'); elsif nd = '1' OR request_rdo='1' then wr_addr_in_reg <= addr_in; rd_addr_in_reg <= addr_in; --addr_in_reg <= addr_in; wgtCent_reg <= wgtCent_in; sum_sq_reg <= sum_sq_in; count_reg <= count_in; end if; end if; end process reg_proc; reg_proc2 : process(clk) begin if rising_edge(clk) then if sclr = '1' then we_reg <= '0'; rdo_delay <= (others => '0'); else we_reg <= tmp_we OR init; rdo_delay(0) <= request_rdo; rdo_delay(1 to LAT-1) <= rdo_delay(0 to LAT-2); end if; end if; end process reg_proc2; centre_buffer_dist_inst : centre_buffer_dist port map ( a => std_logic_vector(wr_addr_in_reg(integer(ceil(log2(real(K_MAX))))-1 downto 0)), dpra => std_logic_vector(rd_addr_in_reg(integer(ceil(log2(real(K_MAX))))-1 downto 0)), d => tmp_dina, clk => clk, we => we_reg, qdpo_srst => init, qdpo => tmp_doutb ); G1: for I in 0 to D-1 generate tmp_wgtCent_int(I) <= tmp_doutb((I+1)*COORD_BITWIDTH_EXT-1 downto I*COORD_BITWIDTH_EXT); end generate G1; tmp_sum_sq_int <= tmp_doutb(1*COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT-1 downto 0*COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT); tmp_count_int <= tmp_doutb(COORD_BITWIDTH+COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT-1 downto 0+COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT); G2: for I in 0 to D-1 generate tmp_wgtCent_int_sum(I) <= std_logic_vector(signed(tmp_wgtCent_int(I)) + signed(wgtCent_reg(I))); tmp_dina((I+1)*COORD_BITWIDTH_EXT-1 downto I*COORD_BITWIDTH_EXT) <= (tmp_wgtCent_int_sum(I)); end generate G2; tmp_sum_sq_int_sum <= std_logic_vector(signed(tmp_sum_sq_int) + signed(sum_sq_reg)); tmp_dina(1*COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT-1 downto 0*COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT) <= tmp_sum_sq_int_sum; tmp_count_int_sum <= std_logic_vector(signed(tmp_count_int) + signed(count_reg)); tmp_dina(COORD_BITWIDTH+COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT-1 downto 0+COORD_BITWIDTH_EXT+D*COORD_BITWIDTH_EXT) <= tmp_count_int_sum; valid <= rdo_delay(LAT-1); wgtCent_out <= tmp_wgtCent_int; sum_sq_out <= tmp_sum_sq_int; count_out <= tmp_count_int; end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity var6_tb is end var6_tb; architecture TB_ARCHITECTURE of var6_tb is component var6 port( W : in STD_LOGIC; X : in STD_LOGIC; Y : in STD_LOGIC; Z : in STD_LOGIC; F : out STD_LOGIC); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal W : STD_LOGIC; signal X : STD_LOGIC; signal Y : STD_LOGIC; signal Z : STD_LOGIC; -- Observed signals - signals mapped to the output ports of tested entity signal F, F1 : STD_LOGIC; signal error : std_logic; begin -- Unit Under Test port map UUT : var6 port map ( W => W, X => X, Y => Y, Z => Z, F => F ); UUT2 : var6 port map ( W => W, X => X, Y => Y, Z => Z, F => F1 ); --Below VHDL code is an inserted .\compile\task.vhs --User can modify it .... STIMULUS: process begin -- of stimulus process --wait for <time to next event>; -- <current time> W <= '0'; Y <= '0'; Z <= '0'; X <= '0'; wait for 50 ns; --0 fs X <= '1'; wait for 50 ns; --50 ns Y <= '1'; X <= '0'; wait for 50 ns; --100 ns X <= '1'; wait for 50 ns; --150 ns Y <= '0'; Z <= '1'; X <= '0'; wait for 50 ns; --200 ns X <= '1'; wait for 50 ns; --250 ns Y <= '1'; X <= '0'; wait for 50 ns; --300 ns X <= '1'; wait for 50 ns; --350 ns W <= '1'; Y <= '0'; Z <= '0'; X <= '0'; wait for 50 ns; --400 ns X <= '1'; wait for 50 ns; --450 ns Y <= '1'; X <= '0'; wait for 50 ns; --500 ns X <= '1'; wait for 50 ns; --550 ns Y <= '0'; Z <= '1'; X <= '0'; wait for 50 ns; --600 ns X <= '1'; wait for 50 ns; --650 ns Y <= '1'; X <= '0'; wait for 50 ns; --700 ns X <= '1'; wait for 50 ns; --750 ns W <= '0'; Y <= '0'; Z <= '0'; X <= '0'; -- end of stimulus events 800 ns wait; end process; -- end of stimulus process error <= F1 xor F; -- Add your stimulus here ... end TB_ARCHITECTURE;
-- SIMON 64/128 -- key schedule test bench -- -- @Author: Jos Wetzels -- @Author: Wouter Bokslag -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.NUMERIC_STD.ALL; ENTITY tb_keyschedule IS END tb_keyschedule; ARCHITECTURE behavior OF tb_keyschedule IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT key_schedule port ( r : in std_logic_vector(7 downto 0); k_0 : in std_logic_vector(31 downto 0); k_1 : in std_logic_vector(31 downto 0); k_3 : in std_logic_vector(31 downto 0); subkey_out : out std_logic_vector(31 downto 0)); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal r : std_logic_vector(7 downto 0); -- round index type key_t is array (0 to 3) of std_logic_vector(31 downto 0); signal key_s : key_t; -- k0..3 --Outputs signal subkey_out : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: key_schedule PORT MAP ( r => r, k_0 => key_s(0), k_1 => key_s(1), k_3 => key_s(3), subkey_out => subkey_out ); -- Clock process definitions clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for clk_period/2; end loop; wait; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2 + 10*clk_period; -- SIMON 64/128 test vectors key_s(0) <= X"03020100"; key_s(1) <= X"0b0a0908"; key_s(2) <= X"13121110"; key_s(3) <= X"1b1a1918"; r <= X"00"; wait for clk_period; assert subkey_out = X"70a011c3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b770ec49" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"57e3e835" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d397bc42" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"94dcf81f" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf4b5f18" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8e5dabb9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"dbf4a863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"cd0c28fc" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"5cb69911" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"79f112a5" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"77205863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"99880c12" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"1ce97c58" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c8ed2145" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b800dbb8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e86a2756" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7c06d4dd" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"ab52df0a" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"247f66a8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"53587ca6" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d25c13f1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4583b64b" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7d9c960d" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"efbfc2f3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"89ed8513" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"308dfc4e" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf1a2a36" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e1499d70" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4ce4d2ff" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"32b7ebef" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c47505c1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d0e929e8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8fe484b9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"42054bee" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"af77bae2" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"18199c02" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"719e3f1c" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"0c1cf793" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"15df4696" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for clk_period; wait; end process; END;
-- SIMON 64/128 -- key schedule test bench -- -- @Author: Jos Wetzels -- @Author: Wouter Bokslag -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.NUMERIC_STD.ALL; ENTITY tb_keyschedule IS END tb_keyschedule; ARCHITECTURE behavior OF tb_keyschedule IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT key_schedule port ( r : in std_logic_vector(7 downto 0); k_0 : in std_logic_vector(31 downto 0); k_1 : in std_logic_vector(31 downto 0); k_3 : in std_logic_vector(31 downto 0); subkey_out : out std_logic_vector(31 downto 0)); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal r : std_logic_vector(7 downto 0); -- round index type key_t is array (0 to 3) of std_logic_vector(31 downto 0); signal key_s : key_t; -- k0..3 --Outputs signal subkey_out : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: key_schedule PORT MAP ( r => r, k_0 => key_s(0), k_1 => key_s(1), k_3 => key_s(3), subkey_out => subkey_out ); -- Clock process definitions clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for clk_period/2; end loop; wait; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2 + 10*clk_period; -- SIMON 64/128 test vectors key_s(0) <= X"03020100"; key_s(1) <= X"0b0a0908"; key_s(2) <= X"13121110"; key_s(3) <= X"1b1a1918"; r <= X"00"; wait for clk_period; assert subkey_out = X"70a011c3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b770ec49" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"57e3e835" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d397bc42" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"94dcf81f" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf4b5f18" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8e5dabb9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"dbf4a863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"cd0c28fc" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"5cb69911" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"79f112a5" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"77205863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"99880c12" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"1ce97c58" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c8ed2145" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b800dbb8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e86a2756" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7c06d4dd" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"ab52df0a" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"247f66a8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"53587ca6" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d25c13f1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4583b64b" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7d9c960d" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"efbfc2f3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"89ed8513" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"308dfc4e" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf1a2a36" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e1499d70" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4ce4d2ff" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"32b7ebef" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c47505c1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d0e929e8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8fe484b9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"42054bee" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"af77bae2" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"18199c02" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"719e3f1c" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"0c1cf793" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"15df4696" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for clk_period; wait; end process; END;
-- SIMON 64/128 -- key schedule test bench -- -- @Author: Jos Wetzels -- @Author: Wouter Bokslag -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.NUMERIC_STD.ALL; ENTITY tb_keyschedule IS END tb_keyschedule; ARCHITECTURE behavior OF tb_keyschedule IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT key_schedule port ( r : in std_logic_vector(7 downto 0); k_0 : in std_logic_vector(31 downto 0); k_1 : in std_logic_vector(31 downto 0); k_3 : in std_logic_vector(31 downto 0); subkey_out : out std_logic_vector(31 downto 0)); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal r : std_logic_vector(7 downto 0); -- round index type key_t is array (0 to 3) of std_logic_vector(31 downto 0); signal key_s : key_t; -- k0..3 --Outputs signal subkey_out : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; signal clk_generator_finish : STD_LOGIC := '0'; signal test_bench_finish : STD_LOGIC := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: key_schedule PORT MAP ( r => r, k_0 => key_s(0), k_1 => key_s(1), k_3 => key_s(3), subkey_out => subkey_out ); -- Clock process definitions clock : process begin while ( clk_generator_finish /= '1') loop clk <= not clk; wait for clk_period/2; end loop; wait; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2 + 10*clk_period; -- SIMON 64/128 test vectors key_s(0) <= X"03020100"; key_s(1) <= X"0b0a0908"; key_s(2) <= X"13121110"; key_s(3) <= X"1b1a1918"; r <= X"00"; wait for clk_period; assert subkey_out = X"70a011c3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b770ec49" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"57e3e835" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d397bc42" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"94dcf81f" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf4b5f18" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8e5dabb9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"dbf4a863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"cd0c28fc" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"5cb69911" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"79f112a5" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"77205863" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"99880c12" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"1ce97c58" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c8ed2145" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"b800dbb8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e86a2756" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7c06d4dd" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"ab52df0a" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"247f66a8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"53587ca6" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d25c13f1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4583b64b" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"7d9c960d" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"efbfc2f3" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"89ed8513" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"308dfc4e" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"bf1a2a36" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"e1499d70" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"4ce4d2ff" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"32b7ebef" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"c47505c1" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"d0e929e8" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"8fe484b9" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"42054bee" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"af77bae2" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"18199c02" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"719e3f1c" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"0c1cf793" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out; r <= std_logic_vector(unsigned(r) + 1); wait for clk_period; assert subkey_out = X"15df4696" report "KEY_SCHEDULE ERROR (k)" severity FAILURE; test_bench_finish <= '1'; clk_generator_finish <= '1'; wait for clk_period; wait; end process; END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:15:50 10/19/2016 -- Design Name: -- Module Name: Register - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Internal_Register is port( clk : IN STD_LOGIC; -- clock input register_input : IN STD_LOGIC_VECTOR (7 downto 0); -- input data register_data : INOUT STD_LOGIC_VECTOR (7 downto 0); -- internal data register_output : OUT STD_LOGIC_VECTOR (7 downto 0); -- output data register_command : IN STD_LOGIC ); end Internal_Register; architecture Behavioral of Internal_Register is begin REGISTER_PROCESS : process(clk) begin if clk= '1' and clk'event then if register_command = '1' then register_data <= register_input; -- reading from bus elsif register_command = '0' then register_output <= register_data; -- writing to bus end if; end if; end process; end Behavioral;
--Copyright 2017 Christoffer Mathiesen, Gustav Örtenberg --Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: -- --1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. -- --2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the --documentation and/or other materials provided with the distribution. -- --3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this --software without specific prior written permission. -- --THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS --BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE --GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT --LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. Library IEEE; Use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_MISC.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; Use IEEE.NUMERIC_STD.all; Use work.all; Entity Keyboard is Port ( Row_Input : in STD_LOGIC_VECTOR (3 downto 0); Col_Output : out STD_LOGIC_VECTOR (3 downto 0) := (others => '1'); Output : out STD_LOGIC_VECTOR (3 downto 0) := (others => '0'); RDY : out STD_LOGIC := '0'; CLK : in STD_LOGIC; ARESETN : in STD_LOGIC ); end Keyboard; --This is a translator for the Keypad v3.0 to hex --To be connected to the outside world. First it debounces the signal. --It's to be connected to a 16-button keyboard with pins 4 rows and 4 columns --To parse the button presses this module first drives all col-pins with high value. --When a high value is detected on any of the input row-pins it scans over the columns --one by one (with 500 cycles delay) and detects high values on the row pins. If only one --button was pressed it's parsed as a vector defined in the case-statement below, and --the RDY-bit is set high to signal valid input. --Any parsing besides the accepted ones gives output 0 and the RDY-bit to 0 architecture Behaviour of Keyboard is signal input_debounced : STD_LOGIC_VECTOR (3 downto 0); signal counter : unsigned (31 downto 0) := (others => '0'); signal translated : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; Begin Process (CLK) Begin if rising_edge(CLK) then input_debounced <= Row_Input; IF ARESETN = '1' THEN if input_debounced = "0000" and counter = "0000" then --counter <= "0000"; RDY <= '0'; translated <= (others => '0'); else if counter = to_unsigned(0, 32) then Col_Output <= "0001"; elsif counter = to_unsigned(500, 32) then --Wait for 500 cycles fom previous step if input_debounced /= "0000" then translated <= STD_LOGIC_VECTOR(input_debounced) & "0001"; end if; Col_Output <= "0010"; elsif counter = to_unsigned(1000, 32) then--Wait for 500 cycles fom previous step if input_debounced /= "0000" then translated <= translated or STD_LOGIC_VECTOR(input_debounced) & "0010"; end if; Col_Output <= "0100"; elsif counter = to_unsigned(1500, 32) then --Wait for 500 cycles fom previous step if input_debounced /= "0000" then translated <= translated or STD_LOGIC_VECTOR(input_debounced) & "0100"; end if; Col_Output <= "1000"; elsif counter = to_unsigned(2000, 32) then --Wait for 500 cycles fom previous step if input_debounced /= "0000" then translated <= translated or STD_LOGIC_VECTOR(input_debounced) & "1000"; end if; Col_Output <= "1111"; else --do nothing end if; if counter /= to_unsigned(4000, 32) then --Wait for 2000 cycles fom previous step counter <= counter + 1; elsif input_debounced = "0000" then --Wait for release of button counter <= (others => '0'); -- --Case for translations. In order of magnitude. -- Input is in the format 7 downto 4 row, 3 downto 0 col. -- I.e. the vector 0100 0001 is row 3, col 1 Translate: case translated is when "10000010" => RDY <= '1'; --0 Output <= "0000"; when "00010001" => RDY <= '1'; --1 Output <= "0001"; when "00010010" => RDY <= '1'; --2 Output <= "0010"; when "00010100" => RDY <= '1'; --3 Output <= "0011"; when "00100001" => RDY <= '1'; --4 Output <= "0100"; when "00100010" => RDY <= '1'; --5 Output <= "0101"; when "00100100" => RDY <= '1'; --6 Output <= "0110"; when "01000001" => RDY <= '1'; --7 Output <= "0111"; when "01000010" => RDY <= '1'; --8 Output <= "1000"; when "01000100" => RDY <= '1'; --9 Output <= "1001"; when "00011000" => RDY <= '1'; --A Output <= "1010"; when "00101000" => RDY <= '1'; --B Output <= "1011"; when "01001000" => RDY <= '1'; --C Output <= "1100"; when "10001000" => RDY <= '1'; --D Output <= "1101"; when "10000100" => RDY <= '1'; --E Output <= "1110"; when "10000001" => RDY <= '1'; --F Output <= "1111"; when others => RDY <= '0'; --Others Output <= "0000"; end case Translate; end if; end if; elsif ARESETN = '0' then RDY <= '0'; Output <= (others => '0'); --Reset translated <= (others => '0'); counter <= (others => '0'); END IF; end if; end process; end Behaviour;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jxM21pSCZdk9+AekqzDuMmVlqxFHUwhCmWakT9sHniEynOxa2xIO43zxjPKn3LIShvNjKq+SUugR VjFCBLrkOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ipViEhKlvcdwsr3ezE3VseQjO/kCM0pdOs1nNzdH+1pIkicLlbLbHdLkMwJgNcY41nRZKDvJvNb5 2o640b/uamdoao7lHckZyA/b9hKmu4NQlDpUOr585ne6W8EeXRKbVRFlcIGi/UyklNaey+daKr3t azLvUsMLc9t0W5Lo6bw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLYJUpfsAKpD8kEjApkfbbLN4CE4391iJQyoKaQrJDgskBS8wrXvpHjsK11VGOO2O6z7PTuHfjm4 +rlUyKh0GwMvTZVzCIFrKtkrOmFrK80My0jltDwA7T0o+eRi8zJ891THrerexurnqtwcCscxuY8/ caZcUYJiY0uEZGflvdv4eVJwTO1DznGjwYUQ8N5tVm8w32ZqP8nh73Rn2Jpj5YzHMo6+BzyMIOHn paou15p2P8Tlw5S00HEQRsdb1zN/qQMb4EhsSdSuQUszUf73ESkHtbf6XdhawWIsbhDPYF19BcF7 wltclhF3kzDY/uVrU9zSHD/VWniLlFNhyY0sAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EAEQSpuW51cENyn40qmndY1WzBowAVjd0E3ALGYwBsECzF49IVI76D4wHbc2e4sUjHrPmzmkHPF2 B0iblxwK1Xc9rkyv5L5bvcRVm9mU5Zpzr4uTjpocaQ1n6YkZO9ZERJtMNw2Wt/UFLID4ohFEV05x QOjsJIO31Zaaye6Lz4o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toScMir1dWiP2ET8ayX27hRWZNuLrGtLa22BZsKe2FVcpkYPz5618smUZyaC2gnCHkHBH3RNrF9U aDTA/Jeo3l7wTS2IyLq7qAUiJAT2HajL/F0v7DUAxJwbFq48n/E+gNl8erlZRLRz+81JEQQ7gegj JQNC73P0PG+fplPI3NdopKzxu+sUNkBATD3JmdfvGTJaYzXk6ieXq08yKLZcvg3P3wm1MT2CeRXC BENO6fto4tNflaeV2IwJ1e7ikUQwuCpOL+1LDITT68Mr/fkRRQcs2PfumkKOBCUdYfskkVP9/rJ/ VDfaU50YLcY6V3QNCxmefsP1FLP1ocQGmwQpng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221808) `protect data_block rvQMgnh2AUoTz9os4Gf+jb4yyqNXV5E7ZekIFNY/15Nyy7jj1s3DzlfcoH96gyLy/HPoi4gxCR4V k1OhzST+Iyj4bj+mds5u2/YiLc0NDTSmKu5GimXsUOAXyfvF2ZXx6ssXAng1h/J1KQvJ1rQZszG+ H33iS3vYSYhIWQuANS7NYNWbS/Jt7fOngkpTFvF9s+LAcqvL2NzHOEEr/c1iL1KopTeF/HixY9lo u1Nhonuv3qMt5XEr+LMma1lQH0vTeSr80lwEyIYS0VfhzS4USziVm/whp3hb6XXlkqfttxSiGzkR Z5D/ZNDkJeusr88/0aGyZ0Uym21BMfrnenHGEjww0hFAqeryF9T2JM5bKWmA0hIT1DCMUQZdVfL1 yb2AR3Gne/Ashzq2SsfJncyfbXDBKGBQZZU9Jk+nkpG3TKDr3q/xSZpfA6aVixcVf+RNHPaLObcP CgQ3Un7O9fVzjRFyVzEgilZuoEwXmv6HAxQyltTWvx+c7FVjJoER8K/ZQMJy0Ri0Ea3PopIN4vPm GIMrFDdkuTONOHXfXUncSfSD936dNgiVFd+rZ8kVxvEQ++t35v60TgLdkGyyT9jfMHQPxGTqspof +zBml9t/odhBz/lf9SGlx7Mn06U2gMwBBmF5dj6D4zu2PcQw3EcJnsWiBnJWtrwlOUuotWZQ7wH1 /pJ9s/3eKp9CKKlEVwz3+dPU4TcujGehwihzKK6C8kyGJMhshKhzfo7e2M6cybQMem9f/ME3tMjR C80T/A7mDqW5xavldl1f82Pqj606kCpLXFqbPbxGG66683wkWRhoPGyyyA5gdpCyA271JSimRDP0 LCL6gr/7hTD4ou2VRtwqrKm15Jdl9/WX25OCrD+FDlB86xd2K0n1QKO843c6WcbrE9SY6A1PACbl ItEcRqqiJ9XLsoOyzlJl33GChJ+IOmqfucZRfY1HFIPxdBqsUy1ZeTv4+YvdK5CtFDUlagr65T/P Fw1VQQmGwUBZMVIeW4AzqWh8Gj9tRh3NBGQpNmEGEYaYn0PpmO2aTd9xPNWFC8gZyOC/2GTYoG85 yb9fTQR/9N9MMGu0dxrHvdnijpBj6N2KWnad/nV5hkM538OvXBx77HmX6bsHXK/7hY9JXAYeP9kY OZkvOgniISRah7N5C2qe66hI6B3PihdEoi46q6/mZjqsNV5Y8AhW2ur+YsjbPiFt3Z2RAZMDSm1z iRXqnTVHUgTSN0N8V26HLcaf0w4gv5UJHqc63G/g2uLywTgXhjomob2Jy3yoxeurss+BzQObD4Th EXDq4XsNb+MmuXpZWQR4ygEXnXicgUE1bOHx6E4GD3KxO2jxf0WmzYB0utuoazyLny6F9/eNqpnf NpfhE4R7OAlPjEhMLTUN+T4mdcZdsfH+CHiTvDI8a+JyKo5m8VQIvXSfO9q0jDY3nCYUYCMCIovY E4ghvWACUPC5cXhyyiiTxQIu1oQ9unx9bGNbSSoS6g+K8yEQtNmTK0mS3drkWcMkT4abaBOpyDic hU3BQejPT1r27FCUZ7m85KvcIdwXaDiPxhFc88W7Z2YtmNS6osMw/x+KvI68/irpAGni5RQbbXJS TzSUqlh/XelA4dSKqCbZYdT2lXlKcHPo7oJ7DWSFfF7U+hzOIKNKlwuY6muoTOEO8IeFH3ycRwsO 5IiNwVia8LzqkG3L2QKgTsjDIsaVWt0zUv7l49KSpuUnKWKb0UTokBZTB+1fieNmCQ92idoB0/Xo B8tYzNM/aHwx1GsJsEYyYFyfu31WX2YGg6MPMEwEdOxAWgFP44+m6OVd9Z5nIv9xXuig4hCEzKcw rBQHQTSpLA05XIcEfsxxX7nIzcLwuTs7/gbHeHgTZc6uyJo/5boPFTtV8HwFkewWKsXi0XpPW/4O DTwUAXnTvmquPCsW2oyHWdKZMg6JA3kLesZowJD4qPW17eyO+4SK/1ya3q2vHmFw09TzLGtmYa81 FcayZfNloyy433oxrelbjwv5xn8L6XiKBH+BXvyLb+PWEGd5rfwrC4KRIpuVoyxv5WaWtPRjwc6b CJXr6RCjwHlx0kOuidrltTbd/WcNFLowoK6JKjlOW6dKjK5EPiCUewRypdC0b8NoKBTUYsaN9DJx uyYHpM0Riyf/S/bHTJiETCtaZGYJ1FQFF/tN4dAyCiK+5NyzJc+3lWJ2YjOKmoAAYqI0tqYk34wH qwtqpaBM+8sIb3ZCzssAQJsHJ0OXyuFhtxBikUZsYkO6ySMlvpXgIkdDjNl5YowPgmhsUbLIZ+3Q Hgl0FyIoAvZXEnK/LffRl2cLGIlNl797qA7UDgalMEkG+CwZgGFM5ebdIH3hBdClhMTiXhvwsnbl lRm1MkaLE6kRzDATa4PJ+J1ZWpE/w0taNGyeElEqibyQrj6gtIbOcxZKmqteDkLi+TLdG60cdBYN 8m6TgZk6y0ewjj5RpFr6425e1s0g5i3CJLjujk9ptntAoH+tiOY1zkX0ozYUUU9wONW6yAd8PWZL 3eX1r/EES1wl/f1YhVqOokayJBgbVb2W3UOEI9fpRAddfV1x3+dwvWqFsALR/8yEoM3DvCtJocIu MYw9n5g+BZS8i48zAIjxICQk6Ynj1IhEqvSuP8ANr3wQsV++ZaaJWJRy2ph1mq2Sbrg8Opnf0YjL ABmac/e4U9reRi2irFIEt+Awxmfy8lE5kbS3Cafxin43w6HDaeGIGW/j4wPe7/4WcTbqwB8K8YH1 E8hiH6ZT+/YWk91fKMvrXlf6Oys8VbRrRIfq0GzuVHC5ps5qMr8hj+KvE8JZ86+hkfgrsaujVrMa gJpz5CoY0I4+6+1JcYE+vBiaJ9ov/x+coL48rnncxxsGfZ5qS9yCGRHyQzG6RAdDt1rYeMQzeYM5 hNLHOiUxeoBNHlCzyIyPe9XfKiMNMWvWKB4pIKUiMhRvD2V8/x8moMkIwutbnS4fHP0PV42YIaV6 Jt1KGWTVB+kkuiAk+tWT5NEpo3cMmDVHWrSy1WnE+YC4D9fa2fm4faBtq60un5BV08uVOhCdJaPA ZB0CMHc7z9PGhBs0DwCamvLttn5ePqZFUuIlFACuNxUsQqAYUPsAIIiDHVcKTwIMbazY898n1t90 NTYoly5H7+cILw63r+Bdx209AZKA8JZ54hCB5je/NCtf8YOR1ii8lbjRehOEvkxVRYMI0IIUNfCD cBALwelK2VTTV1efw/r3xM25qwjfs7KXqa1bLzd8axVuKS5dkZJtXwFw6OIK3QLdUuNgMkPLKxrk FkV7JgUjHwVohI9QcE1oO9cdDHWte9mj93QoADvBQXZrGtWJsG2RZQqHCx+nF1Aagnq+337zyYtS DpvkkhKBiXMSImwrKPWEVeYoLuS9oREctykJTkrRtp9OmJLrTtLCQBvMexAUvyYt/i/0+uKRAFG+ JN5ugoWOTkl4gbb4TDIl0zoz8sLAw/TVnOqY3C0z6GeTmbyq0fUD8APws020eKikrhJPH6Y1653h 0AKHtFa56RYG+Qh3JRMniRG3L+r+6BAbSKbWmtIgaaAXSroFOeUEMZ9PBuG5HaVzvjNrzfIeO4Ts 3KHc6WiBNdgMzuhox0o7EOLuvtdep5Blql40gVPxJ/H2RuWt/DtzxYXHNz9FBJfYpzOvThI7V93s YbFTRFy+jkSCtyg5oXTQ/fs+OwLqHANuQ5WAYl3jbc2jE/sWSYo2WM7oRq8mhyl9z2hJORysbxc+ PlXMOrW1QKVQMX/NE6yq+ZiuVJAl66Sx+A8ywhPNz07FjSX6eI7KIyWUCGjGfqVsNbfl+3GnV1hP +We1CHjGsNurOzEo8Y6Apmvs9U4yBwU7s00W7/zdFgX6Ov3V64kJbMq28WY2Nnj42BZkkvIQsLJs m9QWPQ+5DLPXX/SRYMY/ZZIWjQABad+8yS7arAxuoTpdjnvCMt8kkcP8FIjRTgibjBocaP7iUQZH lqz7Ks247RCh/Nr9vPyUhIPwfo28vtxtXtdRSW+zs+JkT3ZB8/b/IZH9YkQAtqnJr9eAohocWGkL ZSq2pJQxumqC66HyYrOTZvOd0vAHF72Vxjtr0HmQyTo9+YFHi2KtVcv/YZ7ItVJQI4X6jSwxboJJ Ktw7DmzRTJA9e+ToqhyNzTi2Ke1oA3mPIVaarGxx7rfx7E68T1XnW1UQ4rnokVMap5vbE99alfoS bAb36eGMuoCoxxFG4HAFCVIZbRA7R5Wy/l8yNlbH2o6yy8GZE96ahVP5l8vNSOqgaoj5BPkZwo1h LNb+Aio9sSuhNXmxNUMsxQkF/W5hMBJ2SZJhinRZTU7B9bhkuXf3QVbPMh5rLVrXrOJ3+goikJ3I Mw07RhxF09/t4EYKQrU3vM7u45gzmQl1z1ycr+TWv4UzJfbVKkcol3XPtqQvprYo4tNgkeNW0k+2 2EFNxH84fofD8XgjHLqwgS97Zxh8icp9wHz+ohM0Oqnh3Z0mHe6+x4TfUa8GXGZJtlG+h2vKewPG kdUCpkNmPM1sIASpn1ZXFc+q7XA1XQHkiNq+GGIDxDXlkkMdHGjNzIH2O895VQqc/rPJaanoGJey U25SubMiyBijW//lhh/otQZOYpv0CmejFlDrx8cNoo7W+S8JxLajPqnnmAS1hVkuNtp5Lkq2Rk1G 8OntvqHYgbRxyLh+IIvHD7NmS2HxziugY7jQ5rcyOiqbuOaQGmWRfsjvsETcQ+cD6Q7ngknxBvB8 ZTvxLE1T31ypEZ8Rq7faS4Ehlqn9SZmqcBQ6mX2w1xRYwwwLcnAZZlG16vINfWDHDaf3s2YC/KAx CvRP8hzgfIY/15cKCX6f2DUewuuDuizZdQhWLzfG9ASadh6oRzptxhUQwOAwQrk7r9zIu2TzC7S8 HKVOGLWsOAVvqbXXmsS+Wm+67CGS2IpSvO/LYorjQ7IiMxAXKhxMBT95FpvLunW69XFrxgImO9B5 EvP6O/VCp3c6QxvXQcMwI6XVRcdqmiYRaFyv77C+0rv6VpA1Bo9gO4akRFVaK0ynpTjzgRuMgFWE Et3txXPSH8ic84LnXMhPoV2oWi1sOoS8bnGRkkeSP4HZ/tZ6Kl+AvsMIr6LjkOZ8+Ao2pNkKR5GG n8Ou6AI2reGNQ+TwcZOj7tafzNn8roic/x9V2gDAKlGZwLwi2Nc1dxcHffvtkE2hcGEY1CrMuS9X D/L90ScJPo+UIFkNDBzh9jE4vUq3ZrIwui0GhxKrgBRS7qfP8C3dzSp5ZGBRyrta5fR8zahJxv4g eVLGMwEJ2aEIcVkMnGje52mSrb+kC2IdvaPhgopAjCod3Emknx0m58eY3f/bP1ev569a1ifa5OPP iIrmULn2RJ9l3TMR9cLTtX+lrzYbp+4t9w4R091PTKPSE92Kns5HD/aJbhFtdzDYPamMcqgCkAL5 DxZhY/nBz/yA31cCrz3a+B+z/alDPB99xq9Cdx4Gq4WHpoY45/lnS8anl10wZt/737a9ypL6/J4V oNHKCMB454xW8cq+TMvLkhYQK7IgbjxyAa+E/rwU0hGB1AWy3EHUKtKb6ny3rs8hnXgmEo6eqn5o NuKZ+4AwJR/A8qvmeDD1VsfwomVCjHZHCFKBpoNuqBiTqCfOsYyh6impSY1Ixo7qyDM9VdU6o1TF nC5stRN8Y/ObjlESzATVPMRUFhyos7HrOuvwnTFnPddLDY4F3ZHw5AynfNUJ74+uMX7OKQhMh+z5 PUi7GAjmM+y+SVuj+c2D+GgejFYCej2yBUdes4xNfYFnqrf4Ip7RI25zB2PflYZkHd22NLLq/9jp Z6HX13oqI6QsB1as9bRbRPw5jYcEU7etA3Wk1p+yQ/sf1vGg5PReC/ypibIdDdAarzCOBkjwHQ8N 6c9A9s5Ihwly5mY3VyUzEWhqtT50mSqrcnzz6NcCvbm3Vt1MB4xCKVluoHwkXB2bEuxhGragSrxp NfbZZLlG5ADLP6LGbYBNkOQOXyFlqkWrXj0EbRRUAlwvlJlsPAzy03UpqycHJV556epvN2C1O+lc 20Ca87EGOTiEFaeNstsacxmax0MXhMkMsOaJ2+f5Q/61fzjMC3isPLda6r9k8FlNFGkibSxpSs9J xmi3pu+F5mvxFQ70NMp8oZzm+rDLq8lI4o9vuPTnAIZfurGzJSG3qDGi1UVIQI8412ffigCaEm+H dwuwx/RBr7kZaGU9bWkUrP147cx1KLrfN6TMx8pJlAijTYS5/M6xfmDBsc0tnkrcTwoe0+G8dUkP FaP1OlrqoT5cBYefHkQd6anfXrJLNxjuxjNOLDDdrz7r4rDdSkwE1fatMpDyFFG3q7BaSbwTXhWL 1NZLnPJ1uzC5L7VNTsxrnB+Ep9Rp5x3ZEt757vrPy8Ram1CT0daSfhMeqmMXOF/GIOlV3gFOwyOq alLSF+vgiAWSp/U9b1kqsMrOONwtv/6cM/Ra5zkYpOei7pmZ5cGeSpZB1J9wUy5o0frOGIPj4BDQ ImoqL0diXnrV1eL0fUJofM4csYcQNepwpFLwWxBvZbBhRX5Gjatc17u1j1a9yhoQ9VDUoM0wwPCn F/2BtvTxuJJsTIG8lgbL/al393j/9YJ6OEb/J2sGhQ9+2ndaA/4KOlRJ5mWr0JJkQRzkUiO+sx53 VA4WTTfD95BLZTtm2Y3jpRZwBGqmGuEq8DBG0C+m3kZ+7KUObQuViC4/3zxGSoxCGUFplIJGlh3G B5fGdeBdhj6whbyqcf2Bt0QpNlEwdrh+0aExxCPXNQHFa7Agx4DqFyPHKA5OqstcvtiWhZpKawZQ 4nRtMx8F+LT27cBZvyiuWwzhf0Y6ZS1eUWe6ruf19BDpdBpSHq8pqJcQrLnyKNS7/gWqRvhbLuMa 6d3r1thh/0PJtBLmprXcRPfyOvjC1CD8aO32ozuYh25FZSmNYQHLZNwcdfGu7yR1kXy+iMGu4XdJ XSiEj421LTrVQxjZHQ+VpxeRB+EwGopmgPUbkjsV5a2EJwn3hiS+A/BZRYrmyqaGXAER3avJASqW ZSY8Q+JmXtVeFcyvM9M+PKmUdQ6MukuSigFL207uWpzTa7UpsgDMFS+njDYCDJOmN6G9vOrWqJnJ 42qzdc4cR8s16w972bz8qKMlINejz7236M3LMF68A6wcjnfR4yUV3LDYSGT0ubVT4PFDuG8Ph7oZ vVqWVdmEyoqAl8o0Z+7iEUpP3D2wFdaZBeouyfInmvcWTQ28OfxmHePVKmfd1b1pnRyxa6CdP+ho bxkVtOA6gbbj011qz75tnpFAJjqiPNFV1V1sogwdXt5rZIm05j1PkC3sZTr4s7DlZyvEC86XkSVC PjawVekUX/2AILI0wJ+OPtqWsUaZMx56l1GnF9fWSWMHF0N4Owxk0hIWl+6HLU3XojTEXcjqojHq pzkGRTO2iHFugOIkGacH0FfIArIMwsBWYkjC12mwW+2PdRFmNrThpe4avpzT+YWsbz6UCIWO2naJ CztipvO6Ix8j5blRAivKusEFT4WKeiIN9fjvb98P5ioxMJv0G6+xWf/ULvzbAxSs9G3HkR/zUeGj YRAPHExrGgap1CyFgeS97PcvyaZK0ukD+OLRZE3XObueJeEnicd3npeQYh7bHCGdElvY0b1IPF6I lcIOEvGnyOuF1c+kMMRmqR1vek6LG51OF84vAU+Eqq0coJSvFrCgWwyIrLnj1WRWYiILBxwXG8w7 +gJUApEfgSGqxsUSGX8PKrFJFPwvFZ4TsuZzNXskhO798eme6mQxJ5xmCI3MmU1zLATYR0cHyJ2T IqBP9P+wmlSAmoEbtbVeI1kbfXtSKdMV0sxjN5PUNaf5q/IenfP0dt7RPK7w0h5EdhxQRW0vSqCP 5qCGYYmfQOpakp/raqNMLrTClZXfG6GivHT3DA1YuSa3fCFokTzh3RAgzBg66nPQOYfUgaj7aGuv BRHojxJuI+moXu5WrY7cgrVreJUKZnuvBlYGKyj8a/fLHHg+9/gjwpFiVmccthCfIaTJRkpAjkR9 rndj/6jasnjvuPI6kec7GPaW+qJK3FXKhoFfpQBHhKjx0ocvsRQp7pRRrFQqpPsMdAH+Q5pqjbv3 mINS21efExfwHApOVdHbUTZzf9HxvinimF5+6JtocnX0ejwHnOoyjrzXVrZ/ZM0tyikA8lpPhJSA 7rRLMdS30N01Au1Xp87lNIcpupcApCM5/U58IaLoz18pkqAboL02WsQ2F+XDKt8xYulLG2ZF36pi Hb3xnCm+KIohOVEgvD/bxOym3yzPV03acISo3hbMYkQGYrJNZA80bD44+kKVZgYEPdUQhkiniJ1j dvyYHnJDzcvt7dGkMIBoeognXwmWqZQV4OL6cOS4BltDZlBfpIu9/jRDZyJDQ8ol08/yrPmltiz8 5ynPxhLuMDm5dbW4JgEtYNjm71GXNJVxrIEH8x7ZgsIrNDIfXfeeLVNyRoYNoJ42b0MUijXKF8kw xEgeljmxTb+nZazLWlIGHFTL7JprZrmRSDxGZNPpsJKlf3WBzibM5K39GpIWjz5WJYHl2RJuIvYu wLh8prLNaq9rz+KDLorjcX/GSTq0IS19BgYYVg6xdBDfHf2anp/FR49cCCX6vHeUjbCcRzEiVsya 5kkGVdvTMb4VEr3aofig394vlDXe0fW9HKvyey46p3qc9jH/P0U43HOEKDnYp4/NWyz81Aguk4LL yM47knNbVsTkpczp2qSS2IXgLVbGXgomqVAEhfFH19K0ExWsit/n+eRhndPFeUeiyuGMN7RABZLv eiLY3qdzd/Naspr03ZPxxBv0ajI9dcnqNvFOnFQffaFs7LuBUJU6Q7DQp+njAlM+R5VI414bmee6 pqq6G4IOVT3l7oplXl8e4Q+suFfBGaKiOBPA2V0vrnA7EnN0ujpzgyKqCLzIHtdgpB5wxrQ7nbpt RxxT3rOIXcGacV+9w4G607YHGNzljaUtFPEH6FdPFIHLTzXFQidFgnR4RxMz4BfZYCA6mBfz5Z1+ ZuA/oxEYKDmW/FNxf3wUbKFYoNXPtg/1wRaL389dCF4Y6OS9EE/50R4DJ/yKZhZzwS+/vJKIOSJv EL437vIJjF0WSc+75Qx5dVr5UK+oWq/WrH4t2JBklgoy68WOhIPmAEcpKomoHGEfVJfMMUaoAWnB OJMLLfQ2aLvCpTSmaNpY28jDvPoLk7hJLB4XMu6CkZG/XIij0DR2cQKC1CI1Sm3D3Fe260K7Ysbm qyxwSikMQldJKFBSQ9yiaWE0r8dzbGuN/eeONKrp8a5rFLxUCdk8Xbtx0Q3ZdqeEI6z4BYt2xjVE 99AeBxTb948aTWh/2CgSpsbEAGH9sdNvtnrZh/nw02jdYPsCuFOQ4PvSn3K/WmGLptRoR4O/gA6q Jgxt9QQ92rsiuheMfee8+Jj9HuvWc1MWJj51dGbcvfBpOXKz60yDiHnlnyGak3LhmAOV75TmTr58 jXBMgzd8AN0E//jWe3/MqHW+xYVLf1QXy8evRk68DvdsOgynJGGmJFxCWR6kpGy9sfQEfx1YnAcL iZpoza0nUm2REGBCDKXrSEEBDW9GA1ygkR0BgtngtejJVHUfzkrDAblw57Yl66KYoPkO2Rsta1oF jo/0EIz3MDWzSe6WhWgtlHi7Aev58EdsyhslVRM/NnMi6aFlFSWSrik0AisEafI01AkY7zKi/81X Zs78D82zjqrFZ3OHG2ekeXrTZZOtMNvinDo+TEJ9msfJk2xoyzJMzdC2PsR8WGLusWCI6U9avpC7 cvKJQikJSEAQtUTf/ZzGoIQbNr8mb+zaw/M7zniIq7h6KWjCTuBk50kn8BH+YDHJWTfHiwN4/ntJ phwv9xsH6EM+vE7YywKfYrc89IxYrjiea7B5rIRgaWrt1Du7MpyeuI2AMJu4/hH0NwcAa/bXS7Wa bS7bI90u5pA9m0s85ns0CU6Rs8LsL/+AO1vxXB2jIABALiaIPbWXTbp+r6fP8NDVg/y/OzrDkOno WQcgAc8kI2iOcWotcR6rakC/qQM4GIm/mY5opA8XWEKfVgz0DhyQFoD/DPBcL7KDRk9bNW+YihZz pnpwQOLr2OocUXedtF9s8/UJaZnrZPapfgkzvsH/FNjz7mgE0WpsctheS2WJ64ND1XNTIaEbtP6q M7Tay9WE477JupvZRQocbz+4OKoWC577SzPkezT0ut6ngcVQaFEfD8k1ShuSjShE0kDg5671KZ74 MhZNSSXqxk0I4IOS7fvWCoKY5/j2DPLnEoS9uw7wRxtThX6MCoIsTtxltWXZz+TXUGavM/OVOcuq FjKRI96FfhszDX5hM78d3lJYN7n2RO5vjCTnC55zNkS/OjsXEspReEjCdxyBgAmVIwHx2J6c0Q+h xdBFR49R97LcSlFmphOoRMJ8tXic5cINimGIh+vFJTheC7JB8GsoZhLiQCBVfJajZ9sQRZlMyIDA FuLN6e9Dx+wZxqNOJVMON+MOA4E1LsPl8w6KYRlagmiHGCS4YI3ANFhjEuVz0I62FtqckNdXQmjN opSJKfGhBBkPTQUMDYkXiXLAxuC63dGaHcJi2daNjmGVlksdpMofxE13wEkTStKWpP5jA8SwUY8b 1qdkKIigZPceiXRne4LTefcm0O0sG5Ldi6aGLxzixjxiiZJzh1EVKQUVUGsTUZ45/GYGCq+7w0Vl fnZml6+J6a0jT3yFEwFlN2E+3AZc/N1bXsE3F3I9oqRZNLuKZbMIl9SpLrHBgr8mNC8tmdw+VDGG whwVibbGXefOw/9qAxIRVnoDbsBuh1dFOfd2KiLEa76qtF7iitukcLMl2tv05np2SsRYZDsR56oC QW5qa0VotAuG9AK8RE1NipXP1IPPdaOKrYQCeSz7M2C9CoRIkMJ0X3aNmPD8izblEfykgaOHuCEC yNCpEReqZCdZACcO5nKCIDKoVhikc/jneRRAun1UlQX+V7lb2k+pIBt2x3mqvXEkoUfBDxwR5iUv 9ygzl2LLBKBYnAYRN1FdWcpSbu4ZIqhor6zeXy4LoRbwt0xIpr7c0HLVHNIPRmSS36H2/AtvBOPD DChuLMMW7Jc6Bk0cEuNMNTBFT98ipdIeULHnOity9CdF0O+DTUOZK62GyrAkk/YBaUqLMJ4eoXdg GZahOlI77yj4BLE0J04hLPliAaUtdYSJBsHsUwHxq1tiJtaxQeLpm1cvmuSSVNWE8/WwP6zVIrQE vauqceffkbz2wJxbvQCXEasQdgtd+9gV6RPijh/LwbCJ88J5uqrlbmV078p1W+DQaIgWfY3ulybN a6DDMcjF9la/Nyg//stZrBo09Wpe9K4T6IUYN5qBvZ4pY0Gxj2GozHymqt+Q/vlR4IuwT2DpEIvu EmGQHo7YsAIdaHRq4AgnIEppvcAaX8G93e2Qm6vBTrL9JrSuyyS68DRVdPURiMhQELiK8MpW4f55 3KmO5YNLK7G6uUjmL1kz5R1uOdC8aUqcjTDRxm+TJO5GUlw205b1c7DL8rtKYiq/EJdiLpR3ENkY 8ZTyjD75i2B0hdbxYg1fKdJoqimFRQ4crsyZ0Io0gSKdz8Zs8BT+2Nkl0u0QVMhG78DoiilSUTuX qSmtpOOBsZF6iRMEJVYiXMJotrrdP69CaTVDRK59tv7mUoOjXPGqF2Grivbpo5unAb5IF9Q8zSQF /1Us715YoNDIx4OaTKqWLw4uCbkODofzCXfiEtZKVsxLn98rwmpEW6Evg5ljWhYQxndm7px86IWH C6+Cz7DV666yD8CvWIkENzlk1R2uPS0pI+qXxQVGMAE2p8Zlx0P6zDVQoaXWrNghSgqGjxeETCz0 DueFR7j/sQvXMKbSj6dcsMypyxMLbjk9RWS/0v6MYu7OUuiJcVzDUDXRRO7gOanmLgvurSpyjWQT rkkXaaKf7voUkCFpv1fGfFzW31iJZBg5ka2ZUnvS2M1gEj67wzM2kFmhIfcKGxfPur2i62r1GivP vZy4BmolWd3jbVarNHAc3hV1ghA6Ocb4lLxkiPRN4Wg7K/4icSfcioBKQX9+mGj6ZEskAI7L0bid bPLJotKtBI1bQkEJvIgX/dWTxH9DAk0xd4wkCcMMOs+EESnz9HW+X70Jf9RpgZwZQfN4Z4WsojeO meN0zijUvENVw/HwIqPOg+IjQb35LGri2h2B8HYDuBI7J4suLB6lmb7dkRugKcPoS6NQhvVIauEd wgR8XqIhUcTkIxLFoja+bxkbT7tJ1e/5zOBY5D2AnzRsGFKy1aYk1Ayo9SBi1WtDzzSkC13cmkR8 Lfulr1ZQPEL5sxU3bJOByM/KrSH5pzyUAtHlyQTrHpuWZBSl3MWNj2P645Wr9T1PxzqwOCbSKu+K 0wuZ/7ftKgIIWrIeweWTXqMaj7O1eVthj3VKHU3FXgeygHKF/gpIQhuUm2cxhaZ0C3UJ1ZBQwzll GYQAd6hUqoHWxUBcuaFI8Www+EsHmIad0km8ZgzuDuetZ5raItCn+b/93BtIYMUZiMTjRzYTGyn2 Nxv9l8W4av319cYHaeQcwoUu9fT9AghAHjscboeUJh/e9KAIuCv6bXxk3Yf3Fu3ztPS3q2vX0E3o 2+yfB/+91yxzexXi1BKbsMXDZQ0bETDZuI1cZqUFg5E17XXJHG5F0hjEfkEg03EqlZ4sl5kswFtA /I4ZGGVTTfokhxWfw0cdz6+4khMGMnh/zRL6KGcg2qBB8nBRTPebDv6D9FN38JPcJQ1UqcIgHefN mwjV9HCsTM6BwuUVr6WDg5qIWAMFnLmy58/Q75Iv0pFPQOfpdT9WiQ/JnHC2SHBWevS+uiPiL/gS 2buDYskyA85ErxIEWcWedI22DxZQEDQITXPvRa8GXCt/OIo22fe0+aCChgZQEBa8otqc3JBKPScl dNFeCjbj2yFobP85DpOFAKp5Rtf7E+0IETW2iZwbw36H/B8nmHSCxojBZjheElcyFd7gH8de9H7j P31yN4dNpjMA43nC7T40cpkKQ3dkObgaG2fum1w90PEVOkSniafCknEZq0ZD929D/LlASYuwGgDv oA+YVVtU5ojPMUJu3xye3+xxGetthO6RG7nbeV9rZZSqGcXFOC36AAd8IFUP8OPiGjZgOmQP22Xg TxNHKH1XkXj4+WPms2InGME2Ur2UIOOsFtJ7/3LgD+btwSkb0WFcwcxT7qpStuOHhBbL1YabaszS fBi3WlMwnSqX0VHn/KpUNaND6Ap6FtdlprEWSWL98DuKDumsKCCn72TV4ZfoI3X4MdSFAhpjfrXL U7IrbFkkX0gQxOKZZq5HlNBtkM6D0LEBlcSrpnNAesil/XUXTsf6d4BlGKhyZ6GcKG4X9CxZfNrv FzUQYftojLQ6G6AmFM84HKU9bvw0kxxOcDZYG9kPREP+UTBv6XoG9Wktk+JBpvZhpyO42nEU1A/o oN+7ot6AE62GkH0pd80l+DdJW1ELVbYrj3OK6JBupc5hHd/I7+jVu6YPD+Ri/r0s0PloEW8JQxEt pTqJuATKy+XfIFAU+uI+rqF2auMGPOS8D6HCqkWApJTi30z1b++nL6R2ynRYkD4I+XihL+7Dyu/0 hVwDriZvFn7jngN++oaroFJfu7pHJaKgeZ9zjXptKXLxUy3Dxt1woJxhSS0zOpbhnS8qxlei2B+Z pNmx12lleNC8JGy5uL2/dtXl4xxI4+Mxm1oMy7nF2+bsQKfAzeF+L7wyul4q/AS9jiVqjfvSyB8w xivSHQ9yWIiaG5wivZsGJd4+Z6eEAbjVE22khpYzTFBXpHfp0m0CHmNhqJFBNfum1FBM+o73fErk YswGQb6w7//5j/Y1K3+qJ2cjPYfkekL9p/HFIR3wyAYq23jJgqySTvKYIRQrjhDsUDieFwh1u9Gi p/j+npOPAy/2N9NVIfCdo4vNhz+KZzuLR4jTtfnv15QAyD1y8a//3Envgdwp1gG2YMt6LObTNGGv CmNioMmiAL2iRAcVnZ1a2y/XbwuEN5Ly6FxgchCaunpQiB61eXmbAQm+Yd5Ip12JFoKIEjnRuDoj L5Lkqd1iZbgeAORRgdzn3EYxhSHqZ+7yIRAs+x3r5KBe4947etLyiuiPIUkadEQmJsIGtA2wPtyv h8dtJCPPSyu+tdfzguoBFvX0kgsqv7rYKsnuqRboeJ4RH8wadh6n71sLcT7bUpXNozYUkiphLz3F I3YkFhS+neQNWTnSJugA9UKjdzs09bFz03yOJv5RkXF8x6LLjEC2RXoxfLZSDGlLG4yDYWpHoNT4 r9uS1m69lJgM1D+jxXrqCj65WaIB5ougiKIvDwFI1S6dWMl8Nab3qBJRgUBhpLafJmXlFR5oUb7w MKfYfHfNvdCHY3BR+l6H4jZqqLADPiuhqHVVOzpkG3CUi74+EIQmaYLk2C1T1y6hSCLvW7RKkd6R d01z2uJnaKb6/a3ZAyDNhDPx+UzptnDnUxLzdVIOzZlmJDf02JIoYqD3MOH9z6l7kpugB6huO+FV I6Lu3t9MbpNYmiyWvAieycgdFTPQ6W8V1EfBlPCe6W7/prO/3TSzchEJjtRi4gN+Vuoy9OS8067P yZCCN0FKA4duw4v+/OBK1Th/fOPxXYnWnyKIrmTJupyfD924iD+IY6FlHiMIP30WcyIc9LHx1uBa U4Vesh44lrMg3D4XWosKqBWwq4l3t9KuHujSbWI0iJ8cBo1wQ0SFivJs46HuJF9veu82jtt2Q+qD /qqgx2R5qdj/upL88gQ3+7Z2IT9SeM41t+8NKDEWEW5T65pExxViezfmdF80uEW0GS07hc6SHP8Y psOVXFFiGcUgmOf9g6t1tw7eSZT6S1a6SuEtogbEqgIpDI7HHGhpQVbzj5VyIbPlJZOu6yRcL0/e /zMPYl0O1ancgAXrNrw5Ld7hqgmV7fm2cmT6JzTldM3aYkkBVmcR46klhE08aKoSB2tgiebfB2Zg S5YTjAJBeBa1itAs63R+kBV7aPUq8hApUkWLdzJ4V1hWFyQcLzES/TagMzEtZCG2688zf2R2LUKr 6VmjWg9v5dKMdpeI/+F6StqXQnG089kA7QCbmd/VRwCKg/Ric1zWMuFpwCKLwU47DLx+XXPP6L5q u6smVl6bji7/qYEtVXVNLXAvURRZw4F+QxuESi2FJjFD6JhFfIyj/cVtbwi339ikSQZnarGenBal uBK70TMeHfEAnInrAmdaT9zyH+ZPJtAvyT4scVLLVGqU7tGCDgL5/CkRs/uYPSAKWKgtPnzzJJV1 s0fTEtO0OUJqQz7Mq3tt9baKTXCepyksNsMje1v83rA4CJTZNRFEaj64RUUH4XgsVOSYtH9uY761 nhKSMRNtV43gpkELQOd5AAywy2b/FXSkuCBoMVW13acS1qv0fjnjTjrMQGxAvfddrFnEKcpJkAmf VvanFvUhpfeIS024d/Q2ZjTku3y208+Z8PJb8BqQe7uitdKKQK485fJth+FHzpE+9RMTrBImv+7N U6wxToAoSP/Mi9oXuqkXoNfCwDM4BQxUScUzpC8pyDlUDGcLPzl7FhknykoTQzvygBe8TCin+zfB ClbecedsfsXouXuDYIOWeE4rgqE2yVaLVLJ0Bj85SB4z3qI01p5souKe7YanvYZ+COoNA0WzE+t4 2g7SU267NYR+BlanFCRvjYb9VUsp4xrtclNCQSVCY0uGUKPblg5jUjnPJhUv9VCxTXU7VmMEp+UF W4apJjwEG3qrORRKH1LQUipfOZVP9NeaJFwwBnrTO+Nqd7HATQUAXqMLqCblUpuFbSuVUk0OdTb+ 5pdVYzRvJk1FpZPQVWBanFQRFhKvl5fYUBYg+/R56OdPajcaaY8EPsGeRW/YZLKnDYDAjsefrheS XIS7yotFLAeNRblcHiXMtpvvBIhb53pBxOL5NTcB/CegZXyxveV2Jnu5uw8eOzfPdofOVh4AeJBX LIOmUxU44v5iWfT3VIFsu32VsP8X2yD5ON6DmZZV6Ez0sD98E0w/gy3Fk7+hiYR+VjX0Ophkls/l Qwk/A0BdIQt3/+UanZc4rPPMCtl4G8qdXAoPl1XQmq4FBuiuKHafK6ENbp8JcedwCN9fCVXz6spF q/ecNDq0IRhgaChdg+gkZ6CQT6Nimzkh7qseFFObQGE+ELy12oaeuujeJXJ0+3hOmC2eocuXIJap Zmz6Hf3IDDVG2/NOhWf0dsXialDDhvUnRzn5x+9wFGuFRRb0FpguNWY1ME6BOYD4cs2Ky3n/GplY TtOodnML9AKPQlyKEmuvHKRysrAJiqmL3uihsmKK6y3h/8WJOCESnenkWYWcRcw1kduVo5nt8sUN Unhc85DNg+BYHggkze66IAJVt/84m6kY7Lu4EVCOMiBpY1/ade65KPdVz8pVLaXhN23lXy8b7Iy/ 6ZyQ9hzQJBJJ3LOdPvNIOTy6NlfF9eC1oNxeZm8eVeY/YESrZos5P9mMUpy1IB6pMU6hy50uGFkd rWi/aeS2QeNamEsqCrKyLMOEOP9AcRB8biozclMBBM96cytgXPEcEm8OKiLiRjobkvzZy5MyjV9A SJibXYWm2FQlk7scJ9p9/tGCKTnb5HrOv2HaiKqgQNWh+iF0utAJh0Dyd7TOdizNhi84+hFM5ti5 vE+TU2TCOh7orQANwPqPpF0325/TitS4sPKBJfx2Rfh0+wkpHwnH69E+j/x5E8WV5LPHCZBXy9/s uJDvIATDgk7N0hWJyr4z8dRYppB46BOCet4utPd01fRr/7KhUr6T1oBUSCvmLgJ/JiEgIk5J9MF4 s5nw7t0LFoaUnXDKc9FY+JtIFPlf5+jdzOFqKrSE+HKHEsfRgJYiDY/zj0WE91cnHfeWRzEqn5RT OLa/VSx1eKyZ9fLpclM2TO/Nvi+dXjgq1KFg2LW9sd4FTrjZdmDr+va5rA00h8EnIztO7yVJB6ft i+AGmHRQnSDN0TBA78Aa0MeQC88JT2EfpVJQRXMVJVjdism+of9i7vha0ovkX+PtrcFm6Xe7bVle lVFk/y41hjtxYi/EVBEO0uEEB77v5XZf+EHYAlx56lJJ/UDykLUGMFB3mcoSyPG6nBMVvWcGGrfM PeEHLv9JmRg4Lo3ZRZLuEAdML1hoSTVuLFHQA8ELMbpV7Ag1b3tdwnYqWmV+0nExqow0h4CPpcKM d7Yl+AmbXwR+9EVScWYPwhPCC0OvnyhbAmmoFoyKYIHpXkPgUFbtZBhhdPed04gKB75tRF1/0xVw B8FUWDT5UjgtqYXdLfH2lWTbNddAX/nGwM1ovxoZD2vv7FrC/m+Nl/lUcM1R/Vji6hOp4qM7xxAG OsiP9YDBsFei9xpZbAP6+ce1A6eyIDQBU7bUXiL9f5qRSqFIoZ8RYpCxbmNom9EUicssP9oCYy5O T8r7q0XQa8D0iEVywTcxFoeKmCXoY6sOcVsK5UxEYJ8T/Ry0dngprYyANb6P27fuMgHu9KDt0OVr FG7L2PMlM2owcqulIqBTHz+717BPUkcV89V3eEQSIoP23+ywQrt+Xn5CKLYO/TexOom82Tzzn9SP 1+XAObw0fu7C+ApveGpJLHQqOAHT2UkYFYCSOB2+xbuehnUrjGwCHaG10BA1DREk5PxJq3/atax0 Aqbu28cVhFmpKAJ3xRcFZ6Mit7V3ZLCAJaT4pYlHESbIlImX+N5Faam+S1RXdkCWm/fItVVJ03vN ld0O2grisLKZ+PDTC+BTR/kv3l9c35u3iMj7eRVyxuj8M2xQCO+w3vgM0uIFWl2Y7GRL2DrzEorw Nc2HrWJmLY53olcZYcdNPn9mD3l4BD8ApU7n54KilCkxuz5jCPUyuvHil9lDCLKnnQ14yj+cNvbR /+a/fPBw7RrG3u4Vuj4icDYuiYVsJOheJzvA1gdL/YavWLRi2BSFtVFYV0TnVNPai4Bs7FrigQ8C c/5AkTxag9aeAqGTA9B1BoxdZgLLAN6j5pvGvIIuJEClW8vziJkG23ZyBqtW1s0yQEmYYGPSrt+Q gNAfF9Amt6P7DTQYiUcPbL9jc7aTRz1qXZShHtGOQA+qm6+vi3t+6ahyF0JreQ6TRsu3Ma5aFgOI RhI7hCAgkDjCOJnJ+M89jNpok6RJjmNpQVVEtfyU9SjIoOCDv4KrURb6DURZ6koVaiW4vE+Nkzj5 Uzx91Nzx6D7C3IUP9aK5HY43q0NQy4/eAduzz3ewBliareX5rdNEwxOE32N9Spdb7P4GXxtWUO1/ uQ/tpQU0L7bQebFa4Xg0I/0WCmDyQRBNH0Ops8+JLQUcdTKEid/2epmBm8dOW0WBIrVzFA7Ska36 1JwKGpaAbOz1O4/geLOuKCUM2kHuGDq0RXD9YcUAR9YbssMSkKRWPADnqBVLLU9LE/UxnFDEgN4S dmMbShQ6fRuKJ6ddL8mfgG7BKzutqfh04LV2rhWA01Y6jdtdX6WYxIV4DrSNul9Be/AyLkXE3IpN mhxgyZiGFECYe2scOOEazPeQXza5fmjys6fj2WcqnWcvZBYerieHOWoP6qQBWfIML0dnuByy4kyJ oM9gJYHiY9rWXqjrNR2Ir2g4clp73BjRlJ6WkEgl4S3X++cMAkIhbZ5Cl34Dv1+3DWjKi+hetmQh mtfR6eTRoMP7Y0ueHW/imWgp2RJqdjQVPCWbDNCNx/PAY7LgIqBoScPRk011qZbe/78Oe7qvpcFn h9TNr8PpvESe3P3TZR1XrOr8OGwkLC8Ml3p7D1IZPAg0g+R3ZalD9C6AkmW568TymiFv2pWvNVCj RLXtzTnkLxg5smvqRCLeh5dHJNpmI+ptjPCyAjuD0q7gwAkxs2KoCyRQZu+MOej49lDPwVU2EsKc nNU+6DX58K1mAy/e0URzTMSg4S822B4sYQF3N9MyXX0kU3TtrHssrOGtEoexg4qdqRQaPVNOErgH ZtUZGAyBKGLN6mCiTJxglNwkAZhgC6UCpArDTZBHGcrcOzf+nTQaIWI8K3BPNGziE+w4Cd91zfuw 3Acqzr+1IC0a4Mr6hZDxTW1FP4nWPT0gMoHBjtdPl1E7syGfngrGfggSwxHvEwhE3vGK41D/R/vq 8VyLNx0S3XJkGJv2TQKcggUzfpH5qGoQnSKln1MZMXhpNuvfkbXzChFxVZHcRW6A5i1U+apztbzH TmuLOnJE38M/pdGeT8NQdb+TjAsMKGPUOAisulQsn62bKanuExcO+/bncJjCAL7NJTgy1SBgA/lX tAFwrQ8Yl35sfttAbK1A8MZkOvxbs7NJcaQQtppD2YL8E1/75ZHUttthqo/9z/NHnTbzJp4WhZ1R /09rFZVe0R+eCqzpEqQu6i0H2cHg4p4USGiv6z/f5SkxFXZ4Cco+HvfkF/tadMyyHDdtQzb0OYp2 YfuCErM8ET2YaAbM89Hg7Ti6wP6sJ/Bzpv2/wMP172iCcyFxcyJNbt3gmLDizuxY458cbSRptJq/ APbwXUsyYzPKLW5PeBsty3vU2OnvTemUu0Q019T8bmucEsD2VA6bzYxHJE6bD1sASKQXZlyGX/Cp GJa6TQx54x2/OgjzWmB3Tt/mKg3WIypMTo0VvCy6F+IOCTNVNWk+E06F+chpgvuGxrzOxhDJRyLC 6tdGjX8EZaQQiwQb3PPPG8EFvKxeDMjGBF+FGfCKs7rcndBANJaifB4kvy/B0NLuSGNH7B90Wp95 29x1vVZjRQNl+suq2I/eA/1SKab8zJw4sXMHv6kNzEyAh+bq97gU3IAZmX1MnlC8BF0IHE6v5FLR KmIYy7BnvDjZ4Uc9vmAHmW/DMvZCD1UIdieeEO3bf6omXoiBpdBG6lpdWxGW4vC1UWMBXNnxi4ID pXlBDcse/aEAtUqSNNJ2XVigGHEkLL3t7MdaD/1DYJPN13k+5n4McARe5Nc2gmnGrRMrDE7aMOya XXYFXt9Cn9/YPO+xCUK6hFm9KFHYYdGcRFgto+mQhPALQ+oTfZlGhAnMZrMjh76L7lpXV+f137Xp KLgZBGluPNIl6zrAcNqXaQg9kaFG6KPvB7ifa93i93uulTMUC46qhu5a6XyoDmL47xKchzYojbIp KgvcAyHn8aNXDFMNOic7W9Pz67xaH8FLg7uOrJG7hIsxeMo3RvkqdDY8MW+V82lAxS2fgdeci+n7 CC69mdX+Shs8Xu27BLlbESFaFJKZ7R4xRvh2zFmXZcky4jm519+SaOjwMWrgcerLcPGz2CfqIpKO PHgDrJ6QwIz/APO/GTPJVMol31DM+pcpJ94Ow5ahGomlTfd9bmvJv2l2hDv0NJShRmfOXFsQrXEZ VrEKQ6cf3a9eH1VMsH+1ICJIEfeJIDeGVbUeHZummUNq4MTMnA3/z/vCdNhJBju2/p3v/jkxYwtl UT1DTpQst0naLpLrdV7IuAuQTLoG8S5RImOUYmGP7O1ooJv8ZEsoT28Qmd30cmRPZwzfN3V6zxZl F3/HI0NQvcUenhNy7QT7OAVXRclK20WB645GlH0ZDCpxoon7Sjnu3DF9QuU/KIL4NyfSa9uWuCf9 zYlgYt/vXzcYnmfCWZkoq5kd0kL64szV/IenxW2J2LDqF6m51jPvCYcFl0mWGgwjHRaIkspITI/G ISuMxa8qJEBpPAQpRAPcS7A9xOWyeVSzfufIpuCp9YfrMckZOC8m30StG22+Hixy1d+9ycmeY664 /gmhsgi4WUEt44nzcZ3uANuU+8jCXrlUm74590CBWQyDfUL1KpDoslM5qKLNydG/bZeEBGYl/CIA M9RaKLSh4+Hh0zfHsEGawVXjxQIDDq1U15UQTCNZwYZRe1O9yYhma3vAqk96/HVT6lRYCiVfVkcD 6Xh61clr1ctO+2ypRBvrKYKx9mRbb+ODBebJIGZGyUh4FG0pg9suT1ozH6WLhGtkUJ9+wDGUbNIG 4s9wqGTiKXyR27NwEsfISRPBdMd7Ag0mXOwzjwa+2pHLqlwtW84FDw0GXAx6tuqdTZHIPYlGpHW4 Ke93g1GF9YmH4jtJ1U9wuUEDNGB43dATL3zI5NcFhGeYEul+DBqj4zZ/Ho29LH1jpfI6lSrYnvqv wiScjK3f1og+mxJjppWeD5IleDSCuGnPMASREq6mam0jnU+xLLJxQkCWBExIdvujCp4AyY+XZdt4 bLV6yuoT+YUQCntp9gDa3IQmNQsvnKKilUj7gphI++6ZjGziKEXnSXIJHbTorZtElg9qlOan6tZ9 rk/tFko134UpmbNuyqATI9tYMj67XeorFRbCkLyJWgemhB52PZQESPdYBOOmCYwyJqBAt4EdlgP/ +wDY5kGQ7FcBQyfLRPsCs6PUCqEOEYuC8PJKPkCFb4WOj2bMjpKAvIznyvovB9wbHdlvfSTTFmt8 95FFsMlJB6JomkFHGM7gpVjia4eAM0EZzmL7Wnm0aH4Kp3CsV5EkhgWz+O2Y3K8lkjX6XgfECvBa RptCIGHPI1JiXi+Y9s5yvW7G0nz+F5Og80O14RK5DilviLGGXTwXiOMvPgOK2HeJUlcMFyJC1rD7 EFJgV/L0dpxJseHY0uqVU1YhvznrkqkQwomLc9Da8/NK6IRKyL3k4Wq9JVBp2PzXhNQm7tpigF2M zxFbm+1wL+uZEvEHJjLbxG1p8m5sm7J4tzstsF/F5VQYwi3H3JRwbnmy7wQb3adG0ld/CFN2N3GH FI/ZoDjaSOmxlDJW8w+VYBcqPNtlDfZctvWMTS2oHYbQYjwJqn+HhV4k6G1S/O3c8JwFWa5YBeVz A/0wJB5s9RD8o7Yr/ODE0HGcVZHyH85H/ozQSFwHA0fuehlooqVP6yy45xkJhu5nk6wm/+ze8DWi upgX5d+utZdbS1rSQYMPX1Smp+vLbj6igPmESCNY0EKJV7Q9yMHD5YVinsSHKeeSGqNmwdrb0VRl PSZdCilnBeu9whaxo/sk3KjY6Y4ip+C/VPD0pL9bQJkVN0+JXYbsY+supHvS+6l8C/d120UwbbOP 0nU4oI1g6E3SIj6RXA9MydX1yrCMcHLWqUku4Nvb80ZMGzJrL4qT69McX3ctKFMkrj0eAt02zXZ5 hQzpCcQyN3mQIHINwtH647wb5fIB4yxG+w+OlYfjLj26mv0l8x3oudOeQQaFkM7l/OUFF4oH0Zt/ OPxXkhIz1OweK465xSost/YRbsCiR3tymNZjN/pVlrZM58yocucfzXfm2fPn5qILOhMdfmaUOtnR SZDc015BwsDIeX65N2kVbToBHU0XJoZK0lQD3/kfxW9K4ipSYkURY9G1OWMk2r+bq7t7BFglRvRM ro/CEx/0JAGpRC0sgQncDipnGjzJPaYl1WSA+dhCnN6rwz82Lm0IwfwWNTOtqJWx9oMMDDakktAw fLEYRnL7mw38kXKdEJePpxOXhxSHA/lEGGDbZLEUZ8dcRUF+8GJUFMojfW56+CpwtcPbm1TUW1r/ 0OZZIsKBF21+tSHVkKIebr3zH7Sxkg8iGkU75DpaUZNsYMUC3TeetTlrt2eQO8NPywmyiquqpSE6 u5besWOGVkPu26MiHwkn7LTfUkRJ94CgVvHYPF5vTrRPBqprp+tLHGhJ1jCVoW89jomoSAmkUO3W aQzoG3Qx5dM9Z2/B7ZAwJJSDe72ll3uX55F0oBgBnP0NVn6wBujVyS+Kzb73hhYNxV+B+lip4qiP U1ANyxKtW4B1NenfbUoBdGMaMMAMvvd+36dK/hKJA293d/UiNRPpL516H6auXUGllg3OVZK+JSNc FHPiW31mPmp+oVYKn1dEu3seSJ/CMkxsSch0UkWrmTVWCF6JP393IGHPJtKbkAGMEWw4oFvCYCr0 gr917QsFqeYXNgGLR9KZpeADCHqP9pyZbBapFBar0is61teDdRfmR6/CZYki6EYR+vCAxSh/xPVf KRGAmQXbIXTj24XVXacwxiSkrHAgtqpv/hCkRKEmDbhDpys7NIDdMX75F+JfEnyjMiEBYZCkQfNE xQZC+vxnXllbFr5ROtjPLDWGM64BjL0n6u3WH1d+89iMRgQYp6rPkPUvuTq8sLlKkrP8t8Cw3uTY 18DcCu4xm/9foIIgp/VwhMLAxqblPjyv6jCOE/TZMvq6mwsSkSZrY8BReyhKAFNsTFDOGJgey06I 3CRxI75f6G/900kMEQRYaJgPUZs5F8TTfkRmIlk2Ow2G33wPhz3EOZGCAsaQjRTgbDelOaeWyr8F HoDBiFJD+T2wYc+np5skD02hR1DL7X5DrngzE1lyhpMAcD+oQ0FwCvsZjd7TojUtM1MCrG+SYVnR bgsKDvsHD+FlqpySMk6i1i/3atlN0KqFDx5NsyQsrZp9PXjQrWjtmvEJoH4DfdIdTRxhxkBDH2Zj q4XPzb47RccYcahyTljxvs2bQC9HYgpjI4RQmHrywINzXHyTIz/qb6TM/EE6SKPUgedgjQtcd8Ai PR5lcV4gwd5KUTw9GUuT/gCjRN6Al/HMZf/OW4nLBR18E4nPD415vipJx+IfZQgeR3lk7u0v5rl+ 6ewSaz+jj5UGt0PjORzQtvzySV7Skd6hdlJLvaBmJvondJwyH1xfvK0rz14qO29qoboCQqCMvhDQ 8pn4UN2xhOn5JUh4R15hAPt72G3b0ttqMKqmrMDdvTgDj6zQ2rGAAn3mJEJXz/FsbSBruvLMU0dc 5JtwgTmcWUFZma/hgvrzr64PB27OhBOZ0jdHH+SFkFpU5VnoQtc/Y+NC6TREPaqGW7OB9L7IBpJh ooB8OsuIyp5mhr7gV32nfINJZJvdTS4UDk+eyqRM0kz96RqQLnaQZo/6UTPQ7HKWnZTDjdUPmjev gycZRMKgzqqbxyjJ5HAGtY99PmS+SXQ+D2lBOlOvMnPknBJGqTFQqx1KIDvF/jDnqsZCdZuKnxj/ O5QMBRXWcqFp72nj/T+eLXGA6k3WwAplFGap2u+E6wXfzKPIkQ71Q3qKcU3suMy2wO52O3Rtl51Y AJ+mV7HfmZnyMOklMNUcu/FBBLBZ679Z5HwUnDIwPvTzYHklWb2M8TJA3DDBILLFZ3GEUw9cPDAC c3ctFzF0g/F4I5ihUwrz9lHdydZInRpF+McuXHV4QNJfWo86efvzPQVVDoPevvbuv7ulzkKQfOGd Btr6Ua4OrzmAnguLVMjI+weaiOqZ5ou49vuVYgnmVwLs8tLSWYn4Lqaq8dXOMOKATVcn3VZ54zS7 Xsz3z/sW9HAcIFZz+Uzv/De4yWqCxkEV9TXhwG3AdBMBBrnbuJ74qmE7FfHgAFxNMSPUYUrLLwb3 il52UjI/4YhRcMYhIwPGk7QaVf2uZe5i1DEZ0CE2wgLwAn9fB0tqLGoYB8Zd3QjUoiuW6SYGWxAl ve8hrsZb7g/qwpMCkHik1LE4TOGpo8mCF47r3Dq4h5xygcFk92NAG2aAvNeHhjC4qerQC97imF3H UmILi+8CJ5rtrEFM8B5gf3mFBb7+lGzlC/c1mO0+T2CLCMGkOUYBjxsMo7F+BDh1kwzfKv1goukR TNXTBpwB08mAfdwMQ6Dn/5nQbuQxGblXVkJkuXCS6W8avgxYtCQKhuVmcVgrK/CjgQe539WLzdsz dwmjyR7ggIldno94CZpKfTKcw2QVSOk1I2cCqTjC2LaYQbSnkGID0KgRqa33TsCF0x0ql/7TxnWx p+nIS3UhU5IhMapKRaJVExPe/8jBvGs1ayMbktYvYKJPdGxOv9IGh4HqmhVL0ocWpdkInGp+4VrW 8SHlPRxlcIo8lb+e+S/xAuIOym4IAMPjokwhuDqHLjgNR7X9AgeocAY/NoFstPwgwrE2xKRRqlZ3 qNq709VPaiv9JLGXKTT8Joep8pEBK6fYNVfz4CbsQFXB9uYXhGbrXJ8o7brt28kIKO3Zg1Ow/ydu Rkd3mKAR//QpJbvh1oG8ZpzD5qNTBRqd1Ztc6wSAffV+Fp5TilmMDc2vrORNe9QFEn8A55IzkXIQ ew7a06XzMIf0ufBwA18DuPB7YZdsA+UXwD0J0CVR45+uC/1mRMb4SXT52455ejbLKm/Pb4fRovYe igW4k7/drsg1K0X0v5haa/Pn1ghyAdcbFEKvSJqxBUurRCSLi4sMUpP2GgCEMsiR8wiNgrUSddVC NGM43HALiTE7WGTjbeVBHGltP5XSR3pXqJv4bEsgTBItLxVXuNrk2YehsURik8fIstErRu8t+z7g zBlGDYbnOdaaeLAXG6SNDae3tk1uWunu8QGwYt2rRE83lh3tsIggcbGYUmybslWU7LWqwQejxpis 71p9o3++bHdzpb/tbex3KxLFKIs9LBnPPAVdCVKfhDPEQXF8NxbePyigCyQ+Ar85hXqbhvW25Rms pHKgobN50CgxvAmzYECulTuYRxsX+K5mq1eqD+/ywC67tIYrlonIqkgQMxjFjjDh6m9oMiizzhPR 2nDC1yoyWvNzYRpejVATNBSOSsdtGsWxOM5BqWRRPNtUKtIpiQfmCKYQsZ2RzsRCXUUEpwxb1pqY gg/pZ0oJoO++JffAxeJwNG3ETzGd/5X31Bcvpdq+QNvlhkE3GMXWClxYlvavMqLcdd9LWlQYH2Vp 05Q0TZWcXLtIINudKjSVo1AkTdI4HKhiEReOIuVEmgdpgjZkxLQyQaRXGSgkA6jrIt+3AXmzHxqE qwza9i6PopqTPC/LB15I5s3NTyvpLQc2pRjK+KJiMznlYkxwLR8+zIyltTfrD9E/TNpdoia7uQvm gPSc7S55fD6niE/XhiTEr2Fi1OY6g918Qua3fFeKJTzWO5mLjUMKjP2rkK9h/9abLvHL55wn18On jCJdyDDhv7/2rDNYWTiZ1lFPG+GbbM/WslJdUaUKPdKvL2mDDdMgD4varteTCeeE3pw81n+3J/fm v9/4diizCT5pBW15qmA73+FPov/577IIC+6otGzLBFVU5/q+tG9ZNdJ7MVLX05jWLfpeGe+snSsY WDYCZZuqIBVq/cdBjD1ZMGzgLq+kRuS4h1W+e1yB46Jx//zwZ0keNXncrMxc8WZoJ8DXtlsKGhQt 9dZf01Zv05+VzqdDi4YPcRKUwcZvjuejsivZgNxNdAhi9wWdsfg9E374O23CoBLkhjla5dww5UAo QbVpVdXOlY3dkWMlat7aqjyOhfnrQKHTSUfoDrZfEU6rrsfxpG9PVdvERUL/Tm5RQXGEkVY5yPsR uaIqIqnk77iQbScZQT02fs0WVrzJ/XOXsDQR9U6QXwN1NiREsHBzK/rbXiaf7twh0xJb7U96askR kOy7RrzyOb2IEeohUy/Gk/I1BiRo7VbQMbF2PFmfGvDWARGWWkSzHZheuhiliVyNKiKJPeZ2nBOP kgvmb1DDmHa7qEnn2570Yb40wtbdJNaHckDnU2gJzdSvslCG7YdadOBjNe8w5m2yqA1eZc//SoF6 pjVTRkwIVA+jLEZbE3L4SyQY48rkaNqfCT78jX2wTEOxVwWIzsyQsuXlCBgLQzLnbuuonYHNj+Xk rzGm+Wjwtg8a2+Jfvosjs5fsGYKtaBsg5BuXoq9xH4Isn/HQIrHM7Ud4XHofRlLYlp0HONUz42n8 7Rw+PQh2hxXvjaJsVNcvU2WLkoMfBwQizcSPswSo1/3WVjloH6VnrS3/z4Ak78UNvRelGe/C5O10 /zBtXmsQNjDzH124ttTcripPqml9LD2SZi11+Hhru3hBU7Utpl4vwUPABAxRuqFbrcUan+HVyUZr FPOtG5UnbWUcY5vw68vFiz3Vx3jqrn6ohgc7cHLbDaTVltHjQh2x1+iOJkWuSBJb2KOiSIdiISRp rg8S4RdCIo/DBPdUx46ZS3hXu1j8cuj3FQrx/z697Q/2iSRHsnlWhaPxUhcTWnyqzN+7ilAh7Mb9 Rthuuxita7axP5QaznZBN3dpF7Y6jdLYo3/dHDvt5MCLSbh/3HV96oVMsqPsguF99Zn1IWdXGBfN VZ+nPWPz+uUbXGUNWciND5eskGty3zPdZ+pWaP3h0+emn9WVuW204JdT+33wySiRwpMzXdnOLuWV 5cheJjndgvCRkVqaXAvSGl9nOqJ7uz9YyChX9H99JzaU4nmRiOPaQU/dMSVlFWoj9Jia42OsBR1u z8tAJGWsxu2D+jo+LqnDQtvVwlPWYrJoQmmsUdgqeKVbPRcXbiXrCoizj+vMsAYFZh77olD82S1W AJd/GEYkFTXM41UD7YBr4Lv7Q5SJl6RvvXqbuJ/I3RsFct3WKMpyLurD0L+m4lWsh8NLterviB9T Ce3LvW5U81FiRKXHYHfp4p67bfk+H42wCVtvqQi7mPBAyNcpuckpPVqutaU35fTQRPNG/bmJHtRG v6T1C8IwkSX2xHSJFiEqgf8IMSxuM4pVEE/ibiXOeGNPdY6xMj3VC/gwCP5c4aqsN7vullrUM+c+ vz8RHalsV4hFDf9tDvXEWfEu8AkOgvg6oObCxMhmObeU79ZMeqHqiN/dWkqA8pA53/CYPJrCs7L8 D7tetR+5r4zYT9J5mlPlYt7FdSkkKos6n+eAUU61uWS6zsRUxHPjDPlmEHR3v+kDVYVMR5JhieLh ZzE2tF+Vvu87LjC1tVfmVB+BYkzZjblUQL8ZoNPXIkJEgDEdyzyVPCw6GxADs1KykEwJJudcixt7 gQzFpFcL/Px8lc5sGSu642Uudna+ZUaooVIwaVKyq04M/Cl+0p8ctzrfZq6VcDlHZ6Uv8O3EDaz+ InXnRcLLI5vZ434aAia4Uw0k4XXXX851V9n70Xhper16Itw3UyZbepDn2eiSUS4m/9nwxAoHOLbO xwD0FlFtgXrIvm4AY1kieYSVern4hVienPLEwrl95Bp1/a8Jr9w0iZcKYgl56JoNgCVoBt8QU94+ l9sTKLHO6y0w7DAGK4/c+uu2hwoAtTL+P/suZ5bkuHuAE+wKNmZDYbTBWIlNrPA3nevnx9kWsOWF q368PMpYCVhzABGkvaiSPypyg+aEc0hrkVJKr+j/7THc0XAhagabI2YhqU66mw0yEvIGniffrzVh 4Dh12HJ31Wf+kKbn6ZQ0kfKYXjqagdzKDvp38UmhSP0YFRzB4Nyw7TTQK/b9wh4k2F9GMWOKpiI8 kJWlUG9/JwinSOxAYmfEifr2s+27vZUMxo+9fFRQlvKHQW91yzhXfXVAsBGFcu5RB0mwz5A1VUhE VLQOKE4JXs6GH6kM9efyr15l1k545jAxEjwPj0FuJfVUAnvv11cJYHVqXnt3PUODo012rhSLyp2O ucaboTPYIg20b990N92Fyb815V5zbOYczXt0oZ80wsRoZcnuL/2C3d9q9DSqQPLW0ZNSE6KmOjza ZH8FpS6K07+ZltzCIp/CpxduCyBpuOPJPmkEMCQPSRrgLdPvoynT1hi5gdSWY4kVJX9bPgYo6MN6 eqjagXn7w2Rzehk7UOzzSSYOIEhE4QeNvH3c3/7dmqOkmVJB3Xm7GXiFb42OymlOxNnoUPQPTVYu zBm9yT7EkmA6OQqlst3w7M4u0ytz+fG/Nbwwo9rVZXmVwfi2y3BsgsL77uNlAVtzTUQbnUSP4BUF p28jBSEGYPaeAr4C9b7gxvEXXjKmTTvKtvP1NlXeF41agB7rg4c3e/YYKS2f9zD+Bpwc5VWNXW8s NO4uB7rye6VX3QOVot3NmMUkv00uLImupdhVrv7QkQ2jDW9m9X03utlLZp7pWv8eytzlYn8vkwmi 6n1sUbfcxVlbeDbSeV2MdqUzBYNPpmrLttRRW9xfOEV6QTaVKbyIZ44V+kWQ3ea5O7N532pRkgKX WoOuUvLWVkMIc8gd7cX8vxakc1kdFZO0Mre6VkR/E0N1MuUq1a6NZj3zMwPuxHMwQV+y4avIX32Q fpX+umQNQa4Cl80fD838MAhlCVIoznq1a2EJfuctfBAcWDzu/QCu17zWaxrB4hSuV6st4iVfSiio obNIeWcxamxHbgE+O1MEIz6vVTsqT9RVrkbbHinQm8fn0XdS1RwrI9ZOx8eO6LkNv3psmthYg2Us s6+Ky7PKrYx1XHU7APJaMPyJp7M3s5cPLXdIe88FGt8wjsNK6TpbQNFqQWIW52iwDWIfUa1gYdz1 0x64FwZccZBAzOLzjuJjtGxch7TqvelZh37aJ+bZqouc7Fy8xaFdCFQgVzuLBYq0vHySykj/XmkT hc0yBESlVrpuaCMsk+MAmM6DdshtjmfyUg/WNkEQB2QO/D3szpr2lZ1pgCiHBEiPRRnReTgMiAoT G/pz/ZaB8tqbMY+q+vzCMW1wA8NYEEptDTCzq0nywJ0C6hQe100jmHKa40KqwbXrJZD8cZAdI1QA pQb8I+zzTKGArl85TlHJUnEtDGlvod72NdHEaf3nq7zrBj6UmRluT8mFGacVqr01f//IPKXKLqDJ jNGH1ofP3QoYQCesTH9hL5Bf1WA+vjTcGAXz0pxjADhBDfvHXcX6sMoAAq4ziBo5nu4VFRzNxKm8 hWtJkK4g4SV3pIH5nvIGxG6j+ZC0zJVZZ2uw3WmKhhSXH6IRvw88geBNFFudebDXIJMpJPJfj+Vw zUbkG4RCW8mLLoKf/dR94UDFvGCo/8uOOPJXjxdxlIuPaqg55/p6i2WUw7tUMnPW9iiPrkeBD+5G UgcdR7A1ptnW2roItIHV658Tnx0orVElKDaTTCPCUkZIH3H/7bQfvj2Zz8zP4aw9OP0yR95hpbHi YZ5WMPls87GLUBJ8ktHqFVDbPR3usj/Fnw6wM7X+z0hGLjPSueZmg5vurABRkt5VtByi1DtBpCYf bMm80Q0ouwnV9qllF4LE0V156rsM8MwJhC0dJGGzpmx3oHlVPLIzq2i2H8g9iaoYdNCtRIv9sk/4 iH2EYs9BJGPCvl0oF6WKvD/+FwZo/lXUFj8jBR2mN/sjpXEr4AklTCo5fpvgY7znHz6dA9rSPa6I puMBFB1hcpHJ/cFBvMaYwbxlW16SJovveel6sDoPbgQwwCQo859C2XxclSYz5OgzqCJPKicOVqee Afl/SxBfWTnp5nALXeyZriqzLC/lH84UrtQrlJHnQLkMKfLvZsXkbbEGWj1h4FS0yuq2NSfPjQWp jutVDsHWb1uGbyi1aDBqEPW0ypDdT6y9l3JlaHAc79tl+y7xMm3NQSJ5daVy2g/moBCVF/hVPuXL 8F/6ammJIQsRScYVRJQ04Bh7qX6s8nkr6MnuR5TbMx6xVuINEKjEhvqmCdlh9eP+MfqOU/8MQcPh 2zusDdiCIlnGthvoc0OeBALYyk7+VTsXZUr9xzuP8bIwWCvXeci3DKFrFoExocdN92BlJicJ33HE J5LQEn6qYmUXPPHIxE2GCLdhTEUaFSdVUdVOpjFG6HMcdEswLBgRA9jnu4YXCik0kBUeLg0Fk0Eq 5JJi6AOKFd0x+O5umil0pmoXaM3IFvRNjPnpdJ60zn48/hrSCMTbg+ZdOyhV12stmcSicNl+RK9r co9WB7813Brd1SX8zpiYodntS7IKADv6/PrQ+DpIp/xLBO1v8q/gU4tMPbEx6fHDHkZGiCdrC5uL 0+3LNSlq2Ilx87NA5pqYf2iFyXAKePA0+uu3BQ+/ygfw6QRTYyGctu7GE1hmMf0nDZ0zKNQg3y4l jRT8tyd7YBCr3bKI3q/AkVADCYXyYdmRM1etSpm9gnbh0W4CBMekjpHhRVIjEabIkhzzbCHCvXvT hCdhhuL1zxMy8rt9biLL1T0SMlbr06gzwax8diVZklt+6M0ul6HrnhU6qyhuLNLfBEdopdpY108B 0GL6GPMu0WiscR9FOTfvhkbmVpFLgUmxWBg07dUxlLilqBBZqloRMjb/T3Mg0tr/lqN4jTUfKnnr FP2PsKuvL5NcWTZZJGTdSLD6IoLJv5fp5YJr3WJ1zL/qXWuBbqCoVAnWXFikztM0zB0pddjUQpPO WflwcwQHIyWoAeXK92CnYpJMh6qCoQucL18Twn5hGfWGAEbkvfAlmjds/9T7LePvyFs0KBu+TnBL WLh5doKX503UzcGfKKS96ub3ohZeKOlEHOSUixD1Dle1kmcGVpI0EsAZVR+4SNMeW6oHVVsKkyU2 +VPkPD2EkpTgtg6ujX8HYXVoewqWi0Sci/M7Ww4qFk114Wxlx0TEoWbOD6fMuDyPKvH/QVydoKxK 9lgJeraZMj3nx/DDqXI85zChekoYrwpEVQQNIhWZnC5bpJxqTja7eFnD3x650zcrdTwmyRcLA5Td /G7Z0zIHbyUcbECQifMb7EKpxbdRi7nqSMbG59mE+j5ztt6WhsjO0b9j3LHXOOUKCt0kbuS6lSvf sFn3YNW1fdAguGBn3/+WZJ8/BZ1nfnlOfVAiOdrDREOgcu0jUHBaqHzD7LuaH+JAcZV2hDWd0AxP YgHQJJ8hhwYQnrsR3jTOyVDzpiQBFHiRrjZeF21TFU1MZ7RhZMIhuiWAavqRM/VdJTircAPUiePI q7NiM2LlM/4bztjt2VIQGjbDGtrrxpH073+fC77sFZJTO27M6sjp/EBYBzhWGttCOwVtSZWpF6kW JO3wMSzaOG5DrCB5Yugy4eHmoV3xOidUGQ5mLkxPOxTEco/4rmjdV9Ri3YOko2/90dP5Jiu9ykfa WNf2nd5BfAu1GeIcMsDly33QUAJv3cHYIO1WJXZ0VC5Un/3iSLAKIeMZVKUIUivZR5PJjN6zC5zk GkFYXdFJRouFDGBmvFPr2qcBz12jGRZo8/Kz9xTHLQKlX9Puc0PIjY0eLqmCCSQdB8HEzj2L0o/S UmkpELt8GOCF+EMosQd/Vspqa7FYciF6wX4qnX7ALfp1DBUJRrXQNQ8jKWfbW9c0IwAcAu0ahLtj tSQ3bgQTtDdQPdGGZ1MLAutw/d9tcWYHfj1FiRGsw60oKacLIP6XT5NevyEoHzzkSZ18ca/9uaMy WQ+u7KT5scIp3XwaA/L/jZMoxRa6x/9/gvzq2Jj/iGXCZqMN6HlBuiGli29UlMX7qQFxFDZuKyCH DEOWjyQL3J26porgfaAX0ZnN8PYCgHLgFd6UXZzfZYons3FtsBjTDTSJbl0rmTniO5H5tpBXzfXp 7U/feZSUS1lGd1vyTLeersnM/PSlH6FR3IGfs9MqIjJ6FR+aU6olVvGj/CPbomnt6hHBs8JboF8h lcuUIxYsWurJSuk03rOPPl2uENI3DWGEJlxfKyMZ6B08WAYnDfWGOUEzQC8m1cIkcabdr7xSSYk0 f1cABnPfQ8I9dLX/rB6FT7xn6gxKu3E5zqslPNShCfeuEYUtSLk9zxVhlRJbZHDcSdUDUDYEJihu Q/NkVDhRnS+XH/PvU8XW9rnFZwybfvj62I220O42dGeMpj+7E4zczkHcnxONTyXrc6ROtfTALL8k KFcTeAZVdJFVMIZZwpvBMaZgHQN5f4i/MCX2ACfkT+J5DA4P28zc/eOfWXzoI9r4sEJf6BbUmEYQ OuGD3sqG6MbQE39Y2iwwUuLZ2e7aWFVphOAmu9YlkrCic7EN8SprAQLy02AFtopu5waPGR9e7ooD YaDzsOAR+MfBSloGblXQRakeFrPLT0wKlGsXKHh7CD40UA8Vc+jS2ff+4DKUU81/9Qc2JrYQljc7 QFYhZ8BDQbJMf3rM+V+iQCowWYkDFonzTg4n+dZEKw2oaxy48xjh+bwjo0gpzYzKmwtDhjr9l5s2 jnOFtWxRspE2NrEYastVWYHpgPaF1U8qgABKpsIihtoyDlzjEKswaZW1o/NNfb1mpdzFi1b1MgOo aJk8Ew+uOg7uxBKccnR8lvTTMWC9vRdL0Y03mbAjG61QFn7AeoMaegpLeqzCyIg/wTWuM90OjSO6 7utRLzwTnie3mWMXM97YuWwQOQJxYxLwvLrbMHKLyJMaMsPmZnFg0QBAs/Rcii4coiLGFn8BHQLA +roA+gqZ1njYLCWZIy2l4PfWtoUVoJ74LocW7fmTx+wgehnXik1Cx2YgwsjiADKhJGJhtiurcwCY k55FMldmjX3Jm1L/usk7LuMlJlL/okFVgaR8L+yFjZrbMC+f9l6mhAD5Z0io1t39/x41S1BiaMlP DOCh8moqOHZp4XAn4PQ0tl8+VFrujKm62jJzZd5N/Fvk800gV1ID1fM8uA5KFRLiUCvQfvQEuxqY 7Mc2GtJR9UoQ3JeQMrbpkbenY38fSuOTvrO4OeoUY5L6fgMk1wVjYGwqP1PTieaXf5+jSU0YxFyp k7DjsxVksvzA+O8sPc7PRr8VlrXr0EDtLQUvCY2UrQ2X4VOVu+jVNbhwscZIJFsx1WNnzaOAkPCn l/7edcE+6RfUpja3V+tFB+XHrtbHOIekkOmV56YgPRvLyyi+1thWSx8j5JgyiVYf/ITWMPoNMMbH +qkcq6/sWPR74M06U9HzhmDuyc83TTjW+2ya4HTUOb6e83MO8kMK3M9mixEYn+jrxIKfkrNx/qHT dkLhEao1Upssiu4ShvAzdVgT9JlnhCPfFMQCjlN4+lqLdAQ5k2ijaIaB5MCIHfGFbGOxUh6Ouj5U fgQrtjUYLcTd9m/VM4xv3DvuHe3LHwPnC3J7yiWVlK/9YsHkEOynt/d22NgTYNT9obBQIJO/LoVh hRiD28/kHrEqQxr5obsoF4ZAx2Y0GMslUG+duA30oyzV5eS6MK7c6bsHA8ZlSzV//Mk9jSCJFdto JO0uvOhXE3iAm/RrMa5yA8MvQroFV85Ko6LFz8TUJx5OonxNewmjnRCvmjcCD1Mx8HhmwVeK6tlX NhUCae4ClB8IkY1rci1l0QuMYwaXLTdXzm8ey7yi7TSCVP6NTc4dYIMI0JXsxLwluLw76afeTBXk m1ukIz2Hv/fLKTzFecXM0JCSpIfMD0JeHX51SzKpLGfndsCNPRUryKozMv4dgXwZBI8AGcDLR/Ew TcQsqWtxFakqXkbrQ7tnIYK8yCrbGUbQwcwgq8Eqw1wh7rPNg+HR+/GDducufpw8pP9cpdUaJw7w scxMXp4uOm3d5kJnxe/YYSlOZfNupdbstxQb3yeu1HTfQhTXNy8e56Mysp3ZEtFW07LuR++51YHy CxFPklzZN8aPSluQi4v9WExrhQCZz0hMOxhN7UvVSjhD/LtgIz67AS0fzsP46w0Ej/TR1gzNVXIi FXcCCN7VIW0WHlqf463MtQ9MaBrXQbPYRsMIn7hNXLIoX6jAVmAl8AXHmsE1t/kgAsDIXxZgJMBY q4z6REYkaB4DGpgABO7yc7oynB4T12qAZCPVj5Jagy8SxAfpQjw4wbhAyi6ZA0/2Ncd4D7Izs0hJ sI8FzwqCDxjXgl6kmoj4In657JFFmH94+jmae/prJ1LzBQnR8Mh6jLgevyA1UVMnKOzLpdnJ02fJ sDbgf0cGZYxiIaOUsVyI5ZKKEyHmnv+w9YLazMexs8WNdek9TI/4k1oXVQMDTlmKaftY0xt6YvrY s5OxnIcFmwfW5sHyRrGTlsZyJI5tXv8vnXlyLAWTyJ7PEFW4bFZCWoXYEswrbN8/BQCkdeab3Koj jMyz21wvfE1iEzgZXkc90Y0E5igwQUCZHH8ry4zBbcYG6cgMrOptqy3YboU5otLn2TnQQQjcO4Di DC4QV8JHa/7ngx9xr8E+JfEYD6GocqTUeM7jtzYsJGL9GI8lQPK8h/jYYkMSlKWeIOKMQG4jdj6g bPKDRBaUjeZqI2oun/fNBVwp1R1/6b28savycUhZJ7OZsYx0a3Zhc4BhyIdzrTOaMu3J8hGXP5AZ Z8vZ32fqFyEMNISxww11u9nI77Dwq3l5HcsDGGJMyOzELfQ6gDRpSjA9VyJ9TQUD4NYvYglnRevR PbaNT2R0aBCZvTi7ktZnkhNR833TNCG2RIGY6R5kEeASvnkwSAq/82phEqqszBp5xpAHuDH8onqe e3TWWQC7I0Z8Srwq14604LdKsnN4zay2syCztUMRgKe/Vr4fGJpbU6NgI+bZFnE3lYOUp+YspzJO TiPu0pzUoUlFB2gL2egFmDv8eVr4PVW+dF/4NSwMquUeQE5we4mtm7zNuU3RdMR2Jw1zx1rbUqJS +8HbjhblManjs02JHZNr//9aNecFmb0LaCf88rs5xXORrrOxziABDvXf10Yt5ENP7riwTTrV7DYY 92+8oNYsMramXdyZaUofh7Ac9RHUD3G2qmNFOAm4M+6ahJyZ8KLiC9/FExWxzvyyC9RHZG2rdySq R1CQ0At3pdOfCepcowqanexM1O4DESBlpVyIrSQfNd+/D+nuKdooyh6kuCjiKSJ//EVvv9jr8o0Y bm/zD07OuBRcJ+dXETNR/Nxn7jQoGgDu2lqRlJE8W67andMBJ2yqx7twj0kxJriBaIqcIz5so9Zk B5e01hc09BpCbFCkp9tQ5j5umvzVbftXxq5WDO/VieV1dkWG6zJ0z3MzjYqAAQMksv64/5Mii8Eh s9LBsaKc0JIYGP3n3nJsboeBDgMtbfdHQ+qL9Vtgo1kFIrEPrr05oug5AjMRCVZgcudy7k/a8PDt p5G1+4NHP9rxd7Wcslbh5e6ABfGrBx1zgpwyOd7TWWgCOUa0I7lP/ng9m8oaADp5QIXqXWFOwaae zXhozl+9y+iaijHkQOL8UjYJYuUuk8NeCaswLY5KKFH+t12Brcd3qytlZzqUIhiV+Awo8AUDYQ1E lKeh4D9bSqjPupDjzPU9/bN9Db/BrgsaXKrU7YkBdwqzs4SHcqV/sopdr4lQ69P6+I+/AS28pv6z +ylLDjxWZujpGCfnGHk5+KND9mh4JkDoiP10wqhEkb/H1dFSbmFD8V+zWgoI4qQyyxqx/tsq0fwx M+EosnF2cSpaPlt9JWUY7dkKVG1ZJg7FzxQ/+R+meAq1jibnf7MFz5INKZquyMLEqdz6c7zy5hIY DRuICjH9whOPsrXW1c0366eXzxmumZ5Zk2jeR3uMGNwHwTM6mxHO11Wy84R8xidSp4FR8IFFN0nW utINxGzbUktCRUcQAXzHoCSXhWKAi/mHB5cA+iMGIm86M2++Dd0F8WFsdVS0o08JFb1+A48BnLFo ZBJTQaTF3cvJdKIzCSe1L16kkWJWZq49TVinONCGI3Tx1zh+T3v5Lx+iLxhsWCVP6z8s5LGh/B1K SsNm1Qu7U+ZEXsRpFYLDXh4iz6J7f+t0CZ2wFpsVuvZOQ5OBFiPKiCL2ztNacQfkniOFWWmiEMH5 Ac++rtHymBl0HCugwcEtXM/VkGpg0K6s4b12NeRWVdjWrIskiETg8hYQ4R5D8YeHl7H24SgHlPsD i0Ys1OloTbAx5Ss1WbGV65j8YmBP+s67ZlvmX2780Cdg6LA/17e7sQpJ6Xt+TPaJmxUfSDxnF/8+ 34+PJx12QYRYjoKuOgai7gIorE8I5cEmYmmuNoKvDhI46ZnqvOu27co3J9rYX6ufA8J3PjCf3j0J fbarsJIFAmpmUlBbreUATIyNywQSYS02WFlOjUNEgEjkNDRRIey7EG+jkXeqfkTlBiOrgI5dZf83 ndssmuVu+6WtYnbvbbjxU0ziyESc0O6JtsGkOEYdxmFKkv7F94/oGCgjL6PBXrWYrDAw3QiCO+Nl K06DqMcA98pP8yepucIvFmdvFMIwt3Zh+zjkEVM1bOfC/ZuNNn6R1DUDwHXbBHN7CfIMxQIOlhKe dgT9YawLjkyhjjaCsbWo9uvaFZSktxSj29m8MUxx6E6DJXrGGXbl6qsRW6H+kJmFykV0Sjuun6md IFGwRRVT0Kq1oEqXSesZJy9Ivu6nWwOhtx1JfGrsEpFUCb0z2kzwZxFuB4cQ5iVtO1vkEHtjhA+7 GqVM0JqIwEbt0Bo6Oh/Uwolu3Bo3tRa3DZN4r8R5wrV7DvseDLJivFzMUQSryJPVgxKxL1yq8gHh emnHMr0DJwCtJ5r5I1j2U45CUDfDMylh/7mejKgsytqcZaU/ZsrrVXOv7kJ4J2EldN0vkQ1FdI5W wLlSM35FTuqbDOd2uPFvaluxGMxuXyXjR40lZdhHfaAe5c5oe7XeR0sj/PiYy0gYyhocagmVmW4o hnkPMcj6aBUQ3JmO204F991M50Z/jMzaryfFrclX3NusWjareN7iqJ21L/adIvmVo3HGFyFsSQdV A/jH8rk1kCrG4qnxz/lLGVMeXwFALaStRljYKAs2Z81Sib6dcUcI8Z7Hpo1dh+Aw1wbn32txDsTg ewaAHkB/imZ2L5LwFtM8GfRuqfFCiSdjZKMuSpscLQGtBUeBjQuotL+YKak+ae9jQuLI1t39pzuD qmlWNznmrNJ7jGsdcUPQwu57eGpxz4eHRlkfrQMuKyf/nPwe5VCHkObQOFC6u7C2zdiSeVf8Tn47 Uk9P2AGi+CF+xk1j4tySPnBPTrQcqwKUc4pWbE6dj/7Bdq1EN14yk5pE8osE6GBaubqQYygo4/O3 i1/Ru7mt9w7XbblF98+nF3EpEe3vJfpSs8wDOuVx027FNyq8r/FXiXBkD5EHS4PEOQYjKCkJMMnc 5ZHdGqvjCkoI5pcqCtBoqWdHHNrvvv55PVHgLnli4ta+dgCZMaYXFODzSX12uWWtKCvZ/AUJV9mW TMp7apVgh3OgmOc0NESmQ60OsQ2jwYcpuSWqxx0fGV7SOT2B/qcMgp5QFzvN2+faJLA6ZY29e5tN V53EFTSBsRrSwrZWwAG6jiKgGMLWO/GQHKu0pT5RQ5ZQu415AGvomDr8AEooweSLXlSIJKK0VKqG L1G51XjkzEloZ/QOif33OllvEEtk+YCwENMitePeh7itdkS/jUZjuLH3tAP0k3R1te/Xd1XKKOYV hqnuyRExD6DPYUFJJnlLC2USsTGjlP0ULwOZSQTAmYlsLFgmbZCN1cEMFXN/OgeSdzOJ1jeJhfos kzeX3Xsb4xZ0og0j09tAgjCDZN6RDm6F/SvmDQMnMVEdYixNKvbyKZYWL9r4kCe+VQDreXPxuylg BsY0dXXbwRf0/fzM5cPDB+yKuu92L4rhT/iFXecSZn4avVaIStrkHDxYlyXHzAkPipbIwfpgdPBt ctcnbwAe6/wqPuM27j1v88IWQWtBnvnWw6AFcC95xl+E489ERqSrXMtEQZfc4/CRnmEQ1IPD0Hcf 4KwpA1vtOO4hdAUmFctwZzc4igxZiNILLRnWM1YqiG7bz3aSCQ/WQHobko1fNs7Tep7lcX5AJjye nyFcUgJve9pr39f215gmvCN2U8ZL69Szut/wR+WVvbOvHFPzbGFhZN209E595nKpSp3ryihoZCkm OxJvyk0pv5OCQ72ZvDNTR5r8Lcw6AbWvXkzbwi9kdkE9l83dYN9MfvqoOF9tH5t1vha69uPxLMJP d+WfO47HzvI6iEylqKwhv/VM8Lg9TfmBLFE3V56Avzk0QwBCsPOMSBpVdW45vctJQ1DGNKyNWgHT NZzCGy9tmBi/DBoZmmvVGb98jqXkr706h993D3V/XhGCnIcX+pJFo4Q7j5vQkgzCVDaSNQ6rnK9e gaMUsuGfpmn6QP8L/04gD8uO/yt+8fZ4BOfL4wGDTnZFTsAkwrlLPU8TxWYgRSpbGc2vD4QjvbEL o5swoLhbWnzX8IohLtnQfTaeKegZfnIIFixxXj86SiytberpXRH7kobaH/oooGLUOakq1WjzXrgs 6E0IkkQQBMKxpvOXM3qJ7JHH3RmmCMj6WJNrrZKJybGSEfrhuutM+OZYLl39ESe+yywrBwK2M12e 4h290ghjWn7wMuD1X9mT6CVNOqZzs/zB7Pl8y2qxi/BH+tcCDehVn9uk0f4Drqu9EWgYzNabfve0 E4oTJ68UHO47qvRu7yYdXBYs274iXZ3NOms5oKr7w+KTcJ9YUAw6v38nVMActIwfsH/Z4ffPDlZ0 Hb9+vq0HQ7OYSRkjx/oSy5pToQcNN8DcDklpBxItTfpgD6eZBqi+0nBONAGq06DaZjugBFDZuEyp nYlF1Gnrwxf+qLzvWv6pTXSssfMzw25mCTEP7MVLQ2H37n4Oj8Oha05XG1YqOOvn0XIK/r16W1OL LJGLxbfNc32VIyToPEEK4JoEu5w1zywED5mdXm9g2pMgTYaRxUibbs81cKq8CuNLZ9TEAtBd7NF1 WPZYo5bS2qxHweV3euhM329siXQV3eMg4VBjr68ZuCuAk7TK5U6Wgm0ab88DDQRYRBG1LiDuUon+ dTcwooKh6oOcX2HofkzE5y7iiW4TC+Q6yGdp+jzcXyzY6CZqMQ5gGrTH5iCOHgnsw76G2Vjog85i Jf38dECAvHZTL7pase84dhozr+klH7Y/j8NvgUW4pgHeZxQrgG/sbgYFiQB83y/enemS30KFicqC kiRUW7d2nUOwESPrdxC28dE5PmGHLwNLBhmI9reGID4AdnhdOuNrLmwCDOSiGSLdtweG6lhD9Lyb 9ZNekQ5cPQjz+c+i7/ya5kpvbxKenBwROLRiK97Eqv/pJ/qE6w/xZFAyX5fIp9pW7e+e/ZE1HM1d OHjfcGpSbqW3TOPyKPgHALJa226wNbiNo6fT4d6//4XiQOgedkTX5KF0GpX047KfsQEDpK6tFQaw V6Z2J7Ai7mjdskexR2BQteVgtSaa4mhguowHxCe/ECXBIKkAfZ57oY5JkCqC0019MBiXzlAQ8SsF bHFhUlS9FzbsRCXTsBch71Qa9Ly90zFQ1c5uWgNJskE4h1kY7gsxVB1cZcS9LqaluRVwqyVGbf6+ JxpKJ2+Zo6qxLTlf9MNRh22y5Y74k1kAlRz+65b3pE7Q+5q7K+21DeuH3fku8D12qhg1iL4isdqx 9dUhbj5VHl1jvo1hB4J9rR7lcZsyg2osf3EVdwqdOKtKRiD93MRPXV2MnRkroE3lNtVMA5+5xuN7 dNE3Ib/mcpOy7V4xk3C0rJRdYK64UCwY+CvQjJPfmQ371sBlRQR3aljLLy/FAYXTxc+/sw9CllR3 YwIAYuIVQ1ZUdrfvG8ZyQtYEDKXiLqgMyuQ7ni9Oygx7BKlhxBavpl8TA75LZwap+i7xj4S99/53 qEExDJxkQvf0rgxvR31JDhHVdD0lGGA2bUL5z0LS6pJoULF2FaPQzWhzP888ynMhXyVg/4Nzta4p zQ7RVuiZ7iJba8zBMyuq+OukDbLLm9oyrFHIfhYWgD0gkiQrPjvota43Lzm6iDUf8bKr0vnbZ3i0 wq6udE5voy3Lmsa4K2en1XicORF+SqxZgFOAk8NFQlAxdZaZk+R4RJVuq8LaF0VAxnnWSYW2AVKc VmoXbMLskv3/l2GOSUjhu5xN1gMGWlr7FcDwKoiBLSmrnCfhmjJkIqMUv/gizInoYNzpK/a8KXMb oI2iuiJC8p764+HiO+nT6kkC+/sYZIeFM2w3bY3JoAlAsNXXmuv76+EDgCMlHo4RHusKNHh7NOSu EJkn7nelg8RClUFM690+nK3zVERh/HIfkiSU34BOgIfSB25z/NqZ9oUJd9NCHtIx/QFtAAUEVupb TsMjJrCzgbsjgoGOZk3fIlakde7DYQAW9XjQPxtSFPnvi7Wjt05+e5NBoJmnBtkXU4BTktHT+2q/ 15I0IQGRljD7h9c3qM0Zgfiq0wqA0UKuhTtdaAwu59ZG0ungC+y9xkf3EvhtgFo2wOMUOrH2dJeD b8GVFDU1Xtvk0lbdyxuB4N+0NDBQC5ArXTOOA/ALffvZxS8ZUY4swxiHq+0/CnPNqrsaC4bsD55v gN1b1dbWeREy+bxsySHQ0QjtIm64kgIjsZgJHxBhC2JIp0pCUGXYFmwFGypBZJO5eMW/GMY6rXr3 P/ACe7NEKCzZfvtr3yJKPcJeriMHF79ME8Kk3B612dQHTk/+jDoYXUAEOZI3mK4preYraPOGmC/l AeWiKUuD+RbEbEkrF/wiKuKe+SI3dK92qwm4/8s+ziNxq1Rtv5fM/XFIQPlmc0lXri1mBNxzzJOL en6yzgZ++T2M2vsv5GDV2sVhpb81hRnUxJ1tj0ua5mQhT0nQv9dex5VpHycFdEwNcL9lQqhPvI0b Hse2QcSAkhVJDhUVm13sUVRYHQAKOTSXM/6HdPkb4hIkrVJWyKooDoaZ2qPgciCFeh4AC+iYN0WI vXgff/nUI/opij7wNtPNWCngkbmgxr2xhrP/zQ3Q0KMHHyd85Qaaax32tRG46jRNMFNthlMCVQnD M6bUzL4KCLpKqtvjauCxO73Sf6NMflCiWmZA1ImltvqVtO8jaBo28l+pskbxQ+2a9Sm2lP691sNo 8VqYOIzhawnjFacobAwnTrOFe9mZFVRbxAg1BGRrGyMxif6IGx6zavobF4pWL42W/FbDC+ZOCT6B cHRjD5/rO4eJJrPWUxXbypuIIYd4y6M0BqsviGIdwbUdWpvhotKxkP6dXJjilx6q+ppUJZeJQOr7 i5p00ct3BD979PamEqOu8sPlaB5dW3F7qnzY0m4GIXipd733/6oN/ubN36g2l/2vE88CH5G9m8AZ wh1gT3Pt99qy1dtEgFcghtwn+QObQ4QaihXm3OP7lfOMjeQGTUpYL0cV02h3qqWjEy8365zxjvQg Mz/JZc1ZbojRW13vL27+J3rKm+19/tbdr+7E1trMEzSEb492Wu2yg0ngbDLoORGJMh27d4szCK9A UOfbYrKKINjI5nHOQSj8Wi0BhmFzyHqADeM3b7X2qPVvsu1stMwZ6wjQAGtRbTyfaPojSBGlLWR+ fR9TDovDpmtcn2voro6R6ia86wBq5AQOGacsZGNbBOx5NBkH3Li2TaDz2ItB2wsbFqRozJ8sKGn5 WKx2xO29+gsU0kqVlgKajmATXRcLBwS1hNV5V2O7C+DO45ABdibXZobxtNEmMt8I1Cr5b/ySKNo7 77AcFJj8fkCrjQTk7L7nW580kw82rR4HyT5qDrp7m7ewQVfh0lnmgACcSxx2iRnu9WdvV+jgCDRk zjG/9biWufbLnpF73Bm6xZIvqp01iFmWkbkvd2U6YZenXezpV8PqY9drVMfvHqfZOSzf/7rQXdBO bOFGERfK8IxDKt0n7xNApLLncucYPLEnXH9SvuOOLelunEQ80Iwbtf1FhrfKe0xbZLpKkGIeriS6 /mx5+BJRqUgmJwvArScirRHpHxr5fkrr9OcW0dOcGEX09K1tKOFp5OfVLwhjy4i9BzoTTDBHqe6B MSboORI8/cqY/uM3DCtgpqjFbA9ZPodUrzimu8XoSm3fxt5Cin9v8KLnD/91u0Z5VYyQ0JhCmxc0 NIJeCi7/cLKnndKhwtaRf8FoeYp0fpyH/UJKq7LV0pEbMo5+jf8ow5Hfc3R29xsj3665zY/pjbjy plvSvvNm026B+qMxtkIgsWmvUY9amtOwK13yoADOBx7EnoP4fgWsdwzbLpjLq26SkP/aQpYarJ8s J8oI1Q474RoM993DENHGf5H2Ghzi3u+QljvPP0o3TRXgifalnwr5K+Jrz0PEt5KYbPD2x3b++uVL qYCi8PtrDRUjfdK9QfAftoiSST3bp8ydrulsoIMdIOdKuu91sugoGExEFPzkf+mWe9wX0IGHdNuq 8u27Rr+/CQ9gxioORRMr/AuxMVq5dLxE7mBwdTDQp1U9S1oV76CnZG1fy1rwV1OWQTx93wkijVum +gsQonVm+y+4hmhWD/WqiP+kAod5mZYuE05E5qmydcNJaPQtiesjnKUbPjG3kUgh0OZlmNl3/XXB 6JIWWR7SJDvcqzgrbsfL67ciEOX5fdiPkXPBq+xLWxRN1a2I4B3agJFCWthM6lzz5RSBS2k4KMXR hSqpYZLj3SWnbNSEK4TBbgcPnblyMooI6GmxuL4G940bXI3TyD/VzNpZoNk80IUshElC7mg+QBKW DMYdzoHLkP6wF50sa9IO2WwvR0MpWJNjRKCiLwnaYnQcoYpAMwioDATgjiRN/FCdJOVBcAlO5zWm oV6KKFSiWzKpQ+JwzxwHk2z8k6cNmzMyzQWrRfCbDwFL9dF5gexXqCB5GBSuzrsOk5rbSBWOh99D uC97Z2J14qMN1i9Y161L0WDzvp3k2/HsN2ZW8KD7QCXtTfo8T5Mc3npbE2LUvDzcKsn8niObmndl xMv8/9TC0WBQ38NzCeZh6NTvin7baVXAG2cK7FrH+QosVA2DQAl8385LBmvkKXI3kzTYqUYol8G+ +cFIv1kNjc1UmgjGetO1B2n/nCXYcrGRbhgYZoVtq9XmeCXGZl3sVQycH+mFtUYaYF1JSoT75mBV a61j22eB136vIn+/okvy41re9RmHLMMWgxxqinzEOSS43q/SgrYYxxTYx4WcBDXzX4MZTAfu/2VB PlMYKOxmaqSNapezud9B7G/1tlcIZ35ywFWmUfts9BMbIj3NFSab56QDA1VzdycSzIgydvkwp7yL rskmsEEmPIXePWod0lO/z8zFswH7StEVX8Ric7BUDrTb5d+teo4D2YHnAJQYwtn1htBqD299ucYw VSYcLrq1+nHhyuG+9Yx0vyiwCl2LSzHvgprqhvRw4+5mNLrV1SeMFMg5WoCoPvNcWDdFOow/LXYh ULjuA9AeLqyvv8Hl59t37Pna0zbdP/uvK8Kzi/u5E9uCM3FQ31zu1RSKSEU6Ha/ohrZ5uEkhEG+t zBKqI1ZKH9jWSzq+M3DeTdAyNcBW4AlTnepvlRqHo6WEnTgMHOYYlB75Eltdsx8O0lQTlgsB590t 81skGoo2Noj3MhPqY7OMl7VHPt5Qc2JzhR3V7xBWcf/aLtZ2Od4eK9ecqUZXKIQuwYZBTHVbs452 Yuo01P7XguAEoiJgoD45tPUTppELExihbUy+GDu/L9/eQbQv2s/2pu+0GRiFYk262ewqlKFRIYFW 1pk2NXgNnyjAti43E27FawI74pSn2rKrquqsl8plbHStdH3xtObsBtXp/Q0t3NoKyam7FPsBKLj7 oTgO04va8PQos7ltuZGn0RdsonhYp/d5jzCi/4BjweDnLTFsxGrp9jQFo9zxmcKrpg1X69kXhEvF yd7Jzibr1HdlfiG/KynSmRYA37PoD7f3kJiV42vjrapCO9TwWUFLBt/3CawtUfGmz1tGwD3XP4Nx DkLIrkyKHSUEAN4Ih/2qVZ3HljpzFgM7IXbPKNpOb1kTmULtvo+G9mi8vslhuP2u2qCxYH9gSfkW SmyREgoSLZnMKwcTm8xF7ZNqJK5Cl/fewhDoi2U2W/xjiQu369Ppm6nOGeXOZACYigdLgZtkZ+Ef HALtO3jvFFKuI+GQYVN/lR47+aXXrfqwoCF5vavCdXZ3NbrB27Q8UXGGVYOTcqq151jkVIyHXfhu 5xE3+pNmGyfBXn5Xs1I6GksWZbI58YU64mIj5j2VU6PWyVM2HP1dPdZE1Gd3TfSgviUdoHpb7/I9 21pB+z3zjJSYwhGW5QUd0lhblgU7y0xtnXQO1x5ccEDrW+9Ana7OC9JX9+Nt6i723/i2j9UEynE6 keJLcjpJd3z8/Qo2U3vcybRC+pfDY89HyFCtlTxKnZ/F3r2VurUdssyszpf/feb+B+0pSw5UvC6T 3bw0ZgfrEDGWaO4TvaCTgvDQOtU6sVmyqny+HOb836AvWg/VyO3JafFMTdIFkNIksatu4N5zV3kW YVLsUvojpf369NwJssTJYOsSVNfLhwgfYCSz3K65YFnUzsG328VUxY+lbtitAg+vTo5Wy8oY148m PnSwJsmiEbSb6K0DiLvZYp7fIBZQk7yuoEmNdtS/VxW7lZZytZGf0UeJsPHwQSfuF+S8gzh+K3wG fD04UK6psAKZi1JV9EiMrI1nzbQVWKhkqSd7xMTEl8NVihpodeWwpZ1ziva+t/5AjIkD4NupfRpC Dri5EpzYjkZzKwdL8OBzw2sawxSzFpFcvjfFQarXhhPE7hnY1wG1mbmugKRIHeWfJD+MWhdVKxVR 4PW/jynaxjWtTrTfm/eiK3nNBs+CQ9cLh8niwNu+kJPob9DQ1N0qORv16eFlsGgq5n+0raB0P0lb K9M2QB/sj4E4fdjJfX+mmjdC3cmtQMVt7feUOdy/Npqgl1lIrNqwAQWCg7xTUDdLHUsfEKETsrJp wk9R5bbI3BjFkI241Rqq1gEh1LisA/w/+YVVXDzRtdcH4NTzaURrWzSkdg9ldKFtAPN+AdH6MG96 dqddXKltuEeAA1nX8A7cDsmDor1+eeEy8xtgnxC2ZVh2OZTorA48MlSnY9UfHiI6zZtBmb9+gOeB yt/zsS10KE5pzKbyZB8gGZrqxrAWhbq6UI81NvLJHxljDpL5sh/flWfOY3QAja6GdTbZ7nV6LXZp ZmJ9MJ/2ta+ioTMjuJvlaf2/o7areI0u6Z2mlBHzl/cUReI1I3of3siscaXYsTrkxf/c7Vwbwvkz TD2SbN2x9ve3EJyREw8Hw6rXsWZLl2n3eA14iDpVxLoVmkB07In76LCnlNvV23QFxmMPnQciIU+J QbS85dQQgfs2oX36rS40lJKmZBQASc3hXjcMwb7imVFwjR+CVMPopcwz54lDlitjA3HSyJwmvS3d WJ+sQEs+wIkYeCYYHy7vry7hnK+DF9KNLNHlXxCzZqTpcYlaKxUJIZDbLmgkDrOPjygFP+eNNNsK ZSBgbY3FpKxcEwaW/SJrKTJcLFA8byuaf2uyXlLAHjCgBJycfgFEyvurnFAJ4UIxT4nx00cW1yQA kXQiiVh3IlpTa8RzqSCYHqrq+1N/sqsSN+topSh+2FS3bfYxCK7TfJ22yBryrLjFrogHyBecLQVs Ko/FYyFT/CxlnqOVn+HVMJaj+qkxDwxkWy3c5EsX/yx6qtNS7A5O5mN5cTP8zaKLlH4nX0JqNRZF /263qUbEoF6S3TOpTKAzPg+ZLFKMnfOA4SJTlDN6/DP1cwtsR1dHuyp+8WqtRbQTHHDbeK5JXjnU 18n2RxG6DJ9luiZsWtTe8QB+3l7KK/rJGKuhNFP3Qmu1oM90hR6zI04xfc0TVgje7/GQmtXS99qm kMMvDDNh5bOKOrDtX1OT7XiEYjjvM6SXWbyUFgAPoCyIrU/Z/ZN8iGE1VckQGWWjjrP7jAmURyzo BQt+5L8LWrB233e6H1QmChEZCfTT0ApEJ8i4iWm3OgBAHF9Mcd8Eih7DtUxdEOAFE4Aa5aQigwqx cxW2IrmLd2PpsRKSMlJqnzf7CIKiZssLRa/BwA4l3Sv/fUqaCVt3cw75aviYOat5FaX4vMtHWxrr oaSZ+Kf5FWjXhzMZ8W10sM07u5Sry5iVc36IXYXXWUJkmeMSl6Ej4jNWDsh/crklJRqz6/myRAKS JG38L2HldpnS1Z93tqkXLVBLxaViQDWIqGtVSjrafrJBiDclknCS1jyMkdcVwVKo6efdWS9NaHKM p0dqhFu8rkz8xn0Dyvyu8jwVlVZNslaMYe21xMjRDEIABBolR1pHXV3YK/2ZAaLxyeehOkhAqYsp 6houm10YMo901+Xf8DDHL7BPfCqrk4GxfJF7gv6+OnCKvHRBc49h+oHb5FbcQc28gBRFvuLdYcve YKKesDU/syGAKDDEAgwLV5N3n57eUP8MikmBlEVPgTo4y9lG+n1fEydMZkiuuFnSzd5t/UMsFjVz TDEQd2lgWAE4nmr1k3KSnphLVseaBFZiMWB1hVs414HSEj3WEVS/EBjam5WpZz65sjXAbNv4elXE 5y5mGu0nfmeOGh8/8eg8F6SARtCWl0MbMddHibKwrnZ1duuYHRSU2j7B/RueVHF6kR9cbW9WJAOE 4hgeIJepdnlBYoNz5cT7m+3foifBx/pA1ICbJym5jTTQXKVMK0Foji6HMxlzXOXASsnZtYRs/HX7 1tl6NrJy75HtPOJAW0Kee/C2/tMF4Lwdo5QCsAYMbUiuqYKmK/omDidesO4k2FFLxfac4A+AKtOE yeBxaw0KCKeBcSvUrQwhw7GPxewvetkfvl8gga9NkzCPnCjxmVSyuflHalAl65JlQKMvSJPWuza9 yqUCiAUEK1DCq9wwZMip6R3nQMpZIIGyV+XJ4irkg/l3eOGjSq51UneGKoXFC8edeBXKLGLcwOi/ +7WtbROMfqQIjQsLLxn0IXYf/hz66uVKWpvMFNnZZf5JALNCL0gwRWBnkGOlSTx+sM63LFh69cWF 1DcuLXLNAJtXZHjxiiug/zCUV7x/3Z2VcWC8GKd25wWInUb0H6WdazjJWvCDvjAMFwFUtyiwvOa6 Sz/c7Iu2ZYd2iFqbAtaPyV+WfA9+jINzFpLlyoFhXmw0VclcTibRVaa/yTQjlhMUdC0DT2d8WQch iFzXduoamDmSxENeMJ2Mp+dn03qoUvurVrzVP5SxyE8HeIxlbtBsz14DKIxB+Xcs+S0pyCUnOVt7 Gg7LTHrpJ0YtVi/Hs0IBhnSYqExDnhbQRqouoIBNGL5v6M1k4pEXt0lE0+IP4/6+WUq6r/tiywON RQ8fimO//RS0NHICV9A3rDf7nbcW0NhmgodwITxCQbHKr2h8juwTOGakNwza0ZO1McSXrxxRmBZ3 QFqRhYRRxQ1mUkeGaN9gG/oLbmdyaiSh9z8qScfEBkYkDj7dpez+CfdoxOALv5k0Wksi0+3p5uxU FdCwVpjb8noOmhefbPCTfnEeJ/xcCSVVfDWw8OBMKsCPSwM0lpn7T/Ug4paQZTh0rvdPlhHq+pKU RvH0M0+9Lm37vKkxiyCAejztSVj/2RtH9hYDGJVuiUu0mHBg9awcEyxc0/UhwWInF7XVpNr/b6Pt T184hgigQRd0Nv1UeYb74auT9pz4639gi/ueACKyQQ7CeTd83YWAi3VDKcAjgg+l+PIjnDdy26JY xZ4Dx6d+3KofKIzKC1sasQj+dGtdItX9GDVuGHIpI8zMSvaORkHPt9U77cVg+SZQzUGARm8Vmkp+ fx+RCb62vHM0jOmhQEr4FY7XLZAgghOjiazQgCo4h4OWhIOzp/qS7QZhOqPwUpVNLdZvmCB2/nBD 3VVi0PUMrr6iiHbWsScuXBUU3Vl3usVKI5JEWFA+RcRIaVUE9Rf5c4YoC7sj3zk+9doJMbiMm5Ir j64UwBkLJmu4BlokyHu1fd1m4sYfsDscgPJEU7D/tfaQCsLNi8s+bRHHZqhDfnRYTftxD2s7Ii/T KPbCjV40ZY/eBl5vzR9Q1J1BUYi0njOhaBiBxkErDdDRj3ETS5ehG3cj/Pz6rgWG+76mcR81oE5P G061boRBMWUid1a0nwBnUvBTUusfY4VOw6uyVph/1oBm9pM7QAh/WNOnipYl9096sOePgdKfViwu RoAyEVhmtRWh1zy9nKN60ubl/+BeIi2p1B3Gi1kx/n+fcTJbaULxeeU8GrgCtns5lrGe9m2TW9Af Jd6HYM9iiSZ4od7Og4cLjAWVc/E/7Te/kdc2tLT3jssarMnTCeOSQwqA9wyTQwKj1Lc1cQytDLcT ojVuSoR5X/SXUV+fFLZ/n0s5tCM/KWr9WhdWEiBe7BI0D6DVWeGmE8DSVsjf/7Twtv0b9GhfDJzR KeoOihia3ogbpmJFw1PJSSNBM04vI8Y2lUGPbOWEhdT/2evnQo8RlV/RcVNgiPjjXsZCCcfluz0y d7TX01xDkjQSDt0qkrfljUA6vhPSwLt1PNlIcKdhv2DNEgd5H22O+jhdkQX8JAPqyEK0qsXhDkDE g8aPNX+RrvoGmSFFjnUR8d1luYbhYGf/GeooWgMMHcp503xL0xzqVTzZsNJcyMLG2lmHoW3w9sfU 4iGHKFX8jF6XX7X6O0EkoZEc7QCXU2kmMjEusXzdHdUcDvc+Tt+zNXLzNMNmmKEfjswv9u6JH7Es qwED90ScuVuojlyIqIy8bbv5Q8mBP3lqumYTgyz4HdFqMjIvJH/I/OrMehfg5myp1UvDICqsnVgf QFjgPYdrl038CoE0sYKX9mlf1H21yRU3A4lXjwAaV3lT6OxonTj0PoIEptues/DGFKdOWt+3xBhB Kl5ULCgs6ceoOKB3O8WIanBP5f0JCbsZTswolKfWnEuWurtkHhMgY1ofKln3rTYAT9G47t62zNee i71DXaR3VJZc3EuQQQKUC263yiShAJtVCHbtjQXjRKxT5kSokZhBDkQzVdwsoTyMCcr/SQ2/aUmP Mnp7wW/wWlXhm65HFx0v6Nhr2Xg1rnT7TWvXyE0hlJ5wsolx5+Xl6sWIXgUDI6IT/20Q3umJylnk D6p7FSCR3XA5LVNJ4gPn57Z0EvGycOYK2R3l2n1JQN7zHk/MnGDRGZNpj5+mNWCQ989y2OPfki1m HkDEtMgjH4WMi/jev6NnVJLX1QmTIhJLl5ZcFLVyMCmyA2tm/3ZH6reX7LyqC60y8THN3oxDKZFt TDwB+1iG9+W8ZMq/jayI4s2/2FAsDHnSe6MJ71dzxqwBnnTDOS0i7dhLAIVm9TP7JI9MHoPEKQxb eusDCkiLj5tgaAzcngLbVcQ1awnHoUkjYWYIoDpsKb3cXjL3ofPtHEZqY5FVLjqLudD+I41rVgDI Sfp8sIj4xGUv8ViCLUjM3cV+JFjseGHcZg3TkggC3VLcHb3heOfJ8Hs9K+1bgDwcQL4L5ATRPJg8 qguvIj61Md6g4d3O5PvNICZlmNVwxv/odd1Ds4FIoMUdjMcACfgtkMIsvJSFDEyJZzuqHTvaaiHI Tw6+2wTVbXfVkA6SzCYBPIIauDKLQ4CLAkkeG3Uz9nSQltdEsBwzuc87eitsU+VprcN4+5E6WIMF /DBIzMapA2mXDRkL79mUZahIaKKW07xAcaEVRIiKBC/MboDAYUufHUOMvzNAeexO3MTh+HeYE0vH PX/CxQyUDR3XTMtOFuNed9zrvzB5SKWgQYEQ8Q5ciDA3Hd0IhZtC5rt68uhVxO0YE8MPcl9eBaNw FOgq5jGhY14qvNScWktZxAgszphtgKhJjnNwNWrkRw8K3MJ8UN+QTHYnZfPTq6WBGw7La9F5651/ dAbZtWSxox51xeVoLHf6qHZlgLmkDVappM0LxC82shlHNdyiKA7BswhhW+TXmXDh/VP+uFsuJ58f WZfYt3l5nJbkZv3EvfAo3hJ0ovOwkQvcHu1UlXm9fkIKCR9FDKRGndJopWrhB9Z7Yb1U6oiQRQox IDCwJ3NE+HOcfhv8yw/gD25EsNKjdj6EWTyrHsnk8SS7OEu5cQ+P/kmnkQD0PzdyNm3DLU4liFdv EQhzdTdD8CXrqj/7z6WlAVJIqbg+41AxKIWQ7i+tw/E3APwiHkcwq5nGPuyFDc8ZFLqmgC1+Osnc YW4tnrp5sn4/4UPoTz6ScTLzM8xW7cGdtaNjDK9Zqc4FZz6+OP8F+KaJg9Z0/A5vSN91+JePmXBY C7qe2CIipXwYIIuTgxRmxoJx6Bfp8NWRDlRNGWfBbELpTFtTheDx6vCPHttjuJDGE4bDUEEllwSE UlI3GP0apD+QeTMlpAVCNGJwupXgc94p7j6NocMDIlEc9moR7e+imbNUryUEOh0ezU+OZ8bIDVHe IkGE3fT+xqg4rDYcUXEhukMXWYhesJK3RLZg7PuPMOd3Z56SjmvkXAjaO3NIkzQeedpBnf9o1e66 LMpQExqk7loqtg+oAM5W5r9SAKUot43U+5N33yEYeZDWCZfX1WW4/jUPZEVVjYzQ1B840KEttXKG PdB71uHiTyCJrJpYkEp9vAjPlrZohaTO5uLFyVYINL/hdVGuCj8OMsMuhw0JqmbjpKZZ/2AVfHKZ O5+A+SZa35dLpL92dHaPLSXwX72rMAlbwrtooDjttWHa1Ssvf+Vqs2BlQrY9q5IovWlzQ8O0dnkR gGe2NxsxGyMFpxY1uOQgd9VT2t5YsptZzxwNyEzz4zoIwz/nBFW7c/YPQpPO2cvPyzLOXMvwZGLj dxMD1AFaaHfoxUmzobVMPxnTA4CLC/iXUGeUdOWaNXEgoAi7Ryn+kL8ClBysir9roYbgmrJT3vO2 BBH1idDRM6DhPJs7fozPRmjx6EmLnNg1gbJ6xajXe9AxwFHWtresxfeMs0bP4zJ5rvr82c1meQMl Cc60Y+Bx2p70QwxBhNTqWk8s3Hp0yuwqHt5NBd/G/8QrDFoNDeXWAZU3QIXpREctTh1NW41KXjdv 4/so/MdjwUOsXZrZMssAqiZt/xLpDfx59KGXusBNHtXs8Y38MCg+LZ9GnBz9uXmQbNbCOX3lNA4T 1ECibCkNVWmermRhHupgxibjRIKNzLyiIyjIw9XjN+ymmepcmOigZ3GNCD8zHQiEGemYpQEhHMlK ZMoUa+HHwgvcp9saZOwSpocWf2JECRrFjYLuF3S8CnfRjl7uyE/bMCciNDbddWRPZZOemDgVQCPO 2TNF/XpydJ1nSyLzVwrYU137+bFXykUgWJfC8TMy8aQoDgK+g6y8HF0tUtHe0mLsE7q4sRo/47/1 w0KV8QH52zPPKoGlp9JGErLX1tZg2bOl9gmpRvbWcfalQONkayuMuSubG8fl5pV6F9S1s0XW1m4h Zt+FhQ1zI+Er9gEuzmi7wxv6ICT1XNTo1LUsS7WtNGtbal/BGhGCah5CKZsuxJxj6+BnOG6PnmiN vmcz162FojSdope8CvWai1O1fSFuhkfeuE5q/eFWbi4OgwdnKl45ZAfVwaH4FZQPtmf9I19hfCWu JHAq9u8/cX4DvT3ihxcUDoxmYGNaVELYjbYIlfMZdpSEMQj1RGaeDwWdOmHy5glY8qqhVupVZESF p0ve6kwS/Qq0IfIkwnUeQQOJNlflzxc8cVRvbQHiDtqT+6mMIcZDXgOGqrBFbx4rUuYBzh1fLYSH O5lhidwwhMle6bOSLVDyqun9DfHCwmXXGLJcsiF1O874Kr+w09q50aBeV7MUp+b36pGj1rUw2VnN Lg+7v4f2E9x4OC3IFUgIcXfi5u+ojPvuOrRrIXpPYK5lOQdud4lTMJcaq1lWxsSFMw8jZtsyCa3r GrGYDMmGxjHmOyT5bZa90zpBS5HUr41aNQtUXGic6wiPaXZgZuZ7YGsFoZy9FyfNB+dExRWHLBr3 F39A6xm0HPfKwyio0y3J/0helsov3D370Elko1uPlRiqQrFjJPoSynEtHGw1Bt6RHQyx1DWfqASj rRL57rTgVYDlcceSxdYs6h4S+vuBvE1c2i2Jv3WGk7LG/dk2BpALRFUJ8fRRH3d1sv6AcY4IIsCV r856e+HDt6OSjOvOCwoufFu+kOosg4laHHQhvGOKwkiu4wuDWnUrjNkkoj8fkn00RNefUpE27sok QDcsVgnCU1+zwBGok01A3vNvRPIlZfeWIpx88k4Ody1XNl++WlcCRa1tZAamDHtuegqXWV6CH2Gl /j9RNR7L6pO3nAtPMLyhDuuDR4QYWT5Pyj0GYsiu/3vNYWGJA+A3fpFcKNQjLXs43DwwPAC/w8mB 6Sul/u/rhQu5Z4jACG0hY+sYjTGJkVPI5wK4AKAAEnkEmmJmF7DGWQyCyEFk8mr4mKEwhhDc4euJ a6ijSmoPTHHIUQe9nuF2hwwZu8Q1NBuq8jC8XsP7/NZbk059uCEh3UeOYnB15A9Wtcy9l81Wsu4E ArFoylEPp8dNWd5bcQtWWbvjKmLe4Q+mL1bdudVV4aOwnzD2v7I8tcjzDcEqLbtu7kf4zc60JTE8 39zIVVm6XeftpYn1hBeNCIuazaJw1Wq3injAN+R7HgOeR+U+eFFJNYGwbf6FNk0/e3MDSDHPeZpK qZEJGxWVFvYWAoYiqlVPAmURVCT24Ure3Y8BMxPb8U9TjmROpcsR3cG85ILKUZR5tp0Ewm6KHZ0b 5uIvv+kQXTcagAo+5u2lOJZLNk7zJngFBRtZ1rdJ4MbJrPFMwkMznLLrP3dUljkciV5GpjtVQOKu jibW5HMQxzjP4jramDgjVUsJMQ1DJtY8CjOHq2WyeEnQ9jo6/vrjo4V4/ZWK8aoFQWSChSQek4tY J/VUW8/hpNv2WgDJQGosx+x8sD1ZHh7FPOQ6QThAUtFxy4HRqiMjbDr8VS4MU7THoskdyxoSsO1+ wWlN/ANg6+qbxBTKfUXAzZ6dL848bnfZmpg2LM7G7bgrSandKC6oCLiYNBpz5h4h4vHr3CBzuYAb IXvzMnobKZCZiVYwmSz5v4hn5ii6wWjwPsqdpGXkq2OZorzV1MBqCEaS6DyxBtXxX2EjEM9s22t6 QVzhb98+f/8nb9f2w8GSdH6fgdwrXJoR9rcAS1X0ia2GVOTiWcHNfNDqnLg2PCBLJnDJN/4jCLaI YZ2AeYC7SMPv6n4dKyqNf2pHxx86OnYE+uGGfiHqFtl09OD4J4dzuZA2aNQfMmkQM1PTy4LWizV7 QZtwcSRvmNRbrkcsA9SjcgJtoOjE9Pa4Mz55QsgieBh5y1DjJ9BxVmMLAN/0R8WQ6crptUFG0hkG 6SLTWUyiQtHQelOWjFAqKNyX55io//Zk4MDxbVw3bpi+JPN3Vtk9b2jz1z/rIobilsCuBfPgF20j B0Jq0qEqGd2SYme8Z4lN+HRCj27LFbt4csDy/B94htnVTPYQ8Ns7d8MJyWKdiZhmM45+gp3TFzMr 4VndHMTaRN6aBXSDBhO2rDt7tqvc3U9hB6NkCcwIzySmlTcEv6jt2DRSPXSwHEVwRzGFOvn6avSy p9bYJa5NxklGWMkEwakiRibVTP3EG3nAwIgK0VsyotD4Q8bccrbMtHohNTwV64pTbABazoTc351E RKVNDDXcT9CHvf1ecoX99RBGjTz6XcPzad5hRA4tYuBV0vr9/7ONLNZVYLqkB0Ky2xwrg6XYqrk2 3MNnYhDwX9fbknyEx2K/RP2fI1CqX6evpUPfd7+6+HEU8jwvK1K6+iOHeS2GOqlqPgr1Z77WKu2I bnVk7RmHfpzWX1gSiTqz7O7i3+VmgbxoGalB2NHQ9tBlisxVESJpIT9euiMbr6VLoM2v+GT0n2Nu 1dFiSNd6E+LC/KzdNsBa6TYHLnHF1uCi9PX/H/kE0mtvRKsvOjqW/DWv9AIkfJCObKsDHirPrMFn c+LbUpvA4iJqdqidcbOVKkjK1JLJeQBI1So0HHtdemQIS+6Qz9qEGfwq/JBlvbSRmBswdm+4x9uj tv0CD6UQwGvnf7pjpiJY5g54hyJkbYahGUI3qP6tZeMAaCilgeLNTai/h5GRPjgdQ0L3YSv5Q794 Ta8l6ruzesAEq2JGti9Vn+/oSNCsaDYK/EOCGBQVl90NKV5KNAN02Odwt6BxPvV9hWxPTbSHMxwZ lICVa1OeIleu/eyiNg9yuwq3GT2aXpReHq2ip4vB9Q5QWOZNZnN2PLUxCOqvpVL/x1ngxMp8bWY0 iC5sXCAcblK8Tj3g1n8h3T2yXW798OkCAHmxKwIzvP42sVQomG5TvKUo9tIOWhuGkyPNM+dxRBZ3 wU54YLX4xiq/wiPljcGUIIhAAOuOwY/gzuA9P4ZK9EYj4/3vyLjhBkHWaBLDdXlhay8gBvqyk8E6 0mpT5NHZymwezejaX/9pvdWCQJwtGgJRmseRbFY3goq2uH0JkcbQTr7xleFDk/lcgkG6OSGlN9em BKLsAPgFsiLqC0pvtpi7iu2N69J1iRdcmWtf5FzXfW6TTgkhQwe7PiCSJEkkXSQ4bQFniJlHOGV7 Fql8UuiZzwoFkD69IBmUFneOm3Q2L+8ckyM9vdHJ3A7gMEt6AqMY/Nh2sHOdx2HDEV/FDl+uZu7N QU6y5NEu3IvGpXZ6GsGyR21mazV9xLhttkf7s14fF04eoHcOYK82pTLnYV5BYeVuCdeCY8s4XSEk AIz9CF2yYc0OWJLAir48cyPNEcvExFpVBDUF3bMp7oLGTjnZblLPSk42DclU57175xSuoizg1hMe oxHaRSwGxWAk+E69NFSmzzVPjgx3m4ZU+v9kB1cn17jtrzGCxGxJtfewcB1vBA4t6U/Mu3muFVXV Uol4Eg7AphNr9ME+L8ZK4sNZN1EKf67zTSZwJk/W5o8H9Q1HB/TCQp/UMeg83ziylW8+6PrZjLCw CC7GBt2apcvC7E8KtvBz0Exr8tWcnXrpL489XJIYA8ToQM1ONpvDjJbAdsOpqRxA4ekvfdaFjew8 LOuht6YE7cTJlFd4d0bd9gviylg4IGZVdA5aUZzHFSzTAJuns0pCzT6jJYbQFueW2Zw6GfEO+clB XinGxmdacVCMPbBcElDF82SZx3aTk9U4k/ar4X7XNZCQtgVq4KMKWUDU6N8OOUZMATISjgH4GQfo mu7kL1DNLWyc+FIJ6QwurYgfsip1pkyTRi6h30mXxWGvA2wr5icHuLDgYCSWN09vEliuGilYtDan whks3mXrr5MZ99qrrO5lLlihJS/14kvYDpvVr1aMgeB3u91Hy/TfkGJBCJVu0AIfuRFmCdLPAV0+ zeS3cH4fYvxtoBGCpq5oWW0OL/gdmqQs9RcEQc7WU2fQyfJCLc3ywyc1GHjTg9QQgJUBc3aeuhNV HlYgWRuWT5nP9WbdNRnpnDfX5+hOxUzanc4LnX1fnWsQWiEj+YPTcUNSr4MFiv5vh+0XZxOJDwb6 KFgyMDLKgRWZGMpbNSzqUhHg9B/2LMJMkgt88jWq0pq0GtKICwdYREHMM3leFdBrEMwvLSCheHL9 WwyHBpLeVsDxqvV641pHqYKKcFLUprM3H7E37K+2XNSa02Biq9K52I+Y2VLRTeeBW7H/TNE1niK2 dTat53kFe57BouA6TLcXbuOYZH1/0b3kdNyYo19LiYMQ/T+/dU2d775fypMlgHhblv2JP/JFwbT9 DVLYSgRiNTBK4LBp2BnwOWe57iLUOrKSEMn88GhwiwM01bjjPTXrNArlSd8Ao8pKLW4oTK34RkhB IGSv8f58XVQqsp1EmPqlfRYlPIxTNMy1Wb4pJoX3lWKIJDmuURb7oXWeZgv0lun3Auy6+yeHmEQ0 9Yb4Ut7FKt1L4hcD5J6Ye3ASM03sTGPNEKNPuErTpzcOwdbKEl2KKEkHqGZUmjcJpQ2LZJpWwrae CWfy+NdWyCTflVdfRZmb2V0M9fuNGpRt8ig31MBtVByMhU2ToHtk48sNfMjOokVHZGOx/W0KWVHC agQAAgrkF6czJ94FLhJCYGkTsSsKMg6O+9KITspUwYqrDHhMzG6+cyi0+b9+WbQSjsgMoJvlCkYL uDzYzFGaxJzW6PnveD0TgzIzvLRQCsMv/MWERYS1NpshP+kirTjP4irxCHzfLez+TwPFXypzTN4H HLd+xdF5+N9ChxgfFksdZXbrimIYwNu9F86/9m2B7EOGyImFDqYornJjP289693jYbFstbgRaEcD +k3Hmk0PumbV5eMIklWJPDUjsZbr49eD8gPZJ860pUr/cC/v5ukUJ1megYDciMQ4SvOVJPUdwiw8 pjoCwOLxLuySOFh9KffbSJiJusDPmdLinRyCnf5O5GuW4jyyshYblB5Z62exRCFIUmWR+AYHGqwh 4Vi147fSWQ8N+teXiioVaUT6M3TrAoYuEn0ujhS85U11ohBKTJYbaVv9kfOXdb3hasCtI55O/Lve bzRvqhWDuBsEy9Ts2aPtpHtwW3Pz6I/2ex6bb7N1O9GlS1GyCMaVwgShH5T8dTUxtjcrvY4tUHFv 24L9k78jJPGnY1QL5tcSR8bQcdxB9dYSEHQtxPyoy53wgy+hesPl+g2ENn0kL8Dy0US0Z5GVue/+ /EP/sllPm4Mo8XI5Vdgo4+x/5nxNhVSzqP+c+0psP8vBc/p4uwgIy0WfTSzrm5OaivkplHX0qXZB D8IGL7ZeUMFAP1L6uXOryFPx+f7H8uSV4HlP0JvqFYYF32mnPDR1gtIbDYdriJnDOcMStOIQG3XO FpRYEfJlmMRbEukkMd2cBbEEJZ0X2u9y1rXvQx3EMSP5JTLTWPQIYEyuRQrTG2+fvl96yvtI3mJa DISxZTXevVtBXLvRsAyXK1JstfSrW2uKggpq68zODRwc/hIJzdGquLmEjud5E98YKqw8IQRmANP4 1mtQVB7JOsjoLmD+A3RZKZ8OduYrtLiLeGCcIFYCruR1fqU8ijNFq9Rb2zX3kzPPILbd3MIbmh68 yh0ETTotSeDtu7MlLlRY6jZSotqkNti88z4Kfn/AdK0Dspbk/5u7+TEhjV51Qmp980icHRjgtn/r +OD9kWbB2pBLqHY8kgBXxJRAT1IIN4bspGOMGDGO8SsFoETGsFxjtxc3zgDV8BHXSmqTzqh83aqa njPErRsaALvOOGyWq8mgL8dTxKSQ65ieb1Wxlc5bRmmCUjJVNloMo5yYqsKj+OFlIaADX1d+NVUs 3B6eK8DiEjHSaz0VXtAqVFx+45amfhLKxwEfNUMXUnofAGa+zQjexvTZK1utHRh/+4CYL5W5pRFR WBrhi0dE1qdAeGIon8pzyR8nO5lcs7zGUf5IU+Tpw2M+v6iFKwIbPxq0F6f+QNirLL+vb7T1giho 7cx6lBpvGA94xoZ+D49UDiCR+LJKLKCjOqssY11KYyoFnJVqg/PkBrk3K6PV/BqgvCpfBOfY7qQQ Js1w7xC+oaNFJuC8PFDPuuiULE+eKyBjDGADPXRjhUMt64kDXnRKe0Qs9SRu/5TRfR5555Pytfq7 knWObyB6gDHVEaQgZEpywo7D16HQvrJtAR/P44JOFtgewguYVcewA71yM0q3b9Uwh1sXzOSkaWS4 2LSHA3GZIb/+xUe/N2QxM1MQHE1CL0oYX6P/5i0OvDEUYSZsowbXLDn1vKxmGXaBL2Mr6ii0zh2H 5tLVxrZpLfDkqtJBiGnVqIOcaQsANc9rnnAfEJ3yITKX7Jm1qe5V74nLiRKQz8bwOwotPFcVzR2J YPUqNhwFgWmvQ4pt2nS6NZtn6LLIhX0++hC8yINRvKcFs6uS2LlL45cOB/PAiK5luYJvoMBG0nhy MRKx5Wt4+3hTMsn5eYBs1HggSE8nlr7ERKEC/igPLaBDOilvx79tY1EhcgTImUTWBNqYxAbCf63g DhLEmkFdBOVp+BRb1436WGmePykSIMG/8JqoO5rHYe9KvAUT62g2HJDBC6NzWkz8jlEV7F4dzMyc oh1GAiN0ob5Ue3hI4d8lPAAPOBmMJdfxWpA+aquDkZdPveSyLjBIFqHzVXCFv6Hq0Yfb5EyP5AuL dWOCjG9lQUr0SJ9pYXritRveLznef027krnS4dAw1DVjhdaoWxLOoGLfXlCQmgIatwVNxMMYPj38 V/i6WYnp32uPt0y/IA/6lfiBbVBiTL5BMBP9rYt9RwbJ2ZSwmspTJWtHniTxVEQ6I2EfuEwfKQqb 7Z3JpOmkkiKM6riZaHh96GT27ZOLgd/XsXDgk6RQm2hr+r8fE53SDPZeQ2pFgcOnN4zrfzlSTxmf cpmfTH19ZGeDfUs/qeTeZAkKluoIjTKoFhx35p0T3HLWidInxLazJCynCYWf9G/GOrb6uNF9Da4c cMZuJfmti7ebxgMAGDBTn/BF1aMb29q8dzUxEGH+FFdarw9Kdhpcxv4aSGReZS2/UzzLX3ys9SCJ SrixYvFuCIsKN569628IsEHFYBsrZSHVY4UJID3PoLgSFRn1BMN+8h4VQ+yYLZlhn3IO2sN2kEyu Oekb9wvEeIGdcEqsjv68lKzEq0qVmL3/dXFmJmJ6xiuXmn7rZ2GcwvhLtBR+R1USKmuG7gN3IlYQ Oh1KPvDGZcfGDn6fxOEDFybngZ9jOzpcwOuQv80YGIGXK1485WvlgIWfU0ifN8n/vYUQVkq8SmHb gMP/EX4P3R4Sw/EenArvG4RFKAyZn1eDadABao3zV0KMOrwjFthzzlLndbjvcjMxdYuihpNx4AV7 mxRihIZG1agjurUwqOaTpJ+/h4+N478LPUyyKUDzsGMIWA/eysBLTiKPfuxl1GSGfCjQPNola4xS g694H5iyEDOYJjUdWGEAnkDZaY7ucr25yo2c3hJhdeNxCnW0QOalXiQfM8GP2YgdTSHbSnPcZN10 AsWj5FP+3btRU5/22wLLYt8i7YZ9v4gzC8mahWuHWYHCeqROedQT8eRoPeoaMBUJZo3hZ/BUTuK3 dNRP5eZ885CXL1aQ5gSKeeYvaIqI5V7FRCMePjLtcZwxYJNIYDTxhxB7rKzeLtWI3cRYukhLAZf4 NWYR5Jr4OWyEzvUEH9viCHgCBkpA3kMNead0MVCQr+ybjxYZSZr+VB/OIiJF1X9L3L2ntGeSrwTM /xFt9CYgMHbD49rQxL+RGHKalSZLRqW8mXOu5XQu7zOyiT0tiU250yFlRkZ+sfaq9GNJd8SdRt4X b7VPTATyA2xZUguWxYNwyjtfAmugjZBmpR4KkLqW1nSPrncODU6wRxnVKdYh2CC+r5InLw0eG6Vu SzdpIspySVlNal4ue1526EGT8NstOamw6i5KKPXDvjJBAUaTvmlAxNz+WgqlWY0YWnNvBFCwDBC8 jxsIrNlTGxJEKN9IzwQoBMgkMDTuQqTUWSkV8QLnTregArGF7at221nKFWfxRK+dNuBBjd9djsBy PvFkLDF5hVfuoyTseNwyeqExhH9Fbqph74a8ptzSyzKdCbiFr/R/8/bUCIn394lGinZbmXzEzArZ 3M28miF1czDrvPhJi8x1zNBde/lHKFRdiUud8fSqf1y/nZCcA9AwNveik5Mf04RF1w4qBiGNd4yi Shtf46n9yfTBAV6rYCu1jfvhbkStpTc7Wll9Vup6aVXOH9BuzJUcG35ScKbra9xjyxotVQtjnudQ dccVdFSFVtIyzmKySGDh5CPOcEdzfCPfxNCGoOAmvBHMjLf3xZNXcgqAYURjGPzsVyGoRxfA6/7V XlPEWm8yfFq8xpUO44EbmEGkad3+vkp+RafByvfH7EJ1oIwx0gf9x/CN31LRd1ZGLUlhCSK+KN5J B//mSAqw90o5sVFubw65TcHm9hnZWrOLPyzYDJ3C13KhLJb2mFpGZOWpLjPdxpzgunWweWirvCY/ buNKhoSMr7TJZB04TQKkEh/EnZX2SBNAfaNJGftUfj2uJ0aVkN28jj0npDsWfHPjixGfNMIj+bh1 atJXOkBSluisTvCRvBWE8elvTHTSAZMlEAFhLGyoTTm+o2WaLVNC8RC9M7iqy8O/YMjKcpaIrbY7 EKxkTrTiOTuZu8nq236MhZI3wwvGY61zjas9n11FWrlQkKIqbdFZf6RLTSH+cn2IifcCJbdEZAYo Dln4ivjS8zlupx3BxB65HYVS3Ca2QdJATrnxlW+f6tktVLNpbnpPyeWHkojDfODgDzBHMUBF7XAg jcyVrPmGbgRIM6A00EZr/DdAqDA7NoI/mH1EwQa0StRiAdLmcg2OH4sxA1a9MQfeEDg8rp1ELlNO YB1nnIfJ4JE6hfqM9wtioY8Esqm6hwIwOJVWOGujKwTLxaBIxFWAD/2yg1P3yNjXAH628Gi/en9r kEJ7F0wZq/PlOI8CgOO9SN1KzyapuIgOyOl0oNiEh6ydfSPwSW9wO5dqg9Eu0zl2RZRekUbVbZlI hwY+A/fkkQSy5nQwHsMG+IxsenynN+QSHjp/o4Z/GZOjQYSgHf6CuNmU8Zzey5YTg5XmGQWHpmAM aguaqdd9VmK7w6toDnGxoXCzMJqd823EMZRrVI+ki3PvbDu2mVOH8fPjBcbYRFsQqAkCVMAWZw50 6VNK3ks4fZv9fKrhR5kH47xa5vKy1XlgBEa/NSXeH5mR4fyxnI8cu8HP8ntLJwXyuXywn2GZRN0I x84Mx/eF9CelnzZJMWJagbS3Spa3Hm3LrsqNyZt6Mt3le228tCWAG75WE8aWAKNCLEzpoN4RhrYe PGujZI2CXGjSz7vKE2FVktmdcFOvYNgVEWMyB6HoqQKbJy9snBopXkzcJ4lGPWy7EA737pRawPRm j2mnEur/CNldIVVPa28K0cV+bFp5rSrqOv5SjhNAo2cUs0CoZ9oIG7Las82HzA4nHQ+e/p3Dvmnv E0B9qSTrQJAZvh0C5PzzFZysUg7wr89eYIeR0LQzD9UdXpOH13nbxwsMm753KjKNC6C2izcsQUM2 yA1+MVwyeYtuvsCVvjSETmUy8xMeysv1IAokOe9hy9ocD80M2HAvwOwLakLHzNIK/lSc+q+rn0Se FjjJDTDW0Y7kNlSjLcuOJANLzKm50oOApV3M7z6JiB82/kMvslIF+pvmIRS1Xxp9fYxpV7V1hclB xRg64o9dWSHzmK3ZkFOsOVMKcxCg5zqLFqL5Qga2gJCuG64RUTisDsIyvfvIhkfRUtFTtjtkBkdD zrWS0UGZCMXkyT1GIG19ZzYZAA9AE2X6B7pFoLkUPASxPJofxcalLmxSNkuJ3wlQLyiGS7LrdUO/ +TxAkJmnF0TezWJOLDiQiodxqataqXavXmkYXb+vkSile7/Yc/QlEGzIKDnTb/4ArPNx4IiFcfUk CoN2cdiee+KEmgOD2uvCWZkz+1IIq6yiqmbnLEbNPG9MsX9SVphZ254tctH2cPpsG2m/oKQ305v7 O9QyjQcQ3UaIG/tHCVCXJ5FLbYZeQw8US0Htlmc5Lt346L194T6UPNorheKMXiTJnlGh+IX8jFhx UsKmyU7IuoTGr00eHJHWm1gNxSm2mSf4XKLBaFluuqdlVJcjGSyqpO97T//ixgCkkcgSn+P50yAZ tVtmtTThCVncmGV1PQGsc6vzBgbthFbgB1w+Xxa5hfDuSo/fFqvraydYS24TNkFd6ceWZolCv3cL tYMW3t+5EyExJJZ2mHTVePAlAWtahBWCyRcksJrSfdoZNjza/vorVo7AMij6atulOOdYMiUFWW22 P+Zio3aNdk7EvQbIRAZAtjqTIQSATW2VpFidFNY3qSlmcfrvwxfFd17y3v5+i+cXyL8ZTq4J3aTz oAO7Ob074n0HvXf+U6Eiu6SGsyXJW4PtM4dHMQmTMnaNYkxG3cgD2oQP0AAN348mCRFDzN3wC92C D4KP8mSIo00ePI9GUQQnsTW43RVIliPRLtWOwV8j09aevQrx1dj66UbNtlSDafjl7kgQlRI43xNL TQpkhoCpZQr0zZ9fUJJGYJ8xKmKcz5v73XblRlp3Azo22aV5JiZjQSbfNY6uqsHTo5dMWzrI/xjU mLox6JKVa0lXGfNm07E7so41AypBCGS7ytM5CdhfkpBh1yjHtiPxBQyenQum+lejyKhCvPELbAqe ZoL06JVPRuxKn1G/gjchCrUeHGLwHcbIVYAC5rOZipQsoRn5L22Jn+8WJmSRL+91gUx/O8E9hNKD Wk5sLf+FMEKki811Qtxak/zCmoZa+zll8XrVd5zvcu6LPZwqqsEUBfEHfR2mRpEbCOzR8CZqsRLp jDxLXK5Yrbo4FahdJy35GCZMpV2Q1Y32M7Ry5fZPzgFSyCxR8CYx1XELfryM4ZcWkKNRZWqvcjHd yNVf8EGa9tuN7CQA/NxO8VuKGlJypsLfxMKvCOEYe/uVrRRoeab5e5UxsT84Yzbc1pqNNiF6YZdD KjpZZP/Iz5GbpuoRVlgRaoDU4jrXwx/IAO6S7fKgcalt6hJckpSHQkwDWeDwUa8gupEsSV5RVR0k yTqNuHWK/Z+E1eBBhkt1i3xOhU+2+gb57nMnKAirRpjr0u/OFckINGWjGPlczfZdMRxQY+Uzd401 xA6GEe6nkg+4bA1unxMmDw4/+jIdH/+xXiPc+mNszJJ2cc4FLMj63cGBaO3KPesxA+SiIaGl3ssF LerefFozoHss6sJz7BGh2bhVXMUs/cx71dsJ/9B7EgXwC7aVnIs6UM8uhHkrVJgF8EV5NPRnwKrp b79c4kE1j8vmB3O0+Km6wpei+eaJJF9zmpUbfn6uKzfIZeSeOPHwKpK+bryeFPo8ONWlOA6QdGgN fA3aiwOrhjbz2GY/jMMsiVDqs4Tdx9Glx2/xUjbBmbBt0YeM0tkgWSHxJRBxd7ty0yyO7RWgzQSY 2vKRj8dN6kIXg6qkB6fsHDMoXknrUwuQFlkgo+U770EsGAqWVRLXMVSuKGPi29RaNY7sghBcTd8C pq9P1rMpsDQPWbmXFe9yUKTzYU4xKEMyF8ucVT0RaVBUQwfw6MdtzQ/bc4HSBhLEKf92E1cuZUI1 yGz37b2m96pFkF8W6H2jju3i8vS7RCLIVD4kTlMWNTYBHaBaqJVXSOnZcy7meiDxD+9vlbTWV18a v4Y2XSxQ1ZJEAeLgSBvS2JtkXbAI1pos6pc2t2Tst//GETlFlY8l3K+L6h3lnwQlPEPUUOmn4RP7 Rg3LO6CF26Iu0SWJ1KNQiXyZl6HIIUX010J9PmPFRqzZdHZQgMt/bq5HFDhyWH/YeRO8fI8DnM8q axtH7fd7fM4ZzJtCPTkGfUfZ+xMeM5sLVTGSDYW/oyNrFNPvVWiDKe1XsOFS3uNRSCa7/47Ju9rm jH9y6q+KB/XQCgnlOf6eQ30+0ZP31sRmoYeUqxG18sIbLfaVKt6L8f8bLeHyEm15/q9AcaUNQU+i 9sPBqGmFUWBgipJ7XIWo7/zU6lGlRdrNyAmn3IHENT17ADN+Z4taQccjLQf5PtxhBO98vUP28Flh 2BYuQ6AGFDlofhccvGcJeLaY8zhWb6nXMOGAP4Cuch/KJ5wvw2VDJAeOVtPd3HJT4/3cDhwn0+OK pgjhh9SpNmQEIW41a+5ACd+tLzT66wRshRCDsyEoA125OlhOl6Jd1sgSkgNG9Kqy91oxAb0nzoxs 50qkYTW9o9cpsADm5nY+bGoVs6osAYWmFb01qWOfI4FfsUW59VogeA5MTCmCHcSkuEIrSoZabXbV 1qn3CQyQbI1olnS2A3Ebplz68COafso8n/L0otbhEDkDJS4IneoteFLcxreL0Ibdygih7LhFXyeu R5Q5gk4lqw267XO4IgrbwymGuTc/SbIYshD1pLOXmZs30RNSLR44C1g6UDzI++NaqkacYws2DUQS y4qUn297BCkZWgqC0e6tU7yLSm6y1RNlvSSy8TUQFlEtDnHbY/PaaM4vV9hLsPamdX/LQWLqaVXf mRwtCOeZiDpJZAOPY9pzbHoVFwli0hRi0IWI7JLVTIMQTS+ApspmYyJcM3xGIKI0UH6bGZri5pGi We1Wd+3MoDbgYP6pq7sv5vowJedgm3UHVrMRieM4vAzaPuoYP2E2plGbLzFi7GT3eMzr8M5kgti3 Y45C/M+dv3NGegDKQRQko5eGWGee1uc4ClS/TbrEx7rOHQ/g99Kpfo8Lx3jJ1jjgRKlguP4z3OFz U32/pk8L9Gb3URdiWWwHhxaE8bFPYmRguTGUn1tuUOgjMgoutcrYG8sAQdRSUW+yXClsKru/EkYG OzxEJJok80KiLCO4GrCaQucFN7drCIcLmTyRAEbgVLD3U5NBAhA8lvn7TiY0N2YLZh6Iyk9gK9bo M1Y2yImwcQ2g6eXw+a+kzGfM/Tpp9l5p51bP7HIj5tIKH1Er0k97mCOpPvf/Zt1Hujo77W3sfIiJ RinNZuXQHUELjZ0qB4k2rFlOxPKs7R2orp4T8vHoYEHg/OXolUYkw7mRXiGVFl4BH4WgY7EtQc2i jP/LKl9Awfqh1QFhHmVVQMsi57GT2m7xYuJxhQKPTIDenYp/QDPCrZD/Uc9JmU0wPGvak9kDKRcB JUBZKdf6kaZLwWd3xLcTlNHTI5KqfZqkVQhmXxONgcUXeJil2czMl8kYQqSVkVoDHGjTNao3Sr1H AixiMFBpBmobu1tM5AESYVdIkigmhfmUVZk3qkSIMffVUYdUP7ubcBZn5wVJg+grIDA7nniFDmo5 vf3QMLXGILk95mxB4QyLzh5cB57In5epwxjpGtGl/2F0kFfzfMP4EfCM78BWijIGYPe6qu5tQyPf Af2p4HfSvPmpWL1JmsfCnYwvb84411bSSARM2wJ6LTlugT5MQt/3WfCurTA7Fhyt+Iu0hpD3wjPG SOdlvrhc1ICzL8RBprN21EPi/SwWQUNDj97SDvnMXCgkAB6C9vFVk//j7Toavd6RGAVR9EuX7ZhN d9YZnMR+oE1St/yTI/AfJt+r9B0aoOP+uKEoXSxXn6+mwsqF3ekZIgQAs/jbQBa+ZKjYQtBeP1+I P+PUR/yUrFDCfvzPTRFtI90a7Ae4ul3RUeU7O78EWX8RFWYj1mXSVWkBhgqKDSfG0A9k7l1XLpYv ALdFHPA7dtipSywOETn6Q9RJLTeLtjRpSKKNPW3Z4xyZCUlgADbvnzcOao/aqOGExEH0tikVxL/y tDh5hsXah9C6m4UUcjkJ/JRC5RwC+ndpCs3HJfQG9OvG5ak+b/9T8ZWu5VwbYsCd6ql2HgB6veNq 3/3stJXwxUAQTGPC7G0vB3D7p4yie9+BJlrgt/FP0vSK0uZKsPQdX6JBJnDfhcQUkNNN3HHO1vuG ZTKTy9oGL2DJ3oRX+QqHx0V7Czceh00rcKec0t/0XhSGNuN8sYYDpHPHOwmEaCffRKKndkgC3O+M 6Xng0lemE7nveguJKMkiF8a30h+B801Bc98c6hVFngtNFTzRlGOlIjpRG6S6SXgezqYg9f/sQKEU YgQL9ZQeyQ2JB+HtgPg5eii97utMI52y7kEXmK19x+P56f8qxUCOQ3B5KMldrXfizSA/Ozuk1Vbj Qy38uBc35YVcL9bGE0OgpOO2Pzpxa6UXzcPbJ6X/4MqfcLQy/OTBIfLksfCVlMOcg8j67H3ccZDv XEstsI8bFDx2p2j38/f5UWz1/Nxrs6gqnwliaj+EDCPJDga5dKQmB08o9iGpi3MU3/b5viIl1cBb MAN022gT6WuO7f1Zsx9zdMwMWHUGDaU+v2htEMf2PU0iqOO8q4mBy0e31ARUrVPXaqPqwiF6Xkcu K2q5cQkNqmHp8X7y3ANyXP9xUIRsLe5BWlvt4Lv2t0LkkfE/a2VlPHMcLlRpE6GOjTHVmgEBWt9c NHrEXYDWwnBbHB4lIPcp9TMbVPCIRMyPdodHOYvLOPRAf1kGL+qLuQyOWDV9/owrW2Io7hFaVPNC +pw/yKcOfTMpm3fzQFguXn72JTNUrk2SMyfT2Rh57pn01MGxY5RaQBNVvjtKxSz3+LnzLd3Tr1jQ N6SvV7KtBAs7WHpUo7+w70wWYjVKcbCTotYYsvgG2tovJDmePG7OZQQUC8DHwWYh5XqzCeVOcIQV 0X6G3RN7swJRD2c+kX0Lfhbgul0k7TJg2vifmUPVRd1gazkpsp/YVabRZMEpbkQJ8Ayr7SZYdr/w VUD3EgBMHbvqqcQvPlUYdU+t0LU77lLap5vy/ySZz4N0TX7uz0cfBJvyA5cG6/kEXrkfDLQCf46p RWL9AhNrcwiSVSbJYWC0mIKbZH8DjU/ytetEz1g1um/+08VnxyR0BouQPg53VWx2K6DUBiWGsRUR YenflW7hPgsjHcMIc9B/Amd++R65owQpwb9PbU+CCXWoTbey65/r1UJXUwOkN4tGaQyfEerWGz9M 7tkspk1zfyIjyuK2UJ5UfKur8z0wNqmywgaPb2kqeF7loge8WpF2XVhDYRcdrwKluwWPxi/9diFz xSRuW6AGJovzKkJkZmM7me0cOViqbnGLNO61O8M5FGUO5sKwP0J50+99+E+Nxgvw1VohwioOTBui wRzk4j6ay42U3fNLI2x3DKTzmO48GKN3Lrsl4s+jPoNYSMbxzMiuZuc3xX/EzmJbVNN9hXadTPGs 8faEF0gDc39/pLygeEc+K+9VWfRzsKawn9i75zM7Nvs6TxOoO/4JZ4Wr9fjXy/dYe+BWuAz8upHd A7ynf95/S2w3B6jjdTiMUAsJidfoUVhyCZv0iEc+13yJpI8dR9sUtIIfp7yXrgryjr0ykWIJZ5Ed FrMU0PYlKcjpPwqnYxejLflmcXKYp9fda8woBINnNr+KDvcXLEpBlXcH1BQS4X99gaiUDXlmUocg BkRYfV8AY0jq3gNAp8hDtINIY3WH7JR+jZZHGcOs9019o4p1JGlhoKG/15DOA+LvX3eMFwe/kB6W 3X9sEXUcHzdyCngLf+t00Y0tx+vrMacQ49X7zClY5jr6aNBm2IgfZQumEUhSL/xzekN+iEZ4Ovsl uLk/kUdVfpKxR2bvpSPA7cgEyOlYD8B8dRXk9XeY2u9uYSN8n6tuumqOCTMtYS+I5T5KEUoAnP/t 7d/1Cd+dcYP2N81yNmQSRbrNkgYu54f08smAWF7wyQzuxgz3fhnpzG8f1yxljWE1T2wDUdffmWta wB/UvUK4ePqKldeagA4PR+ZnNMquB6xO8WvpbRMSbr+729ogaEe6Le/r3Tvw7tZazL1TBC1LCia2 H9+tJ9Xsdvhf9zV4v0otE7zKo+mjkbCm77eN0k3dBiI7FObqfv9evo4Xp9BP+JPtssPv3+Gq/eQs nuZx9tdDjk5zM1cZJU22ujngzkkX+/VgdBdo4Yu+CQUb2LRNG+3PdH5fIdG4HvfsQFisCrMBN0d5 jz9A8KuulSmE/tPzA9oVIYmZsMTFgcltGnt88QUwWGeRf65Pibq2ifcEV0a7Uu40iZFG7Uw0Dv6F 4MO6mM44uAPbqylK6SI6cZ5MZTqoHE7UZpNMXGfNyRgUA+Kq0BQ/b913LrYObbIy13Kdqf+fH9oJ FAUb19k6CRnDS35ZhT8+hhWTEjwpOCnF3mbBYN9h1s4wFNAxxOu4cbSC7KSY1HkGZ7gvosZ9EKfo F7ZqnH3RQLCQMVth1U3Mv2jkUQdIEwYfgCqK6XqLkNQUguXdrOppEBD4nrWkDwXXXyLLoNDreqh1 Fr2sO6XkvIgLVv5FVdmO5XwDeuc4d2ygU1yyNODquAVKUkueNpyWeGi36VTNBARDtujO30OI/rrk BXsbTwlqR2C2Df2FDcKjl2JmV0vzWzCrbQAqNpBCmWV2GShuoWbA8lBk9ijhxTANlh89b142bu9D x0ELq0LX26B5gKPk3ru0KI+rjF2Ft6KiepBmmTSvXhh0mn365wIPU9AiHfsWvOlG//7rAjoVSpk0 YsgcZGz4oQ29usvaYQcyrlzUJmntVrXJY8x4fsdzPAP+6HkEfYfSmo1vtAF5q8JN9ZBJFjrRU3zx Ou2zeVbzhccyQAfLlWSUrbP3rSQqs2/StwXNe13jX3NY3Aay1tHcuXEhkajo0Rojlx2dzSQhO3bi vWq8JFZD9EH9OVi8eeY8I/pvTPqtpPn1OQiEJo1h6lmh0u8n+zrPV3MneGxxb+MYKMiBOqZFXjXv xUvDFjHyezGanPbT1eycwPrhHJmopCdx5XH2yMNqt+E2y51d5mAAP2RBpsJqeqYsyBugIN5A3DTq /2ATqntKS8N24s/RopNJFdGdrrhvgjXGyueVpWs8Db4526O3OC4cGOyKGtLLv5IVPWGmkL1+lDVT lVOAjN4SiB+xS/APCFYiQeTrSZ88bRdlkf0B/SuGnQ2XgHydtfPct7Cp9mUqktuauhmtuMOMkk09 Aa8H+Nxv3dg5sL8zmGrKQoNm78+/TPA8kY4MHiZ7+qWlDLGn/VlRApsQSd3KxGPamDB2f6ecXfzj BohaBeAP3Ptnqa0tUg23/aOVXKaJ6oeKzRiHw6iHx5SPB4BjEREwfFN54JIDCxp/V8J7/wJ9ZOyi y2tGOguvmM0uR1zOCwBd7chYT/cJHjnUdvuJKlFdC4Xe0URoz0flC1IIjtLHx2OozSlcD94Oi1gY H4OlVq91jAz41s6YxHGg5ZayXRUCJ8FTWZ1C3UqtnxDZ4ooUVwbI1pYog6fW6BXLGeCzacOZw7pC qyDe50oyhv/5/c/9a7m0k3xY24e9GC74LL7kcwC20Vl8D2MaGZ7ffFyT6XHoeGZyyqM478/Lms1F b86tdtO9I40Rmz+GJJUuFGeDt2stMHse9ifYLRUH7G9AUAtuMd6tJKtXFUbVDUZKzZPApUviPbKq X/toCBnuE+54KH/gwIDC+S0Lbc9428FsVnmxkNcjb+WZdW1lv9/PfnJiL4k6p54NnVtgC8amWI7/ S37HoZH6lTJ7oROM8IU3CQAkyiFjQoflFZ/v7lQky0Cx4RAiOh8s3Sk0CfqmqBqILbQcalMufzNt Yn+RetEBfiI13cViJ9xV6Db2guLuS0VGkDc49GK9eJbjA3c5pyD7s1j2P27INozjW5ViHtwXaJLg qnbTLE+U6Jux3bei61RrswTsgiCBiRWKWyzwp24r089MddxnErTlqM+PLz5EFXbtvFZr9PzSi0JR ENl23uPCPBYIoNkWm2o1TY8KVlgo1nZkAfxtmq1DMx/wj5ox7kc6tS6nObjEkcAOxj86USNxOnTW jhzcsMAx0xFx9F+7giUgB1zAnQhnPMMY/4QZvAhNaosRXir+yA1FP3zMwy5FxVeyuU30WNkTxjdi xIPbAZ4hKnzc8aJw25cDZv6nEAPGAfKa/3/zNwf1LW1gb5oix180YIRhPlGuyIg6Xz61MLpFwLjB g2C+iRvbZuUxQK5PcO3/hFZd06KpZIu4OdWZsuKyCKjIFSUgi9VS+8GfGQ+eOGJqgsT6HfM/dXGC 7dayUVc1pEWUxAShuzdWE2SDPeH0Um1SfZC0vPny6Y2mBpLSfy4jtUxKFwxyaxDoC4wTl4q24q73 gq+iCfp2G4qxFZCoqOwBT4AgX1UgKA8XdIwIA+xrJdxWoVHv1rvzGnbz/vmqjSzQg/o2kGTjWqKs nft3wQjzHlhJwDsRAayDj4O1fOJO2GM9AYpIb6iPESlPXVf8B+MivkFvqfUHJ1gW1evtUYLHG/8P htbDl3kuXyzxOGYrpcAQkQdI3UvEIlkQGYK3H4j2VEpbp/Um1v2ggK8tIS9CvoYYmWpcLKTaNGEh aTBJDEXzcM/8WWlzuFVVRHvxQQEv0jZAuoC1s/nrnTerfM3i1UkSE4f+6l8fHiuZmXquFksA3KRP YDqKswG4DBt8oHkidnOh1WG/dpyot7icA1vueF3ia43V4aWJ5YbWxSmLxN7csupH8A3Zvpf08yH8 5+c/IBr/ptzjiE7d2PRWf+S9qf+Sk0bA3Dlz4WskQEfuL6l7HtY7xy3DaMU8vHzHpL3ZN75n8Xea waAesn04A1uVTtENEnkGh3oHLQbiOCy8+3cLOLOTirpvGv2Na0eVZY7bvT2+bxaItUHH1rYmtg+t 7BgfggnM5cEiSMX9nAo+c+H/SrgmMQEV+vZAaTo10O2AJMtXO8zhd1rlpV2J+Gn81FoeB974izqi JTebQmcmGY4u7ZxwX97XaJPGHWZCAjBC3pr0j8mFL+rs9n/h70Zizzo7v6sb2BXwblIjqSllniU0 Fy6nYVLrFPZyD5t2oMhIoL0v4P36rkKOyt/9z5FJCH4ECxS8I1xcQrscLLtZZYEb1YMrPm7efpvo brz109T9mH1TrG/l4CCOzt08Jnq/1x30VM2tZPJnNXKRxcT1qXehxrafYwelqyHWqPijPf53q1K5 0E2AJqEX3arvL9hsS/d+urY/McTbyQIy0WM9fPmaY63rwokW/DzEDc1mjj/Jo8KdSWio1vo4Gf9T nuScgjtafu3pGeolfu5tLrpoW4vvY0yKVKCP/owHvcigy3o21xz9Q2sLeLzycBgheuX4DRe4Q5J3 p1uc9InQJRjSxrT/hXS0Cjc/Ru+3YezVWnN7oWYAFXFmHV2fxPKNQC46m4Ld9eoaHkGekwChB+rR 5NOlenQ1ZcyxO2M+Kd6AIHWq8Yr45B/poJIfSowudzgD/7UGRrthpyrij/mQP/UGC0kdEWq3dgWh BViZxvBT1iiD5A5LsS6MYCg4+qO9X+tJjLoayGDAOAdKSkb34Fx7ERCo1fzmdDga0I8D1pVsLaO+ u0K3tK9pxe1dgs5EGpQ6NjYvPurjCvW/u3e85RNLt6swBYFXfFJyvgtJyKx3omvthnPNjZlPiMjA ZEJwm250xMZxttC36RP4XGxEETVfcMv+z3IzrZwaEHQZ8zfX6X0POIcHNnk4tqQI3X5jyHwVK8Te HNXXjl1J5xWqqcPxhaj0QlhuMYI0Bhtid9wGdqPu2raktAHqHHCbNjIrpF7Ca+uoWcGyzx3Y5d2F dnXwdAKwOMh1nJM3jDnRMvmIf4Y8muV0zAIF3BeFlPQWownh9nthi1RttV5HByjbh7uDKgwK4Ue3 jKCn/Q6EAOEvBmo1qZcMn7qbhd4D37uYddSgcmgeYS6ZjtAcNzOgFIx25Z27jzIsRh0Vad4tp41v gcPFXcpTwsqBBEwlM43oVTf99gCgp9SP78Cgl0Za1Q24tr5bO+x/s5Rl6TmwoxMSS5gRBda4DIdf 5Hj9dE3n1HkKxt87baRv+ftqFipRBOUka3LC9DsyPncR3/jg7tk0iEgpCXkMlwrPSiaza5w0rN6Z 9P3XpQ3xQJlcTO/Kjo9fz+v4sCIQXwItgp8RcPka+Q0jIBAxGg1ADMM8x++HrzXXtQuFiWiXtN4/ RV4lLOEzcxiSf9J7ZH56LvmY15liRVcm5CasY12GfHARi1WCdWdkubWy3COr5WNMkMjpw/PsdkT6 fTH+c+HgfJojqGO0PmuO4WjQcGdV7N2BVJ8p0qhOZvwwGmLaTIIlBh4r14oSXLrrGVr+SQqW/wuX jx3jCJ74amIDJeJx8HXTvfwnT4BTcozA7ih6Ctw+Dynti6finciRA0Utcew+4rFqc3TUiuMcOk9C D53j69uumvF0j1nnylVCuP75sB6uVm2Irn1OM3b+zKeCySZi4TF1fUlDiVBnkjIXkKXCNYHLsSh8 YWn1J5Z6/E8D9p0BBMXnk7okSgn+6BWRovhcJkYym+KidMET+HQC8kIfxM84ceMNZ5z9UkWndRwx l8ovP8ZuzjptRG2GootSLKlels62BSP0h4NCCoJhbNEnWfiYcAiVD+hUWdP6mCYb0MtTD4nJtgsi ZHXbQXQkhv8SRH0YnqGy+gj2yz0tQVIrV8LsFQzJ8V8gqY64vbsNFOEApl5yrqoHLCnRvpoSYS1K eTJeySNVxlvM4YUIoQpshfTuLxzzogLL8JDx9CZtg45LEcSER1VPR+7oDV342VJCsqkdqbDdzPAD 75Dbw+IqQ433O6UuQRYXTz3UETiLBQ7KPZtkZ3iELoaVFwxXWyFwd1MZ/CECGWb3UmK6N6QDuCSl /WnvfDCKA/xM/U9yHmzXL1MRD7Yce3Pt/qV0Ss3B2Ter7yQ/QtrSgA85ufZB/rlxXsvxRBNJ6BXS DiUV/CYU13OdzopYRDRdEUcMRqgfLmBk+h/LPNjAlc7WO0ogR4l3pUZnKCJxmwzJpf8oeVfCER/u xncsY8N8QjZUjCmsJqOgBki8DS+NZCHhbcfmb4OooVFf/0b2ZZv7z1JnAykfic6Lx4MfwFald/ok +S5WGdqRC56fHu/+dvAJ5xbQ/hpa9JX6BZG5iHixGIW9WWCOL+aP02ud4xpmzVSK0SDidWWYVJWX 50WwKzDlPpSFFfQM5CEKb6ffVqnTvcyx84anXLDdAtUEm/gGzq6sqCV3Rzn9hoRBkcPzIwXYBGSR ++yzsZ72tVX7hQDqOCJwHkq8yWYVYNCUrTueTDW2DyOsx/+F7s+78Tw4dp3ERZZrVNSWN6NPyXy1 XKwvIjCIuAhpFh8ADDzkO0DajBWdD4DnLYPQAYh0dUlwMrnQxcF5BBx5X4acdiadEkLpGsmlQZxF 7uVZ9VSrSRnB0DoNGLjtJw9YL2ioEoZP49djioeXCLk3Ac8YntMYlKC5Z8iSB+EmFjIQTFKxVm4Y Kq+aRBxkEIAT2MK0H29RWYgYewAaIJxqyjHf2eQe/Y4mug3sTOM1rLiCvsLnEiINbRafDIQwfSEt E0/rMp6Yc0PvzQ8VabI4DW4bWW72UpROe/ktL32LeU0c/8EaTWJlRniAvg9Y8WAJ0u83UFN3nVo2 HvPvQ9wOq+w90mLsxinnNRuuO7hC3sDAnpTiBtoB0hdcJCwYFy9YVMvyPaE05YXi+94z4OPYwiNZ 2EzLSuII80XjNaOOBskzlJRWlyaweJrhleYpydsCyEvQV+4mAyjqR6doGsanaHqVUMinKE7L7Of3 8/EXeH5eb13kn7hVNsnxmtpuck1oufPBMP0k3HeGrPpv228FKOfdC5JGKnPUMMGM89w1mpg0yXLa l0ynXMlWoWVyQVBsFEy/WvCTJZi/LemCGxlvsTNZKSryF3pCqx9A+7ATiBppqIIC9yWy2NVmZfHC ZaBniRRKGMHINEZxunYcnONqj9D5ILDPeIE0QqllRHkCA8+7PIhldDZjj90JyxAFuLvlYBaxPd89 80YVq3pb2thhnctRVKKsavQMvSSA3dWuEPlfAUxC3oogDvYeTsmnIpW+96y6/wbyUHRHf/C3+KvN ecMX4HX/Z2qWXM4yZf1xfo82EFF2qyNSD24ASHdnmmpm9LMgK/HFxrBkwKneZgUrqyHVEZ079AeX xEGfdxnOdxAAzPZdbtUkb21EtHmzC3dAmE+J2AwCj4Nqn0CiFPosZETBa8kImgFdYevPkJ7fiBKs A2QqgTRTIv2EL2i2WbvuznNYGJUqSAj0Udw9LIniXK9SJbs8E3j111GzpD5L1buU8rZzMtFL/V3K SJmYWrkD8MR6eZ7NV7v68sIrjKsmM89CPO9xjtzI0GDE3skt1TQWCJ62RFzWqJKWD6GsYUC4UvAj gEon06HK6MhyISxIlWpmtCRzqN/XyChx3a5MgKAbJOdbmnY0Y34d3NhCgdQ8yIkGTpZ0ZS09a0aq n2MojbazkgKl+5e0CJM1RnFvAVH71Xaj7J9FB6ulZTOJz+cpHMFZ0dwoeCXAvBfPKoz7ng0I+OoW OAfLEs4B9xbWlvfmII+g+FNd9nqg2z+nd22cGCqeONgWeI6YhP0l1Hzp1merIdAwiPGn6ekKkxRy H0Ulxk9Ummph9Npg/SWiIvwuMizR3BFItS5RE8n4C/5ZZEVGd1ktJg0M9XDeWdWVsyFzEeswMmSK 3AYYbpOxALUfPcHQXuZ/+Z0bF7JZ+qgljBiLdoE/drQznlbbNKMVG6bKL73rEZ4qoglFtWa8vCsm BSaT2M9x29E4+sN5iUqCWJHBwV6ARtOXpe2lvsYt0ov4kFvLRDPVuKAayccTGsyUNFlsPdz0OSOD SuCz7bVlcLw3fL6Z9UDFztWMHC2beOkorH6DuVjMOaLcapXk9HVCbw8lAXhHm8hZ4WD+X6NFDZ7m /4JFTXPJL1Hy95AF/3o2ONmG8mVLoYsM0d//DJzliQp3WdeW6OjUT1og89N9DK4umYKdfqC5G6Bj fpXqmXaiYzTE8YL+Q/juy6ODtmkppB15uIR2nvCpd4dJXxYkzOPSyPELwD4aOYZlWE+HRF8HDElP OlWYus81AkythAYt0cRV1f34zMS4DgJiqg8l+Umj72LPWIMmT5XnvGoDnZT5g0YaE1WM3pRV41s6 GQbHrRKhL/3ECJiy3t1ufaDSZMgduwxwc1dTI4u1Eakts0rj8XEU+o54doqxiGJPAlqElKaN45jD /upglzlrgTODhhQlJyZsfr2WMfNNhoMcZanZQSH3Y7MwUOO9s487N3wyEafe3MvtLJlhHnhDkg3s trOgkAQZX3d+C+rIwtjpkeV2OrKfUvJJ7m2nyhaZkDnLIblAfTK0LHtT6Rwzzhp+Utgy4egqOdKB 2lYWTLmVn3gLHI9pkylmj4UUwzLLhWDI9FLY6tsRqQkTMgbiLfmQNzcABL1SNWGgNp8GB18BMhYJ r/D59HUCfrcPvsddpwC/yHnAgqaOpHwFUmDHwWyUSf3vH4F1nUL3Y3tXXvaRgU3BYgJArqeYzpAj Z34dIjmSxWtPJVxBLVmK1jABhE/fLRvWUKgojogPD2Ph8IIxtMyiPg+eaJwfZP77r/boYneBDFX5 mE4CsY5NcCv613GmEzDNyxbpxZljv5z35xW5baKndqVSJ6YP/DDW7pWfFS4isBF5dwn1hcVUp/fm P4oHrBvua7fVTQc43WL2KrwYWVcCTI/v9bJbt1SNOeIqbD9knbcLEIW4O9shWaMkLeWbVFuTMpdi 4kBnv7ZmdvxWXzIqOWGe7G90+p3EtQf2DZHeeN7U9f4Z33WDNhMvH4lK/J756KnTCG3vLGzg80av T/+jX+T8iGOIto6I1PFrbCAjF6LGH68dYLc3UgOpHejAWDv6fYTJ/FBFjRkuwqb9XGcvFD4QlbYG TSsy4NN8S60musg2FWOc/MNnEFZtJhKFDFx34Yj9xSo9sEEkcNKPpUCAf3QuE13mAqjWQ9zyWwQE xeWhTpVbVfN71WbHjx7UgZ0kECyiieop9FpA+azgkXSt/520JkPkaTgB1P4Tp3dKbhSt9BUJAsXo 1y79ciOcdVcm+xkQYfnWmSc/yedDqRzIlsbXm0tkqqFCGkStXQVSJr+1WNf20k/+JgCWKPx7998I dlDItfXjQ/aWIDLlPdAiCQYL44A+2IzYMNr8FTfOwRm+mWPjE6pzK+BcLotSWD3v4kwVvi7D09B+ 67l/bSADr4/NYlZwIoytHmUS2R3P07zgBdEKI81AcGAH9PNpOFDhmzdwyfFYKiIPh3QhaqMo8tu1 FtlFQi1RXx1W/EaUnCbrTvHvirvAIai+25d8f6U6M5HwDtH2wdAZvbjhtywTkAG5POWg4o1nnihv 1SC/53rb1bPOAl3Dg0gJ2I89rNf8EUIEpXNhbn4WiphXBF3ct3jNpF/gQCthrLfKlF+9+tfbBD61 /MD+M4FvHNpTWbPpWXjQQWCOLZH9Do3OsthqxWy7+IDJhxLSQaYkSQ/Rb7JYMZWaOGOJU4WD/iFG rj99LU12VZV0S6lsIgnTlzjPyV3DhrxxInDP+lF4T+u8UhbhzUcFfbBG0RFDKsvWGxIkCXQhF+Rc xU8kNXQ10xTUZyo2LZEe2dYM2fKLU/6EVWbIzL4I6NdERh3T78LXgNjs95onfMyatZLsoQGJJbDA BrInmJaQPRzpoQCY37BTPRwNf7qUj0qtV4KERVwZrmVK1Px+MAKcadOmazndWOoPfFUzLvZMwfx7 hvlV5PGKmSpCeCE2x49qlnxteA2T/le3hq6jp4cr3OFFf62rAo4+16sg+zHiVEWCxnU24Wknpi49 lbsyzuWc0axSsnxfV78dryzmNnz4HZtZRHH6uF+s30TwZDPpSaYUQdVD3XHqxgzp4rzSQ7h+0kvs WnUKFT7HTFObo7l+Jx0wVHjHL33rUvGRlusWmBuqUtpbFo6d4Q99uqkP31xbruO2dGrWBpqCsxNc f5MYUuvRUDH4qfwFPefvqQFW0lnKaE3OrNamgzewyPz2jR4UA9umvIHUAg2Z0fLgFVlgernJo2A5 yjaz0uyRpKTvJwXI3MwG59NW6eYN5h4VnU3b/C+/bMlhzQfjR3fIgLJu+ggtXkk+a0EO4tzFDiRO clrrTzF2xoNV7IecEFGuLXes8nI5pHCQV3fSI90Hj0HnPg20rDNCI0YC5W+i7UlfNoqgJGZ3h6vK 90fPDfWj62n6nNYjyt/jVaOy2LSEEM64EyohiB0h2fo66D3iSXpqNzw/mVmuGS2BBIOWIXa5GKWC phAhH8iivwEEr+wmCy8Dayolapu86D1v77CZAfb4YSR7l3pSwcsH3DCdD+d1D2eFWEuzoTgPPqce UjVMxJlopVMMXb1BqLVko6/qtPX2U5Bw9j1b/qjD9ZIvQxG7D3DJKYJrVIQZqg16g8I8gANSXgX8 akTh9ZA3xzk4su3IqkWbylpqF6pjiXl09ZiwmS9ZujbApOHDna/ojBHtxDVul/M5iy5eBDTMVitq qIzd5DHx1gw8EcbK8LswBg8T11B7Hs4UQ/ByJzC7SIas+6MpspU8Rtsigm+Ixsz1rVfFwz9t5VTQ kJsEQ5IZrGtfrJQIE8sbohJFwtXpjHLPfZWeWNh0cq/w6ya6L6Bvcp0JfPFlXLxP0Eu6vcbPSngP hixr59TUQJD7om6Rb55PCwO4HrXYf014E/G8I/8lGI5q0DbPl2MVe48I4nWAMkqJotqcyL8WAU+u PZS1+w3mgpoWkPHHehveAkycJZu0kuXDsRlbZH+MK3uyt5f2XU9gaFWuSfyhYSuumATMFoW7dqZt mMZkRyC3u5VIhQwpVO2I2wuMrr+RY4TLyd98t5SQo8YMXYnsNRS4ClSQRDd8BOSfnRcR83Dc/iWv lSZGpTvounbVjG6D9fqYEry0a2RpfADaXgjW54sR9oIGvgbRwHR8syj2RzcE1xXNCjingcbTdCEB Y3HeONRR737pprBWz52106sgA3894Q+xJ1VQgGqVsQTgYIrp/B4KJaPeSYGL9lWtS38BDDzMMDTi O/N1wD681fUXCL+bs03OE+ArzdMrjB0rzDyoo2RDv78gXtPQJYka9na+Qi0tYzWWUhDofcMvZ7Bb GgvoQ5BJ+jVo4LNBcik+FZd2Cy1xqdhElOeADAYgfUBNpxPEnQDYubEIeXq400VKn49ql/XgUx1R 4O3UrRO4DpxWts2Utr0VUNKSJRVFcoajrCI2xtYvPRlFEN56k2lEWUEigFXgt1RDdSxfPcr2j/VL Jh/2vxPZfk7zjOdEMfIPWTcMcpO5rVf1DszI/ngZ0Dios+0oqs3NASrbVjXJWVRCaUC1IT/2Z2Vs qQp7yhwzYCUNU2NfR50DclhBcITyFedA4E6zYalzDiDp477yhNUm33f8Drw+RADTl+Msgt8BzOve dKYQ+xxAF9BJvomFLtm2mjR1lFiLW4FoAQmyzMLNoMw3biJL9a7WgtRrbkNWxrYMMIKy9JncRyGX VsfpvuiV/YZ9g8bpqM7+HNH/dXWTgN58NMTU6dGLIqvywbMfGtQMg+uA4vMFwBVdWh1xWU6eAwIQ sIiVwlzzgm1gGwPZKU8IHriWf4+O/z6AxZ3XZTJZGkiOol+YoY3S7y9WvoS3INHIxJidjejigAn2 Nvq3sUYfHvZzEjEKEsyiAtVuix1ILAVINOgT1VZqgjgoDNz6hplPM2IEBiryWhdXYXTINpGfWudX lwJpIk61fBpo72GGLOQA2TRiLEmRRAEQDiAUtXWUyx3Tw1m5wjy+A0yy2ikBxNE+QKKAg25fiVwj bD8yjp9h6MOB7fMireIl+NWTAzFclrOA0jXu80soPYLyCz7LSptwrjSRPFpB7aMpp4GD1HgZIN01 WS31DBTw6h0PkjsGXzAaI9OKp17vNk9opGp+JAH6EKMADxcmxMfrhV2PGOUsVg25KOUlHrjgaPiQ 2KILjkoqP+ba0ecq8Yn55Iim4iEgTjFIWtR5Iva9W6y55pJWu7grwefnw+n83e2tiu08aD1j34d7 cSD8kozAzjf9sf6SneUGLlH8SbynLKGUBF/9+NJ+DejprPlbUmYN9ip75FCXShm6/5GF4r+4F23g SRxRsy7byKkmuOUc6lI3sur2snCxzapmgBm0lDXRWDB71WBda7j7WDO4j6KkYgHX7qjfQQ5YISn8 XT07a4cMmvarHb6ZYI1f8NhmsYCBxeopvtKvLl98q8PK3kVsuw3eHEUKjFSB0OtUwEDr79vRODgN LgyqDlS60lELyp8XXgihzY+f+V8j9XSkR5P7agk7PkTiVDV4BD8mSRlnm/b2g4om8S8qhX1bVIOK 6Lkra6DocGgyq0N1lRDDgJRkke/VvEMapqtlpGkKW0ZwXOJmt9g/mpV3OnHhhuLZC/m5TgVwMAJ0 IfE7jOZpJnYCqqBSLtiTzu3Aeuq/L2Jl6EoIUCuRcr1VKUwQbJa+K4YJetX46zI5GsstxcViCVRu vQlyKiszHhmXYY0AcNSQDozv1oiTdRhuaK/unGH1xtEVQKO0eSHMtDiJXlKmvZZpAsbd0ZLh584H 3YLCEgRT239/JjQ/xayd9sqqBvFe0GKKs1dKKFS9B8qqmaY3Alq1gEvwv/kg3xw1RZKYBl1Xw/ea neezqM6vIKRJVYAPB96jY3y/sCdW6NuQoVeP8VsTVWzmzmOMwLveccux2EocdkU6sUe0aB6V7FNN m1bQncePXZPz79LvKQ52HuFOBjQSG4JbetqpIGe0L1iH4PIJnVrFPR2vOIpirKgSfcTvsfieWFIq 8sbtNOAd4cD3SKjc4R7LRZf1oatNti0DmAu1Wb+5FNZwRvOBkUnbFGAhZ8WzvOWE5TAt2MnLTdQq dzJzx4RLdpY9W3toXagJGO5wEUxuogz66aaJ7QF0i4ukBqI55zvFbBmfAS0+Ss7A75M5W531rDwc MDkZHrjERtFExuP7Jqef1qVQXKWZkM2jCVtaK8AMxYZNti+3mDeH5iF3tzM+FUxcKRqsV5xS9Ao9 vMUsG/egWsVwZ7yBOFihFjesg0B3t9p1JdlLcm7cfQLF/0WG0Q8vYrQVwmyze11vyJDFxc4i8Kum U+y9folALr5aTnfq0SYdq0X3vSAofk//+34/yvmX6VFEgbGg2/UKx1SWfMxLujA6F7JKHCD6sRri tUEd72t5GTrVVXZSB/W/cJ81INkVCQSBUuu1npzB338S+kqvaSpTYaL6tylX7Xm5rKmMjw4BCajV zUTfCjyhJ3gHSmJS/ZRp8kQO0P9vE9XcVfh9jP4bpDbHhOPc+sD9EYWqcucedKPrOQm3aO136xL1 l+xqu3mVjB5EbuO9bwfdZymycxlwZrf5LFoXvI4r94DfJGFiUT20HlbDOdeQq5bU6qRUyBmdBJ4y x76F1SND1eyGUFbUegtC4dCCohj0TMFvCH6iWmZHE3njO8ce8UMS//2I+PLZPBErVLri2lQ7K8bZ Nhp0HU5QDug1OcMHgtZrDB1Wy9jRQVZgLn0q2g9gQUGR28LX5k2B5i5CeqWrWDsOyp//zllm3ocK P15R6Wl0wQTMN+zdrCKsley0DZi7ebPhwBX4wB+Xqsiz97335QR2gtZUuoBQPuCS95hnoAGc+meX +sTidqdLncXXzVnxnj3LwJAi2GmqlizZhQuoaW6evrtuDFRnJ9+VzAI7LAmfPsWcv0gQ4xLjT0J0 QfRCknrxEAczPp39jvT7CDU8bagHJ7Sx4yzArBTdUlwR6sCE2/7FalNwpLLXlBHgjbv/58FSjDZL QDDVmONC7CZMwZ066SgWKltncYSF1uP0L4SCPkZm/vfqoMY3mpDyqrKdXrBVHI3aNTEBDHW/WnuX mGyMcuW+qq32IQkgbfwMY56OXo9KfwaoWP7bh1glwC5APoQZYDMu1SlELteYEa7V45lEE1/C2Zzv kZOWYHN3OM1IzcjxnqniC9iLR9tW1liWov7/N6EHJHAQi//Zea8WfYsjJy+FkDy2MS9Lm3Tg4mWn HaXBS4D5kUwCVMJGPKQi8+Fr7IdZZ8ObC6ND3MHTImOHZIgyjpfEz3GmmdNwsQFnCdzzEDpkjwX7 paSy8Hbycfy9UaOiAyjowgXpB61VXHZxNuX3Tegg9s7qGeO4q2djVN5TQnYzPJ1grkasBq8vE0LR 3S4QEDl6YXfJVgoBO+Ehdh4wgdvSwlttpxiAwuP0yLgd8ODTDjQoY2BwJbzz4Wnc4Mak7NVHltX3 etbUJQDsU+1YYw4G98GXkxZWWYOH33PaGikfN2HgWzAUycKsRQCQbBYbp/fcIdq2+827opYGEYRw xsT2MBdmEJjRkPjcDkIz8qXufTRBfiwFJsd6v4D6mOhQJPCpvafR4ta3waHOpIgeOusUb4M1Ap+L HqZC/49T8fUKcARlp+C6+4HEgRkS/k2wfAfgov4TO0OJdIiORh0Y2QeCJw+k+qSRh9toHonl4ZzX 9VPFvxRH34R3C8K+0U+yziu5wiphH2l8c/WuiZeKhHiaA8slfhbPmepYKrEEbBjC5DYXknhcnba2 TbaBSde+NTziIq5vLhEgV52qtEuGv/TrRnmPiy2V6e4jHH78wZSvhgrAPsBblTReZtzNPybPlhy5 R4oUk7PxbnNtyjg4DDY7zm17is7zuKH/5J8NHcDvNrAauveVRMw1V39t7JPdrxE/IqTFBOIo5wJD slPFasp6j093DVxgIWxRxDUiHm2KUMYm2/e6eB3IylWsHB6Xn18lcgvxETbzYnRym42AoQfl8m6Y ulqIARTYqI7PYc7DmPk/hjIZxBKiT6Xg6WiX6GO/ibSP+ftKCohceCXKlTyKQuI17BxVKZUINYUB dXxtm68O8e3S92/RrIqWTopRzlFRiYdRDUFdYSxT2wO0y+hha6ZgLL8SasQRaXnuY/guN3V1baiX +BCP86Cf1aLqffTHjjpQ8ECKxJT++MreGlQ+33bd20ax22xI3siPTPTckAFFRitiGtl9cS79cFBe H+RO+bTIiHfDVg8zSX6ROVplwi9xp/J9PEqW1I4XCYhiLiOK454spjpBpbCD5xjJx/ZcwWmwGWDE r9+eJiIvKgGfih0BIUMBmjwjN7xyD6SRAoZRp8AD+66Rjzfyleb9B6uOXjcz8dMfBGCnpVfzcaiK Ni2rem1acASgXfq2zDdMTrmEM1VqwVIvGGFTABhU2sX0DIN2cSac0HU7Z5SWoSEHjT+1ekX+OlqC j4jRNS3jFus5wKrcPRaVZ70HSkNeCfjJYDDR94DIj19kQFp7eu+pLwld4OaTlon8sQZ6Wqo29Ej/ H2aqzmsAWvsjHw9SmmIC0zfo01k5nWfY9SVsu8AFeXhoY/zybFHeumBKH6IHpTZXj6rPlf+i1Pl9 Lv5L/Jp0laQxu+2g/wxM/J04VmRCNLXJHOWtI6tJ0u11kjqcyQu4E6+Lm8FzRXMmtTMC+tQdnUsg 7kyk2ycl+3BNlp9K320i+WN2kua73+ns5gZ1jlLuCuswBvBwG24WLwkzxiM2oVSNsfHtAUk6ekch 0jw2zNmMX5VJUkuwkK6jS4zkymjSDv+nGZJPwWjgBzdpyxt3FeXb1rSBhnvmL3EVr98l0jerNcVI gQRI1o1I4YXc//lNwr1WtbEVms3PLhxSTBFFrsLAwmS61ML3jRzfvWOZCKsmN7Y0+Q0RurDXyNzh /mx7ebFczy4tIPUTzsq/sbiWwMcU5Z/e4l8ESqMSmOXwhNGt9mnGkygGIGVdPwTKNAk7xGoZpAqi RpATmbxlmhHjHrCz5B2eoIBxYI83U/+fcNZV5WpJ2JV37gDPgRUGGPkYt4AIFjF7aXERzmAP0ES6 k0pOyZ9kulvGNd7IW5yPrwf0XbW0FCF7kKsK70F8zLnj+O0J1nkbvwPPRzvxNEqEX1Hj/10OpfYA r7t/euZefhh0aVdsVrzK9SCqGEt7XVJ9JAM6qgkyKVDs5hbsX9hQjWsLFnlfLyrF5xrE+oapIhJT rb7fcahn7QefA8wLfW+yfVTFtFE4ju8Ejwvr7dTlQvqCKe5+dZk70dDb0F1D1RVssI1spp8XfOGg FEx+SSVb0GVwcdTZksyvJvNrszpDaKO/ahlYXiY4JR6nlr8qdtoD78NEWp5P3r8NGMc0WlZ9FEqB 9Gc8UF3EPG+5tMn7MTTg/f1GCiOvnQ/eEl09Z31EXarAlY46iJfDgC8xszIcB8gkHFMKlBf4AELO UOD2vsWO8plVZiReHFNgUiRGUDPMb1za2WZNSQArIe8YLFMTKxHkiGdd5Yx+kmuhtDz4Ki11C7Fb TzP7wtJv9CZY8aG1fL8V9uzrQAujE0yt5yWFVjn8s80CEUOP09rHFxQXG9SFat8etY7s1MeJJPbY x3YUJufDQfzU3TkrMymz1F+C6yi8EUk6i0P7rW9B5HpSH7amaVXzAXr86yg61kaZBBLl85To1UpA 1lNK7Rmc1PJmvtBZwXPmYlhFBbSFwmRPfkcWbp2+awbJhf/r84h8jtRb6LhDzBat4QBHQryseJT4 J7DnC5+0i+LBjoJWabQZElHkuDZuwix3I3gG1KEmi5OzEm+5jhWf/avVQGTJ3yhTZGuoIUhKm1JS 9f0N/m0vpxckml21Px2wF1oGH/AYMC4I/GAO1ZCFN7tOPqbMGpUbfn/NY5ufpJCLDmLWv57h42En KfYtZqZB31a8tXHZkkfP5vJ7sSVPHJGf3h8J5g+U/iM6jglQNlVBI0NYh3tYZni4DQcYdCPi76iR qwxNxd6qjyKsvWyZ43V3ESJAP6l+a6cgqoJDxj7dN75TCA8pCchYL1epGOTgxrxBJnjgstixi9Ic 1hMk/DZtnTfo0slLUveKGSlg9jxFLCFFv6KnCaVFdXea1XZLRTeOVOK5r4c6SA8XUjDDefclKRSz jFo4oE5rezDHRtZ/jGkOn0KEvFrSn/knrE0ObWjM/jzY89naCulkqdjiYKTW19pnY4NX0YIZFEgD tJcjOzXWwNI/YPNuu8ei5PxpNo9Awaznj8+0lbFiH0VkIflLfPLi3tm0K1zurrzRL/wjyuBCQPAI EKe59YhIAxDwIE4IOT8gl7PzzBRUVi/nhDKWx6p6p4yXyOIWDGcPvQUJVPfUrKwzzZwzeDh0fN/C q9p52elerz1zFI/kdEXM43RBqLyuq1vT/Ilj6OVlGZjUxESKKJQ0m13HfJwcEq06EUOXlQ/e/CdY 1icDswqePTSwu3fBfO7G3uFGsZDNCgKfnuKzpF0bgpVeOKoCKdS8bRROKW7uOj0xIOwzzfgsKTLs gO/paOunGmRkal3HMabJRbnNd0iumZMgf+YzS9WuPGowDlpn8yVxrqFBou/oRb+PBl6csFkvl81q RG4p3jWHF9HfHKWDZX6qgYOJloVERbnStMZ2+m1hVR3RZlQfPLzNhSjOpPASsZcJ+agnQH92eKTB Zyo1KQmlQIGclzSNjligg03SFkpbJ/ziXXv3FFDyBHzEv+MaBZbrwUzFPbLP8Em6FhKRKG3s1Nns NIuEUGbT8CkZE2hWMMDIylXkluH/AlUU1wPH6Nw7zamUePM5graqxH3YGwxQJ2zqxxiZETGD3fI1 ILobkZjyE3dzF8s2b5VEaSLJTV6qbvTtom0Mog2VMcQgYq8ZvQp1+q90Aw0eZFx6SPgXU8v0UkJ1 LoNUVc3fpwcXJ6sxxJ7a5Pyr3BtZbcM6+BHO0JTLb+EDmADSrU6qb0Cpr8gIXTNFBzW3CVb69qds GTbsZOif+PTyM4NBwIXa8xeB600DhaNaTeodBkg3X8HAomep83Uf8ysyZzYiovgKqmRTiCZf3+zi pP4uPQIVIM0tz3gYjrA0f3iVSAbFxOKF8HEJWk5Hjx7rffD6Jlk+xhIe4g9oH1ZXFi1+7nCKuzWi YkguLqEWn1SCJOd/BVJlhisJEWD71m+rtRoZ1am+8ZlwMA5zLsS3DggWTcUmwp5i5NxiVzPYDPIL mkZTmdKBoY99+FaSc+AuuqNcMoJ15IbHjpoMslLJF3GYqBK0o2+6NOouwXPVm5/oZPavt4htmHpB kT8kVuw9hOfsoicYCWA5zrum6RNoEXIE5/ugFFZJYjPjcpEsYnMIUorEinliPGSGPkJ9PyE2dqbn 5hmGPNswb1RTOhhpUhDDy/JhWFisrhBfWxl3ts+9hL7vKhTdW0Mfz+vHY87rXnjAjeVeUOipu8nv 7CT3CTN3WwpAZ2zMJBEo0WLNxXR5Zh149R6YFkI23gEEiR0se4F3KRQ+Pu+SkK05BehNzNkl052y RHiRn9v/cQq4CzN76QsG1hDehOWGjAWwdECiUu6jMun6IO3jRL/rdkNxz+B7GMI/1WM37KLjln1I nygmQ4OPFQABh5G4pxMSBeGM6HV839kPwz4DyszYdEqFmmx7gtqf4cheOaoBzH3WwdPY6lrkSKEu FuenPoQ+HPmuwFNTitVoYG98SwZZo5CUTQLOcfanL8Pebsw9Zx6q8V2s9sS2jHLaOOXxHhXMG0xo zYL5pjkEGQCYgi56wvWN0KnY1M2gdYvLXN2u5xgFE9CM7O+dtfy+X+BCTSgpDGlhL5ebmkRzApIL dBF128GXKsFhFBNY8G9Asdom3gTp4XccYUwknjALBax1xF9eYG4QixJzjPb4GcGgUKSjUHZa2KCb 0d3soNQRuLTvnnhJjxJKZ0SRuA5FSkqUSv/KYNaohcwJkXXbaa75zCx/6S1OKpqcdmCJcE+H3zgA PPon2jpsh55jeIBM+Ck5D6+et5pe0Qi55x+JoNtXwCWIPqw4cKsdFbrjKv6MAU5RHN35Gsrr0ciY DvyKGLVueJZa42bEig8QQZWWdd64ThckBUxpPMIysydsU3EN64AWAvUKDfh890kAEDV4MmL1tzS2 vZ/oCwiOTfZxpOD4FgUXvZh4X93grgjOaHZMNrEvtSmBz/1h3O0fuANo1lkAoQfhb32uOlla9yy/ KnFQkhrDyR9QLga3v9V+hN9fAjtJ4lI6U1Nn/vRpQs8ziEC1ieNyfR59m9H11w1Bi0mDPxcco+wh Yt6gNcS/G7lwqmxBdtNxiMPayTryH9AA6VuEUWeJdeSfCOCjncwrH+kDI08g12huFQJpJhlMBkmd FfXnmAEhlL7E7gVJcAiHTJnuq94X6FhRV9jXpXD9w7/Fk2fnG2OoW+xN3hNmMKjamLPyEVU7+DHu YBaXP2ngmSVyW9t9U58oxRT4x6FNDSJ+4tFk7QV/dvL01/6zSlpKuy/m4TI4/z8tWixJX4ERrp4R T9kJQHf0d1VDkadeKeE0Gdos0AYVuR9rkxdAw+zIPwkL8rkCCHLeycaDKNUVFZo3rCQaC3mXKpIv /DX3Z/tf28F2qM7/qNpAV6Pb7MScMrN684V5jATapSw448hIwVk2DPaaDMvyYiWWoj8oHm4RGYdj q+i4pASPpj7rija6XxXF/OSqpq/rZa2TpuGHA+xnxI+6z9mb4eNz+ZZLEi0DB9FU+hHSgRDCcxh/ Cor4UsE6+h5C+dglbY/nQZRMT6q7tNODLB55FNvXckcHp+wRCp6Ko0MkI13cwPKiUEfebFUhD4NN yYuIUBKaU2zb8686X3gWwUX9zOOK/5EhqRy6AIwlIkyvC3xwcy0jIAyZWLyygfyeoC1asHQUITVP oqm/kQZwkM7QuZhqPfb+dtTZBBLMOPXeyY7C4WIxVh29iwEvbb9szbSTIduhOC7oLlm1Nwvdr38O eimaCtnKCfa8pXLkyOeKMQJegdfUTb3HDCki52IdDMFjafr5uNyWdtvIddDgwblCKrJclVG1tZ9V TLwdFe9qMO+PNk/jEkQ35ZWGPifQLtUUugszwr7pKKvxHgcLpjou7scDPy6A4dKbMFXxHRmuCmjY nIyKlcUAoUly1WKNOZdAFYcC7Oy59VTVyPtUZjjLILCeYWFyK/c1Zj63rkQkiQ3ljaoRodRT6U3O Quv1v6Tit+gvWqb38ChDJpFwG/rxfRGpqeGvBZMF7h00yzrS7VK8i9bHoRiIsbI6WtR9kZLyshEJ ZR7HT1TN1LYZrce13NiuS3bIQgN4g2VefTNS5HRrL7yZ2Pe17xTyqC5MJravyOIp94O6/Y2/2Pc4 pdFE2ufPey9dQLrivy3++nKqy6Gs4xBHnCOpieP7xEGhj6874rGfI0nGAYr65k3aVMSPoHpVx4z+ /BLFp1C7AIQHtnJgUGlVOgk7Vp77GU0LOmzILPgWORDHU2OLbQabKXPTRukbkzS8RuvfviYCNC9S lj/EPOdgwKzQ9Bbib2LrIlKAHhCoP+i41qQXoV/iWxWJ3A8l5F/befnjyCNjmmWbBjyguVPZgPl/ 3VQ/UlLhpX9krDLxnYj3sLN8OrIOINtdesrGvap1R8puLlNI4THJOB++NoGL/k8Jukm1S1TEhYf5 Jg6rsUodw4fiB/3YNS4kN8xxjtyqjQns7ZllBe8umiPHaUdOWsP/bSpXwXGZm3EliD9E6nmTc5DT tdrmnp4Dn8eyFiKk1qRGzqeLWopUO57ZBMvNoCucNpU4jlNvUk/y2J11+FI/+HGRuBDiHMgvSSyb xUOOfTk5gsH+YKYIra3kBioy0MOuksHsDFWQDAsCtkHLpm1zhRGebdD32D+2ge0najbf7Gq1uRsB qCTxFkgrpeoBfLryRHnu1mfOqngI/0ytP57uFAKo69LOw2fH5+WYLJw1Ry2eCiLwvlbexiRQKA9e LvO8jfIxr9sMPL9bJxGh4wbJHc3asKy3dfsZmwFN5ZalOWbSN1yH4AbYG9dmzQuG300Zq3Yv3anR jBf6qC9UNJlGVj8O5i9k3fUdfUcm6O0xCEsw0rn6pqtRTsHud8Z/tY+aMbhbex2IsUBtsDyGgSvM SYfCbyDJrlKb5uXhFu19Pr2TQRC3gFeNjhastUZYVj/l/4cOQSZWtZBc0qLWvXqnI5Ixty4ggyMT A7Vh2BORRCv5FM2dZwZF4+eoruWMoJAv+5ZnwVGwTZIS/3Bg9EEUhEuiZY1PG0JRBtgdSQSH3Ujy l6bnww3+84HaeOoHNypXsLoROC+QulI/6f2SiQtT2FDDPtQxXEfUrD4Kx3lrvBUv9AGsFQk3v7Pw H+VKpgdAOUZCqnTpOxs56VsZQgRCWJVtXm0LYHYimM/2J1HJxK9hkzPPZ9zJdj7yigSfGcPxwBTW YW6xZCvf48SPHT39ZRY22LYssDMJRHsxnmdesikJhSddT9ps0kyQqvA3qVWuEuLuYt2auT8bBV3N 5aC1V2Po3gHdERU6RXzmxi231JyYkZkd20Kvds0EB4fo27OUu7nycmPGrYekH8xbVF9VUVUO3izX zwY1Hh0O/1UVAWabIxhcGPvRHkorDfuP62IvmKQ65VAtCWnGBUolQ8rpILaSWV9sumPb2fDqmrHr +zOhFSxv9Sds6PTOPNDdDCU8sw62VYjTs5pV5a7DZ9mwE+DUgQ09fDByqhCZQ7eEZ/lpfq7P0iRW AgNn0uzUWlY+oYzo8Z9mCwQK+ckY5Oux9l6pZJhfCYbVqZ9NKSQLttVZD5I8GnGJ9JH0K942fjaE qJZ9rMx9rDkYp/m4xgeP6ywjsWAK97DeFxuzJVSMjvlRPuv2YckFnReoforujP8M8s4W86AKum0I OMWU3FEYlfEjhccDePETxBJOQU1wljJuOQB9yXQw5e2tAaYJLQFJAdvfkwF2g2VLjW3vmTbx8e0B yXaBB5Do3i7bxB3vmCrMesuBVZeuXKtRu6dtGIQP9Wzd/kaVJYLOgB/ruNGhitymh7jf/GOxENMT vIiOVLlMmB36hkyhL2LhcZZayQl6UW+edJsR2jOzmc5eRk1e91oTuANYD9o3jo4beAnAMOdoXALn aRTizOlsEb2B/h+n3JxfXs6mS7tWhDSL/7n60DEbo2gsTa72WR6X3MUN5dzAW09vvlFE3hyE2qvT 0gv9CfTC1Ilp+j/c3GJX90zSD7V4VHM3VwijyLXYj5bkEV1Fmb8MTwFB6Mdk5V1nQJHRMH7GDzv6 8NMu6+6s9Es/fnN0SNw9UDMppnYmy+fao7B8kMeAtLF5fN8ppFFRYxoiz81FVliJjb/Ltlp2Knbu /w5elLKBi1bkZnlAForadjXSYaHcp4cIfLuwy4NRWzjf7fCsWWVvn6gsSBCpDzDXC+EHNjHp0D54 8ZHkMJVOpRXuQEUc1nMZgC83ENn+U0oYNPOoprnkzFY/Q+HQMmN4AJFCpPH/mLhkHRgTYm9KrReB +STfi6HIUFuCfWo0jNcGnZt0bn56JoWIBX6jVLYEvjMd+a2qK2awA2W9VafiwSPOb2i4SCb4KCI9 +X/dJTYH/el0d2RMxpTOkBcI62J8rzusqWprNJJoUvzbDftyTNU0/R0Vr9UY320OU4P1k4tHFPHo 2xZHsB/fUEdwV0sjHooZXYXeW0syLghDDVbhVrKUmCHTNCXaw27zphPyBLWwRvDESHdvpVY/orUM G0lIv8l1QRk0V2/CY5omAqT9u1OiDb6HyqPQ14enIr82yL3tQEaG2qmpc/qOD09DvTxU0B7uUpIK PfseiAG0K0Vtpn9OIlKfr5USFamniSA4lLDePcDnmor2ub+nxGOZZSRzIyRdVE2xBx03C4/hLNMM +9g14TJDgmAus9wvNeryT74I2jUIpubhN0vkG11L0DoLmHmx2n0spUX1yQV2I4SEPKUPRILHYjv1 nq/OyL5LEjEDGvl8ExHN+YGKFmiRCve+xlq6EZAz2FAUmCNv7eYi5GgN9o6e8uEhpXHEORt6atWl Cio//3A1QQB9sSAarEA3pkNmI7TLLIcO2f3jVKpUeAglVHvfSN8Ios8r2sLfBtzaC8n9CqdRUnwr +yQFLisJE1SBXlmkxIrKJ4+J3bpz6ZKELXsVQZDjG039RjkGO1Ji11lMFhn9T2tI/tX7mSbLQtYS SyiAV1eCNHWKl+Z6bDcCihXlQjCHqojz7BlS9xfTiIzzFPdNCKvsMyWwdwSzb72rUuMJdgMiarWg rbII/dZyG3qx/63cCwsmkp/5F+mx1foyk1tTjGHSCxnBYsWuIof9FgEj1ipWOGZJ6QGnQwkJLG89 DODW4/PvwJOMVlvcg0wdokEN0L1IekePoSFJSHs5xym5Gxv5C5EYzDxEHSSqWGppcmGZZ/jb7pmj 7CMIFoQxB83uXFiGR/GFrsuETU5B8QuB/5OSUEajA/oiVxHjSN7DSwHgW3TpiDhnIDOAEsY4x8Vi jXX387rZ/iXyr4bnQnZq7fTO4DwBOfrEz8CI4BbDCGI0qV1IohRPEDRhXEhB9drWJMHaL6Qvrsyv LoXvrkDwFGsg/iuccrB5VkONA9X4jV06Zs7Gcv0ILYGlYdjybrxAWHZPqHyEot+TOXhUbrZSFEqj g+sZ4qofolPLFRfwmnPUHCyXCi4jnfWP20vraDiR9/DiuTyAAC/awIO+0y1F4y/N0TX4Y3DQm3Mk k55rOmG4MWTyYy09k3ededgeCryT05ahLqAR2Y4FWRqMnUfpiGn8eo7izFO1KZebznvxbmRnucUc GZQgFW+t2B8Mmjqbz/9dLh0QiMzv6Vl9JY8K2X9CKnFEtiPu7vgGQW7xayK87Dc7aNlXCRt5PYJZ 5uIoEFxoPTAIJ+8YBTwU3t+DePBeMmXxQQ46F804ZaY2ERyLWeuqabNLdedqtkGIq1A8St3GNZui NrbRhg7IivRiw9PMONHY3Y6EtaA+HvlPOXJ5UP0exqxvpArpJQMb3JgLKQPvGPiecp9j4GE3btas 73pB5iYY+crQnC8wYpOyjTOw/aJRqXNEvWUX0cwKFc+zU6Ysf2tU45qinbWsde//iUHxVrv6rrFj TH6qYGZ0hyboBMWny+Q/1dHr0nhf1knjDcDJ+1Glrpi+ti24YD7naqqEEdSO4w47Czhag4cDArza /6bi1YL5INmRd8L3FJO2LkmR9mz3JqmG8EvicW4oUh2gTe0HpEAD2PwrXbLpGPTJ+o/DYs7CRboY NM6SJKEJ0m1UmttpvWaOCmug1DWpVJlHP5N0YELGFNp+fUu7eQRmQWDuOwI3+q7ReM7XGAqwXqdl gnoKbQ84V51KOWw2CKi5GizZy0vLsQEVpKBl73LtPsm/XWGE3k8wVu024maSJDbWFNHZDnpZWg5D w2DJ712NJn3cc7N+PCPDbymb4u8tiXY1vd1EeqhMjBign2e0svGvsSdbLeRx+6zzioEB3jciSSwJ pi1GqlFyP2RdLpZVdS34CMhHfDrngGIBP3zDpQdYP+43PMpi6UIVKfTcqArLe6T4dwmP9YRa6YB7 /+Q1O24VUcnghDYjQuDlaArCCinX9el71HdWcwTuKyNRSlAfgKQHimuxaBsuh5mPzl85zjYySj88 jHkTpW4+XyFn5FYwnR5odcoGAg+Iydw7Zj78cqYG5oLlMCpXmc1mpAxxRMLCjpV64N/IksCKAh+Q BQkbhBQWHb7D+v8sZeGqqw43CipFCrGbvd1RERZCU1rAdFZliCKB1c/JrvgmR6yNe0aun0lKplLf 2rNNhamaZ07wbdsr75Hourh/pCGiD9k11Ij9aOd6tErhj3ZoCRWB5Yu2RNfTGm8fFlRxMWYVdr7e AGmu+YNX7Cane+JivDi3Ax1xtnrbc1lOpw8Tp2MNEGaaAmcAjEk6r9MMbx1h+5h9qefNkas2lnuM S2IT0Q853peMrrdNPTPbXki153X3iYqP1rmGNN1YJnY5XQ0X0zEXGqYswkCGYc/Jy8RkFy+Rxppe VEglTLOXtElrvikXE4TM+VOK373ojRGrWFBhrNln3UZvy6pKJ+UHRVZWpWKQdZppy8rKv3IvP+CM 0mzbC5AtqDcvgO/ggPOji0328Hsr30pOM5r86HRM+cJSH/BLRt2C1gxlJuHrFODLnaxQObM/tZQb xrkv4Gl14/8xdgw3sNZJ+89nw7SfVnV82+ELvMaxF3x4AzUW5jGyoee1DjL3KKk+x8sKCtCgpdnO xibObp0zKzm+JRiHA2YMN8hfevxUi021pJx+d3Mp25GDWfVJmID9WgtLqZ6koXDuGEbH7ivIXWVg PvtBBU82YruyK11xWxG3ITTn5sYDrakfjXnEHJd12A0TRCepVmN7oXNEG5LA60hmBJNTd70T+U0B saFUFTU9JXVei2xlf6E9MnkyGYxXTblkfl0yBEHzB4s529SZRYbcejNmbSB8slWyi5FgdgOsYco3 QhqxRnSkvZADejMD5JdpE0fWZiQ90uhrsdJLb2eM+p03VSopbaBfoBBExsIeMuuVlQNOTWDTCZFU mdzpDrIFOHxzgM73vhkUm2pl7kDHneZFNwTtkEk5bHEJQU+mcEj57UWl+DsL30bo68jfK7lcb1Oc HdDc9+0A3yYUW90XiteGyQlKjGLQ68/Jc3vUuqzC1fX3jA/jrNSjQf/yn/g8OrcX2Nlsno3fZi+n WM8Q4G/V57oalLlqX3GO7pg4HOOWqmww6Yeq/yQ6N9Gs4wfnBsKYHWv96XWzsm03lY9E9nQRlUaO v6WYb5e+CRS5cAO983H3itLyfmL34uJpSJuS0VHezi1712mSHYvNn6t2ij6auZ8C9D3sUu8WrQeX lYI/73IkbRaQ/qOkiuL8IygetQG8vilRdeBIi9J2J6OXLcoaq8cKOELWh9NOHzBGjgNod/vIYuxQ SM1+hgqAngw2z+yDn9BGK2UeVuYuGkhajD/x5ISWZbBXN+PAhLVksbINndhQtQTdrW/N//9f0EnN LtH7nO170sVLG45Prfx8ZwtzI+oq1XP/6YMxN9Qk8byqCVtwDV+9R0dlm8LpCstZqtO2i/QRBFrA M0vofpe4FjIBEzxKJItuUX3MxAu4ZRfDCZDfP4exExGpFwvCldlpT2PJchve2I/zFxFejpLF4ttp 32XsRlVuOW2fNhBQ+5/iaW/xXXsjEn+80voNRWNH7gmjVJHdo+w7mZoJsIigMmKwvkdHjEAQPiYO izGkoIgzLqxsRgW/HmOzzb+FHo0aG/xdX5gCaF25mT7G9uk72KMUTv3Y2NpTOSXAdJTBsn8QM6IT VT0QvcH7kahnNw6BHIJ0mUzXAhVtjwhZRLCVTelIlJvfPZzbw76T+t+J/VKep6b/sALy2hwzuC8+ mBpxv7otlz1AoMddkkQVelK3/ysOR0Y/LjGd0gSa71VaTX30S+ydghEsrmaYPhsXFd5ygSav9BCq yWzhDPr50Ud1Ze0C/iPW++N28ZnlVHf0IAoEd6hNX28SEIzg56IlQiPKcnUCOQ2QXOT4hJeyBLkR Crbn0M2N3i1mbT4gdnKLnQ+yOQZqbRgScwzgpPJVQhJEdozdICDL0pEBZTq0ZRfWBWKLZooooFgk 77rtVGueLeZeqk1W7Ucj0tPOGNZ5TK75eiG7Z0I6fcJ2bL6tC4+NcE6sbwv+Gl6fIIt0vK4DqQ+E z2g2wZYsdv1bnDP9shw8EAS+ejrDeOFrEV8GNFCDRDgfmo8ALm0KoBFdk8S3UV2Rw+IZ672ZxUuV p9Ga8m5o22v38QmgR2ZGcO2qGX93WaqjyiRmTUDRLcIQI8bRzOWzWZ8fBmjs9wce1UtW0vgIus2y Io7v9k5iqNox1+1yjxPcl21rMa8Ol5Qxtw3AsOivaMJE/aTL/z+wyFFgB7g00jkUEGUXYUlvvep+ BX8PfBMPmVsJODglH7S1RM0B4AFInuFcdY/XxgRTGehKEblkGIUKQRtXanjQ6v6ilB/lNylB9jEH gdOfi41T4jPxNH3x0kfFDDqeCZA1/o0Gb5cA1PM8h1T3IzCvT2Czawd9qRY+fVNcST4ilmRNJbdg PxIhJp2CC+Ubtv27oNd3bl/f+HjSSzpgu1QTRHK0jh9r74Xv3JqLluU9zth+D/7FbvsiGdAjj4F5 zbdE2rtij0F4O7PPaNCo4V2jW3KTZ/tVNNbDeV/gjibwlWvxe6DMt+DHf2h7XcxEq9c1WhYM+rWR fJtqeeWeCqQdNQZHzWCEgAUoo86Vg0vaqEkzqvZ8CJOiFZ06ZZcFthnho+JaLwZxPUEyeKdHWOuX +3aqc8RfB0DgbnYY235mnLIDsX/YAn0dOldv6Zybg3cKVOBuQqCwL8EztwFZFZY7j0W9jjIrjObc KmL6/xQeOMJxuh6wuNRj/c8zrmxr3JKi37CjPYKGpvNqj4yr3ebrgB3BXkE5xp0iOaPrG2JEOelh 7IxQwxaWybccKr7L+wYSqA2p3+pr/5JrIohdE2Iy7s2krw4yJnHIufRD/KTtPF5nk4tVutofvoR0 ZSfWsgWke1pzyWmgxII4EmukDE7XhSk7k0cHCZOBQ6a8dgge4IfiLzC1TXMlV+F7O0zBRj77mvMm Rvg0/bqPCsxY1SWfLVeqmf8W6/0fT2yrUfWtR/ZQcFwv0brM/ScW9px4XNI45ecFrgrfbzcy6BM5 ve7vcyIdVeUrtAyAPERuXlp37sCt0V+Fj9Scuw472ISiLR6miTojfNj+s1xIvQb6t4SkFeRCLqLL 4A50r9CDwr2nT9pbSd4ksSxxl9fZGvq3iDj6Ij6RJz3mBrc7KJKcMRbKokg/V4/9lgCcKmP7Z+eX ba1qQ5CafeZ1v4jQ9x3Qk/OlXmE93Y0GsBdDSL/h/8S/gen9JRycW8rV1861d8hwRDxpTQD3tmEF NSUVytYEr7g1IEOt+/of2xuzP7Zr/4pJW2Ig7+8LJcYoiZZpojfNj4wwExC87hRYY1Uws2YBQt+d ZaHa4Gc1Yj/cW3mlL4LM0GQjA/8joEAdHOVN2vM1knCwCQJG4OS4a4EI3fAKLrV3epdpe88Dlt7Y WbYQU+p4MSTxH2qqYamT72u1a5LcPcnOVUDsqJEp8UwCOEq6LX8WXOWV4SOGiMY+BkLQb9kVLAjE E5fHO8/77edSkRa0SJmyCXpiLwUNmGa3IjN3HyYnt9uZF7j2rhr5PKSM7AZTUK7J9efKc//1ds/u NKLDCx29gJR6o+EeQa/lV/2Qxgbfc7ZZUAxhsRa9VA+J1MZ5EyP4a5RyVJ+fJ4f6tDvM5D92kWHB DKVyFSaxSiDxJIOlK/YMJjHyVJAXBviLbtxFFiKdKU84t0nsGU8YUwUjiFZIfw05OkjLYh5DcR3Z mt/959EmJ4/XfRFJwo9l8B7OPz+zpdwm9kGkn12nK3c0J9m64DygPShMzGsQ4OGWLQM/AROV2o2z Qx17O6eykc0Lo6UGEL4y8YDSu0iZl9eUq0k3kuzhxMINpl/JJ6cjiAkx9asFNum5+3091TKRkFad Q8miyk9UydnCzcZfsqQQQQriGjyQfyPW5bVbv3iDcO/cE8ipmOv6mt4ihEwp0op8MiCRuB2nUAkL cTr3SdrYFXBxWeQZ08wJrC1ab0B+penLtzE4RH3ojzsbYmae6NKmqnpovo3EBsr3h4oWy1C+Wk6I HDvN1ccouy3X/AqemPtZTrVh1kFy2QDYNWqRbWb4gudLAA32T0cl7iFnw/Dsqz9wQ1XisTa9koCJ Sjobuz7BIsIKTzFIbD2Q9UzkJt9zZQbnLk4ALJDLrHlXc3KQtfV+yI/ZRJMhx+ZUhM6HDEkXq8B6 d6kEsN8sNl1dhiE0LdGlqVoefoOIe0veCN/LebO2uLhu5Akryerkh1tscKb5m//kOeyYjCNJVLzy F0rT7DDNeyvo1176jVXggyLbfEoARyW1zVi20sebP7/hlpopdSuCpD65aRsJpbfL1viEieToiueo k3MChwkAT003bDq/5fxGnn6ldHAEZ/7EX/cVRXaeuqPTz2w1QWS3vHEXmMPs2kKR98qfKa1pWixD lwHUh/tumQETd5zQnNAvK2ld62ov0N9sLRO5lHiCxSXJnrJuI3QKgN/0uW/Oh9dNAFklJFXXgQHw Dg7xmqC7hmeHy+ySee0KOyLBGykasABMxesUKZEl+xdXMvykrD2p2VDTc9c3N/PbfqNWFe7PzCV0 2VAcOdrUT/156uiB1FKVVWmBx6e5v2sAibuz6Zi9M5ZlUpC/zyzezynlw68SeTncsUbuEdvWI/+l cXPQp5XC1Zbs15ITwkaN5yHFXvu0qUuF0c5ZcZUt30Y/AuUYRhIOl7yUb0VryCTNgxCjCl2uT1UO 3yVjKnE3vKuGbankXcg2b7s7+tB/KYxFFxxp/GBGARD4ymOD/lQDYX5t+6wThm4C0j5OYCUHoZPT pEyprauw7MU1yrEJPgewI9vhGt9iFQszSxmIjkL2lOjDXGc0jhB7MRCVmFFscc3/Kxi0prqw7OKn oz5hcEwn2OoFzgIaP6PTCQuTU3oYAt0y4R1XJhBg92OJXF//XFbRjGKzi4mef4rGUCOazsRWTDyJ 32iMWwV483Gh6SfPI1uGQU9OCOqVxIVlvvyE0yu6CBfyzT4pEcYLGyR6ez1sZu1DrpBzaKdMPjbs tGVIpJDw+8/2aV9LfA6yJFOs2u4HFcU0/muauUhu/w4fxXE+6DUahfms8/xARjq4UxaMTBnzh2T5 h2YDVvHR7ib46FDGyKN2MVAlXQyfWtE1H9OSR8wyz2det9gzztqG32TU7Y9wk3zKNUTOer84fVQN 7v9ImocqVKfJp/WAsAcCyR7p8ND4dVPnCP+cJxVPXxHF1IrYzS4vlRfpkJGvfg45uvlGGsCFUNQe wy57QsxwrOeD7zJ2prNdepoAX7I3KsgwB3RC3Cv5svmzd08k7tt7YwlHIa503Kg2RQh0RXg7kO/e wBEXyCQtKHx8FwArD9n/N/0/rIBEm0xcp85o8a1iBM2PqJRtT9TUl0p3fyX82sp/m/sOiYFx+h67 n4kkKuzOZfxClb3l3RsKCVNlwzl7gBAcTRTk2g5GqSJP6hErayDqrhHQJ7i0E8l2ULE3wg3QfAoQ klzq8MuCR42HNJkPI6TA9xrxwa21GgMJRKrXIa8thNKy+FABMCWOUizVZdPQTSeGWTL2RohYRZtI 6DlJFNncgbQctNv+kVolbgG2TYeaMrKm49QabPcib14XR4Oz3Sc02cCS+MzV05OdjRE3XqKez+b2 I0Fa1+3IpaNjIORQ/5enKg1ip7YCcUyR5SBylgiAx5u+7ByZkgTjGzuSYXpmzrGGEo7DwUnpWd5s DihpVdFNOOy5gYsCvwiQAWX0RzPmQqYlcCOsNf5Xx8OJN2V3yHxexuJsQu+4xD2g3sNImiE/L2eS AOPOUYL/ERcAHpoze3eRSh1lsYaX+AIIxk3gbfa06pMqDRsD41i5CYPmMgSvevH+d2YUaksYiPFF oB0JeZmSAC5RUMAGlB/5t0R70cw6W/7vuDA59TZ3oFQQPRR+p7rjfaSVu2p5RJAAKcKmx0xvFgv3 9nb74wgCV3E9LNdDjhGXmTYSvpUsteZChv1Z9LZakKbE1PnFcKW3qPvqYY2qhM5ESTUpSPCtdfOl Jz2JV54pw7V42yEQdIAMU8mY0qONabdSIAQnYtrgQucUuQvbHjN7WP1GmxSuDHSfwalMkpumsABu 5B/S/WcZ5GyP6LHylfCH3XJfnOrx2TBxRF9knqBSLxwpnizKx7ppKVM14UTO2X+Dr7b+/CXOneTi I/dM98xelNKgaRT6DrNuzc8yc+hviG3gyzFZOkHpmN3om4SXMWOnJhufAHkoJsLsTeDhI5/AmBMN 0GONSuxtchpys03l+omsz1eKJq5wuaeoWka4Xvz2v4koIHI6gQNRMOp6/kKtshzEujzIYOhp8hGV J/XsDpaDwp+hp4m2qYe/HJxne76foNmNQzLsZ3aJMJXhYymh7AesH+2xa42fyTeILkzf5q6SO6+w gE4VXN7P2+BI0nyd1rt/a5alLoYWolED2ztZXArwifJ5WoiFhRw2QvwdTPh6LbMC+KmKLyD4Fcyc SQxEiq3Ca17y7Ea5G9zK/xfERMjfEPfNRzrR4cMvQI4s7dYk5NrWVPCDaRytjMLC9MG1WIOqeHWz 8iUOzlZs014mOts9yL2bZY5HQEIEql2SGJwQPEDoyuB3IHY1L7yQSbGzdiYpkbc/rmPlbsHrr3CG j+Is2M1unptJSSVUFfOeQWxuNr8leJz+GrQJVXgM50E2ZEvK8sxnVIdKEW1VrQnwxiYHkKYNXzEV qc7FDbcwUKe89sgjV3rQ8qZdfH2GlvRbVNi/IvYwnbWt3GlCyA1QsLKlqbyPeu44+cBmbh/1OMfJ sq5uYj5C8SbJPlsP5JCMHLrK8BJOdqF/IWPXVLqaq0Gsfrv9qeVTJQp6bPX2kduy3Po0jhKT1U6Y J4i2XQ3X0nH6D3AA4FQ9AuSzJc/b5Rp1UtwD3nQRo21dCb5Sv7bzWTPPbEROGXshVxdW70zFN4lm aDMUhP8X8Ynf6kzUAhCqqoqXSuJyc2ja5pVHIY04yCSdcpotsetm90v9YPVqhI/X4qVDk0b67au6 3uXI2ogCN04mhaJEIhQrJlt+/Q+h8gMvQ5wesLI2cEmvqHSzHuWNSSwB68kJ0so+1fPwxdhdYuTO ZlQfhZVE6di0EEonVRR4v5Ar0FluKLEaO4ty2WKNGau1kmsa5aPhif8MbSfAwN9yAmbRhJTesdhq Pb3oMMlIWI9ltQvioqIPMPbRQvzwNoPgQMm8tx0iEN3TZdP28JPZy1UqvFnl88qGpwmCFVxLYGTl iT2cZ52jIoEi1fxt37RhGjdWZSxG5yxpsN+pz0Mn3uE5taJVeiuDmY4tU4Yh9LiuTzZdQJxL7sUb v6+FxKGIUL5b3mpuZmpGvEkQYWMhi7fcR8AffmIEGFlZ6GkWFa60JfuiLDLXYDKW3HD7U0tRXldQ v4A3rbZ3IEGwrj606wyec8TfZzGS7gCME706GAhgUL9z+1hUxATNjYHnuoMIwS3G4Nzm1Oz1LUWt /xcI+G58kILNz2jkXngYQVm+epn2PX0JE2nNrlFI8mOqBIGDxIwS4lwU8oi8xfW4Fw7tQmVA1bmM b8N+GSxYhQdUNzlYuy9W6VQAzChxaMdBJNJKNORY7UOYXE/X1JttPwn9JbdxmdQB19HJ4Kjbn+w0 AI5B51Ow9OeJh6imnIW9yoLl/TAR5Kl5B+2d1CVIkWv1yx6lcCHXWoFh4v+agXCRNk7pAvw+NBNR RGnNCd8zyUt3+2U/5/Py5yBMZJbGBz281EuSI6qjv/LdwhyQ8tCoqCT4Y0TTUMQgmzY6vh8f0o/H oxhlqHR2iE9xuwg/mawaSLblntwUMEBLWrVegI47OWgopT651QfKzNX1i6HqJi49XGH456lNz40k iPsRNtTYBCJWsEXwnDiaAPwq6agtDK6WKLTZ6IblPv8VgGRXq2+WGiXOe5CoZ46JPV0pbByQIieo 9FU5QlyOtWm31ZVDZJzMKu5ZQIxZhIsj9j2XwhA+4fjUW4oIH50WPbzxU8hmQl9NJp4ZtOzKAtC8 OSQIKJ4wYt5gPypaap+J9KFV60fNfLp8UU7CEr60Cl3DaXBP8Jl2dR+nT3EzdvU+fOJpi0QlRkPh e+AbFmuzR3Z492um1pBPEa52oeKCcGe6xO+Y5t8uOSfiIvvmi+oqU2/+cRJVaJc8wkUK2+v4Tg5Z 6mRQeut3iYoESp7o3aBxo3o4gryATE+dWMvVeZ/5OMgm1M3W7c+0nvVdMSzn1spwvhbXPbC67z5d UanHEicQe/6wbXqsYyTCtmV3VGOQT/Jvpvvz2TMaB42NaKmTh0hVwje4bGoUDE30n7IxvGDCB4O5 vniITZhjVqSdPBm3M+3VLDnlnU5NY4WB2oXGjGT3WQF5KF9vDHJ5SvG3GsLj/PxAX+jvKKm9DsLt A77MgcHe060iH+qIW4IaSPxRpiaSHuEDiMgaNBg/i2nj2ZdBcZYFZDfmLBVBcdSkI0GJ0nRjV346 CKQj6gWFD99OmfTZtU9jmjZsXpp6NJX08J/sXnmmySHeEDE4dAskeg1oFolz8sxX4bpNerCcXNnL 3ggzcOEaCeg+7mHaLVUZvWi8RKScOLOU/sncPNZz8om8i7oX2eBcGotTB0oyPNdkQF/1shyWrE4Z dLca1zefQNkBjF2EFQCv1Joze6A7bHc5jJO8t1OxjnYSDeScq7K5YjOgHW7ccQmmvkEwpFchw2JN QcRXzWsVWXI7SLln9W8uDXXhcU4DaO1BmURq4FmSNP2dXCZ6+MXyMfiR6MH6JFtGK1CKCypBBkHU vfeatKA4Wny375T46pITxnSe34W2yzRHwbfKD9NxrGp0htdu3/Vu/MsgmL5hzUDRVAkoHQdDchOJ MtzjOtC5mw+4MYXonnbkNMfZMDxsaqX4jeajsNneyQS4qx0d2DdHvs6vtyVNarx6EnkbcrNcqHji Ex+APcHqjHoSHdVDnngiUIdNNKIWIsAhZMoDPSYMa73y6hzcQyParquX4r4nbr1Tij9w2fTGBcFR QUKjLwASCnoFo5Qf/iWrYjlRk4mn7qpepYQc2mf8HHnQOJ9lNVjGPd8x+2X37E+yR4Jj/hwB5DLh STNrB2kg9Xwaq1vh9f2Nzy7w4BuuFVRUdFoRCGIucTp5TXQmspU8cN85kQWjZk7z+jH6OH48/nb9 hMQta04j/ft1rtWahtU3M29L3tzgVjyCFfI/P06wGNwv41STf22AOClTlZRbD5TkKBb+vmkxtixS xDsCKyfzbuft+rmz+eOSc85lEvYMQXF7bAaG99cm0ecZ/n0LG+7GYGRfvmEL5sZy2EEc5zZj/x1o WpEwkZR+nnuv9fKmz0/Rn7cQvtXJCwh0nXETPeTStP1AMSEzaSiYT9AeZejVRANhzbRPVZsMbxZZ PrEDySt+3M1gx6twEaNvn6LPcSJsS6bsfCEOSMSoCsD222EFF/tf22UeosaRe2QYDpIofXcOSkey t2O2V3fGrZkryCS8ni3hKxggGZeptHcCQKtlIet7Jx3/LsdKfTPv9PSkxSkfRS+K241WFUMy5PtE 5arEag6f7kOI6GuCvygjBAPYFbLrZqk9yujkm+gtLjTD8RpUyu9Sn3ef/ctrKBqEOcDX5Nc41Woy 8ikujYXYgddYVtuIXpIhu3fhslE2CozTlKuT9btsAj0vPq/2C0ePuUqTfYxXG5PL8QXTS67aWV0E D4iNj+x5oH0mZlGiPhoiBIyWS6IdK8eUwpd3nnFbTvIaW1+prF+I7RltqEcvSNvDTV1jaQgeD6rd thXYfF3Rc3tDCMkl8jb3u3XGw9OT486xjyzeAILMHE9y0ucnjWWVasZ4r/a93fNY0cJmbkx4oKKJ qRJZXTNbSQGSrAAwPAWSH46/Z3wMVJ9HTDR7H3vHTfon4Zwu9h04gqHNl8F2etHO03u11P1aMuoT YugLEfSUyBmdKTJ+1O5VKwdyUB/yy+C3idv8J/APNWBxjq/IXRAhnDG/DuaHJ82luhXsB6h8P2CF M76oU9sOpVbJMEQxi1ILfPb6jv673ACW/gf4FKXbwluUw4geQQAW7hzj1qWC2HgtyyvjJYFTzrTI L8E4Zh1GAff+MWH0zh2qcbn64z0b3gvhhF1DlC+EHUTyffMOLoE4aKW7xWfUkfhbsBCmxpfQtMnD 3d+8zpWkaSOfEALRRLMGpyU311Hypud+wgV4egZcSSAjueT85ZAIJOmadLKIFNMJIW+Haq3aGQ4S X+ZIxc8cEmC0JARAwtFUS+DzOVjM9agUYUlzltZxtZAMJqr5fMXeEf0ktWUUwqmWGRE2yIwASdOQ eiFqeaHeaonAmITq2DNMJAVhDCgOiffcvSDDvVSv2RyIPhY9hypya9L2Fj5t7dxc74+8aO/eT0K4 y6ksn3ehTPXSU9FPBqM2KP6xKpw1mN+c9t6Gh4AC++DS+1J/CDoEHDJKuz15ztOAM2NpeKASMMB7 KLkXuXNU27n1ekBAKjZvHTUhlgGiosAPVvPQBymI5stGRaQbl1WgYvHYzqcRdjuVq6FZokEvgYHy 44RFahAbjj73eZnhUU6qxF62zLN3B3hfRe7zej6prKmEOAtpy6nE+ZNbSQqrLyxEJzXExBpSYRwd 5siOBw41+fykh4938tVVUPP7lkq/iHcbAoY46US/6xvM7+Cu0BlVdqew7PJsQzcWkHu7xnQL9Bdp xvTJsNBOEA2Z8C6gBcXONkLmCXvQAwqFqJtzvoozHVVZ8GPiUxOXAYWs/uiSH0V1+I6HA5pB8eAi LYeUJR5q2KaZ3CdSDU6XwCczFn1XD7DMTf5/mZ6pAyXsFMK3O0r4RvGhV2WJQ3SG0YbIfa2kIEPa vdoyaX+Z4WyT6deu2bm2d5+Bo4CUuv1EQr4pDTxbN8o314yjFQQsgr25AecWaLkRuz3ibzu1B4tN wqaSfrI2wyvT9jta0jrx7WS1Xo2VUks7FEGwJ/cueml9CDM5CrRVEVuL61KlfdDpje0mW/NHFCi9 L56UchZaVix+mHKCogGx4l911x8hnblRKeKKgHh4J/m4ulUMHC0vM28Q/TOo9Qp4XEDFDr6tLwPF lRLEvp3uz+uFIkMt+wv6Zn86Po060xPcioLeJSKNtp05Zqye3xzyEg34oHGDIj9bG3Uia95XuQ1C yEIgEMiPgijcIVAYFvG00zEP5q4w9epDi05SyLCnpRYYBWdBafn/UgSQvk7YAzPCEDZqqSiVswXB /m5ZGzyw67h9qx4ep5GtwXRadP7gvWm7CKWCpQNVMaYc+I8/xB4dKhBPyPKhM/QYPhXGtk9GTaPQ 8BSJXYKWAM85aigbkWDbjT790MkS6CR2tGrNejecPGmPrnnRH1Vx2CHen2u5pAGVo+Er0gOKl0Yt 4RmhSVh215jtWSQiIpOiT6+HA37FGcDh4tzBgrLlG/2hWPGjk83FFn0wLT6EbYZ28QZxNvGp6eVE /Jo5KkcVgl5iM/yVZIOgfinBerao/JdvZvhtOSZEmvG4v183ERHgxcs4tj/1Wy4MeZ/UgyYCvgJt OqE3Iq0vR6NpKH13Jw42JrB8egcA23lmz7CxfeZF84JMSQ0s86Ph5i8C5hWJu1rLX0nymFBOV+n6 3UYo08IbUHooUKOa6z7ZNV6+qMUbfO+UqDaSgbrLeQUcpvKzCOXW+u0xBSCpcgi2CmD9TYC323iJ JnAplGhHwENXLB2QOR7Z9kJzd3gh0A3FEXve5R2jSn1vEmuAKpIdnnKUbEvwDWoqL+z+iO6DmMU0 1cQELrxXgYEI9gyD2MosJxMrjN2QzgcwLei0h7M1IUgfpXaoFqZGY/uZ/R5SQDaYl1NOcIijoCbY PW3ZMAQhyXlWNH1Bw+YFMO/UYB20Qan02GzLUMSV2iG3BXHMPiNPnvTwBF15mKN9rYX0QxrnOZm7 xMaf2QkCpE+tBC0MJrYCMixJhbsJm25faTfhHt/j0uXzBpNHkpJV6JmEjnlpA7yKXpyaoFw4snmg Ms1RpsdGC9SSSSPVs/cQr8Pwh8hKtplFKb3qi2r2BAP0UQNxwoR1nbh4jZ4H96Jd3Rg0pBaBOy3M AmkismoZpzNK4JksEEUHVB4lyqTMvLT5SoU8ah+Nw5qi0DOKpHp61o6d/wbcphPQpoi1LNC/npOX wdoRjcGgAldmQuN1VsescZphtjkoQelbISHuqaGxQDaadsSuhJloBwRu/gFJa8MJna1uoEUsoAjk 7uUi5wH4B/LdOIdyRR23GT1NmJiIwyUU3rY7yLgXW9BnOaD/vl9SoouZVshjETP591RuE1UMeIE7 EGmtychz7EosKHJfff+A9MLN1l9UB2Mpg3CQd16cecb0mNxv7mNulFVN5H0+9zkUTWxuDjG96vmT qJwmQsk7sJlZQxzV97aMzIDun8dk8CnRbgCbBUUbbj0J143TviXY3MkBs39gXIwNeIVoIpThBiKb 25+Y8yKY2ZXgONzNf7x3GHivnkqKgvdXfjXv0EBZl8Yl4enChlZNuV7Gqco7ANkjTaINqFca3yX7 bNgacLfqq0rR/CEnBQoCOybJuNXMnCG9kuGgqXeqS93lYwQDY1kGedzPfolgsrij4ysFQ5sUT9wb m2BmRrw9w6QkHhe/xj3W62qFfxnTnC4JaQYWzvQVzJiqaO4SZmNKinwGHpdlECFFH+A0exoDVY4E xLJZKoJR0oCP/91IUguGN7sn0NHd4rBiNKiJqZIo5tRE1lRFvtj/eBUvsgKaQiZqIr9LkWzrlavB Tac5cmOIFss83bObGGr0EeiC0KyXKJm3cw47GlWEAj4tvnJuX2h1g6WozDZiMt21l8eRlkFbsKJz rIP4n3XtxrfoR9gj1E82YUSfzUBXTQGBJYAPQ/erwp7vK3DsiXq6rnIJsjrrq8iw0X1EfzvBUadD 5jY0kAgXnwzlQ+ozrukzhiwq32+PIAgOlVGDkLTQEpMoBbySM6AGvITWmpGqQcY3rMIPpe1s7JzE AQboGV33HyQmCVVRCda5lerQHyuNSd+LlcTIXuQSJdTLVz++ttgyxzuf2kz2E6XXrIQOv/aahfdU Baub2PKbqKgch+aEcToPKh2h/EEA+x4NCHCKmIAZ3qqmEfvTzbUPReYnB5u0pfQxTufFppZzE0qE XI6A9ZBN3kW5Y3kBm7c51iMowbueJydFYuARBk0ZkBlXZhKg/IU7Agf4UvY0rvIxpnl61lFDcyzb +6tIf26Ck5lGAbI01B/GLG8nbty+Mj2g74lnBbuhqdJm4Gl9+agJqfPCx8TOYM3W5G9eUcGF2jOQ C4/OIjFqIpZoNjjxJmzxjhPaGSszLID87zUYcTa/rg4L10Q2sDQsDO0ZovvIP52ZXBlFP5eFZMWT GZV+cafbT6wXMGSMtQPfnYhTnRNV6cQxXGRSR0JJTGKVESromRsQfdB0bYp9A/JFYWxLSH2cRzvQ WmhxivHhMoM14HYORx/c5m3W+gh8EKyQA2mFCJSXymhL/bcOAJ0qoTEmnViQAcOjEtexEcf41yDt dG4XVA9fUKWOzql5ep3gzxLIIG4RewqzBTKK+uOIuANK3SeMeTJx2Hh7AtQ1mICg9b+IV3b5YT+a YljiLkrSBUdUIwJAWkcrHeesbW59EK4FijE6btRUNUE8dx/Q5K7KZ0EJLcLKnqObNYpb5WYXIj6y LL4Cjyo1SUX7v2eV3JOKl4+ko9NENtTGzTlhv1QvXlnmsPLo+b7xc+ieFoy57xD2VWBIfxxAyr2t vrrw1GN2C54S3kqLLzmO+oemqnzxAt2drqNaBNFH+ao8J/fOmxXNNlJF7Ew7srxFAdz1+vMeUuvv 1+uZUpkt2oFnqg4kZDs0k7mt3gVTZLdjpkhLCo48DzduOOsm+aZciaq8xW8m1FBIbIRC3RcyfukY ENVZjnYfjs+Q2d97HfECuIAawYScLk639ilfOvcsXCRZ9XGUvDrWASVuvdH4wSYb3+BxndLhsWze jExG+MFuS//NHG++60rCQ61cVPiuVdzycZxnQOU7FuvcV4aS1abdqNX5kbS5nUJ9SqPffvOCY3lu Fe5oWMPXPDBEUTCjLqJrQNKIA/t5hFXfvxPWTYFd5CPuQlUKZaC5jdwdu3dS7riiBAdfCx9kYeII CTYwoMoJzE7XO2Nt711yAGMj9xl5puyDk3zjYTew2/+9+USs3kHeEMlFRgMS6xd4F59mblDLWBC6 ck1QVeSGKsfa58l9yxmIAn1aeKT8MnGfLprsKdJOIqO4BzF+VjqvFwrOryJdwAWIV8eurVqqRkEK a7YiyCRKvXNu4QuYflf2bezUw2V7L9NxKfJM4yL98DikXFF3HYl0Tbrz35l6/t1r2DGNil6zF+yt y/YgK4kKJxIWx0gbd5yUDJzto7fQtvlmc7xKOo7b6yjfQiJgLhO6QgCkaUSMJYliLf4fNDGgMege UL2QFTtnJ6GOL6gXyKHhmJY/uu/NJREztrzrp1Wuj5anqlgI20cNKEQkMvw3NBccwMDT/BEsehZY Z+FnLp7Vq4Jm7GkxPj8NKnaexjxQYN2B1ZPruGHo9qF4H2m38IbeFwFg2IlGRbXwk3e3Mb1z3w0D fQCbmxj0KqYmB+7cmErYosZwyQg/BQQAQdGfGXtPLrDOkKvAf4+ZUlM38fSztLFQpCG5JDOR8EAS 4g+3JoZ/JHul9ecSQ2bHSvvyjCQyMMZmcyZfq/+HnaZZP5FBBhI7olTlSB2WSrnM78381KzCF/Dl 2PlZU17EmKh8/KfCs/PjMNmzVN1jNDsf5ykoOzo6LvhTMxGYPOWgzgOjd4Mgz9BuaoReLbAWSCJQ nrffmrvsrbRhOcXPcyT7STBFsVB0/YHtKogM18IjniUB8vS9Gi7u5Dw6l1Y2AJaK8rkQ0mxhpzy9 rIb3rccNM5ZNrOK8OU9LSn9hn0gYwOiQYLceiWk5QMa9QEaG+zA2xqk2TjNMwGpGoLP/fWcjd+mZ PJ4T0QbTZJYzgl5YbONdX7Cp0O0w6Fwf4XW8Y+a9Ih/YfhqiVZiBIIliS90jdX8Oe2E5iG5O34bq YHFdlGfEAZDnwAejsxnQEwXle7QRjrg/c3uZbPr7hpIPD52zcLpJwU13ACQQvaId4eA+EyoThFry r5NQryJjUqf2u/eFRdXHohfTQ0PzofkbPrL4gb6SC01mvDDK2ImC35LRz5IttiRyep8Zv84ynlOj HMKBliu0qY9JjMumZDWObhpI6PCJc0VBtK6Od9bLfdUCk1yvT2+yRf3ilsY9S+g6d4G6ByUxj8iu mHD7XSqZceYMYZgV6cG6fcdUCYwyRevKOdEJNvg922Mt7RQKgX17FxBl9dq8uArzgMpI6H5fXnyt kxkgq/BSitaIq4m/QNLqbO21OT5ReBd5kuHGUvQNcDCtczCERBTBVByyk9vohn2tLoEUFKIe7B4n 40A7Ud6AzPki7exjbsuYHZnU6DPZSKg131wjdOSjT7z7XnAAEdjdmL8RsDkQ92DSJSyrfujYKGjN WMT71u5kAzfpHOOr0ILHqt1wXoJMBJBCw66u4yirtXG/H50mhcGB+2A00IT+4e95+hA+9Lc4Bsen GE9+kVa+YTyqylvsAN+c9qu3vzwZ5/W4h2oSqHsUQpB53NOo1RTYQE3aixMNn3IKvQBcvKL8Jo4r EHQ+NzMEehqiLNSFqV36GDV9ELf+tAM7Bo1+9ZVRkIYrdl9bEX1iy44uK0ReiUOhj98hi6yBlqpJ 0um6cHVgSWX7dqffYpFIaq3EQYY8OusyWaA954JAosamMjhqkSvxBVEt0fPA+fhOHOAF42GXGy6A 8qgYvSYw77UPZxsX7F9mY5W9bQoDJkdcp4pOHtRrT/Wc8WvieNpk8ZYY1xLPv9CkXd/li2mcRRYO LWvQT7HUisRD1xXotYE7eBq18/P4Srxs57sF7+2jAEY/O8LjQFFaoxP5ZVwlLToU/uFA5gDyxDgU oDMuperkKrgHxiRNSEsWGRxJuRucDxe2gM66SPR8Fhbf15U/nbosKcK3itPHH9d9RwRoOuKncW9f R3ooilwHSgP2lpJDKJnXXOl8qPUca/WW917k2zZbV89sspDbhp8DTsQqiBSv/TC1ia7FxpQXVswr 8+hWWpWFsHpCmKlrwOo3ElFqb+8hUvyVDNuF3ho2EFCJBKONCtG8eKbVuuBdAHLIVemqodbQeDl/ zum6dYTrsvwj4GiQjHSX6ZOe+liD8mW/hCTvZAlRak3b18huVaL6xuhJHRjRdh5RBUlT0cuG58l+ 9yYX2v8UPxC/DW7E/9ArGUaqd5MhzMYrcl2uQ6HjlrBAwYoasuDgoxK1/o/31MP+ryxdukfUbljp WQ50yWG3aOTnfivBI5z4YE0KP8WFPtSBo9+bgA/28IIcQDUqxd6nWO7iIAngAsu/VPNooEDyA48B EG0it+0rkNUca+irAIthIkXYBhxYfatJkwDqDmHj5r9qJivFpNBEswVmhVqFsvDZ0GlZ8fUKH8yO W/UrxESIhrPxLOvE3urAa419Rqzs3nfgijeIKcQ7tI52/HVJb8R9fuD6PF/LdZOEFm9mMP17uF9V blbKYsRDTvip3bwHb8CCiucmJg+vvVwNEnka704wbyho/5SqhP0F5j7apA4VNZGEpOxpWkyQ4iJ+ xBefrq5TwKeRz9TIsTgqT/kt6Y05xrLcXuiybQ6Ek2/PqC1NufxAZ6LLlzVcuGFuak8rBfcjKPu0 z0Dkx/JyDYAnKkbNIISuW6pJaNKSwcc24jr9UxZjF0dBfXSEoDwvB7/YN5nf0X3LGc+SxYBrOHr8 Pv0HMl2o5GvKy8hgESQwCAPUPHhUH7XF/uzels7u59c6OHBPI4U5YE010nsEPSNZir21cTWlQbd3 0jtFO5Hzm1ORWRQyCXbFb6YB9w3waFiFWDhCQlXAyu72hPbZcrpAqiINIodgWojtQAhyGtCm38dH 62iQa1sLVgvdKe0UXyl/A47tZMpmv+WgKCCSXY7VQiWxxrGQBWrT9g+YaMjVpB1pPRqb/WgSFL/Y C3CUS8Dky3OTnbxIYPIgDrjNdmiA9kjb9f42UOqFsYOs4pI5qMKZBxzvD2qYEaPnyLtBSJ8nDKN/ 8d8QYAwTn+wLDEZ6KGDMZeyuMKTF7ZHAyRGu8IwhM0zkduUCi4H1u8t9o2BiyaRWI/vkYZIMIIRB kUtmg6WMzWi8YbguqoKTqLWye2EMS83ZBu0Ft5y0Ee6dTMHOzaCudJQd9MzDZUxtV68evB9ADZi2 0uVGuRbYveKjvWkVnxBhWM/ivnmm9JRQS5dLamrGxzRSiFtCMk1UAnu+NIFLB53EcYoqDJASIL8V zXqEt2rXfakP0O/ayt5YMFk9d34P9soVEqHpLjVKFBXtocdym1XCHzAPaxdZicYYqZpKajZNgui9 Zt7FVEibK2BvtDxVsjLbmnUDvCwtl+nsb/R3V5ZwD34pKCRIuN8JIv/yGwmnfTkzhTSpn4DYGfPj 8t97iINVC5rYVdr9TpXDs7Iemt+QeZ8WN9M/9OWA8bs5w2mI3r0zCYLx23OUfIXLeq3sZJ4nRUMv okoHcKugzWBH9rz/hcmlehhRv/XFRamtRBW1zGdJersH2uNhqyK+BfvnhAyri+0KiYB+ZbePpd8n J/V+vUQb6edwK3Sp8W/Pbq3ML87W0yvB+ACeNnTPTu/NNleltZPn+zl8i/pSjXWdlyqMwWst0m16 i248LkN0ZrQcZ35k0iZDqTQgX3hfCkwI8HVphuC9G2VXUEm918UyDXfly+mDudLl1m882elF55aJ putm8ND8YzgatfmmiejsO5jmPjPvjzh5W29aS+G4X3DwsrFItr58sAfGm9T+pSeIuTJPbjXJf8LF D2zju3UJldofQLouBXvf9JFrNwcjXHxdv9q+iNlChigCn39nXyAeQ7lb0QkrMlznlLqmef88OuVk VxMNq/zrRLe2FZ5HmAwq5oIqJGpQhfYJyoGyqvY3n0OpEuYzO+UyIO+s4w+hBPJtI893hspVYpnj pJltb+HoH6G2ZD5SthRm8/4M7athGv5VBwQaRvWed4Uj2eGS1x2Vm2bdprkAkPdUZ0DJ7d2JONiH pmDiEFCYUs5/6SYCoC14Dw1Np7Ob7wRopTbWU/51yhH10+9JTsnFcR4qYvbKMTaRAzWhhSdIxSTH rNnuqTQkFpp1CUHaWVaO8ydY+P2E8XjOlrWsfLkCvFOrDeWWnd5Ezbwdu3lyGVC1jGvTNwAjueRn 7QEr16V0DaSl+L4RTF1CG0M/MnKNDhQ6zlSIS5jFOZnPP/yZhhckEO/LVbD/zFqKSY5vuJiJw/Gg 8CuLq6wy4bwGZOSj4Plk2qVs5joMVrYovUK5A1ki9Jhh3uDJWYT6zgzxikAS2/vDxJvk3UvhtMrq fdjnp69LeyZxWDOaF+JXePvCC0GrXKFiN1J2Vw47EEsEaTCQRISCti3+OemVCTZxz65W0TIQceUt e5TDZljAcZSMHuBPViZNc4+N/yntqaPGBEwEcqYkZDJvXykJ8+BCHKvVDb8VFqVFxzetQmfbknLu qpRI6iiFL9G71nMl1M0w9ferXCe+FFzClUVhj66OvTuvy3IcGxoX975ml3CIzQS0FhJUgzdQp8xw IE/kC44tVaoRuv1MoV5GeOVGARaSYncsJNzlz+gbAz0Pzl+8SNNqnS4Oty1VsZNxVEKHgEkhFxEw EH4c1amp2LEXMJB96kMoOK7JRfkfIsYwaowr4lrrNPEJgW2OQGuVCcuPzmDjAiYDOexrLwqVvyDJ Iv6ttwTYsECoMHQzN5cYY5nI/BCWRemcrF47jwMOC4fwK3Nx7WIIX3ms1ZNCWUkl+If3DZGkWG57 cgh19dk/+dSfq6a36K6LMQ25WrXLv99Uf+IIhHMu0pBe5Wh2vRojCTc7fH9x9+KWMno/MPJjZsxg Noot85dY9aHNBHbuLToNYe8TxHMilvbqVmrlAQJuJg4n/mXKahfW5gRsTerQYHKSWn9KF1ciq182 rcKY1t+y47WsTkZ4G3DBACAQMx0/ULGNi/hssTU93J3L0sPokCFjLCaLgg/l+qqvCsvB6CWHP7bl XAeQ23dOqugf/hUXhaf1Ao4TzoqovVO5fNR/P1TG5ukX51eiCRd4jhhp/mzTuPUrNc/efL1kIyKC qte8SHh77B2Hdk0P6az6vGHbMwc+0/Wx76RKXOF7vjLcy147kFmEXBECsvV0x2KiOnPzPuLMljVm FTb3RwQeen6PWLZkXqb4jEmz9aDIL2T8mjOzXNieI8Wk+8aJIjjq/iqbUcGnJIbJASJPjHtRLZag p6E7H18OczuuQba0rmZE/+IwxxSdtl0JyR1IH6OsQ4ib32t3d3Ku+SKsjRvCRLalIlbXmyLYdN5r cGbEAt4ylUOBSjw4KsLDtLzkyeUj/n6ezuzDMNdvm0BBxmq+77BkTO5Drl2TRE25iuMv/s9URYCv Es1NlWACPrW4xcQw8uiJqWJYrnYF21vaVgVydvZb7zGeN/O7df4URT6Ieocp+hzpxxEatG0+ROw/ 0EScp4zLr5Vbj6L9EPZJ58Uj7bydcaBTXOZXAyCGD4Gx8M/rmtmcJ/NI65SuuUVm4piCyzVcDt+8 qWfkb7zGFQDuBqhURpFtc7DuXFe1sTbnsF1hlGdPL3ncq0Y2/X+VsCwuYkgPklbTskLYlJNr1J31 TKQRa+TvuX+ZI7yDJfWKSxSeJdtqP9vf49Xt64s0/gbKTKPtEeX5Bx70fd88m2QduOKRykzX7n/c GIjcYJFfm++DCYGnjRTS6H2anBZDY0WKTG1XQhWE2Nvuo7hgDmBp4pn7RLRQ51qOkDaPDsX+p2U6 8geYUV99DwR4iMK4ZJF0sHIBcWANkjv4nMHW7maC4hRu/IyO2ZswkOkMQgkw7MOIc6gH5Lcin9lG W4E7HjaLD20CmHphmXRE0jUYFDxcxT79d0fTbR6hljvkZtyoqZTCaHuIMN2/YM1XHTdBt5aJDsNc hriyo34r8welHJRfAVZyJoPad4aLfKEvJ+JRVUvJRUY2FRfOuqD4b6zq2SHAwTChgSk9c+v9kmn4 DUNwDMfeww5p/th/verCtpLXjR8ncYUs7nabeRPcJDXA7+sBHBBvH9xqdvVKOtd/Tv2nKKvPawpH atUGPOZuxUbibyxeRDd5TMD1xwvXnoeihu+VN9Hdx4zAH2fuG5/HVY0q6/FLgElblVOfinfJ+qO9 f+RN/PiQjAdZgKMAgGrGQPmerg6Pc5/bTCJ7cIrVvFLxRwmGV0fwotC2oagv183/6zRGAezrzK5o DHAReZdlF63RUqVxUadYPm2riedcOOOft8p9CZptdgxIQC5n/oxbDGQNY58397SbJeg9D0rNZKp/ pdIp6lSRMzfyeI7CqgJzw6EB6UMQ/x5HcXq/hcLCX6E/YL8W2Caq5KGGd8QawARvZ6QDoGVvPkBi lc8/A/EP7jbJ672xbRFxA6kHZAWsIJTL34CPH6wsA7D+zAe29/ie6hnSMkJYOVbEtZifKMr8VuAa N3ZEukTK02POiWLY2Mtk9x7aOkL/QDz/pmNG/WGMm5kJWi/gKbsSEUcD0pB0In50QntD6xjw34We 7GMTXnVQnAOLI909YxJ53Gk6L8aAhRcXAfdVXADt+NpKktK7nehfMd8chyeKwQtUj3YmxL5fOHVs 9M5+xjH+RZRySCT4nHhfHKbMTB8ZwW3zn2oox+dlOtR+/SAXCurusxKsXnKO+f9DBvhzsHPzW1tO zfCedjfcCqBaApRXtuITLEIB3oDFuyQYrQoRPwFn+I0PvYvJ+OP+as/mbdaaNTDHsBIa00SO+Ew2 86VxlyfBMbNy5OoKDP6xr1c+ovIKOhITlSDMpJ3ZDVuKmMF9HXInbZwCty8TBcMp9AVgBiRIJitD A4oCVkVy/pN6fWjcbfQqmowLkPQOcqFKoF0zP8u74QbaUYCgaNw1HCciiOHNyru11+ojVHqL/T9z uAmOTwpvm+2pzQY4KfA7o0d6HIcZD9jEKuyUJ2leJbh+ZCwcPDNSS9XJ2UlkRwu95w/veDA2f63s dtSg1KK+i2rSGAv8lc9bvkQhajdMquyiIGRw1gsb8rPSyXgVpSpuVvY/iiL610mQYTQ4OJjeS82H jIH+os9h48Ss4C0f0hz9KZ//R4t9UeRbkfK+FD88afCRnFQpqWXB5rOk+bEt8+wV5uAz9TdKCNGD Ce4bDReu+HXv+CcAulorpSpuSdX77epTFSf2CY8TZ7WkmRZsuLQbU3xx6sejK+PDEy9m7pSCsZlZ 1fMyVy4vxXWPT4YbTofTLvv93yzW2goUylj7XIiBbfwSQmDW9UltVZ0MhBV1dMVlzV3pmHLwFTTZ N2BXQ8XkRLh4OMRQKsadu2LflTdlS4nQ6kqb70MeTtstx1AD6VBnrUsZAIG+DndACjzPUSqEXCRz mCCY9VEpCwZY9CK9zwW32s9EWtSmsh594YFNoEkyPRjMZFjQ1gqxEhDMPEoiqK96r6QL540rx9r7 Tn1NfyGVW81NPaYcD+COrvHelfPJnUCrl+22MzjGle3/ngDa7+7UMKZSDbQmCkggDT/QcNbgvrYY dzQqKbgAn9XfA9+N91GzzHMrcyTvc4kMcA0b0ZR+6B0oH3B3TuKa2vD/cdwpz9vR8xzb+b7L05eS O/I90oSLgqegxmbCBP9nscrWXooB5+7C+GEBTT7l6bV0nsEmcmBqhyRDXW8emTS00ghpKBOsa0tb Bd/Fkg7w7KTy3MxxLCQbtIAGXq/YwRelW8HXjeXhymdoM4A0l/OsYTDJSgWY4+0YS7x355UgrYiM TvLj5KGI+CxbrVGD2eWadWjeQZKpytr3XO2+YpsUAFZEy4B7hPMQ4euWbhTodjpInKs2BJtTjULA dgcLDlC5GXM44GBc6891z2UQ0ZIKx2h1pr3BSf9YAgbLQulKCnrLL8BYTn8QopeXnmvN/Y4YN2JK ec7tJdjdqqbCxhs0E7Vs9RfR+sT+Ikt141JExQLBhbjklfdq7IhOqza1alJz1WlCzWTriK/JZP87 MzJKn+pzuZCVIYLv91VjQk+Q4+TLaxQ/cRemjs4/vvtqx3uJLKb1xKR4y9HKqttkcbGt9fkHDQrq 8ttF15iPysL+9fOglvcmwj7YOU66zeq7To6mqig1v5ZMF+L5Y/e8MUsypIGQkkerumEBesF2AKkr bgTOnCWU3oDBq8A+96BqTJO6Q5NHlciI/SBbImsqQqmf5ZKukrsdUSYE1uVYN9Rej6ZxEUZsMvyb ViXoaokm531k5WaUUGwtRoyJAZrw68FtRLabU3ijJlU7W6HDzN/1k+gG0vvinvQCwt0wP+qaonJ4 m38e2R5nzpIHNGZ2roveDyYiAaPq6+EOVLrZf+j/SROWP0miEJGMTcewW5PSPqr1JbeaKIimF2rX EuiZ/7bIRbMEIyjaQygOA3b3dpN/5axB3B2tmk2d9olBtVMHm0SLkO8kGasizoxgNDQYA7M7C8oC +p+4QkfWmKdOuqRiEaZkSbU4uFM0JRW+H1OLCcPh/78vTExZIOq5QYuOI3Z/wfniYfIljv3L4cun pKL/ooP1AL0uZxYtP6+xHXc0oT2jvTW4HtlUxH92Me94/RdJ2p27+t25/RH3UhMWnaTHeKIXCfMD sUMBFuaKZFHMPaWiH2KLT+mnz3kHLZoMCDpuSU0ghFTSexuxEmAz4LXaFuhe+SlPDzJb08glYz2x nH5KM61XWxVOYNRhqa6bv73iNbgloD+TQpw1vadSVvR4Bze4BzjY1RMP3Rq1zrw861a/gBP8Hffo 2picoAghbIhjQ+Xj/WTOqwf7/U2sNHfzzgiG6YBjX3VjHWfUDGYqQLoXr+8vv72MeWTlo4cNWum1 KJHQxh/R6br010Pm6Rh4g7xzMY2gUPmaQSuCHV0jKO7hN7lWf5TLcZUCcsP7mWzJSRpK2OyFjGZX 70U6MRSpGp++K4hLWnnslpx1phkmYJLlNcud/Qu4KQsOW4l/ILcurjWIMmaUQYGKZ9+iPhBuSevt cVXzw0jMBP8DekDWw80zAtnpDDI/wHgwx0z/dUgqocw4OfikWnKRiYmmiuIzgZbjozYPn8uob3td cqMzdVtuvVrrjEPnVp6+Qv/MzE6KzXBH+MUljRdGjBnV9R7P6JJX1JERJCupwbJTDQnoHFWNEkVA PZS7WiVVYMOLqwET2uVVfRrDWcMZV1qQF8DXxIkJq0L0xNJor60+TIn2WejCERrj60orIv3nTQEG pSm4IxQLkCsUD629aQDIauczjlN+ydS2PYCv4o6Pbe+uARCiAYlWqk0UqjdrYFSpMFmyjD2hR+Eg gp3jIoaFvXZOYsn4OuGA8ifncECnnQZbsLxpizvCWZbu5qGB/rRB3LtlNFjh0Ek7D1qDpEuZFY9K h41CD3HBtNIzkXfSY65dYPQmQyB4PGwDJjmOstufbckYoQJ5vxXLDXp+/hNkXg4Owk0gCLwTYpeQ M3cSnuaGVw0FMZyyEuSeoX7Hj1+yQlui4i0+MO//peilghYcAlyiA+D5Y4uI3saJQx91xGAsF2nz 0ZHgkcvEDPEG4dU4R9LvDQ2W1ecSqlujhLwumU/nmjj3Cqi7eNAEFFYFg7ow0dH6g1tN9lmh7brn 9mUJTwRy6TumDTkFtiM3egBg82wsKffVnTeyAnECNm/G38NjgG5sHOs9oaLte1+3VeKjE0ox8N0V ryXWhlBRodxnJW5Mom1xHSsZqsojTLhSLq69f6YcZhE1FA2H7iRVSfqbgV3yM2Hzox/dYdMoxRTx zkXmsRTayOvavOe+ieMBI/+KEnvBdxNlmxVKzxTteRG456ki9fRV5z35eNqkgUPAwcb5OHkktyZY tkr4jMl22eBJJEMSa9naUK9G6VtWlxg79bS6UrG3KUhMAV/g9Ao35OAO+LfUZBMooXeKgVOs8w3u bQhhNgFex0zYAHOVo4TaF0VtVkVfSQxOFmKUFnwLj+gHbYya+6BHkH6L63E1FzK7ZMVHrxD1KWF8 cq3A81DjvR2bC6FghNufPfhtGPbcO2VwPspMHf7G1pNZBXH7Ncf8LeJ2pvlI8DKQTj0VPhuvvmJu Qjkmu6T5PEpiuNIx/IsyVU3MBy6DI7eOSnNGQvNGtLeNrT+yHaXzOKAF2gNwpSeZHA6I9SiKI+7P rKeXtAkyBLn41DEHw4EP0syz4QJctJ1eIqQ1Z3g24V1uXkcUO7nf8CEEG/4uP4StH76TMyc+uMd4 24dXU4qVBDdoEWnfSZHMVDVEMGpW/B9U7OHqVLoULAypd0W9id0XfNO1k0qFWPqMWYtCGHIUZOXr J0rZ9pc6ZaVzI92Bv2cFuoMD3eP4GvpjHDYSu5x489PmezuoduwB78yO2zjfJnCDW+1M80sbamr1 m59bOGVCFw0+Z707EPS44vbu7xEMlTONdr3o03rRnszIBKeaAcGTw5pyaN3R3Tmoa89wKJx2z5XC h8hunFhHRV4NVT8FWPM015NSqXHZ5Q6mn+8ue5yQ9Oq0UsgS/Z8GQ8jC844MHTX6CftQFkXWoZ+r ee0Y0EbvN2fEWbEcMYlO18g516wN3tVwFWq+TmHud/xeAjlyjp0KWHJY58ccMYLxD429p2xTbG7h nFeU0x3DBuLRG5eRhMzp9zSdT/NNPM9E2Rcf9wgNco1L1RvpU7Yq9GBO/TRNIPOneulG3rOhVOrQ xUUIt3GObFAd684CmkqjtqW+HN5ZePp8tehk7+7q+LqbxJw3LyUQtSv1uQLW/N9ksvB/exlN4vH6 GajetzszfG4DszN+ADhyeC3CllwfFALFyds8En4JPrfGy3YZcAG6HJ/9HZIcshvtEge52PNKzFW9 H0HXPslnC/rZetFGxdWKnCk3F3t0PH5Mn8v+/em5dkO69D+iO4cJtIni7cjmoGkTrAvb7xYT9MaG CaAFQQ2+sefkaXXCFGqeviIBFWl4OFy57dC6C8EEVKmJkjnQDq9JwuqVvPnX3Nqeo/uxFMo0uKwu lh+nQJ3fcI3tRdnm9fwrxdKrBD/lEcJuYBy6RDTEr8CFAQew5hCb+YPE6hXqgbG4hVl1mpaO/+B7 FMDc5ZvUrU03UxQiZaVTzBO131Zxx/ATZMgWf4mMaIDH0MWswZmUdn5XgcGd1eUSlG8QqrSEzlV9 aGdlONC5+ojfXCLBk8xj8+VxPp5MRxdR2p29oT8GARRHHASIs1/mea7RkTxYrAJqnlLz5IAFVV3u pewapfjUoysdPBm7B4LH3I66PTPaTEYFfdtElgWw9C53tuezVRfNKW53Da6c7BcDxPckbd8JI84v Y433Mkq32uzxh87hcE7MaBS2U70GyAQRYvfXqr9ae3kyf/ITCT+W3h8IvUpreCW9Fd/C5/1o8TK9 PtiGwJBY52anb/RwW2RLdeYYPa9pt1MXMfoHbP+uoOUWnF+qlmuYTmgvJritByXQqAwDRTyyCpDN 47At33D6UJZkHwWQIij3uarM4+HcYsueh+ap/BU2ulj9yxQ9obzIab15HJg/QieTfp+9BWfIp2Hr 0FSKozakSIR8AB4Tzg1dL3s8xq0rg3juSbZmBTZLXPW66dEI3/w6AFahodRThZ8R5kVdAQ3RLpiv b2AlK2cPcJUy4un0YR1i3r2/aK9E1/FzLrSpuIO6aSwx65DTWcBGbqijxkGlE/1A1gyzN80w6ub3 lYZDIi/Hd2FqDONmXqGM9WA5hgw5s7dTB07IBXIk2OtAOApEWkeKzqKsRvCiV7Ypi1j5PdumZrt3 4XpM9n92uD5IbtY5YjUG1Mg9FW0ziG10jsneiVBNciKhqePdwM6w6StoiAMUaeSGieT20hOVfkLZ yShoTU3ZYy19O0rGdh9MZQeJ+EuSG+f+q2zUYS00ep961/PBaZalrbbKq+HpxqXEOOsRhI+QiU6g zkwwTPblVnDLgF8q2TqxrRbtbsr1ytcbVOBcNeAVmlgXxie+Bmc9NdobURkxbWe8MjQEcr6fYTrP mHjcG82nafWgyZf6k0d16IdFm621zD7w88zfL+sJAr6BbQxmeAUYOZKwFvVK/YFlZY4X73ElkGsG dxlr7CRK1hlcVW9X8qHUfe+h9SPbWxS2UecYhucz7LMX99Il50QLBcBMOM5/ZfSlWODkHxfhLCWE /ptQG7fwo/t6mYulCQWnilAIPP2Spw3baEvJ26xrx79bNS5e2aoSpd3T6tozqKrp08H63CVPrSgG fmi04C/YsEUP6dBuGDkQXdsLT7qfSIf8cbXFHrW7/vDe4odBJ6ktUcWkZu2lzNHH6jTgenJLzPCn R5Dm/PfyYE6+KlYx+mj14jXIX6Es0bKgOvBOeecV9ODosbrGx7/WdgeOpcjhw/GeHC1je9ZGTlgU GxidND6APvNyOAUhCQb1NeTAoNFWmNjLoDF586ntrK2MS1G3YV267Weeot3fS5ZWrSkHWBkuTvi/ 836TEBUlrmnVURK8H/LwQTbxrlm5kC93vuMj/m35/ESbgZnERC5pmxfmYtmwV3+Vu1lgUz7C6/gt gMzwnUVC+ykQNc7TTM6kaO8sWwwMNETCPlmKs25pUOC/eSRx9nHY8PIWLkr1WrTBBFGyzf+NB1+O j3bwh8vnvsRVpnyKM0vIq7XYNvyYDIRO1FVfdgGBoINed7ny9r2fK6Ug1Ou3PTijCqorVjZ96frO f21ylBb/osKS8AuF3mCWswowVbC+BCdAdH82+ckhw/4vWTOWzJ2vHZ3o6IAaWvUI8Onc9DMPHHkB /rS4RBusfcwFJ5RDAf4MzOEisBbdkdQgymOl+dQVVDMFwOEXus/5i+qTa0tJ72elQ9zEujS99H/U WuvqSEHa1i3+ThdRG7Sk/6qsM/K6hWhKcr2E2Yy8KFq0fE7q5k67VwzqDNVlZgUKfOwppmFqYdr1 DIhDhQeKcTv3z2zVnaBGa3IIA9Aoxw18O+eBy/Ik0Vcgns/ycyynlin0VmIFu6ogPb+4fmpe2SB8 D43CpAWvpxgvL7cl3ewvCajKwUqK1aE+Pw07HBPEhQE3+MeALhd+UfZDEc1MXKZh7tZLCNJ5carI ZnG0BrEtdsM9n3rVr7+pVq/uKrASmCl4epvXFLTktgcFwByUBt9+ZKleHR9CLXuaPxMPKluJ3lMU 83HJDJzSmoN8T4vDNBvW+wNCLsVoZ+i/CqJXlhvs3TP//9UCvGWGcbSQs4zkaQnWf2W1Kq3leIDb aAWoGdBJYhonqQDZ1Q3/jYEBi0Fz7pYal+KPSuKspf/qUt1jAHaLO8CXSgKCTCGEKzvKlJaNqiFy ERcHGyjkjLflc0GASbMUnlsoMyIuAtWe0C+LuHhyVU1AGaerDmfewjUtj40mRHpWZG4setTVAV0b iS809dO/cU9xp2dcRw4h7AMyoEGQdZpmjPTUbwZN5kgXiTb9yK7m5dtw3NY9XEtsuNIlkqfAf9vb 8kBoSYbY4hs2teqZOcV7QtVUFRvZyWoVxYkcCa9Naw1VhIkOW2ZOF23xVz6PULrBeJ5CKYkf0Et8 CW42kO8RegO5HzrIwaCam6qLkFlDISNhh/jLn0aCb6n652zBW44ATy7jckPB4n/w9HfV9ybGK0pt jxcqb/yM4e20UMY0Gk+LcH4Za8QORQB3n1wXW5msJRBHqUwhSAtK7i2cllL8e07VrUG5VXMnMSCi 5tB9zlitBidDGp+LyAhie0UcNBP6sAwh4hV+9/hLodP5kp9T330TgGB3E/Ydk+RPcwMeT7ixLRI8 D7vMjqQvpFKh5+z0ccTM3MGw+d00ucYTjMOdzjQozyomj1JiImEdZ2CQsaCoAbG/sKPUGtAs5tTb S3I2+11z4z+zfE3q5Id+eaMcY9nyb9VNWHwSqNbMAiQaAOs7CoYfQw0iXdhXxfV3QM9oj5FFbxb3 iXfHNdPf049bnOGu+CwUzUIb+xhZgOgUj4SrtIy6/Kscd35wOTTyORkyrBXI7tNn5Z9BVJnimpeD t6804EtR/zBQB0YTZhCqdtgKmAoQllaWsOYqF04RI8hkCNXsNGrixn1jGKxFq9ogdnBrWlojZBf6 kncl8K4UqjRL3xse4ZuFp190AAxRe00RKjxHPwCa3yNr9TiV/QADTQgUI4Rgkn0cSUr7+NZfBf/s yrkJFFpOx1j/X9X+/JZ6kwIK+6sEqaSkcqvso7YMMIUR2J4Js1rUiHI7KO6+LGkt2thZnaSaFuXZ G8cstbiLUk9sQSFJP3km/GRCIcX5ltuGT30WjL6ZcufnUKjQkwvWtLTHrb3z/563OngdGfq3rJ+o NDFeGo0+MbVMOHbIYdbv9Z4F0bv5HFO3gX7/hKC9PpmVZnU0YC3MjT0EaZ8Qb0Df3FHkrKygHcrW pL8+WKSqfbyce+i56Namtn+UCQVuGezd8D7+HJzA/rFzCzAvRPRshf+TLeeCzce3u3bFwD8mg1F/ G2aL8piDOhMHjASVJmkg6EYPQuy0ZQVtF42cdCOHjtUrWkFihc7k3I4XbrbAS+CCzWBtVhVT4+d+ n5hY3+VV6d8sRLcl0iwFPZE/VIPB8un/SRDl8cj/epp4QgKHmL5GPVYLKzHBAsVr/le3TUw2feKS PCePxzTSY1AnWlC6VTdCWUjtA4t6H2mopF3y0w4DKW7xRcQrmBv+P4Iw/lUsnQY2a/pHsEkRYmRv 8O+lwInc92CFSgQM6rMx9p/ak477J9OFLPyMzZOD0xcyvXEvi20sa53vB/0INT2X0TWNKyfy4mox 9Ltcnr9g5pAMOAuP7nDnhXmJDYE3Hm2pQZbTrkJq5uTHWXCNl+9EB3VTgQbPa6QXnLNZ3QmKqOi6 ghgXebor38VUNfIdD+pMAk3eCF+ddPJ6iZfcddT8E8fQTRh8sPW/54U38+64oxJF0Kvb28dDBYQB JrUIixl+qGDA7WrxkRSVAGQdWPXNli4HQuOdXtr+Lg1ZK8STpoIQfZGmSfD7Ov8t8w4vllbfI55w NlwkpEGrGjMC/02Om5ppFHrsl6QMiA3XAuPFcB3jrNJY3dLvpe07xz7DJ3dSz6fUIBKNhdC/LWGD nC5oj4rVGfnhVAkXKoc5ZphhbmayXlMk8L8DI5aSlHq3EMFwZNyTLMvGtiW8wyGxlGGnXCDxf0/e RTxhVAhoN/O3TxSSnkKBr2vM+QuM9ZRcABPWsQfwGkL+ES/lajdUoeMwM/pHLPkTK/pCCiDoafhq DWUV7Me70inCVZMahiC9QUrHEY3cMqdCPCWAxGrJ7EwFGZr0pCAvETAU0C1kogNWvAfp0xFx/eBo b36dhEHaC5ySWE3PA/1zpvVD/xKIHoH1iBP1rc6Ao8Gj6g2SSBs+oT5ggOy+c+b061jTjk26adkY VXnrb+us8nVbknrq0ZJwYCgwRkYD3XkvdgrTykaOiXvpcIY+zzVNmRYmI5s3Mo691FwaJRBw0o3m DtyDBzUsd0vBm0tZpmAUKq8Z6lBFsHT4EhIgMu4okNLfU3R5ybn0/qQ4LasEAAyR7+jMbnBwmeGw zkI5/aK/hWwXODhDzwilldiGCbUrKqwbpFMWt5Af60UhUrQMl+OcQTIWD5o00wZsExSKmWy2GSWp iI8OLJu9JT4x5eXRuSPlHE7pex7Q2hAxfix00tHqsAvgGMEGbAFsC/uGVQHM6HFSF4XCDJFEECaB /qexEyXqZKArtJnQ8bdQDk2t9h3Slxm9Mn04BNa84TzELhqgE02QxrY2c/PA500XyzB4J1/1Upkg 4AR+kAebt5tsQvaSz7X62PwuF4aptIRBVC66G5xpzJvuDm71yJB5FClAaQhoOk3RK0FcPKtrSMon RRKQs38F4yBsPy2t+bXzWeEBGJxZNF6t5Fe/y2dcJXPtt2H+IRxa3j79Yeg1wPCIt51NGxFzE8BA SleRcofcQTP06tU8upyOexwtykJ6Sj1M1xMlEPl5fjENBwNOBiADCq5lOCPfugYbG9LTCJ8piHVt WZauUx1EZoRuqUQKZynHVeyXbftk5yf9SGRlabneAutwn1W+I9Qf7gZL9nwsSiW9xfJnKWoRIW2T JRdYsMJ55oPsgPIclNZMupJASIHimi3/jqmSmoxQSVS1J8pX0U7f0NNNQjq1cyFuvp4CjN1IXyLM ujWSfh52erqvGkkK9bVwNiiIjFYxc1l1qldNwGavfkzaSeYgwxrL6xBxAQIgFKzlacuKJUOrwBcP ekrOtSvEYceDLRqFgy69qUAruqeCEBYQgwaVMlPETTLiahKjmwLsVbLi3w15CLQI8XwL5jnKZvSj ryREl87N2GLOpPNkod9iundtB3IgfCAgxT0zuZj8EV9ri7i9XaatK5ZICX5eaOVgrvPnlm84svu+ wg6zV0lDD5zmGLSZKw1jCR2JHVrLe/vCnwJKLKV3Wx/LTvc9j0I3tkcA595ygWw9WSaBqEpy3GFX inZ7U8C1b/3lfYiaXdLyTIEEZbZ0B1UP1Go3bk/GmK4+19Gkvt9OmVEZcOPd3kGQPg5uUgcJgJqr IEZ+BgpRLpUQNswaiDqtvr3ZYJpad4nIkfWNmniIvrnhzGEomQDTCBf9lLFpOkw5+dd8/1ZFjLsO PyP529piyGN0UgUYggBgzkTmCY9jFFcagg8y9+GjNf10EPq0RNsxOED8eOQvrada12HZA+7heyUU DTPDHRXnu5KwAra8JbrJ5LZFoyyxw+iy9S2Pi//MEbBn4a832oFU8JslZGmlFfSXaRxo4tIhG73W pWcSA+S2JiARsCBYadCaUYNmAYOvqLVqxFWU1Rj44qdsd16UdqG1mgyech9poTSCniq7jfQ7FUCi 5vY/4Jcyn+ZqVGV1mJuJi2miZAWQCEkXdPDDKZmpPii5lAoFqQdA20cHogkocDd+6mmuGceA2byL l/PDbCrvkYuWH1iX8zuiY5+Iei63LUANxGi01bm9xnPWzhZ60cpO+WWuEm7VuEKRYhkjVAZod9xt L0PvrlKxdVJ4dwf+Dh0EC6MEh7vlh8qX9dN/khIvwHYnDAmdvI8qDPm0A1K4jS/X16XGPUq8M62V zFFLCrHkpEqVtqlkHH+V4bI2Nn5aRW+K4vYc0557SuoM3CtCWzAsjdQun9UZTHz9+0QuQJjFe9k+ /4/of21KmAlEA8Fl/kdtCb5uDLzbmcrYCW1zXX0sLzozC9Me/cSPbvseC7Tq6zRV4CmKCIpTIryX HZZkK8mnSw1ny583uQxbLw/16DfbzRupNCMynF/CKOD2S+CFgxp/Xb5aslSRqs9ZMvj/P+tynSe5 fOsPYg67iNA8F66xZRfzeRF/Pr7X8JrAxS4NOzenFBZvmd7INBMx6V62R9cPcqwVtuM+8TpWZzph G/7MSpyGzszrTo0ShaourIzgqpmDSQWnU+U5v03cZNa8yHMnvMp3xZCd6s5nMmSeOM3tr60qsubN /W5ffpVWCP5ndbfzwhNap4Ne6gnPHNtTpkdJUSo9UN/4Gm4c6ATb9A05UmVxnjZj892cW6RHu7Zx 6ptZg2ucJznGXaRJXO/A/ajYbhR88MheL+V1hrN796Q8PjRoUzbjnJUykMbc0J0sVY6t/PxT4moF bc6NtWUCUnEg86J2LVZfJWrAg660PR2OiazcJeoFb0g1Xoa6RQUSFV5ztSXxgAGfrEpOwC0aIMDJ WPvTcPgCAeONZFPWm3VEUBZ4xHGSoTQ98rJXXw59vrD4gndMrLumq5PSqJFomDFnMshNdlGQ0zcl tUCy8Byh88Vik6/s6Loe16azX2ux30jG/Ojk5yn9xqxDx9oG+myuNYpNKTlndofryC6ur6QOW5f/ 5xRV6jeag0tplIYgcaf3wO7xnV/uFUHtU/tF7xYiGeuLhHY5XQS/aboq3GeVSJ9vWroPBiF2EPak 1iN3pzIeU37dAXVw9Kl207/kiR2XWgwPpITnSDesRxQvHO4QNj7CN2M1rotC13YNg6C9InYEOTN3 b5mW1vlwGURrrMjeeSMwDvB7sneBvdEqmTV4fV2pMW1wx3KZsj9XAqN7TchLGJFPH8v8Acimp6pi 345qQ+knEPqH/HEophN/9a6vEMB/3wPXGAN/29DVC+sEZg7mn/dwQDDaEV+F07H1P3WNNuq5dkc7 JVq9sxVSOC6YsFrc+k8MmwWfkomd6llbmFlX6V/BCnaqRC/c1GnCh1ItWU4q1R+TpTiX3/wECWPF +2ZmWT4WtnjQ6fGsOkrpYkHDXTLjxzlWr3ZWmYiqq3TdiFZr9M42d2GWdnWiRCF4f5apTqPEYMQJ yLjWhVgAqwe5H+1hqOCfeqgZBazVDRWF7tvnWJmLIbR5aycta0MxqcE7KmExufdih6haiz5AWoyd +IWPtXVedyLMXwYOtz0JbkiScB1dQbGXQCqIRlRST9GZdoEqxk9dMicIHtVeLaawUu+bEN+AMHwB +71mR8prJYLy9xzKG4/oE3V4bAu1EtcBjf6f0ZyZ+Y6yr5LNCWbL9W6CnlRf+k987nu7pxtBvLvP b5TEWRTXE5HuSTNSFKRo5j3HJi13it9s8PJ9Umxo/b1Jy9VL/COWoudX0Wllr4KbBB3bP8+bZAKj B5EMtH2ntcqC6dDkwv/0T2cYmk7OUCH2XhlPkNqfoRTZb/07dj/GwJrAzL7OVnIORgkhwySOU1lc 0yGHwmJ0Ff04e+l6vnXGsAaxL598yExG8/9/BzLFWZnOQeTsrAdxoehi0AdKcC8/Edz33rcLf8of zK3Tgk4FXf+E/D5tfHD3Ws5xpe5zGADigJUEYYu483eF5PIL+j9JQxu0DSWUXGLa+0jKBVexKn5Q QllVWjwcNeZfAx+F35n2JqWrQzS+SNgMQ5XglZB/gCjKXE6u3PMGXaZe9sUWnkCDcfeEQogursNw u8SOrAkwGY6gNCCMrtd54bUp8Omremf2P1Kx1Kuy6E67Zi9k2xLcirm+xQ6FcZU9Yb0wSEai4ZfB VwmAON6xxkUyaI8/k5+phN+dYDlhjuC1FY47XrBcX1B09c1lrnTVCBfPI/BLV21XTffZaBxwEPEv AvtH/OO2fS7MSzXu5HjpSVF/9sHF2KTGID9vp80/S/dsAVYvFqJ7d+dSwPYij6Hag9ATbctmaF81 cC9qDFWx9jRpfA+wDIQZ4tfLkSA094hKwWAk/FNXNlBOV89G3zmTIf7YWdnYKf1e9FU+JiCPpDWc x1zAxlZ5B7L2vTFGCVo2CfjDjuJnnj1oif028G9bXWLg1ey3SrLHusOVLTKJG18h2Kpxi/FdM3AB V1z/2+q6Xkg3LI4uEQMj6mdRgXdjt/S8zqfxLXeDgJloGJfwmoSafKPsYKDYl8MGj+IZkPFRBHAc jCwzDlpQ1TdxJLq00HcuohiaA55BSQ6D/9YWDmuDBj5Rees82J95Fqa7GHIe8gLNmOyWFo2/Ruzy cG/SFyj4TUyp0jNzujquDlV8WsKYKCy71Q9zymMiYOxErfGEf6VXINs9Nj6grilCtqiOxQPiiNVD 6A/a/b4Wn8+S5lf6Ffg5Dpe7E6TUiaGe5+NhS7fLZ9h5YAuiu0rFoxukZjbNEJNixz7ab/MaRjaP Z466gvP/Gptae1JK1GU65L91wSQ8pbU6r3Xztt1p0qFZyWLAJRWAerhVVMk1iD/3gSc63vgWxtCa T8E+f6WAQanC9qtCtI2a8OYLIo372E6/nfsGSH6yRxrFhs1y795sCYd5lvRn0uABDoN9Tv22MgMS 1rk9+rGH1W4u8u7ag4Aa+9sQHP+EjHcVY4bn2FyMOzJsBzo22ZbLFOsG1MBCf8bIPFhG7GE1vbKV 8At5kBpNssiBTltFb0OiN2OVolKs6n3uqHlldrYB/ZUduR7MXB88qt7IOsVaF4m6F9daVIjb+Py7 IUSORtSazcoF9nEIxahKs6F1MtkgZXPn5bCsi/uXBTWN+PxePZWjbMl4GzWzd5dyTdTNBrls2o6b x1ZfugYI6qTPoWLBHMdVii0WfaWg/N0H8CHNdAFLVqyVJ6FYF/RBfgLy89v/GPvoU5X3eqGocnFA L7IEztELo5BYVIOBUzBUs8gogE440OMtEwL/b+DSglkYenaJghfufm15Fn1AzuaFgulrdDehUUFF j/GfmC0FpefLv1iKxSIp7Jk6YdVjMOFVPCin/qQBuFRUMHGR/o1nMxtieazR59BsxDRzChnzVgRE YfyvnhdpxSAZf3OSdWBT+KuADV/2SwvE3tJmcOm7gi75VrRlOZQBiIXOvGIS9u1/4UzjE4FHI5MC r89+sk1ZGmqjAuszSS5EvBFF0dZPtiTCD3DWaGLoFOFzzxmNKVyTlY4FUhmjGst0zWfpQ8dNR9N5 pG1eOBhd/ih9LFcTZ9du/YospBm+OvC9v+bboJOossuBbL1GAzp0+8vy69hUJ2GGh7mzCv8rYrae jOEsYhvvyqIsRs3iwzdflL+r8Fkzm+rO1A0bosk00y0/pEL0zL4eFEPiN506YaNkKGPRxJYGIUwp Vv7S5zj7Y79/MVx7DOVEK0Tq3uFg2nqiIHt/TcoKHRBC/Oczs5rswOPJbBnfutylCBYFxSTb6BGa yKREtlCp0nOWqcT27oBYMszn4AMtospSn61A4ktln8f2S6sjW60u5ofceb/C7Gs2OLCF+IlSZhNS IPud9J7bbwZU2Y6MSPcsImVoP/4JVFLOt7KN5ZTuvZqk1EhG3Aq7D8rX+9CsnRVRLhWntlKNOOby /Vv1xbxhVAJNyCta09TkzEo1nmmd58GMuyT7WcPuRe1Krsgxjzhkvmp/4oCo7DktqbpiRhTTRbbX r+N8qaQIhh/aO2PTwX2Z9fDHmOUSLOVE7lGxSNbNM2Tbn1E3JyqlNdqMhjNAJ+of0bz5AKPC8Sgh d9WA9U5TZIpVKHJVqR26OMlibwMq3rVAFk3nBILtO0Qw6RhhlzgdIt06l1H1WQvsRDCyszUh9UR6 /Nb0XEm2yC2J6rHfXf7rQT7+dJQy94yQVw00Q79FcZVNwWyD0ZtaWwh4LKR6GvgBB1HuE6v/BcoL KKGqCSKJXaER5O0KDO/UF53KWh0p1JF68Wvz/Qq2BC5xmUiD6KoOMFbAITE1bTIGZLSZ5O3zROSW 931gXS/p70HMdbs5vUOh7Ikt1ezvdKLE4Z6fkHEWGf+oxVDBGSXT2QWeMFSim9Lu4aYa+18ECGsL E69qXdullk5wcgFOlGAdbAuHGuXpIgE+WwQ7yPiXSLzdQ+Ao3I1i+y81zZZ8UaxxhSTPDtv3ECAQ ozOuHvnbHS7byMMPMcnVyFo4u31BUIkLA0haPjGr9BJTPBPlDnn/95vhShhNm33dom87Czy2jDh9 c3yn8guEkT9gKoNU/Dxw72WaT+ouB3BK4sQrkoSi/4bQIN2ukTPIwGKtMXjWQScayqI3OqwCSD5e E1EkukKXtl1O8x703WigElFFfY3bWsWHafcZERKTKZXq6/Mk/5MlrBPQ6bS7oCmk4G/4gfrgCt9R gIWG0Vmk3MhlQuQCx7ttczjxQzFrt+gI14sBcixLV7sQ61gw5CiGdI/sHLU9APD/LZgfenGY5Faw QEuhNOBWkbHVrfHRg6sxo/FpMooFOZ/TdFruZrA5FL0bgVIN1D1roeYoBnegbi48Lw+YhVcc2xIc SuCMqrUeW7Va1n4+A4180qZd4GBYujZJ4UpEEexcmxvx4O2WAumoQRQiQdt0KTskGfTd/eQVf2Cx WNNKygmTwILYYKdRxBGUWq1Pr+sfNawMIIZVTl7/rWTCq78GoDoXFhQGWUx/K1FJ3tOnOb0rzy+f bYJqqC3GnIafgmoalTHlN4JqZ0MaqiVgt2+cugBwp1b+APA2kKf6mqHJIsaOdm+r+YRoL+sNENNz 5/Bn/VDuIvI4hl1BGKiysBkxoFtxEP2DvFsL3fE+dUx86o6hHIECnyDVl5BAzVjgMP46eiHk0EtJ xIW4q7dJoE8tXEU9KSH6jEGSPiVbM7hVfwVMghGie8c8s7gyebxYUQ0Otg9Vnr9EE0n0Y3+zFIyN V8obzDydi9ru2eMc2xJvJBY6MAvqdgcN8btDBdnEf5ntrCYedUeW8jlCgChyzWcC8yAXz2Yq/DfF OZ3sLpIHt1f/OVeDhceecrBZA/60Ds4JxL6pT/3ovXePbegyt8UOKQRlZdLZjVC8y2/MJ1nw2mCu C7GqfJrzCwf05s0XCd5guazUGHm5nfVNehb6z4SfykWFiktc6fbNP+KbNcDQatmjlLmmRsN8oQqy C/OSCf59Whzlqygl+pLioO95eVYDvSKJnwflqlRemzzADRSxBWhZlTMtOw2Jjc8tj4lmbQk+ygVf UAt1w7US5tqGz2W9BvAcInktMiguuAiCWv9EKbYbDzIDUsSpL3Zcpf8dk8lZ8ahcBC55u3zgq7qM np/bJ9OANw3jSjDwKun38fBLVRjAbRkusXuOHkEx43vpan2X5OCPNyFn+NkpSbdH91AcwJf9fFiC orIjEcqBBroxTezxElPbDSDvN1HxmpNw3hnFLwHpu3OsWoW0dW+ckgQOb7yespcTx3h2nkv/SAWa 36MYuGEbfswz6ewRsQ72erIfQZ2yTzIla7LyWINgqTRLX9Z6zli+MeH1kufR2fZae/CGnYTx5ncn kRT4LM3kR9ILq83Q6fpvBAwj97tVwM/gNjy1smOEExsHPcnekET5FoucBcr/0O6HBEfkEEdWjECy guVuyxJfVJ+Dmij4zBqKad/nkFzvlxz4Q4582m8mEiDy0kSyOhqluAEZI1wP6dqqOxdYXDtH6Vij a7aczZqW0F3LVDW5ASFHGZTEQwr6m3/x+YbxMFVn1qAxK/xZsUK4ABkdsgqup7TghRcTNJEeEMFx Q3egHa9FsGUuI9ZldrqKxh6+VyYdLYYpTrCD9JKzwoTx4F/pLEq8jdrULShj5aVIPgFrn0hfJ6Mb 7CwvTi2rh8pBpuPBcBsUqo36waSD50Ap9mlngIL2BzoyNvVqjy4aCXKNdjzPm2u/UcZQzkFRU5Yh UwxT3ps4rRAf24yiEfw69RZrPfNpuJdfG/Sc0rQ9AG5w58DphGK6cDlTrtQQlGHLcKZNQZMEe521 vvdvFnEs/L8QRBB/mnkQD/sR0UM0x9UQYb9KbtCoelc2M751H3XUJ2t53KWSUxykliEp6izqAvIf WIkDN8VASBq8vt64JQRTqyOHlAg7p65Eaj8DcTlcI61yc7YXAj4UTADFva1J5YN+nZSkOVPBhfnB /cAIab+WapZEtlq00paMJkxxbYAFSSlgCnJRiPDTYnLmPtw4c//jOQ3EoZkbWGDNTDYOZ6Qneeon W/+9m3ZHYZponNZE43+06W8uFXJ5bGHlRLfP3wxIuJ4KWVnGckeCqVhYVUZPwU3ERFs7C87s4RSy tZjDjUX+CBIBg1YUIT7KZPk61Y0cXRrLD4vTqRFyy/MBUla1O73W3c19sVpkQkMZBYASIy1/ZY3S q0T2FWS3D9/PHCpg1HDG0J+aw87z3WCbZ9KE7J9074YviYgT2ADll12UN+0JyMgAxEP96HKVvzLI SLmx8MddGRJKirEiLPTHFbf0JgJKF85VXatHTbVpwWY3yWVtfByA6BdIANN0HYPdKy+jjUNmhhId 5ociYrxrL4NNxjh37uHZOtgAfyE2ZXwdYiGJRd5vjeVrYAE19SxozyiGgkfT6vGzx6sx3QjH0UNi 8nsv/msRDsWSb3X8RRA2947kx9ANDsA9c3z3b0s9l3KQKZoEOirKngPc90bvg/vU6x7PaaHBFnie lUCb+0Dd8eyM4jzTrw7YgctCQWG2xHW1AamW64FnFK6lprodpnsugU9+I00rtsMqCVk2whFWaS6D nMn1zNEJgN/HhP/AbSGk8wLF8pTGkZ0qGn5c8jdD1lc93uFGtfYf/+zI6djl34mOEWJ+ygn4opaZ qKS7+UmjUAXp37JLGTzPU5Bu9Xw/VbHCZAUSwegR0qsvns82PiKpZaVMJnTmK0HoVALJpPDAm2qr ElYWzvSYg+Cs0ihC6y00qsOFwKeagBu/ctHKbU17rLd9b+mZZ4/Q8ImZFxAtJvYTc5A19VDTQ+TS dRSF4Xw3cgpP3ripDEPCPn5y4JAYjH+dt4l1vUbWTG0cKygvkG9La2x/hlBXGN+kDCEikPTXfgDF X5gkjS8WKUlX1kxgO0wfCPkBmamrzjY0eRXtrJ4CQVn1g++HbEE2gOCtDK41J/PbgBOlRjpPWd3G Hr6i2aYdE3wblgMbIKKlOWqpRINrFu/3yr8UUeLqbzcU8Cfh2fLrlams1SjR5kmJDMScDiDMVj3z ACYcarvgrdkEYOYItzGMFxBBbAZZefwGmxpJhXaCS4NPeH+B1md32U0vSkmpDcQa30C5GZYv85Gb djumKUgwBhMBceSSr7bFI3l6dyhtkPSr9IL9ipGG48fwz+ZXhjrV/T9HShxXesKhSnqx05Yv7tZd T1OGH30/2VWEiLPf/o/oXnO7Z/4ARloVhtg1QrOAvX9oPQHPepZmtFhduIjXqglGLclcH5TV/Wl2 nLf/Kk56YQPRCYitgrPm3BpQ2kUqwbYerePSYZWhr4SNI1AthX8pkYVaLyDLSYby0Jav1xcuQ3ol BnYXD7Bp9/ZXZYu87Nrny33JN2tJY6e9IPLczCUjIvtx8+UG5DtIoBkvtIhF7YBaS0bzX08LIuTs l3gh9Jm8NaAxS4NXnCy+7J2r2B9wLEG9bItF153icPL3/SYdiRHBhNwncFPZ3/QAQHADWnwLI1i3 9TqyKC0XkO4f2H99bsSpw4TT52v9AXzS9eGqdw5a7rAq+Zs7uJ/A/LPw8F+b43RinaOYD3K+o8Dw 3WccuoWDNvwoePVnWN9jWKXPprFsv9jTLPSUPtFuW4tYEQ/6Hs8ukZJW75YOiFCccUyL3G1ApZgd Fg1JhjZtql5FJeS5UqflGkIdjyDCK/4LtY/3CTAN8K5AOq80TPHJnhbtKETyzzQfYQ+aGDcswZqV /Q3bjVzkT7A7KI4K1Cd+Oc4+F+dIjet+zcNZ5YDZBoB78xut+4tC3L5FhxewD8R93VBbY9RyqaDW 70f7w9ecKzXzJphQS4O2Pz9bUpKacQ8xhJY433L+8KYsI81Dfhb5WRfzi1z99p2VNzmZgEsJqgW/ +1OFRhNlLMNZsi8ccbAywuoCap6nASREH+TyXouYArvlNRQIG8D1hJBfBaWgC1SIxSKMXQIyOZZA HcCBn/QYP1lNKUFkrwoWQdsAd2WcJKmCtpk/zf4lapzsNP8/epoqAyIIl48f9yZdIPlCvXIBCQH0 Wt2lxemtX9pEoruOBs7g0Pvi8JKDuj6uv1eHQlVflibqzZpUOmYDWsE/VITv3b3ycwcSvlm0tz3Q 8bUvMnHM1u3BIQfKRO0GvzH04XUf8cFhxh15BfiuaRxr2aDx0xWVog6E/RsR3qTCDtJ2HBjUUTEI pGdjNym94n8ClvQbWwaiMP7Ziq0eXsMwiQlg0ly6m41pq/aS23mEV7GfUm+3vwpE1jL0b82o4yLQ 3TpNh2r7iAR/biqDR7QPEqbi1HPn74hhdlFrpICEqmQMJqTZetvPKNkRI4FT7XayJ+NHgQYNitEq CrwJvnOZGgwwK84rmsuFBAtvX1E5rYbuRI224z5q5G3u9KoiM0zlRskRKZ1gdkvCRshoiUdULilD Gjl2VH8uPjzTQJZVoirv8uDYE0YuRbJwpptXhlgPaSmSmCcG0yUGRT6QEDwUo2wDYEMxzzuFWHgu Yb1qZrBw0eXUCgHNU5nzq73blWPk5p/zCHbLKIqJZ1DCkFb+fUDSEbl+LYrkiqB8P1ym5c6Jh93q VnD/mxAd7FxH4cOaChP8d7BKmjers9VAsNoAcuUkNOHmlkx4IpTp+jBRu0FgJ0A8LL2/UI5tAOZ5 uMhE2stMi7RH1D7xZZDjCz/w1j1Tz20Ql6zOtZdOjtKYrpr4ufKNMI8WVmBci78ZwDYD7f30YGWP Br0yjiCIZeBk+gSWRsdfmPG7NSwUaAy+Cue1jtumRamHINQ1LmL9BHV20/Q8+awqQsw3DJ9YxULm WGdMmZwSi6VmnSl6jiOhCl5xySXzjDzpAIK4hE2WDo72vOTYvBkJMfiriGEsahVZdtXJOm87Ng6s eP5w0+rwiV99LbUd1ZoI1Rbk6O3TM6ZBw912iWKsr+qfDP5nqPpJveqTbnkWp++QtGUq4iuULWhy A5q1n156ccwdkjF1aR1GS7iQqQtmefAuVY5WDP4iF7dQO2xZ8pj8hC9tKenArv8vCSodHbeE326c WIStSw5dVnqpdczlkXsdBPEV2HQDAEDQKhq81nBz0GmFn5AMLdACV0+UTPFm3x/7nN93OfAqYawL iBnsqXcvURSxKI1maVQfCE512ZyclIkV/djyFejt+gBsloMbw8JFeJOiQ8YQryVeOJOEx0Dj6ptI 6GcQ3EbLnvBW1vZMfisZefSHFOmbtv+AaqyOGdpmrerS3xBXhvG6fyQzEDO5uhpiHMQhbJxjunFU lzJ1789InVmK9d8yC0fcs/QUPl0jyMiJpZHWlSglVhqDU/vAZL1dEPIJr2OLFbUHNO68WG6yysFS eDXk6dMxGxfRB+v+uHYN0azJIGAcfbz9nYKv759pV3v7GD9veEYQJAryL5j94u+YB2+IPaRPkMKq rtHFFCaaOMfwifA3zp/sJ9j1wIB86KKjA1lXOqThOyPn8bi1VlAq8JmlnJTO+t6CoadgfzNYPyFX 1QynxifpYmluxJgJloVYlrFazAxCBQfa3IuPNct4Mol/ePe6341YSDyBD74y8uzsqYccs+xnDTmC 08tFOkvU0SexB4YwFEl3/++SCBOT1dKfpXUJwjNEy5pbaKf9zq2L+ibjGgQVsP3p4mVvxxXGNeJj ouod/NeB6OcKxochmfgK+cC2taT8RwheaXvXLyDGtUb+e0qGA1FQR2sV0lflnzEJoScCqTrGvDPz dKt56OrOU+FfumJ0AmymTgRmrBrO/ED3u9+v76A90LExWYG7aUnnOCZWfeyoxdP1/d8WpQHlGcqH ZTILaRo8dxGE5xASho8CmbYLjQd2nbTApkP9/+TVdvEbuvRHPhvv2RDYrRB+lFmq60+Ks6W0smPT sqNic2hQLNDIC+cOtgABkywgz4vWoHFN2VEUzOBhHmnSdMqinh6PlflOWkn4JShF0ivJL8khA097 wZjujspfMQ0doNs6SO1wyqKmh9nm0BQWgXUpl3UeBQ+KKkUUFZ6R1RozUqcnt7fTKiP+3tXYHgCo Ma0keIMYODjBqbkDt3kOo2MBlntvZkXfbOUiEfpQ08KkKnndUVFBREO2VP0SgWSxVtoEPeSajeBq 6TFhFIHMFynDK/QRMUCD3IJTRRq7FBO1SNCDxachWGTvEaqOeK4hqEhAqVIzI0XmKgNIeSfxNgUJ 6b+hrKpUkF5Fk/l5mV+bpf4fW9ai5mX6owr7OOyTFrzsi9A2UagTvHdEfxuHlgUMmRGsjEQ2/RQy mvcLunwmYzKyKNQgrkAzxAt/9U6cDEkLq455LACP3i7NYfhjrbkykxdYf1kd7tvHac1fL8Uh9nmi oYfHa1iQC5OmKmqcstNWrK71YclJ2xOnF9HLw9YzO5SQWhVoo5c3Bf/qAgLA2glPu9ZJzbMDlrr0 RlCzid2CjPvaBBXDxYR/4Zw3j0vXQ17jo+tA5dlPb7DUZd1vmrN3SQxJoNrcEaWnixUVBQPQK/HI Dwz3wuID9mYTiNtx3u2Bvo2qA+kJw720hcXz+8wfthPnD5EXLYHlBqQ9+xnn4ZjF5852fLaSUUPz az6XHClI63nDftuETTsZAQVbvTmohqkhZLre7GC9CXb7YbElJh6Fs/D+lNutGVlAn6deNBlfd47b DzRHN4H7vKBHkXV8UopRyaomuoXphXgxGhox6yY1h90+e530fN92lMN/eqPWjUCobF9LFpYnNfLe NOU9A6ey1QDqmZTqRp2h6Vmp7J+dRo52LTFnkAIQrzJappSKPIZGCo4yraoFoy/R/prDcyafQ8i7 uka+fY0f2/y45L+0rICZiaE/cDEMIzGqOfbeRnoBfUUAw3L8cfbp2yjitgHzdhgH3nW62ebSj+G3 QF4VDrITwRJ2WcPIU3k3G8yjrYKW0z5pDizDQZ+cJzOXBBxcEgqKisEjfppYNcVJEnldFkkBwJM7 TxWCr++d1Rgwn6ChNBH49f3SWVFuOIbMcK2s6Nu9tXG1eaS6ELo84rQ3L4OBs6c1CkbsTgR42nuj tRqmcW37aDxxwmGdvGMs6TqXwHdiZvRULiZb2eLFq2Q6xkkO+rc2hqB5pgZwlVgNf9foVVh2tQEn JWTuA04Naad9mp0cxNar08490z/IuBr889tI0u2SOcHjESibBt+SvHfukn9MH4knxdsWtHe0+wYr ZsDqs0xepvqOX+0cMxtkS7yR+UPOPGNrMauYu+6/8i9Qmie6nzSEFAgWqF/wuqW+FnKZWVIzQEF0 K4swVnn4JxlGxXO9ECT0CvH2O9285KUZ7vcHzVmoddjx9/onX8qPrdVPVQ7WjgYbzHqdcuhbztxO Z2m7HLGSKbTMWzomooYQzOQwJItniOGxUmifyfDB2WCMQN4nvvleUvvG6xJznsOzGOujQBJ3sOOp RCDU3RBGYsGpHUsRWnkyJodWw1CGQxPWkC0ON3TmpvWEqjB1DYmQTYFo7R1ayvPCJoEWCYK3zLhb /93nMo5YXNyzZp3J1Zxr62Q6udtx69V+GTsx3GgTJggPw8QkkjS7G7qKxFB7OvrtAdOQ+baAIAn1 etsEskSsbS5D/KkkdVZiiXnNPgyD37DgigWLGdIM8U7SIupqArGrq2KC7J1aSYe8GHiogf3UhyMq VlJc4AmPkRJocyLoEj6nJTEMI1kR8McmrA7cmPTi9dRFKSXLP17Nrlk07Lchr7fxH6wvJEDvvdj+ JHBWICgZUS/u9JkIJ0JEkbEnlPPMU8ZStbwMFLnbrXiYouD8CUjA40hkm5OhHYRd9m/bt1ocs2ld FQpWXW/R6LluiLVh+3KkxyU7G0HiP9DcZJnXBytA07zICnioiN2Y3ppyluSuaLLt8Y+umratjA3j zcQDj1k8jL4x3/NaSZJupOctIeTWjSUkQHs9F/FTIiu9I7W+A1BD1bvxBxPOCwEiv9n9OjxWreJl vQJrZKvv5pq7ADUZ4PEcPWJTd1LjtT+eQVuHseuPQHM/Iubo852myp8zt8mz/9qXqdQu4TFbN+7M RGAnx0E5S6avd2J8+6cBVJ32dCrn8YadSSCded7D7+eR6YKQMhBlO4U+cJSZOmIN8icUqfJg/DZX 8g5YD5vbCTf9RK55N9NdD0JYLeJGi+cydYkoSB6A3LW3HpqnwdEyA3HA5Zdoxs/BMeeZVGY4/PGS SxXjzCN1OhzOC2dM2t2o+cWHDkNH2D3HOuxjuVrdoqCq+dfvhuYpIeV7zviyWC5iSqGlbux75zjD qny/1RvahMaiYtBgMOSWaQ6jY8kusjbnjFad/2T+6tb0SUdYjPOQnaty7q0C8R8Qg3lIpJqUhDVW 90FoR2ikJXzdzOMncienAdDT2Kj7KTeY6BuL7760G0yxfINbhl9PbmOmIsY/7dz2WJt8/rlHIE5u 9CCNFVuJawhlb4b6IQJsYJGCKMQyBt5ku84pVNG14dnd89p8+uhlWHRUZ+SwQsjXhuE6zKCnxEEf QrwkF6dg7keR5IyjkLPFyJjOk6tnPH8mcFkbKxj+wShpn/kvkpa+v/D4CNFswO7+IQFmhDRVfxEP N8SJ0B5JOrCdAfnGU9RIQw3+ZWUjTO5BE1ixUqs7NMFiL3TaM6LDwKtPYYluuHYKHRQ8SmZwfc7S T/isIyERCpGRXincg3ISQaMv37xPZA+OLtr68srZti/ycEDx+Vo4lFPtKOKzcgrQcyLTA3GnMIGb fjdsVse30YOTY5dVcktdkzLeWRHKSa0i01/i7+s2pV83ftGGIKvVYqeJEpG5+PWyb/1rrCGf0dZQ iRL9C4qPWOfcRwOx6xJgJTdldk+p2gqq5AUit9NxMmYCT4roOb+sNfwtSFid6LvBQe/jfJVgSv9u l8O6OV7u0BqwSAejFjYuO9OR4luhTxqST+U1RZVwkiWPh9VaH/T3b+9bbqr56opimnAqiRf4JDqx xvYzdiCQa7fjnepOEEmEviU2HUfAYaK60kSqbQIZDg2L2mibqtMH+s6CJ83KMPn7lkNo4H/jcLak RSXtBZcDUTnOBOGUsjJDMmrXoOZeGe5CkWFVGIoKpJFbWyi7sgeitSCSBKXUHL5i90PYzjAbu0Rv YsaKdnadVJ9q6lTKt4hBD2vB7cp1gUrzplXaMJNQsx6VlrXaMsEGuInb6LmtmdLVrEZwpMi8T77a 4qneh5pOfFCdrkhwlQLGlBTZqVexO1p0WKg+THNDS3Xr7xSOVWiWQI3SJ97OcVtytQqBz73JhXo8 dxMm/QrAdRC/aaXLAKYbqMxjK1nDvHb983TAQnnTX63IgQ+AU2DaMP0c1Eb8sobU2wZAOdJLhTyZ Vr5TOt/oyR82zP1LyyPI0bXmZDu4UdCs+5Ev2EkM1ORTPCY/bSgTwSvH9di/0pKADlieO+RvuOBR 6Wvcx4fGnVW7N+rvw3rWcwCz/ueVy2fJczimHkgj8FdA6yL742QnRClr1ks7yyzBeIslGVLGikFl boqHtZLVqhH9Oo9WTe29t+j9mNak2t7PutbxDuYozfNvLWLoEt+sH+DXgnw615FhtZbMpBWTu8G3 ZIJqVImaenp1vF0POH/JZTbptILTbnHsCPmeddL6oMlTSQSROeEn7ABYlD0Sx40g6v9eStm/Z6W2 MDVPmK4xzSl9XrLlZgD2hxznjjpmxs6fO+KUwaGUYm0KdYXLpklD6k/J00sYI8E6Ov+0Tk2jlE3J tqDXQYruA/O3sRvXh8qS1UX9iCN3DMlEPKhdkCGgUHTYecFLNbElW+23tkaKd5HYw7ncrvfBnZw1 UPCIFW4G0Nd8Bcjv1Y8y2gHA1Qbye2aRH4GZ3goQ2yI62pP/SU7Y/C7s+ztZ8a/2FhthttCvozlx 7lXXTvE1HQG6fNDKvddB2vHL9owREZrTJH50TqTjkEmTcG0YtecLjZ4vtNsNL5uDbBWAaDtrz5kz 19woURVKntQSgz3t6hpzDUaUvRL0bOgrvS8Gsuah+xF1biUvoGz4QHdTGC6FAQJgD6Y+qJEkTyBt aM9pzStyjN9R/i14wA0Pu/NkjKLfN9SnYOt80vM2V58h6XZuw+q1Bb4GL6OR+n711SKd3UxLZm59 PSbDAwAIat1hYo/B5qWK9yleFofGiBpw0mMgjizadWSozoOjlmbj1x3FqgIkMTqXbCYbtDjvYQHT WHzwgg/8CmfRjLJCqIcwLJA9/Xpr4dv62VgTqyZy7ROM2I9FM/3CLFnpUqy0NwPZM+muLg5COro1 LYlM7T0MGsaBTdM/bT1Vgs8PxLPYvSfdXZKOMR8tCLwP00rl16UKypvpkMAnQOBfVZqYBjKYQxtv TyfAGjffjkM1KKTDCCTQ0kUrebEqTg0EMTT61uKroLnjp2FQdnYh6ES8UV2yZK3PY4fWa/non174 j58HBXntabhTrlqJAEalsyqmPHOW4QbRN3bnMuuSGYPx0KcraGG0vloGADih5vqNebLD+G0Mb48h e2/Fv4faupxdsHh9oryQFkyHxB1QYpTb8my23ff1DPSwd/YHHpHag2yJsgD6Qr+wlIQU5YYuKL1P hVeUqWYZiZ0M5GDMiLoQxiZJWmQsY2lpVGMuNLqZCTwNOHQbmHLQFbTVG/ZMa86U6Kw2nbSkWDUf PggCUZns3/Gdoa/av0tJHaUFAdWyfvzLRqP//TfkA6lR4AMmxAtJ76sOBPfqS7IwX/1vOA4+l7Ou DtVDgkBDXvnkbI0cSMh8VRr6ry0oOU4cloMfV7ljc2t/aJwC4gmoMMqnsJrW+NxPEAf9uE4TZrkd SuyHdDtkm7rUCwMieEKFWQ5KEhk7Ylo/Q0CnImn3nv/wdAD8wHV92S3rLBlRyakBabneBxtQvOfj 7LXqno4EbV644INyoPotPd72wwhecjUtk1RzTD5nTVAKP7S4vWk3jEHYjr9cndZa8D96IyBuDzpx CdO+TPpsYUrBwRWxG0uRzN3N/HoXZRAiQhEkBuImrbGyMtKTmaXRSWypyzB/v8lIBO9KZDy9nsxz tgMaIqCbKfDMDSVKvvk8AwF2sNJxbqbdT9iwFSwcNK8NXbI3+sKYTvayR94vSHF8JsxEg3trw48C QcNV6ka4eufzsBX/N82HFfJ9Q+SGqU65kS9unwiQd7+tsYAcxq8YIsG47bVw+3CFZGe2Sevr4YEL qYp1Vh29Z/DeJDE8Za1SV/Am/nX80osKXfYRHhoDEW5yhEGv0kSWWreliOHbLYat4fdIipiDGpeJ njVThA3q7GJBt25+zCO+A8wv2tg6gWjbhwodvpQhvdAa19/tkXWEMwKKXCwFDIrM8LsuV+TtNIwh 1upFjtgC0iLnRVMH2t4nvD/iECNyRyb/vgeNfsV1G4ohsj7Egb3mUnHrhWJ0DFodSNB71omhRHiq qlwS/k7pFnfkDeBLmn8n66VkPPSIoUq0sDpK3tYFqK6tg7QKhPdvECZbzyy9pVjdkTIq7tfTOYNB /GymqdqSWIspaEyqhmLKJ7kmmKRcXcqgI0GoOvcElR5+/8I+shstaZ4QxMvtVcW3kK6yntG36mes RXqqHOuRCCfMpnKpcVN5K3Qfd7Fg7gOEi1s92V4XVTrT6b4LmJBWBipchF1w4K2ay49eokew9uHM UFow331G1reCtS4LdtnZnmwsQn8JInmNCmPpGYo6cn8Quqq0gcTKR75T18KN0x9ugRTBFQlJ5KoB +TNHhim6bR1dhG1Zg89a7DMlP6jsie3fE2Jt6uFJYi8ZGdqtjN4GnSfPNqd7fw8gn2dAWWedqSLm dLX5pzUbdqzMTg6kgm/9fca5Tqg9rXmykV7uWkeFfHCBT4NJyKWScIiP4RixIFEe0ZUh+j13AK4u VyV+OtjUOKxNbd7ZPFV1QkjphlM8MERuqWoKlUATgWsOaxDgnCoA8FKXzXQq+5J5Gd4V8zzf7uNy 555lT3hgLeP1W0Ff3BRnnzXEAi9pCw9XG73kawkCXTkVaP0b+bmHgT4OApcWttyCktQXavpq1Tmc koQY01xJ7erBZVActRfVWwQ8GhQsNom6AlUg0a2w9l9wATbCWU1v+KF5OGJOCH/CUHUu/xa7y6x7 TGEcphvyiT0sVXhgLOH34gglWTQ3ajt8SKucaovw6BtB3CbIhXvv3aUY+23QwmYsHCR52sPmCUff TMbTmA7Qr09KAWWPiBYbPmBNZZbZllHY4WAWrIcyJSf8y2n+6caNYt8TDZEE51HU5/uHkxoGc5s3 fkvIb5myax9nSmZ19Wt/+C7+a9+BukjkqxGCFN1Lo6f7csdgtHIZmKq3UmKGtzwcO+dmEwf4ee7D jPIpSzUbP3fjtbjcB/fJNBzLoHBX5DEPhug0TrdDCATv/RT+w8LlJ13wFyW8j5UP3YsVXwTucHpD RN01E4dM+15RJB34Zvw4HGJ4gVy6GMDFF/y7jWg0AW8eX2EjngGBQaCSL4n1xrWSBo9H308YCDma rtP2WCpKSi1JPJx7kOOwySiwlTIOnBfcabrVa33YYHDTrVwJHefxHpt5cMM4eIf4qw5mMIBXQjAM cSojTzYzTNWU7Cf6Mdr9he5wfTUVEQAqjVMglLOrskB/AmQin8Br6kD17JHOJ1lqdJ1X9rWt8Tnp ARkcoMG/E17TeOvtjJzuZRh7btmUKmb1bKkhKJMX1ZNkpxtdhcRs3SZ3pplr+QR+d6W8OVM6u/ca K/n+g19/EVONwlX3hV1fU9U9RBtrd1fYcUgTGf/D2a6Ro08v1B4njc9eC2VeHbDYFInAwkwHu7Xo JkgaADTcpSgZYcXG3NSAN0XAz+nqt8vthqhovDnjb2Whz56rNb5lXpvpOeLExbfgzGM5VcR6LL/C Vc1AZ7zUvTA6jYnOVJ7Jfb0j1nesFPhwpL06jhSRA/QaJ3KPPIey4nQ/HUjZAyK5GrGQ9XlFfZfa VUh31OKTZXkpznzmRM47p782lDII9cvGjJvL9WcMlJmaTgoZ48+TQ9IqZnj1sfLBskCn8EBX6IDQ ChCImlmregjSotSFL33iHd9ADmmQ3mIyBtgTSb25JFY7YP1C9YrzmEeZVmq8/IV2T0OqoOkLbMD5 /it27ypjF3/9/e5cy8UFBxXYQyIxJ07LVm65MhvaltxdYhnSysn532QTCyly3TZZcXD0B4VhsSxK V44HzfFIdPHVEJs48wqsuTVKn/l9JtiP9QX9Zcs8zWJUD4EbpGQqdof5pvkBBxJlIVPvFcpOZTT/ EyV/fDYc9lQbjApL3hOrCmxuJn3o80yA2W90C1ZyIrQ7YTh7zjckbCve5n8vMjT706Dqj0apo+ug xabVOP5sO9x/2RrRYSrNzyPJfJ7cYinaBSm3pr03GbNYt4EQtyUt/byg6Duq8JuoSlcY2C0BusUW 4kxSTVIHUcuOcH3NQQWoyECKaMNUttFjljyz6QRWwFO8d1eAhEh96jbNuvKQLudd5DqWDws7VAwQ ef7m+w0g7ot0Ny1nEQlbewIwznDrJXLIUZJ6YvC8MIC5M5BmURl6c5bhye79Hf8sdQY8PT6xjGVC pVvnqEN+T/I9fufz53rb02/7HkacOYC6ME920whaF3+5hyTHnFPq9QXobleZQrAr0h9XVTSjP+O6 vumkwpNFwgKvILPB6VnUFiVQLmAq3XNAfjsDmgpIvKDM5Js8qthJ1fUP7BkZUHSc5VNuRr0LOfig kUG34m6Z9ul6ktlAQ69NSBYYjeP1QmPI0XscVbS3EJsFE9qy4vG36n4sWtENqv5pzpUgpO2j5bnL M28Le3fILbvkdDLh78/Fsf9Uv+VEZlsE+4Aap9NjSDWR35090od6Z8KLCj47CFl2DZWG3sGgfo+J ozsSvyvu4xEc97cYDSEtDC2cDmaMxiSJ2/Xs99AnvYP1vjZQ3xP9zpFIRcV+aARM4VhVXz11iuJy GkGGmQS395XCckOK8XID1FD92M61JZU+kd/vBn3uS9WGh+B67fRSeJt0OQruvv/2Jj/Lef6Uhxi1 p4oDUN7TmWi0dn9K8FLx/jq0YGULG9xc8D0kvR77sqI26uds+9H4wQ+s6c7xOFrBGeaLeIV2HIsu 42TQu/nEe5zLTwWFA1qAmb6enmejAFzSEmJAnUmTpmAFwMovx5IaxIq2T6CiQEomQxOuBaTBFh7e M38Jvr9zdaZ7aUSFN+C+ErtEbKK6L4LcTw4UcGK64n9z2JFZ9qsa5fgXB3oupM5ZSoIqKBS/CZbA WjNdQtlh2/FYLkKxvrHVz3932qzmvLIR4P6gaZOFk7ghkK9MgA6sijccbqwxbRZMlW3Q+/X/KHES Xa1lzgyz3G5kgLXzayF/UQJ5OZ12Qk9GOOBXwwWNkj4dAwI0lQVi1RCR8JTLrywLNBEP9ioyATh6 za1DjL62eLSOtdaQBmXUwmPDIyMTQpKT6CU2cSyz1DQ+7KFt9HsowvuauDjSOjBn8O87SPGXGcJ2 RKNdf3cJHw+LI0xoOpdxUE1VxDxpdI5DgLN2DBsmnLxWvyA20Z6Dxtbcz///MbTANXxn45gZxTwF DrBVbTTnfxoWiD28ANCCFpxFfL1d5DJNuhKMXHfn5f7B7SXY1aU6nHeYhl5eWfK4AwZQQdQCWiaJ x0UUEHNS1KO9nBlAfT3wmTAvKcUtXGroxlL0lDETfGtz2Zpr5E1cXnkkXqYx2mRTthfebZ83n+Au 1FAWpWnm18XGORPYOFDCVtOtk0/y4UxPBY+RINWGMBY7Ixh4qtOsLbf8+mQNYsftfWtEtfkMHCi4 AxRDBnLi6FP6yQ/Ox/s3Y8gzfBRA7zymPMY6sPINfPIg1WECHYZICWYdQ8P2A5dN/M1Sx01kXbjM jlgXd0zQHJWK8OlieOdj68ZPWQPqR4z1zaFoUyrEBXIWWDHlRVdc8wWlmNu0VU5qjzzeEftabaZr FFMBj7BitR0or3+KbsfXl9lLreLrlZpeMvrkevA6hKEPorkIrP6PazfeZR32ilt0vALsrtwxDzzA VRlPkZxd7VlP7CuCXnx7X9WDy/jUPP1p15SQuC/Ui3mPjVOkfe4mmU4+ExCO+oQs00axxAeY/ov2 7CIvG6SFc131ApO4GUYtRl3MrRobM1fQ6HFFU6vYL9VifU037g48CIky2sNGwAdbnDAd87Nk01y2 RU3/CvAQZhH18AcpKqDjeQ0TSOZJodKoEZBbF56QXt+qsM9ijgDTcAWYIethU1rJKLIOwhxmCIIw O05xzdrgK6TuSnBzISmT0c/M3ix3T7lB1Uqq7exvFyLrtsJf2cp9X/8Wr7yKfsORN8fRKmxch5dd gLuGhEQH5bH3fGpcd4u3QIhptsPmgKwrdmyJ+NZY2HrZqfOEDDIejjsGY14vRoVNREuAquSMDcu/ dTcSIx+OoD3kGWicJ1jpr0E11ZrP4lvua4dmHntyACkjn+onhT77RUuk6e7Wy7STOMaIOx4vro3e nNyN7t5x/RkQXUKnPQRdu2sWjBtOPe0sMdyy+CRxVUkihUWFB9rQ1tGs0O9KCVw0gJ2Xs1nU9LSv LZEkY+qN84gW9giklwVVZEKNNihYx595fFvg32nCeKizsE8hoSohAeqmP3TMBzNYR2gw+D6c99de 0Im2oA7Wez90N1eWq/7RH90JgZLFm2kBAQGEeBcvOA/yXzgTen6bkRihs69rN5m2NVxLE3tSV1D0 InV5hPf8LmiRc0EJ6MhL6gSdIIVTHQFKGSxtUz2a95mU/G+j07JnKVdNPolgCwY4j/vv5wGSwTN9 xR/SvFX4+6UMHhUMd53gockf4ECV3YCJR5SGCx4uuWzSJlb9PGauL4vVuvTaeyiBu4MttNHCQqnL vNC5ayxIpxEsFEExJdiXvf3b2Y/GHqo+GXyCLOOH6xgF58Wepn5RSiEkBAUwbMfXunwgFU/Uo/Nk jCVEfzAKTUyXlRGImRxH45gsD1ElkCkym+rVL7lnoyXc39ZA/4zfn5kHLPpU9h4jcbr/h9G8zw6X KTxO0laLKwiU4gQadQSjYOgG7xRB3GV0BGuYjU9jjfPmoLMVu+1MJyfw/fWDcTDXHwybkGmvDhz7 pNLYDOTGl3ZUhDUSsZ30NgOVizENE5yVms+aJzyt5fIkIsoeFz9xXnzGP/DErXMmcjTU+U2kTzBE OcssimrPlbb4fbivwAefZ9Or/+kwMuXOkzaQyLLQPibpAcySkpq9Z0WpqCu91hOsmhAWg0NECzOE y6VFSoieWpFmckqAjlJaxqHx0krHt4BVksrP5zDJ94e0gC25bYfMlMhAGHVBMRgWU2FDXgEg04uq c8YWFhsCEUaIUIQwYCdaDcZJ8DwV9uvXDMnx0ekrIYuJJr7JfCHyjRMgFeqANPhC4ML6zVnQAcXM 5vOaEk2w1BFp2miAQ1kOLeD7RWNv+wr7ltjE24UEibAD9szrf4OIJw7Y/wrvLTzm74ZGHYzeiqc4 HnXU5XmuLSxfMruT6iRTbeBY1jFjftHvKWI5FaTL50q+P7kY8Go3hISNDTaLf8pdNliIg+D36r1Q fBWq0WYFkPE9v+su7gWbVKMFPTGWxMVqcQoSS4KIItq186baf52eJG4V+wx/M2pyekMQhGciXNmB dYEK3sNGiEMd+x0kfSKoG1wSSd3hOtF7NBx0T62Y6E/+ipXgzM3avRIlINa1w/TD3+6mrG5zITYh U3FYWCNT+v/IC8DH3l0FSfJPGLm3N7synf+6V+akqxJuYvseFpCd3VwWe1N0iAzr5SeGnxsph1+z OaweSVD20kV66A5kwRXK9ptTYqexvXkHu5BcDMmYaPaGtMoJ/znh0tUaspJ54bab02J8Dg6Cr3wK ysFh3lFkM5TcJMhMLiSsqP6oCNeOwnBjF79xSrWeezdtqvV1hnopE/fgSmmRwKABwNlwA9A0TBAy sEM3b3fnIIuzgbTm5Zbru97aiY+ZmnWtgHmQw5MeRyQG9/VWA9sKeAqLDMpVEr2hyuQ4IaO5FKXJ TxjsOAQTzUoS9rA2KhZsCGMf9nDL/QZbyzfl1RliSw/PkBGDbrsVBnxWh63HDrz1Whhl29n8waLt obo51nW0uycb8DqMYN3IbQb7m/40rXcrC3hPv0gEjntnkuXleR11iwnKv47ZrxLOosofvMnQS0Uw mEk+Tur0+Z6wD2Vug4xHPrCYgKs0tF0I0YVBNl+zetKdj5JAd8pHSEBYSVA8xMsxtm3cF1R/eVSn yV4zs9JlixKrxbsH+/rUQOVjBqgzBZIPD1QDNeW1GflyuzrxV8JtyyfaKmXx/XFGw/FgULz90ysp huL+SMX3/BwTJAKzSfm6MEBf5Yvk71CqV6WM+0s/ZiQicybAKpZWUce7lOJqsxBFemp7pREu+Sbo wXgSRwEq144O++Cszc5ggJQymYHY2wY+K1JNQM0JWt+ibXL/fNPhOdfANyNhtg4JpeG2LLUXSTJu mhFjfzEl67nXjreBsg3JgKkXlYjsWQDaaTqr27HcXa0DYXURA30fHumL4i/He7EHWtJRPvKrzDqj OPe35aKvaoKQZqgVng+++0O02LN3JuYcE+nTgeVJiCxLDmEKJgIt4kKMGc2oXv5aSsejRDWJbaN/ BskOJ0X1CYZmrA/eagz9WHxBIugdVcoRPXQa+3HNOjCQ3ozySHfBXNeKghLmP4RU+HiHlfoxKYfH xOvMN5VPwOwb3NXyc7OVX3Ag/rx/yCkFeS7XTWa1RNgUwc86H6ITJmuaGqJiIcgkh6nWi6aySZEu kv/Kx6IDx/0Q8BcjbSaezaCMS16ZxHdAtpYFsjjGabpi4m+URMImBYGn6rpyaOg+4tZZoYFLGh6W Sm8wPiijSNNrD/a2bea35mhtS+nheK3GfXnmivy1T9o7ZkVj9qyB4F1E93+psh0Q1uq8qfl6JwYd uYHNlu65aAYc+Lcv3YtdnI+9r78YGmnm+SwO13DxLB8/OQnHRcpyf/nGwwhl4Tyv8ypd6agNIqfb Lo4W+nKCDVoQ+WdsUtluDSq5d352nxZoU1u95lHORpsZw5SlpPAzmT9LOPrDd7QZPpVjlk0GU+RJ QB0oV6prsvs0lGRoJECuXBkOBKn3AFKHrjCmvqbzjVpDMagrxhA6qBe4v23FVX2O1jgSUL/zkqMp ovYSo9aeqGUtVFdthwT5OmtghjBiiRNimrDnhyVijInhBKXmcA2e9/mPemk1MuKl8boOYwS9NFRf UDhwwt2kOg+MYhVdhtDAgcB0umqDnkM0Pf3CTTJynMrDEBYAVtp4fK40hAuZdXhfKsFEXuTj7nbv VHlENiyVNuRR6GS5VU4taA4VtxLIDWU1xnJRhsIRaCngooVB7+nC48eUUoIj3jFcGPnp32f80JyL JO9eW5MEWxPbjM5MmuOcGKAd9p5agGulULy0yT867QYrTB2v5WgCPKQLuNGV/BH/pN1D6tC0qd30 /SHVPodMIsMTWrZa0I6FLr8OJ4NwTz1m5vBb0PBZBB6TkWOjd0SqDrKpAuvYEW8Nkf1mmcnTCFVj oigQaFJpTSHIOJz1VRIPqvoFJXbVS1TPhmu70db4cvHOyc7vfDpv6/0JgL/OqZHBtEBYNUECcTqi eBUFGf6KK4QB35SX9kfNdyjT1b4t4Ka5paHq2KMmjnVBSBw+A6pFfR2Il9DPTyqQQwlcUXtWFQH1 QKyLhvXt5ydtrYmMJuZzF6cZHTigDk4CmvN84Mf2xS+lMtH1hKVxRwIsxfMn2sue9ugsyjvDLh1k HaKVxcsmZjuaDyu085ICplv1WPH+tE3yLiqM5Qy+N6vRwMxi2CXTNPsLZangect9l+cHEFo8C62S tZrviT6QWNWWdbcs25tepIq6WqOuWM2q4pAnIZeUMowOIWSdQe6T/HFHBLH/psKC4ORC7AFrax/o JyvuKk9fI91kf0tXmaAHtbGeaupa3w2N4XjfhNg2tSwXxgPBcndFwmhsqVjE7MOuAmnRpO0ASih8 RBTv/+EvHwIfgrO1l2rB+ulKE3fH/Nxy4QqaliLgc0rL4c3ts5JqioK1fVGJdEdQb9J1BNF81R+K SYmP/2RF7jZu8LTvfx4hZbsbdJZrekd7Q1UXU41sCx5zLavd/cV5nPBdIM+9tBuyrx82WLPUhT6y 1aWdWwCdH5tedCe+mTgk+dRsaGTh+wOk8hi2MccyQJY1AWebpmrWx6z4RAyUqL9FZ6QHUoDzIznQ CgO+CEyQ1TPQSFShqr2n+u5wTxdK9vIwb8c8bizjMwZFlyHjYqTAA9gKgQa7tbOZrZYm6oN7CkGD OvlCt4q1Tpjhp8/qdzBWnwiaEDuwoWMgp7AWRGXfZFLgpXOT7akJiPHOCH9tjCuRcecEq8c9wH4a rdYsk0xjUSPCs6akwLpXyo7mTCoJoily+U8ryoyP7eh8ciy3e2nRoZOWvPE7WDC2mwq79DL2qDLE KgYduAlyACocMbcAwIk+e+Mjgx3lWZk4IazaNsRrDust5sg6t31EinXX0YUDL0NDSNb75YgSar4e MEbIMLgWhnGaHWQT2dFR9VGmhuVaCKIKpO339g9ZxF1lERKYS2CDQvvBWHJOaNtD3nh0/b6k0PFn 67gbvZhjTr6qUp+5zxKFtZlviK30G1O4Sc2SwmUQkzoj01PIs2DKIIUup92nOrX22jIbCopIFMgz 8NntvuRddYDEhzsMVZ1HTCSo9hrfPqJQbIfkARyDtJyQI0IcWHgUnrgomQt4y2YvomlxQIxa0nIh 7uLMofNW5uIsJ0SxjYx5UH4BpN+fPJ8bFwrZy6QNqanA6K4YJUcKIn8u9wj44V0SJNXHizNUXJQz rrNpt+4EfwD11+61hpgg5ul3902ZI4+clJNcYMa9fot5A0eHhNnq+0daLMLlbn305ACi4KHfr1Jt MU5jRjfDsXj2udr2p1s8ElduuLLQ2GVMqtJpIFgDzgxke/3EOZ+nqwpzmUhDMEG7QYClK0R5s4/R FLsITGGpvDq0N1IB3OeQnCyXd/iMG9MecrmhAlNez6pPvJqXsa6Asp1pUmfJ06/nSaXOStLgaeQE dX4tOOfE40K/p+3mi3na6Rn7qPhRCnl+mkevCiZZM7tb6tORhvJ7ZLPFzDpT5kDh96bsZKeG46bz tbftIvylnw87g3vLqc0b3AbpIqUBhTfFoPH7uoL0n4xg2IJ6NODdgOK6lek4IMzIQbuM8R3+LPSZ NV2Tn88gvNouEwgSbi8UkD1Za5AlUqGlqBDS1uS7VcrFfJhG8xiSrcYGXMvORSB+2JTr9CgXf5WQ to80Evh88F34mBknVmSl/7Z6znI6UI8Cd9W67IeSQaDcy58gUDwhI3MJi0ZRV8dvKFXo7Ty9Wqp/ vXDdyLj3z8QhV9en1mmI5PSTUhEmrr07Svo2VNwEBCci7WwhsggwtsHssQNi6Fn9Ove7dHN2G4s1 Vlbd2uLtkCy0RnPR9shVfhZk0yuJn0EJ25psXfX8KKF9jyh6FOxR2yI7kMN92o/nHSGeqBYFgcm0 pNaFaBiSJ6hn8PDgWkuf+YPhxgpvvFImRbjvAzNQYQvxC/wAooiDTr3LcQMvVWmuxvy9yjwJWDGf 9dfTQBsm1J6tSxxqc9Uk2/eXqRpFdCmNrsoFvAKK8ToZDbc8ccnaizRe0dEq6P209LVsoHn8wBLW FfueUM/TvjAdJTXtykQuyOtaOxE1F5C/dDDFymRGAsuiHDLGQdsPC/NwsDsy4WzLZt8b9L6hZBmF izTHc0lHCNg9uoo45jhEuF5qgV7U0rd073gH/Fti21zJ0ICTTOMAcmOGQATXaFNNoaF9ONnN1PJQ OSngcmTyfSHnafXiGr0k0iyy7SJs/W0eZYrK5L/HT+v7s+OztZHtG058cbcHVQvZVLINFewR8KM/ XxED+sYAME5ICBPUuRcfcmKXtqFA6WSLWew2eqRRgQaKnpw1t1e4gHCMTs1zEBbk0PkO1mGa/kjX emGLY4oTuPehVmBITR/NMqaZF6SAwNLMHyuD4rR0J87bUX1jQRaeaw/34Lmo8yiDJYC7SO2sdjER wL0QdwDVbHI8/d4xc63QmV8d/uurr9d81SIWfAfB9cYxNllDgJouYthfvbvSTTMWo8yvAiHOrUry haCWlS03YQC3evIvNcbXdMHUbBP5aRvbXPN5KugAlxdvxFHIhDctGOHvhQUK/yUA2Jf2Q+EIcHs4 m4ktd3xVzIPNPqbNlPXavw9CwUcN61a9W5tz/U9+/+Ajf4wGJo0l5hu7S1oI+2MOiHPPSfaNAfhv MFOfPyxhHJYdKQtJQHDaUwmOnSRogC2xLAzjYPanMyUQ5ATITfOEjs0LUOQ8Fi1EAb0mIgUWPuUu Nw6aRnU9e9mhvWMwerhd3lCTSCms3kJBjVwWVoKyvM8Fayy8Hjf+3QuN5vmyofrCeVHwzfksvoEO PvEWxfwQCgUTmXCgo77DxI68zmNsOMvv7vBtSnYqltPrJprXc1uVzNGPiQ+zDKLF1xawfv1r+MlZ KPGHMIDghqpRNJpvTlxayfP4TM4kqIPn9bPEPNt7bbWW76gkG+nH7oOUZFlu1AEo3sh3eNmEqKDk xwuuJAgOkSjVm6dozYb06rNbzyfRRvVAcghmrYTuyubXU8kpDt52AzY4ZbkkRWEx0O2J/GqvsKw2 8qsQkrwz19TEHUS0YfzaExGJrEv0rISDC3kJohfbpsfIkMCN8svX9sBNDH9S1jat/XoLMcmfnMM0 xO3t0ZHqgg904H5G4W6Jw1uTBc8mO0dlA1a0zrf12NkH4oCfJbgeAZgbe0NQaGwj4ouweQrPISKW ph5pydCQxFR2/BqcTyKIM2PBX1PddfmpyqmFA/v/fP0vLK3iSfQgp6qi0EtNpRUGpJPrZL0ev2un d9S/hGaX9pXkJZ5L60+3U1GaBxdDV3wPuHoM/SJ1h6FNV7zDTYGamCQ1yKiQb52rXvqq4I/4OrOK usD9ROBpp4u+vUH85BVNoP4im0VmeDEzJde89fdbZ7KxEcfL8IRfxESfzDnOGgVtJul5Ti4qfjXc l/WkxrDJQ3Qg3+bD3kZhNxG/1UuAGKj/gci28V1X3KLsv75MBF8J1S0pgBVQ0na8WQhbhELk1ApY ccoXpscG2W8X7xRsSncMc0k7M2dj2NeIAvf7Lslulfqlpta/8i7TxAAY+vMgwhxen3noqC4EPjD2 dPrD00rAxLxQCiCMhjC+aY9/NqXFVeN9dVRLKVuZP0Ia8kfgrbdVlGcpJ/zbJ0MAmQK+a85cf9Ic 8nR1ywrKAUHyVjO1/NBx/xfdyzIr6wJwQnt4kZQtifML5yjh51ttj1RU+xIbe8Prz8DtdMbkEegp 09LXKUL5BSO9qdx14Pzki2Hm4xR86gBXpdnAtEYQGdoxCBPJQHJCJ4rp53s+PDlkWNZFKxfkYvmC eV6Xkcrph9AGy/Hk77ozm1njPjiku6GcQOgYRhnZ8hsC8L96k/bcrO8M+raZFyhbJvFOtiuJE+YE xreuwWGGr7V3vvLRjhds9ZsKdCAnIiKf0D03i9IcbEKp5551RH0VPGy5VyUX5v8Nzo5H3EpILmKe LOPYC57avF47eHjr35gUKW9ZpVoVyelKHGjeGnT6dnbMomkASM8hj6Zbzm9csOSnmUBXEaW1fvAW jS1mhMluzYF6HIC4sjR9n6up85g6wfWZQuoiuOwllsyS3FdkuDuuuEb9BrrGDWNRSi7UmKHeEAOk 9wCWKtHB/+vQfJGgl8pJ5330oQwG3s68NcGZlQSPxeX3NG7Dh3JMs3upLM2HeNqIpVfnRBwDGyup 8iGPPhuaF3OSTPuJt0sZU2P5ZnqMnGiy67sWkDVJoVzhrs+e0WDGhFQ5OpEdr63J6m5BiOK/dlTH jlRTxAUYnsW6SlhK1d8/wQXNdSUecoRih50aOYsebk/CwddKtOgYgy5E6dyDZdteOMOQHpT8RqQT eI2a0Ls68MgTzfbj/92WYNgS2NIo6fb6yTyC53ChH0+oL9+pNdqjzflVknhYtIMrV1TYZ7ZMVl/7 frwbMwx2ARlpB/x0cGm/Joe+ZA3jgMKpYTHEv3aP0npfrGFr0U5TD/fX5YoWpQx2fDO17n1SuQON uosIB6a42+K3WWX9K2QlS7VKpc7F9nCB07cluQfqefX13tQzeXoo/0J2aL8UWsD+mn96oiIpEl97 BJ1iZKNmFti+NjPPNjZ2vLN+wAHJ7PRbuxOgUDnf41zv6ugj9R8ZKiXkN1ba10AzkmHkkVXjc+EC lLyPXo4AKPf8snvaj0/2HfEUBhhhv6CkPlUlWzi6+S9pxOVNYtROlgmOt46FznJevnuNksfECgc/ fTD0pE1SW+8j8Ui8+FDZaOrH9/ONUGdSnG5KXNx0ghbcKU9MDB6Xu8HrT1qK0B9SFJJclUcCfb9N j7iqcseDrQnJSUOU/r91FxyCnqUzOQgqrEJGpwbtTH1f/x6z7h5st4WWiMU7xjrdzK+5I/U+yE1U RJgCQATSWjvVt4sKYV85uLFjhj8cHDPAvAWj2YQL2Ga8qgUTGp27Dy688Rk5T+5YP1sl/sPyk7Uj I0dvU6Xnp0WfVA7DRNKREyNItPs1AjXMu7dvuKVF4gYnRlQRo496Yat79vFMMYPGk9CB7lSA1qTW BladkOEC3ythW1wlqOWfBKXQ/RJAnGyQ4zU5Hk0+jl4kI2yEc0Wb+/T8n7yE2pnriAmWlze1jrPU h7Il8D57Y/S4Xx1lOMr3pa0Y6a1RZxg43FQMHSCMbz9h67ZrQta2wFgQmF0a+Na8HE4x3Y7uqF6c WHnunUlw0NX74xhTTH14BZlarRpJh5sT1iqiCOv1h3xD2PZOP4W+j4C7KYEJNQWkp7hzfTe/Bc2w UXvtvsTrqYEezHRXfCgbhLW7nyMmWNeOY/Efw2V3n2k0EIDJAf+rdNdceQIv1/vj5wjrOqX/05ed zMV2zUgDeOZf5v3AcJD+iR6b/NIrSlI5hHqGt9AjCXgrpTtzDGIei18qB66fIFgex6dJB33NzAlq ngfO413wx5+jgMw541YECTQH1zO07cdTe9S0ecJ8z0NaZD0k3E4kqIHdOE6b50Fdk4JPlyxBQ7RH nUCohByKQoolj44YCFsN1Q8cEZOYr9CAHcb9Yqk+nnjAOMPR8JR/u1Z5Dby10jY7VoyCj4KoNQqf skPWnMbfFqtsAQ2EPKb2ZWIQTfuE/jw3fjBSvVQTDO45GZ0zD5IGwOScIxgJYwZF0pY5lI26ALUN BHVFrGy2oUBxgBUDUJHdZbHBUUNh+dgCZk8ZRUkvU9j9y81/5e4DIvwg9th5nJNg6I3cLXX1Hfjb TSAxzSJFVAuDGT+WtxY4NpIM6450FnT0EnQEKOTTGQqvmxfSfExBr2sDKhvbnY9hoUNN+sIOU0Dv Ub/l+SmQNX9AI/Hy81WJiIE4E0gL8ObSaCznEYaOviorrccfMIoWGg4SsDvQ/IGgL2pdREOe+Uny wVcRfWW9ogKuFWkQn4tt+tqu/2Sgb9xu5ABvoy4XPqWK5YBktdSir0TNLI5EwqXFeMrsADRLBZ3d 6cnNbiDTBebalbBDmPT4Bnogxus2OwV96LoXne1oV7jBBQHZzYo1404aVl9oXnWyMC4Q37lu8qRv 5YslZj/4o3UQMwkza7iy612b7lwWm7KMVq7AYVjJ0sJZncDMnT3A/TUOE4gfK8Oqmi8+9Ig2PHnp 3OwSsU0iq2+zj2mwfBmWwqnVpcPQRAUvGqlevXI4l4ZaYdQ0/UXO0PbQEjendNZvZKoW9VXjwNfQ e7u37rN2+N4CwnJ8UgyBq3vC16wkybFoJ4LT6i7lBPVQUnAn5LfbZjvOq2Nq04WvvX/PtDJT9cRk z6FTmdrxaSechsEa2kgpS4JZgI7624N74ghM4kCR71yTZ3XXH51HNzehg1i96QRzMzvAwaKAwb+o y0Jb2AARmjgLKdeiyQUXnONqhfr++IX48s0b1WqKRpdXiEJxMOgya1XydSV6wbn5AngvnuT2jbPG 2EUculwJ/xAnd2SUGentA6e4/QxwFRQK8r9FSjVyw4b7xdHkKPy5+vNPVLwjxnFKSdQ8ghBqTgAW frRXyw1/bgRgIsWD9tllQmDjwGd0peAz11Xp+pWa77T16wfvB2ubwqBodnWfNU8OTnkZBN14Hgqf ivA0OZc1ACc3f8m6sUXFiyHwi4xwW2W+Y+sW1ScUzop102jMQo/yZxPZyxTH8Tr3j3EWhJl0J8/s KiBj1ALWGSZ44e+2g2KP9yQQ3fta5VV3ulxZQi85CblGWJR+cgkKQZ/uovzlLY8bCtPz+RtucCVO O0PXT6S8+aa+K0CKB/3w+bY7s/E52BWjMVdFFcnx/veR8TZS+XpgY9gFVghvxrQSfja9c2hXr7Oi Tkq4qLPQDHa/CKF2f6pJ1IX4qI5ZchE3Ey8/gNj6nbDmrP0fPnw1dMng6/chTFGxZs4LGzfCu5Cf yXTg0UnCNSfRSws7v3YcCu8Fl5s88HqkWGZQvgaJTRBpin04eCwMGOxPLxitaHVNwR+mCJxxo+rg tUe3kr2Xbu10ncguuUWDZxiuXB7C1idpiss32hkjn2KJrWWT0xAm6eVf3QeywGMNQeJC/N1yJN+p KJmPw/HWULfv/VNwjF0Udm6ZhoFoudliCDr/N73smhNDPhrA1zDJ0Ky6UJHA0Bhk+CdAgfCtvbyC qgcecEultf/XyuvZuepGERl43jBrThlXG7QYvHZ6g58Ez6UVsFGZskfFQSaRJ19oiut8z4MfwUK7 FofarsKm8IlW/iva6t6oJOAaVLmUHugT75A1FSIBjEjntGnq2tM5/3CCpPVWraPNOyn5gO9N8iJd ltmmiVBH5diy6mIVYvAY0ZJNk3g1jH+Kp/gFuqJXh5icx3bCFxwVTS4qytbY3RhpcyS16X+3AAU5 0rceFVhE8F2Kc17aB3k/5ySc2884cYZocPWMiJfcJ35y95bzYc1akdBYRhubNxrZ4Wb1KCN6dpIG 6MeB/rziw1+X6gR6f0Tv+2q7NwT2iFVI8XTENA0Y/upo+LFgPIaYUoyjvhhz34EALpztOU3vZ8IZ 759XLj2yzXaINJAHh0ER2H1qnBuaUhRInAQcvnmRzuRYDSnIpWM3VK5Nxww7ip1k/QozrZUhKn3Y 3jivZUZB86lWh8Ekp+HbbnOinyogn7MroSTH/3VlhR/xwovFtyadorJ1SITykZpcBhiHwHOzAVq4 j4tnLw1W/VWAj4pR7rxBtL7BoYqC7NNwnuym/q+I0pvLerw+pIrdhVgFd28zxQ2eMYU+eqZsgEtl 5QX/lznVvmhb76IDVESSnI4ZDzmVryKHP+jVadc7WjlaQfOqrzZaCs4vpdL2XtzpqYXlS0PcYmEL CNUtEEwpIV4ON7xTTOQUvMz9TZ6LyDaLgyGiaprqRg9b6h8e/EzGTe3/maLxt9Kx1vg1F3Me7CoE 9y6Geat9yUgjWA63XbBKpmGSJD40ax3FSUqB3bIZqWt9K6xiRvbb4C9cQVU/YtCUURjHH8dBbp5A BlbWn+aX4C2RrrXVhoxT1RJq9oKgBf3WLl0ISawntWcYDRuIHholfvLNAb37igYpEbOd0B/z98Yp 1NfvbLMfXPmTUUpkPaITEoKMnxLGo0kX/f5kCRIIWmIeayDS+03pRttC/Y3qHBOtWLkMO2mBQUyc A4SAu41OI5PzYXoEyWEN38/N+x4hNvme03UMxAQM8w1c1R+lVmGEc96CxH8JfwQNYS3mcNuLc9Qr Cm18CNTmGPmNAyxEiNFih2nAPIpS7bZwekp5deaI5lUOJGf+PPREJjQH3oIntja/LbwKx953W4sU rtai5aaiXPn8t645iFoBXetRvNJP7cByzQ5zHcw+YsfHKblQmw7QmRIAXbkhbZimhmv9RH+ZccVS AH2OLiDtVzfWSsObUiecB/N0RJD/fnrKDTKdADq2+J3Srknw7SFRRU3Sh591XZtAuWF2v2wL1FEu 8vkh8BJnFYXNjAPx7WCrZoWcpDq56PFBFSIzEoU5tBvgHJHabWVXA2nv6GKmM22OJCKF+Y/2fpbH hJRuVK7WmWoYGbtuGcP+4KquHX02+wHnEl2m0yrcQoDxenNCj3nFyfv/JjohSwq4yRjChwvJIGOu lasZk07Ba7fwx/Oo4w0iQS/+oEWxyTAblUz87BojdfEdhSJYGU8JjwocteVhHl//s36EHj0cFw9c I2F6Sju2geQoMo3e0f5wMHO/rzrttmpeBCVwqA3rgYd62spO4cG1OgZQisq+8dNKm7aj+Vu5WssF ZzszoGWurjRSuL34Mv2e0mjgUFBNm1Xn8OihMbWC0YYjTUR+dKGsBDV1CDJgbn7XG8yugOxCPP1s 4lEWYyoh7MI5YvldJzSkAp7pBCNl/blGOd1ToTLW1u5hHCQn+MtjaUX/0iRLs1JaGw1o2mNGQawG Nfw5DmquALX7gQulUJS8wA5RlIZ/WAlTKQSUxspdlV7dhEErFGoL+hFRczqQEfHxRkaX1AgivAsX yqs0zMfqpQFSZjfABjqCdkdsGySdyFsDG7m8iNOlFZgm/oGUSm4v0UbyTe4/VVe/LlzC/Axl0lXW Re6Ka8TanpAcH26VsClpuv6mnDx6Rlp3ywrxyrngRgFYdEBb4VBySVza49iEWQK5xDICfeCpt+lq YOSPD8dVC/alcKPZtEC3PQdvQYI4PpiDZBZfz+rKUR1U7xfW+bWzIxzNJ4uvMNp4F+dBGJo7eyn5 1rBKf/Peq5vpgajxObqViSVuprKzhRPtxJMUsV9KWws0Ai0egkAOrPva1qN+0CWpd72Lx/DzJRKT bMwBfXgl/PyqYJ1uaTC4dKxQqwFy7FBAjSz8vNo14/LvHYlOvO8fmGcNK9Hal9GrFVC0i/6Hv8nz gi2mbs7grXXgeZ7bDcm4WLwewzDXf5yFnYqF07dQPjVc6nkMpRCKK7TdeLJRW9NDKTgtMcWQcXyz b78qdHl4Fy5oTDkbUvf3/SkD/DDdUgUd1T1SFAc6M+X7kDamtY7wNl1XdryrCSxJmBEc5oLaT8PI dnYQA/dEiDqFlWL6Uwpi94MF+bvm/9U1CIoPE1CaA9bye5S2omvSmlrBaeTcb39HYhkJlsZSYrRo ZHjr1PSs/wyuR102TyqpQWnKaO8dxAaBBqVCFO6urJj4U1i25HolL7H/qhS4tfGL3OR+xDV0m0KM mqjMjs/7GakTNj5LT8YBKxbpdot6vCHIPOjf+BalpPira1xlUaLbtVe+LOF4/QyX74OkN7rUtNNq T7z+fCXig0HfJuVZ7K8RFzchVHh0Im2isAYaiG3eYhtRr4/GDPgg+Q9hYrR4V6Zj+jMQkUJL6+Ia qzqiHelxAhHSQys16h2YMRRIRoxL9XHRrmNSXEzKJH5uP4d4Er3vOm/TbpxyIdMiGy021xLmNLv+ qtADOU5okHOx5g2v28rly3qpVUHzCyCNTO1uXoz0SKkYxJE1NTI83/+vXcIsLdzvEMNtJ+MczkC/ PNFqjvm0GlKAVgOknHHXU3Ukj4Qp2xK82l/UUlMRj/8BoJcxpTNMufCyhInQUHXa43jMmRIr8NCc CibrY1YRMa52236nGu3i3aGkxo8ZRH4LAIb3GYFN4G6MYq4AGcDCWjoA7Oep4uEDcCI4a5jMHz1C qW8Hqy2XkgtzVvsD+gGgP+OKQP9VtbOE77oyPPNbfjHkF4qcGhxWRKTtI2Ibv2L7Lfsg9/ZgHxcx OLfo58Mw/kIzTeNb4sBJY0KDBKioy60zj6qmXKXYILa4TjeFgPFS+nk5AywB0+bNiffeQQjKoSIL hGH5D+3XkViJMbgShVr4lg5fEn+eQLy9gdhcDYsQRpTmNqt52MnkKUfmXirPLhQiIB0pjUiF89r0 2ONbe8gr/PdGu72V153PiRNP8DvAf21qs2J0uTHTDmfFEfQx55yz+QjEzyWGNzYtraHC+eu7g6z9 OnlQtTTaYLkfGWyilGGsdwkA/ggfNqrqVq1sxYVfwcQo03oanxx3XxAZwjNfNG/WoJT00iTRJOug v5kOKk7dSTyhQbbXVudxdOhLK8Sztw1Tj8+O04rKAnJB5kJFYE5DH2KT++mGHL1MAIO3NQk2nfsE Cybn2ZhD3qIooVxtL2iSjOsw70KaCVw5+ei9LBkcvWAkldyU/nQLcBDBveS5y0CfDhjEvcgT9Gkg dwgKOxvkTz9zzVQG8u6M66tOAGDxlwsL7kqyRnNuOCjSIa5h+1ctpNl87OaYd+Uo6g5smUXn+XoE kYo1dVj+DbBYEju9gnrSfW/i3IX0M56iC69Jedb4dUaH+iSKYuI925wd3oT07skRvY/uHWaAUfIB LMUSYDTAhrso2jQGg0MbdHWZzHbJZNDAm0EHr435tDH4UhVX30YNKWZnleY0tfW3oXFLUjxbGDdW XK6Na2LOvBRS4+03ypI8XYQAqaU35izbso4btC4WukKYfJd8yka7yZ9a8IlXUgiAVrhwicEOCVAz gJyQuSYc+Zq9fYM1QSQBGRjB4aon9OSfFLy1nnVtSlR3+G9NK9UqUcCUa2L12roEl1dhNHqPRL+t x1DDFFZLDx86LEP0EuvOo7B84iLU0WJV7jGikauWvp+Plbmkjw9ZPOClgVM17UR4LKG6aRCmQzwO 4favnCyHGarZeSXTG4QUHsK1mm0+zymdTi3FzWYhS0orVOFes5Xl9OdVOjEiev9a09xPbDeBDnDv g/GfiARwqiRxayENnV4BToq1PWgj4bi3sXYqyjoT7HE1X+DbUDrP9tIprtq4f1vU/mDqQkfpKM5w 4n8HKRv4lnYue8TIgiLTPwE1z18F6Ln3s+mnf+yFnxL1KNXIHsQJT9zirHX/Bf+V2CbsRQ3jmEEy lWHDSyRdvfLNJDwlAFgQt9VZBSlbOOESnw+RX3OvQHFOWIHvyIZZLOoLtyk/tVjFeFe5QlMC8Fnf 5KOrlfYd55lmKinIg0qrgTYuLYdGhKHD41K7bBVXn2b5T3WUEfHE5xPdru4mzwpRBHZgN+PrkypX 8trn8b+MuQ4zhPnte4BDwTFVIOyxXO4tTSquH/teQSuV5K17SwGrXUlXySonFBqYNJXYy+zvapPg G36t2jHoNUGQJUXfccTanJ1nHOLhP+Jy1lI5unqdVkCIfTz0HtfbBTSKgNFPyN8Mbx+nU4lVsvrP 2yVdlxMnm7xWTvIiUoQp9ytDIQFoMb6dDe4eh+TbYEIsv9/SEFz1UbmHpl1xKXB05cuA/kDbcbsN I6kSmDW/CXEasZgJbZiGp2BR1RJ9gnhhI1F0UFwIqcwNjR76qjcRGF8XBy0+dZ6g29sHJ57n/JIS 5zyf1EuT8QIB/6JRrqHEVczx3DAs9juxh6BR3s4HlGBsGHsOviTyQGVqd938uYpz8RvlppWh9v/9 pxJDzXjMWlY9wCUbogSQ8t5rsaH44WSTbUF3myL8MmaZr7/9AY1p157DncgmDlN8l5ouloPMRTwN Wez+t1d8OCGy5WTQ66CXI5rdXDPJcBUWdw06zj/1jJvf5ZKsOn8uIgGkCgGaNAY2EBTcvhV/myLk E6VIM5OUnidKkCM0rnUwDUd/X+SVivQWfwTAeTPxvgUtzENhvOz4as/TYQAWigmZ4VchbT4PvzON B/p99g9nQ++3opvMj2i5EE7873ACjOl2MTQQs2ypDT8AicHXWzHfX66tUZqSXB7tNkZRhswqBhbt 5VN/62xUfaskRHG/QPn5TzzmCWcSY4dreJDgcA8bI1WJJB+qZyvp6dNVkQttFx/5RNtXK8UTrJJt q+xY/UDjK25gTqdSXPkiiwMGh3WrIL4oa+b1ZeayIpqA8cLhz3EIOIg0kqdTZiu9N0yRv9EoP4Jg GZ9FRmNOisNm8C82J2vX7xP17hr7LBijiusSU5gLwMiM1yFSVW4AZ72z68lvExu+6NnZSCnKc0gT sQFRz5hTRcOccsv4V7za3ud/ioQI1oEygUucNwmsKEpRD69RcyQuEw8fOjqn+GOBJAbXK7W12BIa jsjU/nAU4/QKPZybFNdL4PPT7kZnPgV74ENAsOsk3/i/FvOi6Vu+9I1y1G/+hsCseXZzf0w+FuO1 mJhtMs6PdGzN4y3cszxv8JGbjTsMunsQaLGl0TK0qnb+cdngi/QhY9uE5eCbeg1uUJlj+hhPoi1+ RLIVk5wKSkbUaKPP5XsbJq4QyMyUcpFVWpm0SSwJfDYUoXB2J277tl//2WeIKMl23j282yN7ovXX D1tJThjo/YZi2x8G3FPnyDjxpsDx57DAjfAi21JnpzVTcfPXKcCApD9EG/lmEM0GpnL7rgMxy3at +0/MIJBULfAjMHV5xXQGbF0LZlYg/N4GvJZiUC7jaoWcGt5Wgh8loHNrWUI2IlbwOzeGBMHmvBs4 z2Albs9bzF7AefzQtamz1N6ftCNBzknBmmmzFo4pT6wiHW3AMqPqeEhZZnDYtmo/76WF1ac8iPeK ZJsjgXUFOhXCkeYFuQ1+ciovVBNOJjdd6FdZOFUYWoOB0RrRVj7L6AtGQLtVVlS8gtX3Hiq3/Rjk wtPL0bMOLaPoVfOCGkMKNdWkPFUNUTshdvJ+v8Ggo/VllEbB8DuZeDIqcndrZrMsyFEhThqfamY0 gKlAjWcBIyYVddNMv6f2pySWyNHlOoXS3xlDR9eNbMeP32OO8EcfnYSgsEyJASbE9bju3xJ2Os9n Gun4+JfnYitznMxlZ4jbjNSQqFzo2jIiL86SUBtRmI06423fzS/nBPucQDVNZqPHGp1Ev8PC7rWY 5zhSMrwsf7zABzKUjajfJYN8BM9yH/LmzOwltHCOS8w/XlmjZMUHVwtZJvvZ8ASEGUZQ2z58QYP3 NJgLMHnGbo0ASMRJF9TbIW+5aw/1g+DGvs9uUigaDAyf+UTZAUvYpdlFnG5Cw02UI+1VrucKGPpF wFG44PRVb44MsGnlRGGxOk17ngutH6ZSg1fCaE0hdsxIEhUhxeqJwsu4x57F+bi3ZkXE5pkmYLOF sbUHaqKivfTs4QgfSKxYbJc9PAd2ojMmPUj3AueDd7AsG96ErcoOkoJIi/Woe63O4KOrLP9h8oKA jj45dsV8xVeAxanN+xBGcxh8Jtvlk2L0WcKyXyHOZyRdBA3qyIJmgaq3SPffDiP7EmpGxAKwWvWl /V1rJ1Cy71SYWwtgYP2SWLPYO6fsYZh4wIgmjzSjxw93EQicEiSe31uJ2PfAsBExHZofH71+LK2p p2wgf1i4UqVSWBkhNCYz1V0nnHTbBu2DGwnoOCGwCFpxiFSdRkvAXExixfFqrkC6b5SMSZAe7nd1 wq8gAGSjoZRQoq95BEORnJAgR+FQq20oF9ktxvKok3WtKs9q+kKaNgIqPlOqNMV23xWUktn1f5zU rFjp3y/6RxL1OhjvczXjgatmWxchFODjilOIOGzqyETeroDJa1PGuLpncqKMOcIq8hKJUsYf+bv7 X9yME/ZW1if4230j0yp82ESAxX4JnzbIrOmrLqD5/tWVKnTM4y93jVgV7rDVAzOdv24nauE+YxRl sAP658dMa1fdq1XiLgIXazlRjo8hOfNdVFMBJij3okWuhLB0CX7TU9OaN+l0maIvawOItOB8uTJ7 cGkhsfs8HappPjARDqjT3K1YPdZBNYDmUpDz8FmpKKjAggAc65QPMvM2HSNF4dZadmYVHBXxkfx1 2pkDGLrBz8EhZXRi9qg9sy4Zyl/M04U4Q36A5+SSFQwvH1gFzNakQg6OWF9KjA3k3LIpCIY7q4ni B0TBH1rhg/h2gf7ACC/eZDE5Ae0n9qpOJxKDw6vKtBUrl6FzpidVIikr7HSTjCV+KQd9aHl2ZD0N fpcIW2bPp9mBUM+7nTGavDyU5W7IU/NYAbCggdmkyv8X3PrHNFSulV6JzFjy3bD82unmiS3VqPBL p6I81jj/O2oYT3dzlkJDT+6IcsttxURYF6m/n+PJ3CY4d9vy/w4IOP6WMhX1WixW4oOIgk9zpDJ0 9kSJv3WDDmlW5DgkYt4kfj4kmU6U8cvW726qJdUbTd4oQyDc8xmGzmTDgq95qlNPZDrduBih7tgw mpXAuNMhWDZSbqguTsz1uWOGWIWBRrUd5/vMAI6feCH74I6e7GMz3dgulz6gdV6teftvZZn6mQkB WDREgJDCnP440f21mec2bGZbdjBO3iwmjkmmG+b/nM0YIrMg65PeD//w+uoZZa3y+2/ROLzUSPW3 m86TCnkYGUm+bAU48RItUqNV5YOTQUCu54c/vxr6lN0NMWULm29wcWtWf5jc3q9ZhOkczAWpNYXT zMHlKlSYRWGGpRBqSQhFz0wrC6bOBt7AkekwoK0A/xHGdclwfs9IK3kXnUHVeUhUDgArSdNf1E3E UeGDGjmAPUd/AkJAkkAE0EE8PhzieVxANnqH2FdtVNwZeYK4Odrle+GwHXs9Iivdpudl0Ct4dc2G 1ub2hwo3AJPM9UztV8YHHGRNHYmzdSiPpNdBtxNxvQlZ12cT1aqLPehSrIHuK/rhtXo90RB0zaXO p6qtlU/ZwejSQYU1l7XS/9nHAH7MjQdmP5IfslAeeMNg8VWYaGaW0jfexnUL2A3hAGL3dXUIR5B5 id19iv9EkuoOM75GYJKfZsfTglW25X7KwiDJlMuQFDZ6Xq0OSo1PC9eP4IxGQWs96i76sjNh3Mo1 i/fUB+YN9IqASKYIwhIWWDN9xbZdFWod5qxPD125Z3ApT33BmcMuP3BgjMDBlTNrwh90/hx5IKfE zIF72NBvo7CiJ/8He0+vyniAKib5eiAMvK4HbjScUvl5uNWne2JYaOuoCBW/hlCAbRz18DlgaX5z Ow3Qp1puHvQGPqprys369ATVxpCm7mhIS9EFJIFG183XWJGb9T6t9xlsvevNQ/d1sXpki2+dAaPJ NZpjHQxjbg6cXh3rK40n0TcmYLTZawmnWQGPy3Nho3dQ5nDyK54lsP1hbSfbuSMNlJj0fyODz4DG HYk4AYFr1YFiapksh5psFQRoKQv2UI83ONWpz0+kBMnZdoJRnQsiVsDhLITjq/T/woLfDKtrDxfW Y7ryr7c+0tAujgmTPrHR3ICMrmlnIuk8TykPrFk5ce/CYMzwVIv7SlheE1uJdKBxKFSUhQYkONsa Vprp1PI0VT4WbRNh4ERFUqnRX7RBGleqOk++hcqXEPfclmdn3fzmlrioWijbNMp5XMI/KBYxMb27 89OtDIMbjSMkopUYFAWeT++l1yL4U3HFOHxQe7DyvYdBsxuOAXSecy2pzmX3g2vTT1lfOgqG52WL vYs0EELuUgDJ/O5CPqk9dRnZzj7Kg95Sh8uDZhdGJuZbZBL2ygCEVhiZjrV0WbR2wwCjElpRu6Sm DTGvKawifaKjBY4UtjSmo9YEAndOT4dvih0unOF0BcQrpp8Flr5H3aAQODqhEjZOw6kljXZBxRFx YXgBgwdgkwCrxTEB8ENi1u67gIQfKdmRj14hP5oDV8N+YlgRksQaSlub79bD2uuvfw/4OtMfnysT Hp1viQwsfz9AMLZgC/C1OqTj2M84lwjhA3/Vsy3BoB7THEcj6eSQ5XnQnYNvRFNscn2bRliWr05U Atyt3R1uEHxwAC6ME3sssWltkVRCPn8V+yYEWrTBiRHx65HcyYxUBwgijYmL2GTCHTkuEIqnDSIo PeiUgYJ+fcPj0duxiL41UJ3azVteifl86IiAJNp2oBgIXN2CV0VEPobXguT16FyyXwwkPqfjAVvw QF6TMsEl+T9gz/dBiW1Ow9pY1ZYImnacaDvtClwWuV28vWSEuAkW70K5pgIvrne+OCTvt5p3WSZj Be6iXLFMWPyOhNegIdRM8F0QINbksK+yxs54rqb/G2GV3n6ot973nKT92vIdEqWZPNSGqC6f8295 IVpmbCt+58SGO0wRibRZ22mbBX6m2u0PHy8ByOYktrNYpXb7365M6jlVADZW5HSTEJhlcGXJ9K5m YQu8WZDwKlxMKSTxznexv/7ho1muhvplVkThLq0/wvIviCUqBQbkZ9RygDQSTm61Wm8O45ubybYU X6JC5FE8pUXs9owOJgiI6UoqXgmrpObdbUkyoeR9eazr6SJbOOdK7cLFrwkZtPLJie4q1sTBTTAG NKWQCisnAgvdd2WMKsiD/35rJviE5HQ+n3GPvno+dL1TSICyNLXty+pwpr9UiMdeN8gGpL9YVB14 x45C7ZByMreRE8wEiv5mb6923XW8qxgAkct/ehjJHobGGmv1TfkSGcCpG3LpNTmlcx6DpCHT7bV8 3oByHx7w/6o7PHyplQ9N4W4F9uPW7RwbZl8/smKK0uCoV+JKORK3u/TLqfzH7oUHMonuY0JQLOaR dlJycPdKcuEIq/itiGY8zbZcJA0KgT4ooqf2/i0c2Mp2zkRgK77baCpbaG+Cr4Sy6jGZcP6Ntrpw lpKDFxlTP6hNQdUfb/ckC3Nxtapx9k3E8aqKyXHkMJdrP25tZGN2pWoGoTJecmgII2vTrUrdJ/r+ IO4ZiwSfbVSVB+bfH638x8XYJYfWu+j8VvW64dEzrpBvJDwSVAQkcjNGKyvz4sa7Cwr8/VVRR9ZI JUCrYx/LGUmyi9zCNUYrBKIwE48NS2J4QS69GE0aGdRO5gYLeg4QHFDCD6tAgh+6veEgzq6rX/aa Ioa8E8LXFBQyJRka1OQ3rToSFdzZcmErA6tFEdYPoQo0xJ4bfq+sHKDOr1VY6OjVVNrW2IHZgWFE J/PRvaqrIsj/QRr3+/pCglrA8wKfGQUg3uDyqBvmsb6gI2d0s1qXQ8YhXIqXjcEBaiPjWy7IBYx0 HT9wZn7+KopKxMfn1pY6nrTvs2290y8PVFVkfuFnAxaRFMwDzTvUBwGb0Mtnei0LkFX9t6jJuICb w0pd/PabFG054t2nD4PMkjFVE5DE/RS10kgsv2ZTreZGQmBvTpGmh0w4Rsrvjgj25MBjHgItornr wKhHaiTsFavt9BDvlod+nhq+tPxtBM9q04aRRkXUor9MeC5/kEkWuZJGLawHddfRxykXDOqft25F ZD4o79TjslB6LzwHN96auQAmIlt6eOn9kMTsUmvpj9G46M/W5p1RYYFgNfJV/1/s6HgtPSHIQeOI +ox3ikdQqt51wI7n328v4pT769S2fZC1PCIqUJRiLTT9tmmiNgo/c8rKNXlZtEYu2Izu6vJKdpJ+ URfjN8oQKRISwq+joq/3d6L/rWJGMEpicyb8MgiC7PVVL7B1z0ymTmF2EAV1lLd+wUjb3N8+aUTX QFRNvAo02QEHcl5wXvNPkbkCIhncHPoclTYHkgyaKLP+faWBFEu7bLJbOOnc6ICIbch+nVYRs/88 YOI6Cec6rMVk9Ju45sXTTmIlaBkQHOg5FAUXpLGLlWliwcmZzl3svsUeZU02kPfsd+wda4RxA4S9 qJSoMBVPj/JPLYuvvWM6hLZ3nEGPaXBbJRUhYJpEtHTHhJYyGE1cJi4qsHF/WkzDU3GIQ2yms5cg OS0rDBW5Nsh9nPbJ4MazQ7oE+Q7oAzcBmoVqXEcwVbuLfyKgOt1pFiDw6yvnNBFKSBbNCqesUFAz /x0KGiNhjs2UiqYSaOzBiFY3SJPQrfT6hQcwxOe/nQDwMXYH+5Nh02ip5bax0ciwlvaYxYDNnIHs JVdcxKy3Pxl46GvrLMSGspwjJIFbwUw21aDFA2j/X7bSHjYtw6UJfFNznY90UwfRHNCazGboITE0 uFNg10OAOrwGziaJ/SpeZeDm1ABLgClpUjOFg2SpunfN2Cf+5xM0RnR3rOKQ9eMJBs/owVDDlVpm wiO9PIXGLbhn6QcH11kvKiuVqE5Ni8H/SfDHyTiQi7VRpGG+ALofDx3a2rSpXxwXquLoz6iWjos+ ogd+gvpfZtD1iU+NkWeHvPTse9T1YY4QqoC0SwxDeeS+sHqXtBWolmaNWrgJEpb+TL+zJu/sipRv wIEjTYSEmkNeqxx9U1JB4PacA2BZrSpR9+zN9zZaNlO51ls2KXG5WQpBYQ8yX+uYAg7JSbIoPYnc jd6GBLdkXseDHopf1XEBOaqucKZe6BHW/q2LgdmM0ce6wgWAVOt6vdRZ/b+b8GLAu7OAtJzjce24 SBBjkDHck6TakQHHHeWrlMwo7yNeH5Ck9G23FppRZdUe7Qm3AdpGptubZjEsDM1DYpjxHXiNdX38 ocu4Pg5NnROyZYr5q/6Eg/uRBC4uaNWjJlbIzGa59Ex6l9d0ghauvAR0P7+N2DD+267jNN3/IVm4 A/BqEqWY/2LmlWZ6WnmxAhnwvxf0SwTy8SU1fbZyKY99a+uZMru1olD2Tq0U33awt06FzM7CVhBH DmAahb3gjBnsCplx3FpLQx1IKKSEn+waKomVFFuO80L7fticLdPm2gTiKj3K2wjNdv8a9Pn6O64S F2/EXZu4VejXWloNr9OSMTV6Gu5BXC0Ds8CoZ6P1g8d6uGoz8GntJTBt8DcUNdsr7SsIokmU40I9 HD5IHnSthfv3Xt5vcF503H1BqQQHlZFz7dwXUZoQgBsVfn6XW+gGl9dAwEa0Nt2eR2tVqkO9POop gsoINwlTXxGPOt6c+DTcGf5eKPq8ej4JJ/Ms4BaOPNmY6GeeY9V1DDb0TGnmS4TNYfBdYhpKwP5P MgQg1fXXU2h0g7Y94iMJyTHtONht060M71ptQ6vOuchWFM6gu9Z/W9DWkAQ15LEQUokhIr88PCp0 BSc+7xMuYkJytvu5OQ7o6ua5FBbGjU575lU6A+xkc4NQJyzL9PNKSlpYi9s/YSnw1Dwy9dqXQpeQ YZVbj7VjLFq+vbwu+WT+px0O79zwFm711XmmjBN7LfcpGJfhCd5yOQFT0NEbDGholYq+2y4+WJQf ApNd2c9eDIKRpQ156Dr5vnELNVfL/lj9+l4pAnjY/xTzsFOzyMwkKIn5oMHYzgv0akdUD/SG+Bx6 bHazDgamUVe3r/ut0SPNzTpmPAPWCgRuf+JLrAG3bet0+IqU9++uhvfEocQyUZyKPJdvCJC+nXhI OmRVr60LxF2MoPEby3TGK0OKqiVrb1W7titee4FlLNGz9uZxJCgq95hxqX/zJSb+DvFLuyKRX+Ux x7deZHtEv4tl5WTsIVTS0iE9YqRE9F9TkWbhohaybv+oKNXoYkSfyxrzfZ2vLlsX43ODdqjGZJZC XMDe8cAUu3lKg1AtazocXevJChE4uHyvfUKjRGBQusrkYjUginVKbt+ky5ukKGAil8cHU703uYwV A945e8nINz5yP8AWzTc46am3Id4WxZtGI54Qa91RjXlWEG5Q35vJL9P8+rUY0uQYZAjVKvYtBa1c T/IXL6vLo1kD7+vWqezhdnj0Dwsx4fBCrDKeGmJLPww6/qNCHhdGgon+pxZbtDj6Y6tv1m0bst6M F/snE7LLFhdq7SDJvEN7vH6nAFkg9+WEXvLFukrbSJj5nDg2RrlMmK95wuK8Ao0exlL/RewDChQj X86saM/CWbDMcD8Nqye6nFfEGmfZQsnwfNWTGyklUfhV6znRbnFuXvgRSz4WdCnwyLNJiT2Ic3SR huZ8+jCQXnFOzlxnXgKD8W16RLrX/G1XhDJt+7/6ukeutjexX1cwXI8Ohq9/2u7tpL+Ebb7pMTPQ XifBheCoamh0HlISc1WTeUGRCou4NIbEvUwueTU3lsznGgKQFdKzUg2x1Bfj8QCG3MLWmPpbFeQE yYw4RRAfozxGwGLxR9ns+Rn/ZpapHW6vqYCUWHdqbpMBd2IHkpoyEY/QK2BiUf7EjiWYKk1YODDr ZEnPEUouEehu+A6uA3q183/6Dn5FnsfZtshaXKpW8C0eQ5Tx9Vdkrnzy+5bfDdyaF7cm/K7b3U3F eJtiwy4bjpY9WM3I+SEpUxoQOLWPt5woDx5pp3RQVyU2k3NUxOOy1nAtPz6h7Uiu51HA4FVUM3O/ I3ZX6W+DNVTEkjTZJDNf67uJ+Ler2M59+tk4hirhVcop9Xf87qjLkq/XSQoY6e3eYC5pZ9PE4HOj +eJNe1OmuSpI909p/Rtqi6d9aTewvTZyuFqEWV8pJrIPcxrSbZwEpGBYQGGgGGpwyyFg00IQZTTQ JCb6/N+MFfIXjZy9nQRiZYmvfAqh3mknLfZ3Ds1tlWYBt6PounPsV/8qAHOkwYpLLUX9llqJcapO yO3ej8nCDW4LD5uGo6YZYelYtapk+8mIxquMEMiNAbz472UWx1w3VhgnmJMXoA5UTSDM8v8v87IE fGPY459hUnuVqsngE620agMEAuIWy3EIiIImhc4fjvjednhEKu9Q9szFqXVi0iuE8nJ5YyvcfVTe Z1rpPpBG5KrFb1UK1RAtT1L8O8YN13NCDRjCgjKwpnQG11/ReB/yynBJkoqCeZMrTvBzx6wHuHs+ +LytJEjq093fmMWfJsfKx//bmckjTWPFiXTejcq3k9kAwuCqA0v9/dLvJO30xhHuytUM1xX3iVUl KW2J5nz0Sfm9VMdfN2C876LV6lW2mzGeYWc79+dH9tD1DRMKtLBH82+32+uJcpWHTViwkQ7zu9Cn bE4sGXydCwaoC0P2QQvoGiPhInWRnj77z//nCsMABwiWO3JhWS1p80EH0LlmSd5cxTM36Jw3tt8v yChARKfUSpESt+H/XGCljZu0NJwz1TjCft5EgOb7TAhHqz7JSz6gZQb1Q2Wn1lagc9urub0BTJLo 91cmSuz9Tz6w38Mk9okARTEbCxCqeBs3Nt0tZdNxv+ujr+N589PgFoxc9ep7kdQESAwYeu9lRhbJ S6ta/8fM7dK0swrZCQjjJQafqyFxkX/2aDumxNt1SqCaZWWLLIs2tS02sO9B1oWLt6mnJv3FeckG l/86zwFDnGbT34/92CSPUwdvJPzlcEYGCZJCLPsLIYol4xRIkb+C3VeSSem8ulRUCDMtZFlZMu+n xG5k6IaCORQwC+rGmcUyzHK1gHpD7pB9vjAAy0CNaaYjRedX/iN0QrpJ0BML4/REw4cDnIryJYB4 jQJLyn5xzL5vFoh3G2BVsGp5S8jVGVPQolxiKRuISgIa4cleVtLI/7ysY0F27k2LAVx2oSsMAq/W kNc/wfBhvWBPV6a5k/jKkuHVyGInQx2v6pMQbjBn/L5w/vtEQ/ZicCUWmdFxKHV6QP5uiCxmPHml qOKyLGFUNEKDAAhAkrbdVOn0vglQSCPtV16ITAEl0xCj62lcJZierTeEQ6j7A0YZN8qf7qXTwD6z jPcZne9oD6Wc4wFW8o052IzN1ORThWMYpU74cF6CAXsyqeDz/PHYWqgSfRpONHP3O/OQpWCwwd7I 9Ex4llQixZKbAKG4PlAY8+63AOhyutzacAUhufnUzAXALMuqPBUjjTaHXulVhxwtYYhE5h/1GkoE 37/+O+NzAvDssMf/bvalkJcY22lBKIiZpLrWwW6SHPTeHwo84UGH/7HdIz8xLQVYF9TUQNAHezWI l1IGWJvzVHEyOsDHK5kHNnKgcQKEgpN22Ti4/Ofx6WcPEbB/OhBjLcIvBC72IxFio+poPJcG3m7L A5QOar+OnqL6SLtzhz+jrnM2nSerCngN295EMfB5CVCfF7rVgPkbjAU871EXyQ3eLusX+L/l9JR8 qokRfmUbVUDEF4cVio92LHal1S8ug8YtwBVQiJGdbNhEqgvuIR19Nv51rU/dHymd/ZN6ReDAOI3J Ty9+TFiM+mOeVCm1BqFqt1RTwW6gJseydwd2L1XY0iiSEUz17ozO5Yjc0NfxAuLIZZNrp1fX7vrX IMuWuPalRZvc8mNmdOzzURnx/YIbciOXrV01U9gsx5uA25ignAxnV1wAGEnWRAQx2+dHk9Evo5i/ X5DgBdJjga+qQE7d/sGKQH/XkNgvs120gZjAiXYC7G+9OvDUy3O+9WQc5jE2jAVAKz27yquxv3jR 9+AAVLGh5vDCBwlQJiBVebZYkbe47m1D+SPHyFjJ8VShSLPPcIQDmySpwPvZdGiatIh7omWJ9xiC sFWudNIx516RiWFztFW+8R9tZU9dF840xXMvpp68JkD7oxXh+tqLeCSXfv6nxLcKspPlgaLzAcB3 qlb11eT5qZwO9sdfd/NnLLIQ2kKSpItuLh8iOam6eib9DMXFQRHKsJLmP04p2StD5WpObiFQcHQ1 23AtbatjrCCzs5ApS45C6L1M/satTb6Bbgmzx1ffX2P+WXLCNGXgeJiSqUYvwrFO57C2eXWL4O2P pKeiy6BLWtRDxlCfURTAGJpQeVvtOoXILF9gZxzrUeE6ys3nQHmOZdw1e7Y15vFXikPT9gDZlfjt RP1/wYrLu5YGD6koA3wQQgGchAlLi6vCQnk4iGkKiGHeChmqGVVBfQox7A5CvX2/whrMP/pwMYDG sEM7mvZ+vUU1gXPvhpaWiuFbhx9It0smBio/kKMctGEYE6xBOyogCMPtYIhN6s26CFrRxaF90ODu CT4mUQxVqIHuwpbA/aloSL8z2wXkG/OCz87ABAJjcslEQOmjE4xucx1hU/c1WxOyz3dwjoc9ffnZ bP8nbRlSyYpCzDoQF8ZvqXhOgZUGFCerTcNqOue3Pqua0hUWuzYZu8NjpE/pA2SF1Z2tSktvTsa1 LlvCc/UYlPGwikXvm8WKqBuJCQMR0YLkLbHxHqrs1z+ev54Bvz61s+vRFMK6NKg/2erF1AdeREhF mIbdGcxbNpKc83u1Caspa6fumQZX+Qb82tHAoJmMoNBsw5qBMamr8AMWAxHyJnbkWfPHsDKCoXqT EqztFnUPVtgrF68W0+Xz4YK9hHE2qVpDXY8SSkmD7ctoK32kB8YBMuLtCHUvCn2qetWrXMddk4dT S/LPDQ8nR9+Zv1MetRTzdcEYa1hX07t+/t3zZ0188pjIheHmVeUHyP9Rf8dYh3a9Tr03uaNsW2Ya 2rHONRT/+8MBgqkZZQbhx0yOfncTU8TnZn5vV4++TiP5pMX7tsKV1g/WWYwWQhGJ5294e73HvYxu QweryVtROiyNMppw3INNGNZc51a59cLVgXN94+eOqo2pVeNd2jXjYLW3PUc3JXySuWCTm6gYv0kt 9PhGSNiaPLphDUjfFqOLJgzyv9vjZtlimhAmoHgyAE0YxgPBeZ4CihxMKReo9WnRXRkGtukDJG8m wEm5N6xwdEDgo4wFR1kSnZxu5HF/Za403d4BGOIRevw8MbOqgCFttSoBh5GPrjS7kpy/e+wRI7TK VDrANM+PBiYO0i3czz1cpivz5j5K9XTr0w6JuiNrcVfW2SR3taf61BdTy+f30Ir5ve+XZ2m0bD4h OdDZnndL5RatyDPBTJKUaWwCkxOYtxiB4ANFZkb2E7HasKoo7Aio423f+31CV5eyjV64btZHyDAl R5JeR62w5idiM92atmKOzJaDrdeqGotNqv72RYLiFV5aL691wLM2ykvCkK40mp8sCKt8dK2FLvZX RnEMByMvnq/8cMKYZjcDi6BfryWG1ouKgD3x8ebUi/4txHOM8xQUXrJJ7o7zgKSmJwnIcVAs+e5Q a7WooWG/NUuEozp3nNgojvdIBCMUo5Pv0p4s/UvU9yrtIs67ar4zd+HObEXZPZ0QahC7Uo02ulxt VVAQu+YVbqDv65oatRY6npKJiTmOBDaxoGhY/CUsGOPOpiZH6c1atLM0Z5qY11FRatrvVaqjqjgO y1+TANuiUcWtfKpI2MJT4l7MWZj8VtpcaxqOCBgwWtWfXpURh67h7OAmzNiP0WCig0AIPBDpnOM5 vog0ooyc4yFugzad7h6CVjV8fWD4V/bERgaegyVld2xqjkOn4fMa7Gpp2tGkq8WHGViuJMtRS0hy SKO+baTj1/9mnq9Xb9KZmyz8WCXDlBkjE8bUQmwBPpCgUrpJdLgaMZaP7gg8cNZHr6YKry2MF1TP OYZvgKm0E13+LrzZNs1iF001f/47XIbRIx5vBynJZkDzHZeJcoav8Jbb1njoyOb4TXe+XbnKvIkZ K6qTlCInHY8/3T9hoi3k4plAS5uG6wH5X/MstC5qKveMIUmm8DAuydfO0nAEXHF55HlSpIMp5Uib 8AfA7PBzlxV8y4qAeFS9DwfXOij0+XCnUvII7K5iueQdRYxXTJTdn/u2t/D1LUErbZjQeRcrCMYD vfyMyXHaZhDpNcExPaFzZYezZoO0fzTcyrSm4Zy96jK4MHF0wRm5OW8czhAZfcTbGI4NjKT3AbJI 2NPNs0gbrVHw4hfIiRNKyEcCi2WiHfa1/85WbCOF/hSKW3cZ2NhXGca+qto2or1te5dY2nUQ7rU0 3Gae600jWbZ4E9kNGg/uR7kcI5zPhM/68ryLAdHz6soBuOuOzxYGkLzW40LZFbyw3p/8GCnlvUYO 0y3riyLkClSudBZg7DHu2lPC+LRTg85PEIs9KDAQyZKv5FAkzhMwJi3FPgDp2quACPYqhYCq4iP1 lBoRFZrNJ9RzvRU8qG0yTvM42B/tEpLIKUNfk7EIKmCnUvjRqdsVylpXje4PONtaPGLBggdxTrKr 0BKvFTk1P7f7E6ru78B47d6F21HyTh9u+2n3uVVF9QwdjxbD7nUlCUP86bR8fDkpJfj4DkzNI+92 RmkaaTyc1wT3ddVX5Aq+Bm3LXOdPTeYrztx4p+VU8+DAMJSqBW64z4pH9nIK/rkAHCjwRlPHiA/p 8iM7Nd3VeIfuNhumPCIYgK8uYLXDIiIlWopbROuwa++micdu07nts4DxfvnfQjo3pLCSyzhEyD7E iiVHa+zWMGVyxwbGbX+BLjyI5v/9mGvRNSkR9CQZiGz0IOo+38OFT/hfp3fY1v4ycH5k2S/GmMQ6 fd0VZduhkvt6/q69Mau4Jyj4VBpzo8bO1uEmRMeDwXZoLjhJ/HLZezocor8gDkFIAciSP/QfiE1j 74qutJ7GQMGJhGtiNCzuhH43LTkn1AjCKbDYyaMzZUuWz2ShLMgxboMRtxFFd2v3pECP2yhJg8WY bffLsjMqEMts13ClbmJbyDve/IdStgY0bL2kIviAdMnj+O2NGtAXrebGAbVw/vJUqkNxkAF5CRPA m9Gb3iRmfqL38Nl53clIHPz4PAdpFGVG8uT+/YN0zPlqPRAYTFu1KVGkpEBft1roXyw203g1wSh2 99LpORFGhlFdyqgObLESRdV+bru7IgGJ6sr9p5LZU1IR8LwTrWkWixc3u4ar2h78HTMyCwRnDCIN 0MEr7WtNryoRhD+cCgyuKdRUJL7Y7YagO61TY3X/+h0zArnbjiaVh00WfXPxrKfBA9z7HyP1wpvy e/e1XMfybvcnAiGqI60EhaDjSCNYAovXn1rpajpGnr9DDy0sS63rU58TA+Ftt5J+319SJQis3P7O ivdY5lR1UniFnBkl2YXbYjJ7lQFeLXFcnFNk8a9bCT0GIRImyJ034Z0nLw/tetQjjOz1z9K1Ld6o 9+5VU741uReDYuRJz4QG2oROoWDO1/LV9HKdOPHrgh2G76NUvsoNx4aCCP0pfj3cf6zsM68WBeUv 1+/ItbVMdWqCxIPzlKNQZi9vgph9XecCB0G59HBhcrFr5mETITGsnq7gmIoom/EHRmyCX77ehUEN 08/ihkRZBsFMQ6McACZCtqpfCr9p04Un5uoyyiDZ+QwCv+IP1vtgiEi1K+yNsIKECUHWZ6Pvc0kv kDc3ViKLjKt56JV3fTahwVqlFJdznds2Xpy2ZCr94utkZu8D3+0Rp8OFrOvWZWQhz34+E7Ci1qh5 2bRDz+BuD9g4Y3YAfAxLF9KJcxWxdh8pog2hRvwyLxkKmU6mf6kobHnk9weqHbegstqX/cAp4kl2 CYd5cXI/JQFouNrbVSnFQtEAFb2NnJrOAhxnw9KbedK9L98PtBSahipTU9GU2nibOv6VQhUqPgOv 2o2oxumVhjc93gnU44/TYfXmBbE6eHw3JD0ickTd1oAI5/m23kzpKFdmzcY7oR3PWIb8MxopMjW6 5VIv3z8ARC29P+Bqh+iH5EkOJpLn09DgQ0jt5GFnkv6F2GAEtijqGyUtFBMLAJE2glQf/LYPtOk+ HjEtoXOlIraWFQIw/oAWe6NW7ENtfz7Lx4ZhCt4sQJzX3sSINg0G3aSKDbDdWkQg59/vlhBjTtKy fNvABuVl0nwxbw6k5rjdI7CbxEsoFW1FCIBRjurnvjeO87vDl1Vs/Nh5hrEsGRjxJM05wjUb9iGr p08SRpMwIyobi0IBFxACLfTyxCkQE4GUFct12uvffS433eCzFkdZXILrrUTj6iGEB4SwXuwae+lk TaSPEU3h5HIh88LC/R/Sc9cZ0VCFV72lW9sN7xm5L03i0hNrNEAosV2o0qzPGQmN5+XM3VHf3d+Z x6w2M1Sx1G3o62Juo9eXwT2URJnDLQSGqImh+zuHI/fbo0uYrg5rUulTEKngbv6CFVYcFT0LEffp WouRojE8j9wE0HdAQMVi8YP623M6isd1RMcIVk9J36dY4uD54XyeQ8AVSBX1gXNM2BmgQhw2pWaU eely329AICSTKCLHmuPbRV2xU6sVJv6Ij+aHmde3nXnA3NIVSr6wPwjyWMoG2Lz8uxl86lKOLHsi xOWKBtD/CCirh0L364lYdi9zjg0uvVelW98mWeKtXIhMPIhBF2c1DuTajcfWgARXPr6GG8oufcet 2FJCl1GWwEOtLJzdz9XLqKfLiEDpaCWa1R3tqGNVQbZk3yPvRpEDhjAW0eRF+TGw1rG1hj2uPbLK akAXI3svyM/XksqRv45EPwwGxhAJZzE36gyC/Rh01VZqhIC9tfyH6AlHRf31TkwV8nTmYo7MzoL7 8drxYTn9hwWnN/f+ICEI9FsFZWUxR7ldg1YVSHMPcMTcxk06YW+OUjyB04q1eXCDSiH6Axt7y73j iuIoeiQFhS+zNvUK4CVR28I4ZpivFEuCu8ecfUZzx7sLRU6jHtiGugN+304yxGWj5bQ2H9YMHV5+ eSLwecn58HFxjAQSp8mZppfK3mlsZ46I9WdCxOP1PmpMsw4KTDuN0Hw0qWbgRlyaZR053KAxaUKB UHw8H/Ax0iiGllmq2OGiyxizfwiZrOaVmnGixFcNhpfn2sSOs9vbLuzOqsagvkcaR9sm1Ehikpcr +Po1rxbeEts9HwdPwLR7HNp5upYNaW4T/YMlQVys7lkRx6FROXa87kam1w9IYk8o5PSFmtfIr80O 53KU47tn6QBjBdCvQtSYypjhsEzQcq4owIK7r+2tgK7MvS5/rIc1Xw6T0rhEzIUT8YMSBVfgN/gP xPgdLnDSEVQCikDGNeCZ+zITvz4EZ3KoxmSyUIYGH3qukxf6w/xinAz9/SnP1w/82QXDxtT9GGHx yLdd5KPvaNpVYmpf8RSQe8Pq4GV1pHxA8xsDJcVGycooTN74DWeq6XXmHex/eiG7j6Ww75FBxXQL U/ZXxLygCxwCxsEzX3z43//ofNZkhPpfsEcEkFNpYzvnpEbqmFGsa4bMUhXCABtDu5wNRytVt23C zBNnpZQjsyoqybFUhFtLaxfNhwClac12TIt2H67XeZw2ngModCrDwGze+Qx6FQqwVI6maDV/aFjg ChrHiUg0wcyV8EA8XUxjrWf5qSkcbtDukPpgH2R2oXf8fQfdM53RzUFaiEdelgpcuKtQ3kpLcq8A qGWPtCZLssgJUx3HlZ9O++EwO/Teu0tOcoWx4Ft3popc7YejnDUZX7LUBuBJ6iXfzkhbKcdEjaHy 3bNSaTIu/ju2rM+08emfwxVlVC3V7Nxe2tri6J/66tjNrOpnzIbvxrH5yIIU3pyTzWCqDGt7n1ZR IDRA9qEvGsZFbmoDBjFeKW8A0+mDXBxqxNJ7joEMa56Eh0SRr78gUkUErvm3tLGpwxKfW/21roFb k8NiWl3OpZB1mXMN1d8PRem8ucm9kx6lQp/aG4Yv2Av5KP93CJWxQkpkqFz8wAh0+73xsg8k14Da V4FCfZHOCGQjaIJO1Yl+PEHdTFTuHN7QEsNmdbWhOOBTw4I2zevtiqubDyNk7mFvexOMHTZFQfCf vCHvmBxLjaNB0hTl89vx0dun4kIODJzbLFybjbaP8SDUojMqxkhO5u0cb7gaiE3Hau/Kz3Pw44aY XKH7YnW7BzCnTLZ2NYGYBp1DlaMjxYEN3rZ9wee66fxoHQTodLH8WBJdaC8EnMiB1st4kwFY7M3y IgWRRaJycLwVS0hAztkqDxSgum2RSe9TRAmcNkIbjHKI5rKdTAMseLSMW5bDmnyBtzP1FeaFyHWn 0qZdGX0x+8z39szWhkTPzJB5Z3nwDV0lAS8ADWZn82n5qYCvx5+Fw/DAIuocVp+SgUIAr/O2Cy2m i7651eS2WdzcP+x9Ll0YXymsnz1WW4QsNPc4chQgKT6q8A87Gvup0lF6Sf1isdL1FOyIvD6kMbak pm2qM/xCjdd0wTgV3j2ETVJMYyiKXv+8f9cLeB7zuv5KEXiBYKDfFof6xyKfdRK506sQ+2gmcDJR y+1TErbZCGAfW8yclmkgLZxfdRoVBvey69exosdmwwoK1JJSNgKGuULbKhHYCEKR6swI9TI2FrXp 29N+9lRB0Hs9ePW9QHI2HXdCWoueuNB04OnIv4sdz3qVHToq0oPgNvI6day56ueyNbLKThWE7kqf M4w+G1kPCTbgEYQ+xToJa74amP5I787aMkuNpjxDQPhpy1v5oXQpm4TsB920JgyaQ3tm3RDEIiKl CWns5Bx0NrZyi/+GzAzZLX9nO7MB1ApCDdSLfrPyeO0RgP34j4mcrDjt9iMEcdR8uRFNtRJbcyl7 XoGqmS4lY9i+DXKkhtCydqsWj2e3GVOGTp01/Pe8INsaHgsJmZLGC8b4XvY84ovHQstCMhTBzFhC OsjthXqyytRLwopXcGFOaZlHzBhyncVh00WTRf8SFivgfs50KKc61cIKjF3J1DvMaKigKgV6Ak/K /e4+Xp8/dhQk8X/l4QhbsQR08Em4sDGrxXnxcAM5dDvIY7O7TJglD0ZqSJaOKxpMAdwmY9Qsggx5 JThe+BuV1IoqUVr/E+ud2IjslHfjipu8e4d/pkcnQWMRJLK9jq+q3QAoUZf5YdHfyGz35B0pxnMQ FtoQi0096VNrRMlA5JhM7dHPDs2qKUqnt0tMVlbvjXVK9jRDRpldGiSxrOisPvvWESdS8LqM+H3s IJISwcQYZMzVysVTTAD3SSLupQKOr52LNKSnHIueVjEVtguGEUe5IMfXSZV3YXpATbO6bRVrCSi+ 5GJUHm7Z1Ijtaz+6zfJrMBhuJSW7kjj4KoGT5WxhcOQoOoCb2yzX7ikV1gQHLStPvzPIMJUx+9Ud wmdgnPQomTShfgPWDKmbjTVWorJeLycTw92SuCjSaAZIh4EXltoVNwABunq0SZrAkLVC3MZLvmf4 nfdMjmOg8NQdNgEDUA3T5fV9LEYBSzNSIa9DHPyNCL+wHhViZ/nzS5ARAZ4TqhunC1oTjJ2Fa35i yRFMUOYFxpV0uJ9Hgy/4AZCDNgZjKMVaknEYvwOkqZygsVZIIAanHSmiRjyiPuOe4415sT3ZNTKO bbXmdPmdFAX89o7g23+SOdD+uxq4z6SMi9VkB5CIEEUoeqiYyBy9Z6tNI7znZITPIJDZyH1KmhvI L3O6/yQcTpalk0MyaYqdqfzqfJ7kGLp1G+IvWmlnETx8uyGBD/z6V7iqlMWB3pu4BvorzAuKUDK3 FpP//SSRf8xO42Y0z7KJPuXihKL/J6EHR2fhj4Pl1PY/v6jiiDWXJXmRZIsmiHSXlbQKrZSeo7g2 OTU8k34DaRGjh+AELuSzsFOpF1ZjMe00bjRuM/K2+zhBbDbieNGOXukyGCkWbrBul49Utu9nVVZU 0RHh0nmElWIIcSj1pwdijI7d0+m0N6lkEEzv8AA4yG5KtkfUeRvMCKeF7RUp6eZmyyJFjkH9xpss IQtTqlgHZ+kU/I12EQzRMhGe6Y4MfSYXUWBggwxyuKKPlBV4mFwGffR2JLWbZpIP+vdJAgWksm8P y6nCwafz8+TlQjtGXKN17hVg2jjyacpouZvkGN1WgKwEazVrpQBqOQRt0mhvj1rP6nJTan2f+arh aZfEeimp8jhVecaKjQ2aX/cxFWXtHPN5qOxZ+KWTIMX5bwB/ECnq0G0vJjwL7f+jpRrZOAT1y+Ku 1eGlMbmfHVCPLUs7tPjdZOzunCk1wBjOOqFyNbGWPEVGj5X62+E4bTuCOnKtE01w5+mY+fETKugh WCRMZsZ+WiAa/gw32S4ySfAggWgGk598UmMyAwRzcTf928gZNhq9UdLS2JlVR4MBysTAHNW5CsOT R9HWRKx17kPh9WP9jsASFx0E0W8kl4HrTz3XPkdTrtlU0/rM1ca2zwnR5KyKLHFCgas9+bKWNGBv 3djJYJ8mUlj798SZDMWSSpzWmDnW4w3DRmeh9a44Cv2pzhzjs+/6kAhog3E6sJySFddpnasTcF2h JfjPXi+MSGR9s/KEZM2U0Se58dmHI8t3ba13/p5vuw3bcdXH7ULDrGrcJvmfYJ0B5s3g7KmlTLKc 6OTyaTLQdSH6PeevdjXpqGujWbLP1tbqdyGWXF+BEVpAUPVT+D3SWsAPIGxH4p+m9LeNDLgXlIWM VyFxViooKp/Kfji3rcpEABKe17zqSWFuNSeh2ev/S3twX1JQ9bz0CsAgowcyZX6vhOhUO38MpwHu pmwDJysKHkfuqVqhz4VoVVwmbHJ2kiKMoJivlB00ma/BusckkBY8oml02EreCKwYYhA8CNPHFMwm gvfeE7F8Dukuh2fVvOnvuiKfUVncPvxPuyRfhPmHGQ6j0bj2U8nHhpmQSVyde92KVEwKVi3NYECl bXhgj58aHoO8SlL9b4CpJHZIT1xOPCEWqSLR5ouH58wcEc/egpXGW29G70ZrS+Yy+cMuEF6QdjSt 7IZnTRj4YdZKUIbriEokJbDlTMLjRi6YcuD29sMTi71NgKRgMx9z2QV73ILGSljOGqAml1UqA1Bj NvoT4kTf52AfyuurrtK2/5kMUa2YgUf/e3pIrT4nAaXvG+2XPrNH92zFgDaMlnDBNkdRzMPGsT13 WqxLDoQFbXO1T4FviGqgCAUSygAeAkKn+w0bJAerNszYZcMoU8wk/DIDJ3LVda7H5Arx6r9GRy3D ICwDh2QMRGzWgaTMutXew2JTVhN4AygYWVR1Gtm/aKm5FRP6WAn1w8Ig6SAvf5vN7LI2eRQJ3k9w F1cjCiB0UxDiRVnOgU7kRE0WYR1YT8M3EbiZDFy9rfIiSYaJ6p5ZdIvC5wulo0oD6U12/Jy+XMFX FFMRqe2nRP46tHpo0lNjua6M/dyp1Jc+zQAQdZdVTpwlDy7I329qYzd179sRJ2LUGNtyYpSHq1i8 7LTPQWTCrXJG6DW1V8Enzi+WwQ3jqL1R484ihzeKuOUXwhZV1aPAn/9sV0WyN5q2rphABI+UbQXP qh5/mhcifo48DNsQlSR9MXMjdt0kw62nqTCAeTO2Aka9cHLYJ97epEmvT5U8tQP2Wj2goe451E0C VML+d2urLBRYDhmeaKTBZ4kZ0Ku4Z7lTscrJJBDXDa5AtdK9vax02N5FTlhc5ABj4LC4B+olgRQK xCmL+JrAwZ2HmC1uxWXk/lrFTXTx7jXokyZhjjFrYt5CZtWn8/LfxT4Ii0YBHhRUarB7mB/DS3F4 xSpGPK88f2/bvm0YvR+LLweq0S5uqnOkss696F7CoRvqXkqmCFtqF88RV/0rh/70LkMqo1C6yxq3 8Va8eZf0hsxi00hGINyez+bXwSzSe+LFxStsyKtKwjK03tyaOwG6IAyyeFFgsTjUnaQwpmlneZ9O indDYAYT/b6Thy6tX3JDOVOJ8/HSJExJSQim3wGStafmry1gVwixDwe+tzkjE3Gfw2XxjuMyEU9w tbsj8ljDf8ofEf/umgiVS6bqSTW06ogvc8dNR4E7W7UtlaH50Na2e78SkluZ1sjZqEnzTvRa5pJT oy/vA3tZ6Uj6y9pc+1w8Er8dfcGaPls/NCWQ2QM1TrJZeaDHsKFarAMi6VXZhJcvNlnGz07syKiH eCvwOJGXucPbp8U4HONVhHY/YTvnbe1i46AhOeRkKBkql3dEitlHPeQ8oKq5GE6IZFt8pqMyzCz+ hVD9cPml0oD2tBiJO9UHHYnXsABkxTS7LYD0q1jUx2FYjWD+sEmN9ee2Yy+Ols3bgtNn/U6dQR+m FMY2x4EroafKFvTxOaXMFFkujFZ3tDBvuCxsbV0+l1oct36theqJ0Sx8EqSL9erJ76L6ALps/p4F +CTt84fmWwQtr54sWLxfvIDYMGSQKOsRaYDgKpvqGWkbKz6NhkExEU2iTnJuyXFTJHG0v//dhg5i jLaetAK4dxsjBYaMgQJDd+Q0q6vKNPbF1zlk7wad0pXnYA/OA8dapA2Nwm3BxHCC0+p3nUqxf/3c QaWCLcc1j8T0B6JnBj6UIk41HS1g10L1x55TYGBPNR5TDqyKyn++PPnGKKS+xGiCe2o8vI62FqE/ /VUbYAg5M7cXXwObwmRVadfSxfG7Xly6Q5dIM5//q3ljmQVgU/YT5fQsw85t7MpPcT6MkwkcbJzN TzDYDxNnc3HufaO1DMm/nHmwmDsmRkpNK17iz1upQ2OaDgnUZwkgzp359TC6E7XjndmK/0t+GWbX 1KHsrnnXe5m3s6V1r+tSzWrOWQZpNz6nJGgP4pNTKlnuzfwHp/RB3fHR+35xsETfU5IVRksYYhoN 2doClI9vhULc0BvSx4sg5VfpK+PMfMdQFPJeG/skmn9ep2CrckHWdxVxoGkPYhpdR14ZBgn2zl0C 2taFw2azf/UtEiftPfSeltehVVT0TA0j5GboCNbvrTg5qjVct6izMcthtTTo63/YVreufrgT3rD0 4w1lgPmTlA3HqkWdTa05OpU/MzFZ7sj3jCYH2LHsFSTMc0/ZF4ydVKE7gRoQ4pMBh+Xu9MNfUkrJ +kGEYW1CWGP0B3qYpW7uEZE+5zdXsMyGLBY7HcTiJ6K0C7GleupQXXp+g7mmr+/HfkZGXHiTuJew 4VFvFinU/AY0i0OzdB7HvaRhic+tVpgzrFzE4p+Ko5DnLS+lWNieNLMlc/JZsDw9aZcaKXkdIWbq nAisrq9Eh1YaJ8Tfy3Pl6iOu8K9p9HOXYHkpTBUv6KE1HRZcuGYFJwWlow33SfUoILPVuKvfmTBj 3YGuIJhHZNvAZstkH51Hngoh9PB7fberw0rbTrnVxxwij4Zik4d6E9n7UmVU4l4h2DO2K6IvtnbB 9W9ESz9t4PwpmWxcI8GYYV0cEjO4JbNRuLRszLVCpnKfQxV/MJHgLfC8zJhv1DwGO0/iersPAP6i dYEurha6szHBeC6LyKl7MqrwCpNz/k0n9Nko91gVmjz4WWakcWhEt7S+Y0LK9cnH6eG5LbCF+Z7n yoJoqaF3wHFMdZUGG+UQAqJxsDlCIrfJtbXYAQUKQsaxwHN0U16ZMRkWlVZo1xAjqYYgjJ6ceUd+ WpAkvcxgvY9GDuBo3OISCDs1zCtTNm6onvSwvfatIx7znkoxPVCcQFSqne5bCErXIb/A4GzBNmJI /LWCVxgcP/4HxXw3eq3qUOlcNDf5Ek7PiywzsNix7lJV4Y7lpQ1Uv3boJOsS02wdON+TfsziKHm0 VgErCah2sm/JKA7Z2gKpTtQwwsZSCh36AmRb1hm3/TUkVrIrsStVW3Y5cIWbGukJYgJPiOimM4/g kWEydflfHzQbqMQArFN/1h22GSRXYn03BAulQVwp8eRyhTYGlyTpC+g1+rdR/F4frGLqOV4oG1SE BVyqxu53biJ8H1zGPcILr7XMVgM2nqP9PIY+0i70ny+3pSbyZd9DSlElNz2M0qpsCu2ZLAUzijFo eSEUpQkwqDSSYGdBzfg4FRf7gZRjeepwa8z73Vlb0dM4wBLbSdAVi0lBVaT+e7Zj0f6T+Z9Isdcb okITAPaLdSENeMQvYEvFuvaouPc8ho4u0DtG+kgvtWihyvQK55FDxpC/4fXWIJYR5yWvz8qU2E6I zfmdAfLk7wH1WDV1Du5xJs5ouqh4mk556WfBlMGZ6JCom0GYmb0L/SVAE/Uklp5/M9mq2T0gtjmf /QICOeKTZ0j68nEqspf3KFHbdKI+bcGt/DPkceubRHhF10/wH1+n0hRmawwtjgApVVHQ6dOa0x5t PHcMDp5kmJjuUSZsOuZjCGqtCY12Z4xcKHyFyYebMXW04SigAUrpMSmWzR8cnI97/tNCdGLdgvWR e0hOY1izknJLwuBCNBcZKJCB0ZvpOgFMqHemh27h/aSBa+cI/Cf2i7Obnkw0RymAe4A9aPnbpAoE N8QD75SsILpJl9t/jvluCVmlT7jbMEaXq1M0+OA7UVLhRg59WxSlBB3bepvslxdTYXJ6Vs+ywREA i4YlP0V+7jjhB4LcNn90kNdJOzxqbt1x+iS3oI0O62fRFcZNTFm9sDJGg99VI0q0ARTXHYHMBXv/ XAvXfENFCutyqn4c8pMnQC5E+oSqC0OpRq/OMg+eUbCVvrwMG/gN+xUXfuugAl3TAO6fv+tCb9S2 L3dT3hNaB932O54DBKXhBvSckddG6hQ/OuEtKC9EHuM35RPHD/9Kc/CzKLnVCbWSW6583hDv/6KH zmtOkgpsOZjCE1TjdpjBAqxtd2m+s4UR4U6PsWX/K/rRGQqIN4XGNvvCb9yCR1CZAN0WfHYCNtrG 2k/iQgZuut2muHexkko/J7hwyUzc5ttHql6usC5+tZnk0u3hIjYGM6HtZxNYRzv2tL1gaf6IWFLp Sg1eFiB/27deZ4xpwUcaw9Xrj8e14URnjAW+WnmAmRHDprTHZqT0ihqGJqCKRlZWHg6Xu6aWZWQL kunOxJWa6kpyGUj4VuZ5UUitdMXZ+ornEvycASqqc8qJtXsOmoMRSZPGdc3z489WRbl41lFlGejo w3UA1m4WxQo99/55yKfmdPdZKpqhqBoPHpvUWG2sEhf+z6vmS7JMHnjV6PP/d3Xb4ljsSP76VFKr r8eo3wH70nbUM0CG4kQNRiA+ma9/RqEENkrHAY1+9/7hTZRBf5yNrLfEX3ki84SKHG1NpAlSoHhL XCloobxXuBJT/ai0pTrnsFr4CUA5CE8elYKjKbSGU4YgOmYB5kO6TRbIuRuP12xs8Iqikt/vwNkN KuVOQLfsTd7oEqxxOs1tOs/GTqbNjyxVBilVs6qEaih0n+m1gpDF1okQUpZABRdlwleLUcfOQLId p4IWOE00EBNQnGRbaMZWGPUW5taWrC5urSKuu8tLzJWmx24K+QJV/t/Jdzn2t4hoIezVM0cZD7d1 4iAvxMM1PQuW3jk57eYVYoew40LJk/f09u1TgTYSHAkRoID8ZwfldGL01Dz/2RJRV28QAjvXPboT GL02nXhP363MRi9ogRlrAC40UVgw41TMvpGm4XVVx1wcH6ypfElmHOkmJgidaF+8fDLyt2CjmwfB +sPHkHHrOACqOircKDdgbgdMRelRlyCTJaJ/24bu/qj9P1A3y67ofn8Xqzqk8uTZ4pcw+v4O22WV FB0Ms9lhEWRj6lYkZQ5Mb6po3/ISvwDORgzEBaI80qH9/I/7sxl43z0wQJie7GtKKHgdhWU2M6YC gqTerTc8J4p+O6T0CUCDRiIuRYg+LRVZO9oc0Nx5US5e6/EHAyk8x3VhTrPDuuLooq+oUZWi6OHG A0uD1xjNjzS0ajXFv7jC/CEFgAnmT1p5+FYwo0VR7xE7l7Iy2xlZBLhrghVykoqKHBXFWTZLzpQi FP2XGXo1NgfSodK91iFxKiat5zLHVVtCL4sNJtuUXaH54/zM3dhusM+k+0mmJRKbtpt2pwmyXP04 H1xPLYJRDrxeaHd37HKTPTqtvQgdliko+P3QAXjNry6s7Weew9M/NdENU+4POJchhbVqCkfDTwHU CVnRURP9RSewwtqvWKUbK7JKQ7gGNnmkYGNXwzeCVUBPKiZIXa4jF6zl23Q3HqeW7/6j0N+yHRe1 rVS9J9u2dNNXlf0Rlg+aHJ6ZdWy/tiIP6JFsMD2Z/wA3hJlyXew/5qeGnLFJC8yC2T2Jwf3y2aDU 15ivDwk6p7B3eF7uPHB0TAk4lTIof5ackFqEYquGGf4IJaIBxAyK3ilUA5ctCvonFPuKab1GVKif Z/jSM6hT90TcgB+0rhh3UJXkXGiYk5+GYCmwLzSrf3xZFUXj4qj7SA11Hj1ieNPOCkfkZGHTu2Na zvGfGuGc7UTDjSQavKhwzVCcxVRSmjBwkfZuQY51DHXGXFzXL7PSyGmaUbMibfKomxeaeZvCiACq 8b67PfhHkajTnzAmHjXGAriGH6+pnn7mUnbYMp5lp/bBE6mUc/Pk6+wUyEHTVmD5nS4gRG5aXNyQ A9dKjdhjUt2z+hUywob3B3moMeqH1vVjrp3l4zFiALB3RIxpg659duAQBzAbyzRElvognBplzWjC dOHvR8VQHCncVitQyykU8YWKfOtDkpyOa2qMm9PAmRbp+lEtH+Ujd73wwEIxtYyMActFJEvSyXqj Jf12zrfgE9JmrLMLaKxkfNSkrwQeR26WndlShv9qdSk4rLawCpl1Drq0xeoqMIpmUL14AyKknpxA Uw+x0ddoptE356XD2kgUsytkNuY4Ksfvkj4wA17cysdb/d7DnrNyPLfID+kKi8PZ+oy8LS8Zkv8S G3wdYUligIzzQEHz2SibCFe1KBFKN8aNrXjcKVWLanaphzCHPwFFidpCqUcYJPF0t0Uf6zFIbai0 DEFqOqylmRG+WLBHtT+DDFsqmW/GabohIas6FbhKM2RLqfX4/9DJqNLCmT/kWRnZgfazeUQKtmWt NDKlyviDo24rhBmnYOinHwLSqiS0XQklIO8Xi3y1eSiytpVbWa86LPNiePTrP1h9P/l7/qtangUp eNpojIx3RjmgXY1M7evAiKB5rvNnKrgcTwu6ZsCyVt8p8WsiaqMox3QCgqfkFtC3QEJmc2i3wHof +MU4PrvywYdAhNTS/K6z85eA4Wsb3eZP2905EVWeTd4SrA27DxOI5MAXIKVbAtyfJotQsIII6JYe kl3CtEDfK21SOdhUWVdu1oSpIpgwJIuTVqehubQzl21+y+OifBMH7PamkLB0+rN+rw3xilW0g+Bl zQPfzA9ooXG+5UVpDa2aJ1zhAyp2dCFt3OXz9FdDw9jtfJ5P2BEvO3++UUWmATYnq6T0rAajnUkm vfHploKDVghX1u6h09mXGBa73JivLpeiSN04iEAYRa59W/dzcZvICtwQAUR0Zzg1M0taXuYw31pR h8GUaR28Mhm857x4oTwomZ6s1EDg5s1prpj2e/2KqXFinkleZmjO7sWyN2ApyEoatiUrlypm4AQ9 btZCpPcNCs1+g4k9TV2ZOzlG5iEzXGq6mHAoBPHiAYij3iZa2TZQtkksqQjHCGd6XE8I95gXjeLx /mz2EVSrP4rjCSp4jpuyRCc4JbN4a97F8cSV91yo7hhFpuqqptNcwPSxVGuzVjtZUtwKXcrYvqSD qZIdIzUQME7RcWFF9THQbJOWB3fC53NcjIAJEpXiGQDJi2tFFWPhdBpubaq7DMM30paurfuRxSv6 MNrWM2H2HV6dtjHQVXAeb8BldJOjKETa9q6Reh1ZTn/COaL51KlRuQmFALS7keDWqk8Sv7WTN/H4 Jjv66Xb6JoUhn2+CnTbovXSjysmRk2ebJkjvzXFcBB/zjuSnqfT0y3fKT4DnZFoCADfbgG/il7h0 h5zT3NL5xKdGFE3wSssoIdEb2uIXEhNvEFfHyV/bihQ1d89u9oTg0dXeJfn0jv1HcocjBScjTcE1 DU17eYYVBxHRKu4MfwgmcaRQ1+/ohF75rnTUbXtABHmImBYSYB7SQIkGF1PjkMUy0cw5qW+u3tjx 4ZKPAam2mqS+e3r4ICgyXImub0gOstyMwKZ3srg2J06bg6LyJq86a7VHoUlCqT/W89H3FZ6JzFfl jQtG+FcyIaUDDH/TDKkeK4c8xG4afTdZqp8+KHkyob1C9xWlD834dgDvnf6yIinS9Bh4cI2/zK5Q +P5gaXHtANZH2Q1k+0kHMmGT5cAgD0rxQr3WeUWyNyinl4ccyQT1Dc6dV2ZafhkkTgeQkjCenR6L eYlabCAcnGFi3gOI81UUfno2byMhjR1BKubcmk4jkd41h5oQDlZPv/3FqqDqeFcG6OqQ+gpQ2Sfw OjSjLjPG6Sv2zuJ8Tkl6q3mx6w9/A5hFvumgJJVIfq3QsgxhKWAmLTaozGyZZ9XvbBSF+AxyJtwv spjgwRCPU0FP5VEG6N3UCL1KkBnxZBoS7FycwUhPadbxov88h3qHllmhZrY/haiqKaLnWqz5QNtq UC460pouQ2/4qHtrXgpCmZ/xD6jVn4TfLESce+O+YPV793GYB+In9qcvUC4fGAqP6oJ/JvJDqe2h a5W6wKKMUkanWzfWAWsETIfFZe4ng6qP+k1xhe27XtPPBUnqOJzu7pXgd7wB7XIHTsIjdBwcCi25 ma6QP6wNAwFqFeXfuSjtuKpoZj5fPJpT0GI9FmxjYRUdTFBq/cCNImbZq8/7IXplpNXCvxf8GiW+ InfHiYJPl8qXuGQs0lq5dgK2eT4El2mEjDkZhGiqOD8yvHQIuiSjjaJ5p80jagpasMqh2k2Psv/L AJB+5tV3o24eePvtIQ2t1lz75mipZ6DzdxArl3ADLBz6VqcTabKyt9a9bUJQSZo51u1ql/3wzF+C r2iquRaCdi1svk/dfuATaUTJOGKuV1ols9mk+eDT7e6CIS+Rp+92DHT9Pg+E4zJvSnlsD2LxNmHz Pj1fzOiBn44P4/Xj6YW0RblgePQ8aRptlxy4Qfkujokqy+d+DS/nJi63JZuFJ8AQ/ZQb0TnIr9jW RuGnCTcJuw/SVycUaUJNqU7zf0COKxNLu82DG8w6kkLmsz/qznxcrEeCIw+qJfl6G4xzs+uEE66+ GBPsAT2WGr5wsCC46K2u1KwwVwMJ3CczNXXXlZbhiL52AUxGgLufWYT0JQ0OXppPY6KIIKqQSHJu REJ1j3j539sAj9G9oofZwrj+y++KU+tV62DfrohIKUJPcoUDQjFpJ/PZfBs+0WdOvQZ92jLKBrk6 6p8XZEnOZ3b5W3tCjdM5V5sxMrW7jwnn5d0ljDndwOqMkY+G1y9tnFuuhKfFkHsNXZJyNjU5N1Xb i4AX/qrFlXax4KQEoIvQaMeufWPIK7k0IEjzjfwkpXnqYhZAj6TTnpua8lURrZfAqPhxREGqH4xZ 8bsK1Gu48xV0Zn0Q0tGa1whFZlhVUgKLDP45QB44q5k9nhIyEQmM/vDdpvfjPQrVurYBfygNwcrc mBig2wJXWe8hAMktj1+QII6wasYLf0iqQ3tmoTYVRoMZzR7AfJYDP91GwLE3H8c3Rqh3ftu609nu v3lfrLEl6lPuyOvXFNROXsQXviCgv/EL9tDErD2pEJoTmQAidaobpUi7KA4CDLd8W3397u9k2mzN EKz+DKD2TjjA1gpbZGp5gXHxlAhlWdHTwL8pHj3DDF6hpX/lUDUWwVszVJnMVecIR/pzxgunCqYi 9dRBK8YlYetfGVyrUM9yf3vzCV5WSICVZbsff26ofEf+ioFmCZlEiFMOKPlIes1N5OEqP8oG4MbN 4v+Jo8+asqN4YD1z0spcx/qquNPp5/Y1Jb8mAeu34siQXHs9zuRFS2sKphPgZDv0uNA5KEUpvrKn 1XTBRZ0JobyzsY0IXVuBTepdKBoA1bluhHMmNbYr86LfOsu8IaZem3RBMDo9efB3gv8ZnfIol1Fd zqZM1Mjog8K7k1kKnx7Er+vvOeeRmiu3CJ91QLVrxI+l7Oaoc4OxkFs7dT1u+NQ/T2SwPeWAK/wM i6bJRnNrSN3XRKTs2BuGrY5ezW4+Uju2KCa5no/angdNw4FA2T0NZKrQvqbr/CYo20HDrFMaVJ8S OOCK1P03waipnEjpIkSHg0kUlPcn/zPEjzJedX+vFA/CZ1TMX0zSYQOWxNgBpCHU4MflJMaWOzJ7 kHUqpPSXz++WaZiyiJLycJmFQcYbi03OJhOYMtdFjF/ABww9wjaELtmo5yXv+Xoe4PoW58P0vgVm 6/KT8/mcXqJ8O/N625rG+iMMs2UP9RLgHhQW78fdY2iHEm7D39PT40ve9i6QMg1x8X3Z8wvK0OMC QFyLAGQfHpyiDg8KUkEarYulyqrm1IgEWAP4e8JhrtC4QBh1lobTguhtZdHMqIdqZW8eFYqSpDlT xAUgroAwWyK1rGKvdOhUJgD8lBC/OLj6HqIwAVjuC4KBYscm2vSeIb49iCV5Y9V7HINs6ewVuzX8 p6su0j9H00x5MdhhaZCUfqDToTAc5SM3+VBmkEQ96QKs3cAulQJu1MbSPuEqC41BSikJzwg/RBO0 HvrXmODQQkbuQZv/4K97qXfEA9UQallX/tQfqyNZ+L/YX5hNGQCFZPr0bORrZgztCo51+4VIl7Km BhnXulVrT31jtQgqiBtMxABcrkyR0zIkHSw/aSEAEGPfOJ6IRJg5Ba+/EUFQFNEaerhqLrbbjGKx m5YICO8bhBO/X+JN6gKvD4Hkn6AHupT1DujdpFp4IiDp1xSn1Qwr+hsUDaJN1RGu+CVKTj3wRNZ8 NEUIh+fgydYp8d6UcsFJHe6qz6yZA1Dx9bvRzUAiO7Ma1D69NbMz5TU35qkCtdCQw6AuHmp1KPv0 80hQLGPXVHjXf7r0su7S+6HfR5/ZCLhREJ51Ufgd0VB/oU3sqP6lxqGTJIZSNg7XamPdXLL9pxg2 hWQysGPOZ42yOQtyYQGZPG10lgeT9l2QTW3s7h2IbTB1BDC9VCsRaFSa2kQN2J0mAZwethqwH4eV R93iALsUqh9XI/yHEvSHI7POALuIyJ3DiRUCCA9CmNtqey/YbZHdvEct44gCdHh0MfkIEVxodFXs 6sRayuUXi//jPUSA5WPJwy6yZJwdzMzksxkmt1N+5lnfTive+7h/AW82MIyZd+dffhp2LZcNPjQ+ 6IrriIUS9dYbKdn8F8jSOXkut5bX/oQ567LGhOGNxyybeQWKwBit/A4vcv9AfRhFRB8Ur4YEZDB0 qaLLev5FctW3yGZC7jLdSKv7dcKnHwb0KLZriIjesCbafUQ+5qsOgB9MS4X1CSqFMF1lOWqzDBB8 1q2VhPpfhfk2dOAw01xzYpMXIQkloUkbTfMnN7NFWCIaQ1Jc5FBG9a6e/cJazaf85bMBJBQI0dFf Umo9sSeljiA090LLIcwMx0Bgl0p1SUMd8VW4/C4yhDwAz2i+M3wG/1rGC7Bwm2Z4KVjvuST7RN+A LwDJybHGbrTM82HSH7dQPWkjBT+UV2DD7qpQmHOtnzvcOZt4M+dl08qYIgot0zFD5wiEQH8mtbeC IliY03lIZVUW9qdrYmOlj4AvotKIJ1Kgs7QiBi8B8QBsWb5+xultO4kXx5MwCpOQdPds9WPdELXq fafVa5XK51WGP0WRp63Gt43+y4ce+nTK344CV1ExZ2rGX2UO+HpibC6TR3ohPw1cpF4kDZ9yEzVM YKNw3iRJZpxMnmi4sMHAYPsXy9c4erFTK5hG0bWrfcmFhw/Hy545Vat9aEkIf1HeIiVgVIwUcLDm vkMajmnmWJy++aQcYOHLhcmkdZC39zJflbfFw6DUvz2o4xDSH2wTGgWIhxVstB8d4ZkErVzZJ5L8 eGTP+5ZljQNDbEdRmMsvQwUOzO9S5V+LbZMPi5POCRecOjwfO/pycqucLZi+fRe3a5eFD8f34zb0 anh+J3zs5EY5R56v6NAalKwJ/I5a1sa7wWxlRYSiFjM4wcue/pj28CWELUuegeXhFGa7XqO7I3R5 XXiJFm1SdOPHDeiAbcOpq/jOiHtUSMft9UdhFJMoGPs1PUjIrdAJ21JyGBfmujYkAsL14CPgBKBD Ys02P604BdCfQbW1K7cFKJs4eB3Lt/lz/VbfBACbqm/kh0XqmhQO/bSm/rC+x3pB4gKlSww75MYy oC5F4M3bs7EHXRI7wKQJ5/TkMRF6AQ4n2lKk4FQIJ9Dg7XaxIry4nlIT8NSG25B8HoN58BE0zcGH 9ujnjJxYo8fxzmJ+yPL8gXSc8xM7HUyW1bHj/Ar+mkosa2cgR9MZFjPiJt9xib3FtgoqFhx8vnNj BhKyntSTheEInaiwEPTld6LnmmmfxITpdsR7OiUzuQgj5SQG1W9hcPZ29ArSjpo7oYqa7YCcygT+ j8O0WmtYTkq1NTVASeQFGtZNHbIQZopqBcn7YMwiPpC2R7NyRWWiA/C7ENj3ZRV21dCzKvE+Q6Mu 2qSkjRSzerkZ3VdtUeTGWLRg0dEu5Mv/sWeQf/vyy2bsV01Jxvp+xTcdnVX20JQBTm+oZxSNRhMi L5GpreFF5XlXzaKcWyEuMz0X8az6NAIMBPGiwKKydfUDcMA5gRTDsVA13by/b1Xb9h5Q86oiG99O r0yHIoPXWGXH/NRAPrlLcpO76plDJDRgymBoXBFrBz0XeoNMDJHXv2A5+sP1o9vJJFfGinfGpBMf fdjBLHPIN/7oQbC3N93hgjpbr9oypbjbdoVuwUrTe0b8B9FJP9hhKjyWMLBfMYjRGoO3pQhEkdIB lXFix42PHwCXiiFScGdr8vKPl//O25/8Z6qyahvG6+/8VoL1gG3emYdCHcR13lCHHd68+yXCL0M5 SgKPorEdqB9N2YxFRDjpRdq+UA3hQxkpZhIvWdL3IO7TlwemNoeZC2rW0V/AcLBhD7uzLy7DmNv9 Z/6c0n0KvHOMezvyjxhjPgpfsJX2mQCU/sI66vY41xGOLFswODWzzzmefv/vv4BzVeK5UrxJu+MA hAiOUfkWP3phhOJAJ/Hjj+tRbqz30ug4oZMX678ToRdcNW9Dv9Xw4xeNdryPubTk3hrsV+5KJWlF sa3Q8UQdT02chA2ZGo18fXod4xUWVnzHrYf5VFTSBx7C3VbXu8Wd1fyxxdL0pr7bDgnANFjl68tR 3QZnI7GNIxqGbbfSNRJcmK6eW5GtLtkXlZqaH2rNgmjPqDT55QMKaxgsNwpaMDob4iJa1eQDCBIF MkAAhduoSlagMJcCHuMcrJy4nI0lkbKTFrriIW9rbFkklqrf1i6PFSEZ9oPx77IfDG5H52RKu+pu snFotQohU54jiCfUd82RpQZgXzZvJidbAf5cyj07F+WXlSJKyPlSN/frolrjK7PeIsWdpmyZ5CP0 wmnUoJOvzr6nAbIWOxXxd7YBc8ajVBysh1comxTg/gzfch25ry24ocl+sEIpMT7u+Z8Nhbr7tZs/ X7SuoCG4kmsSweYDMG/Nwvh113ovamtEcX21XcXJEfz1s+cKDtry8yuSrFw71zS6/YuHM/3M1pR9 SR8tOryD4R6Piea/pxUIFrgJj1lUFLyOE9ZkcW0ERgJ62h2zHcdi8iln69Vyd6DPUBzfoeyXyecK sEXBDfFF+UQCcQPZ/NI49Y4iagAbqTnfzk0zf3NQHW5rytCid9JNBPrIoL/kHnIGwk1UG6GILKwc zXe3xA1kE9NkhwxGT1RdQBtSG1cV1icCQ4ml/pouSHSzknPJuItw+chG9tZhp8szu/dGmFRt3VoQ Bl9P4rLB0kwVxKxd7RXlldX4L8fy7kNjrO2LiOgyqRnsNyxwfp2LbjAHx8dGxm70ZFfF6CYH7TSs CuLcpOxP9ECk3L2/+IIU8nx5jmQZx/lIOz2efj6UL8iJJSDEPUNefq81szoM1ab+/HsVF42wXxS0 l5yJg2+lYOMXHBzw+aGBoNVbpkjLez354bH+aI+htZvUlR2RqSHeLQO0rg3hMSbWiqf1FLGjaxxw BNG/smD+0D/RaPBsnfBiIkzzXdysjhOx3wqkBtZpkILZRunsdAx0h/QOhF/1G0B9sRZPuHWggZ8i WbcOY259had9bpus50GYhLdVzdY9wlQrm0WU9kBJGvRDP9ICWiIKkjyyHCZRLv9AEotthJ+MD067 IFeuQwOq0t797Xz3hKS3Z/UmEhVov7ki7ut8lFuU5CXsalIxvF0TaxZ1sZG/rbwiNZBFv52l2Vzg /BD2nr8Jmt6kh6jH1y/kbchdCBAN/QWoHDsw9PtQ5l2jNRaQDl92kknkh66/pIevo+NLjJfYYhzz 5i0dHNvSqT2pvrlh77nD5/jcGgGNdrRCQdafXq0Yam7i/kpn4iDuaISInmrHYQvqijR6Nrz9TqZ7 qVgeRqhIYLmURSvIb5Aup1xrw0D3iY0Su+VCnOzIZnVV8+4gWHzX8lcv+eZBfHYcOuGUGhulikqu 6kN7iZppL3yPyvtV+3aJ2sFwapqbAagKguIJHdmpJmOB6PFs1o7ExndV1wOywpkW+RqmqF9X5xS+ i8hHk9s79UlkET/tWV5gMpDvPOhl/s2VxNR1cYqkHRA4wTVlJh5t9YpLpFuCZzJyYvtiqTjCkxan e3tYYCGmTP5CkD2EVbkyWIi/v7kJRm7by2Dw0fd5xHnRwZZtbg7wVNMwWrq+FpuA5j/rJc45ZZ6l 8+/+kRMWtGWO+vn18RxKH07bBpu5kQj/Y6qrrivhXttqQ0vQHe2OiYFTLoCXIFekSJPtCV87TF87 BMawc2FdZ0Nty208m2ixBAxh159z0GG25/j7zRv/4Lhh3FIyTg2MG+6Qaw53vmCYhStI6dkANgvY D6KtqfAsgVlo8AKWTdmpPGA4t+Khdigl1MSUr6/12G79W8Te8dulfJ8Xf/OyU3DIMLcjq6uewhqf EeJf7bnAbHabY/pPB50dXTyUxXTiKuPBl4/HEqwjD69IeHxgsU5N/KxXQNddBiQeUqqhfcx219Tb oBN+rUX8StgODEGxa0q9jiq/HEhm0JqttQ/QgtVLkTm9MC+zXt/fDZMhP+dAEgRxb6y9tcMnBQr6 oqTxQw3iYSu7CilOgqq5B8BH6zImoiBlLgSfas1Nvv7dxlkVuYyVokYHI6yVO43jw29pFv6hlg7f V1SDcAVDx2kYCMM2sfpU9rNqQYbEOEfN7ZXHziaS7VvNr3YEZlXrVWIsbTeS38YpO7mpwte3uxo9 m9oJO7l+qSJYSROMdxKtSA6WnlEO2wliYCo+BwUNbheWNYAZAe9TuborTqkkqSsfTSqEBig/8oUX 3HDDxmvEubg+RB9lomw8vZW80dZbrMafIkGqb+ztsSKId8ALxHqmMrn4HTZjiNgzS7fVL0TdRmgk 0CgeMtDGmwdZuCXjK6ySEQ4Rf2LLnnauLC0vSOD9BzPsieVfaIGrNjnzgSW6+ds5v6nY8RSdbPtw SKYCon+BPCs8oDRQMF+IAcRxnyFQ5xqV6kjnNN4AW5S2lfL5IIB+u6TlDgY8Fwdg3iQ7HBLu7aqQ g7+hbSC2uqSz0uEDpHbRVCtnmV3hjtF3wVmc4+Mp23mB2VHTb4GMMksHDKxf+WRSin7oUs5FGupG Gld8pLKeA471P0yQFqsjDU2hxEPLiL7LvOJt0BuGBoxZ4inKnF0ij7zgCJ3Qjk8jej5X+597VhbP WKI1gWROv740IRkQJdP0J+ge1gcKeXwUpNUntL6fxkhKQPhUYGhBX3iEzFhVnkPHzIYhXlMrKyvz oRLmseT9MTj8HWsbFUxziI+vZGJqJPWXwsQxLs/YWqEiZepRxbTm/CsUnu1FDLq30PWOtPtGFaqE L6VE4WreIDOvue8jVQh391Mvh2pcGC3Rn4jfgoYsmHelgKovDbhemuWbOv5XTKEkAsJwA81UgFFI UgkuJjgeq7iMnZ3LIB8dTOQSraRCG9v4KL/fPNjjgxDb6YapMkw3yHbgSjEELONO+yRK2dukyaXs Zrdcp8fCugN/53zkCkKrm+JVunihjlhyLzaHn8PsvOHChn8nXffDDJjDxoV9ojmUvColj1FxGwSM yfMqzY7PWOBy8NqR8CO7F/RGpX+mvTkGbtgQnrZFPkwWAqRfWwGD7ALdz3fV/q3owlsobuY6WET5 OkBVH3PwjzT9qArMBexaBsWY+vdYu81BIvW8DjKM8bT6yfg8joKkQFFMjLOSSQ53QLk65ZvlOsw7 vIXxciQ8ScQ3FPf2I/91MhhaI6db/nISYbcMTveYVaLWBxI518CKkMrnCk5C/A2ZpxFhS0ROoBEU VhD7b0lpCupmBKpmj+X+w5g51um+XpeVNLv7j09oqrSIEsxNL49eocbkAvYYKZtnX+5r0PVxD1bz vxrXfEkSlQ8pqic/7HWUJ0I6kU3uET+ZfAkGpXSD9+7M5pRbvox/0QiARJik/XROFlzUSc4AYU7l v3vg9ngu/6JZP3Cqkd7dtZKGfJry38HjZ+azS1ai31efTVQkssTg2pShKWZLs0BIzJ0rCJgomOjy OVPWSTGXRLgrNEIONaARJfeCAc8OpNQcoagt2feanT9xGevwjHx/pSLItXa+ToEXzQ9E6hYkaEwp l+UF3bjc/RzKPFvsw1HeqxhIk1Uwn0cVRIfrQu+iWf1TtNzA2voWKe4k9ElUjoYZei/iNAi3Ceea DeUwF09Nqmwd++7j/4HrUCjUrqUtsgT33bmVK7RUAADFn5nRHXw3upg9Q0WtNiRTEUVjIiuP1yMP ti/zhKJWZtfLhPXAEy9YOaOBObu2W1lgmr/wdLRnIpHtdYFpvhcqFeRQ54mCZBW6/et+mdwo5yzA G69g8U2lM+0C+OM7QvqFtx4GY52m5SNQJZJq5GDtudjnnA5/pT1T1iPSey8SaT5OMPr4+Jba3Mxz pomi8eaLnpgapw/VRA5vvDLLgs52O/BnN67v1DYA2Tc/lKHs9qPQe+q+IvjVqCtvIsfUlgKV3dn2 mVR/GACzeOFjfWg+IJqzQGLUdsQwrfXKZmUEEXFixPSImghYrs0RO7NEfakaVbEVusvBuz2q6ICo eGiSDuIz/jlHLYnCm2cKHOCExTKImIJTza7l+8sH5TgxHPPIUKhoLw6CQ51w8LgwHo+kigruytt9 ZJyqAcM18lzzHkswwbwYG4o3MxuZT3s7HjBLFgkee0NFb2qS3QOBnbl+NgBJdz+eNoCALrkD8cjR ssK6r3BdvoKCuavCvuR/hO2QkphUTFnyiox+ElUAzUVvtJCvHP6SqriZfFcU9HFYpPE+u7EO4gV+ o0sbTFftkniCqSFHsgVwLcaTgUhMtCl1i1Xi783iIO/8eFBxHecfDEIX+rXYvqMeZdwL/H3lbv8U yRPRqhnLSnZKgSLCg3qPvqcqpMPydznTwKmFYfLak2KRp4d/DdEW/kj0udxdarl+021n5jWbpXMG XFi9pBQOYMQciyws5xjiQfJGbW20J/I0DeaQMbWEG71mt4bCSKuVgzRvnC547vbSCwSxU1C/LjxT jP3SI4De9xyF1eKY3WK1g0MgwmqAE14hHQUxzigIdHuoYm70Im23ZYtoo30sj/syEGlzovS77lt8 UPqQeKgSDwQqBRjnutqDFPn5xDiYls93xpIOkdgPSg4z1aORV+T5P/JhkddKqVKsXHK932gG2adM DBLkEUxiEV2QrRCgTdF3JAXXTaUecKap0fRkcWn2TVL7OlFCgIB2q86D6hHK7CX7KQA9RzovNNEh VIAZl240KS6rFgukz6tbZXk+Cdt3/czyMPC9m7NPpBcjJYxB3aP3Uy6scFwiz+zdgUZbwl7Ldi/H JhOuD9cbqQXlhHPqZtyh1St4VQopTV1u9IJm+XSRdUeKUJdQiO4PyliKchd/uXOxaDZg/quUvs5Y ka0FD5/w04XOwc7MH/ttsD2jxlCfiUwrrzRT1MY0QLlSIpmpkQNgZ10VCuaa7CJvxLg16QNoMqGi K/hjYLZpBTJ3dKm8u/yksGCJxzGpJ505e8ksahMfOruwyARWxSHIuse0cOuuDBbv/bGwTdMNsC9G RC2+8Bhc5qYIR5y+/MZSpgaOM4ooVjJnBa/BvIyVrLSZQLFW10dqYkRSeXwc3csxUoHLbdigWzBn kIDNJ4oB/DKSwXpMGGci14wds5uAc8THMfvjCYosaidvx+mLW9vrG/3IHlWPOEOoSm5Z3f5Nkgfa LabVPkBrm0DmRWsKLesp/S//IA3M+WZR01DEhfFZXa0rwmHbxkRuILLAFY/OvN1UuVWeVSwMhAN9 1rl0KLA+d82IlBnDspLeIPs2dgXdq2WZ7dyd6llt0F49kSmg48lW7tTFOao+f9hqDecxu7o+1yNQ YI5mIUl2ASKP1H88BCamQXrK8G7iVrqHY/05xAcFUZTEBIeoZ33FlSobPFHJjFeL5H0BjSmt1/Sx INKMZcnEZvvukD0fIQJwsp5fq4b650fQJKADw7TEm4G6zG0d0UNjmnnZJM+BKFlkFlyXsEJc2I0R 12cctKcto5m7kQXHyCNMmsSN32LbPAvI+NRzmyDmUWeFJs3ntuMKOfBZd36cxfZe7+F5rFqbBel5 I/TA7dpoKlTOCgAwxg/wYXFn6qJlh2PiH7/Dy5/fndtfc1XXVYXks8IyCYCyLFintfag2Ot05KoW 25pD5ybP5JuL1iMttfbnfHQpdrYIN8u3Kw2aLXFLzB1ZIhZOKv/HVRAz7ViBuKeqOfPK+AKrwd/b xk7swAof/pDyL58nsnTdx1yZ0mpoogW1wbxMNz3qKwlnhbN4cj+juT0Fm3mOj2ay9PQUZpHy+rUk +mRj76bgFtKdxPyfWW4K14d3Ic1Hs9SiqBCKIZOn6tNT3kyj0tA2p1GRYSI+eOxltLd3ibMvwDIg 1AIOJstn1Oqhr3SLgC4BGWtX33TFgYsd8IOBTtmCLVWantBOfrPCIcUn8yMpoyHBIwA/RwLdRcVy ojVyz3wt19jLj+f9SItFATuyquhfyLK1EuRyfiQuAg3vO+5+nThEiGpQGPw7+0PTaTuAFtVKG4Kg 7V8MhBxhg+ao1DhEh6deR3mpM/dzD4oMf7LCPYwFUllB3CoyYg33BUfvLUPPgCgaQOsySMSJx/jn fUTq7mnWZpjmrsx1VRpWAlgXfdq5aS8I5b57/WqrUo0vjFSQeBnunO0LtVuTXGE9P4wZrhAlsS7W QMkUPGs/xJAo/zkEd3K72yN0pe+oXIM6gdq8zycmiHz9xsbT3nUEW2nmFT9qM4/dAhFBQvXR1rzJ yR6cUS9FGqja+8476xyALVPGsH+JE1xRIft5/JgwVZvtfjOvX5/SuomkHNuloKR4T0UfOWjTHF0S vjwQ4b4DoSvg6Qh6tam3V+TLTofBkP/At2QsgFHgb+z1GCRFdJa5MOcHqbGGkit7hskBWy6g7NGJ mMpnuuqO9i51y/tUs1SSzxpVbHEVVtfxIhD3QWehvdea5RYtCdcJ+3KrtTdXxSlTadOAWfG/IHg5 I2iLYugia1tLUklodeaz8e725ibeNakGw+UwN5UxYdzfGJXeINa45GruCf/kWZ50O21SMwhQktqp 9pZZHHFawN1DdSBRE81BvqU1rTde61K9BhItFgMp3BH/B0+JbzN3PJ2/nJuBituiGQtpmtoFVHAy /x/ChZnBR2nexDriRPhtpKe8fiHCHGC04Zg3ZyTClfh9UpqKmY3t15b8LAhbvC/W1w5KOIgBfT/8 zbTZ//RJAQT5+f9KEgSae9gGzPxmjZwvt7kyZH5zN3ADdGDc8JMF2GyYQ7qhZbGm3P2F6papgP8X HH/eKegTfIqNO+KRb+46C04MBygNr/ZLTAxaVX5FOpNyQhAHTUydFSeNuboLT3PyqXe6gm/jx/iQ Z12k3m1da0b9GD2zi6RrYe+JpKzdrFeGGzCikQu0u9Yb5Xc6d5bwwebZzCLL2V9jLndMC5opJwsO nHF+IiXaT2GbtP9PfSuxnmxvvlVHVRit8VeteAWqM923FWtRHDSYsrYeKE0SiO7MQJPUn3v8l9uX h7NDQnUbF2/sd+MQb3dHQExrVbxz7U2qmMBWW0Q2f9ZI4JewaQ02e+h1l9SOev3ym+qHLp3QbBmI eW/N5FNj/TbVxLZexzz9moL5dnAGfzJzph4e16XUe3O9tYrUq/sO36Kl/3Ai222YD5TRccnq5YYG U00hnRiCjpXwoHGjBduiM0m6hoQYUTLK4klzxI1u86wj4Ch3+sS9lWDARE0rEMKX22T7N0fLzXl7 21ArOFfmOZjTqoXtgBJx2aEPjiJ7CeTdJdDEpNQOWi4Isi4c2EkBXG/iYU1k8RWUgHNHR45770Ah C9IcRze44Ju7y+uhWZ1xU4kK3BfKF3jjp7OpdO4Af8jntyahs7X8EMNXc7gd8eLBzLekDHXhyvxR fhM78IF3Ivh8m12K9WP1iiCq9W1rbh6tjV3uKt2m5s/D6rXLAtntU8rgNWtuIpaTWIHfI9FMYVL7 FYK8o9K8z5vjFtX1CZr6sFrb6GHDhQenx1W0bihlev86UeXzFcupTBYLiAZUqNqnTTLVSEWceNEL oPhzNG6onNFwQFSkoEiGJ4EbCDLgIKtI5pdUzIYH/U08jAk1qD/Jxe9J+3ENemKB83wTRPU/brMY ZrrpQiYJ5RO7wA1kufIzQXj4z5RS3H/lLClABQ0cuW03YZc+HAlaFKUnfoBJDyIP3qO947Y+H/Wn Yvf4dhmo/XMOj0uWYltLS+6MU5ETUknptec9WmDTrRn/jLwK34wNtr5+m0Im7y9FJhHoX/MzOqBY XCtX4qlOM6nstC/2NvjtvMDaPGGf2Bw8+A7CtsTP/5v3WEw9+a2FKjdS0pra3/18PK4cDeZ0r8Ga SO7gzaCMZOPxtmo3bNIqH34bYHpnl/qXKKMwhTYlPkD+XFqNEzOTBdEiFZWTryl6IeTnyDrgaDfO 3XYjrEp01fTTaasnl6xPCeWpWZXOEr8DL2fenCP7E2zBR27rh63rZyrIHpGtssrdegWz/xIUND9L XI8nFKfHavHeG6DJn5UUbVg+prLoJ81EGj7IbgwefCOxNu0AWCiK5vUNVXics4fG7mVTeFZRQMu/ PDvpNOqu5f+SHMHBaMf8mlc6xYluLtZGb0BWlOZyRFPz6gyNGUxq04BpQ0UYXd09RNbyerfNgMnD qqqBcwsHp6nZbPhh1lxT0a3RjC7mVJqkEXfRk0ltToK19Zq8r2qLrtiPbq7wBTPlrH9+W5XFyCow DtwOxN2fxCrapWwVmGC68lXstmC02z+fZZpXaV5fHw1GPcRccuq/YJFwBbKysdSuMsNMlpE8Cw6o /PIStznYUptXgPbf8bXOIzxIgg1btLZsUACuQjQLVATRw8/UDNgttoNzK+JvToLKm+zhfop6rqkW BYdpX2edzp4Sq+g+ollYe9vJJkOLQVMa7n1Ayk4wqXilBAjyhZ8ceNH54AKEeh3ea7XDuFmzMFQw u1RyvguKQewptbXXmyTh705UepDk5bll0K5xifal7/vgtme0jWBT6ZEGZTRR1tZE5mJb0bA/XvfS W7PWkIa0EkDb7784Ddk+40SX+7fA0CcBFnqVaA4ex269cTEkkJPhtp9MR+r426emg1Za+oHu6yu7 OSL4R98pvxRusYib2FLpqi+UWaHHRu9pVPR6W7huQVIvPuAsbgYxKoqrZ+7pISfYGv3/PKU0MxN6 BBMGpRzg/B3xZ5V2owEYHUqICKdEWmdtavwgoBoQSbEYKtQet3Kb4HeGHp3EDHOl5a6FBQtrXPnh nXEiojR7W57Q1cGuD0wYtlh5tonDOXCHeqP6pDxtq452f8NylAjp4OQF1KnADfeIYskibrLvfrbQ DVMgnKP+8B8U2kpTgRvPZvf7r2RHPfl1inheeE1Y3ltzOWe4RWR42HTTlonIAQ5CJpFmJSBZzEB1 Y4aujCrY1D14wofdGL9JrN1LUKP3iHEamt7EGcwu8bB/w9OjScyPFA6iuvFohhp+JJeGK+gDzY/A 7AAiKP0PlfS8+fQxxRyrYgNGFkWEdKA/EvBaJYydT5njpxhgsCtv8uUsAfZE4/19r6OgMBn8XONJ ZdD7A4MKIJ8hPBTQDzfTLgUvZXzSR0UXuW3fIll0ON7Iuhl66c87RfOumi616eOWfVFDiRVJaTP9 z2X0xqiOztbvM/Jwgsf1X+0LCqzC5cw55wrLoBSVN0ft0t+CZzlav0Z6uGetB+pnsN+YOJvzWVg+ 8xHIAs41+5QVZ0FkNoSHZjARS26WpLFpyGuZ9gYijkWtQX0CxujK3uYI29Ww1o5PYiwBZzvvAOQF D3Smo78i7WtLiTmnm9MK584arSlQoGoveSUS3VNtyys23rPRyCbk+z2BZSfP6g15r4K+qGas83Dj VnGZtdcwpOiu4fajHjXPLVMpklPv9EuIewGOdr6S3t8kTgLKBnl1VYD8zQ7x1fFRk/HhmbHteMPo L+ohn4S3ZgZ2r4szKq0V/lKe8BJ9VWFB9GPEmB5FeyXsSxGsYvod0kqs70JizhQbdCHeij5i3mPY I7Y4h6AH4LkqhBplkjDBHvai6NqHppJIESnuohpZYtN59XHCs2r0TsDPPuQGBxFqP2QAvFSNkvXC kNjBdqRi2IW+u3XD5AopK4P6pdRPrx8bNi+28d0Oa3BrBXvtMpDGyttsw3+e5WPen/SN2NiwH5vX AU96akVu3rF4NEd/sIJmhIjIdNfmYymzO+wv3eVYI1vMV94XzKgNATA8dgst+Wpe1odjerBHHRQJ yvyyNo199pxqy7zp8gOe21ZPya3istpNsqfGutoO6GVdFlpeYQqldZllAuFRAlB3i9GU3HLtoDKE lFaizkNQ1QaO5Nvbnc8uMKvy5iIaGUxMFNtJcP3ev6yxZYhZ1yIjZ/jo6sBM8Ya+qmmyakxZ+/O5 lkGlIU9rBvHL5yUc9btS6cR8J7wFsT71A7rgnLeRUFyC4KOhRtLM+UAb8cujtTtRZ7US28Dea+aV 8f8iKBaNjOqhnUoHWh7Y8FFbyj84Sut1mG9dVkPDY7lGdUWH0l2yx5nZJSS7Hs4gxALkyirAoygT z+tpIqKkUlkuj7EUSJ3XdADhW4Flhu1lLkIJEnViB9cKqZJFuJaVH1gR95DB6m/mDercyd3SsS4k Su6HnArdMGS8NxTCHwVelTur+Lz/Kyn928oaAtRCk8HQr3M6YAOqgfVTXktelPnzsZ/X/hCxPQnr 6DdBfXIq7obSdOqIUjhQjnqxV4O/HLcW4rP5KUtINyU2PiEdmNoMM7qYKO6IudxpW8lc8ffTKnpG v5w5aCn5yxoImflibpDkQ9NZxBpxtS1rgmFNUgDwiMW8PL7mtMjznuQP1Hb1vD5zlKjDCB6/idI7 BSZZuLjSCEGV3vxnkkOS8fVgKG1Cwx+UAhTQSklmfh8eAUWASYxtyUUNIS2v0Rtz6WJunlWH3CdM s32iX+laAOflQGXC+WnBKa/OKPbO2UJywY8PlPzHX/EDWRVmekVsA1gDnJFWxYyWY/lhXgMak4CG Ef+2dIQB0NLJ8SOQPMHCwrXG2ImtNqsyiTE4e0XTx9s7iI7ssktoX3lqxS8vZF8ggibOg+ygO2B5 koOkkzSnCA/ZtVEyDceuja6WioQNXFZicmgn5VH97FmBnt2bCJqBuWVWVEfzdDlvtD65aVcTtx6k oM55x5EkOHNZyIYVr4x3I0/N43T0W65VSDheGHm8rKX9hFpzzuf+m6atHdPuw1P/Bp9m8XZ3v27H eGgoIgVjfdLe3nb8xx3Vrpxb/iACFa6G+/dwB1JlBfpINDDhrXz85DKfJ52osFNghr6C+Xv+2S7N 8mTFwUU3OnrsnWqB/NJWorEbnhCvX53A4n8lVJ/DFxfXs29nMSDDBEEk5KGYgmkOIO56q0mhF7Qp 3+C7bLBy5WBjvLpykVxsGEkTFH6MdfnNA9YwAdF5Ga2wR+lh+GZ0cRuG5AVEnJnfU4VNbVfyGWjw sBEY4RgIAaOqi9HvY1ScHahKIhW1dLBCgltHk2ZF9QAk+NDWhGHTfmtMeE5hve7F5XN3iki8zpxq 1ip1kz1CmCd/PB0qECtt6ZYoBMxd+zqMv+84haeBHu13Mm8mnRnYn09q/P9mH5kujFMoJkJuO+Wz 5LuJGG2IVQrKeYrx4B4nFYpOVZCTCt8pvqiXduRlMWsdcxPg+202cXGuLILTt5MZeNrzlZIkEDc+ fSxrd4peeDVyqRi7wT5xRbUmrPuGMLrqf2Mtx+D2B7vdprVVEVYuiihOiRgJ7KnvBgTpOF3xR8cp KQJCpl7ja1G5yZ2Fn0iu6U4OZdnfr3b/P+7YGuZ/BPNttKMAyoa8Apc7KaofRZTiaK/XJtZMniDh jKzDA+vDa0j2TJQb5/yzo6CXFYNlfqZOLJ7il+6lv9P+GkrGQeA4jUW8lgaGFsuiBHrvhpmcDb6b Hyx7JcD1u/O4vkZGS8y4Vl1i5PlIfGj6Qc/8vami6hkR4at2ZRQTRvpFmHcfuoM0ZsqonIBw/JmA xteMLJ1sl/ayzgkQ6/15DNUnz0GSh5io+zitUIuf87OslACLL0vMFC+/StWSTP/sj+DY9sYzQZHO UZ0zNYCY3GwH5lKBgVv1XCfyC9bmCu84QP/e1nyeTK75NMQnrWraHvfrGfwbPw+DZrZAr0jLSoh4 FXRM9fTOi7b3zOjv7JGPR0YzTl6Q1DEUjE/Av3tnMq9NGgm/XnqOnLiQPuGETr5+QmV5JeGoAs2y SH7Mpt9cRQey8MdWARS+aDof5Dpr1O/K6aBQ2Va399QpDfbQRXRDetgNTfOgruR5tFZVMiFrh8Dr z04UO4ovKOFD/gTMun3ArGgLXCX9dcZOIEX3YhZgglyn4KDgA+rE4szh+iavFRsS/IQWAE2R4fTK AoOeqUK+HClhnLMXSIvdewhoNlbXGcQEeg6AlXfgMV952SaTCPDLTywBJBSoKT9sIzAtfNRhQWAI 1Vhw6fyYORwQ/XOdGIyWMKxb3a9Wh637CysqGGXtLTtEy/OO+B2FEh452Rj7iZcxLfLWp9LnLgR5 ozbBjSg14yWYwNYdxfps+eumriehzSyr6ifIfxSdGfgaEKUhNPdvXMs1TR773ytFv8xoGpz71mN6 lsqGFHRxtubjWINbbtpcU4nAEkW7cA1+LLZzqHnl5NXwQq3lSKBmpgGc712F8jWb24VYrWyOB+qY F+yjDE7x+xhxUeomXBmT1xJKGM7P+7K1G54iexy2q42NKGDlwEtey7pWh5Bm2ds+91/2Bg3r2AEs G8aeC49aoYgbM6O5hgUV7IyfObk0W8czU82HqJcb7UQZu1JAwcTXVHy69d8c58x4+lhBhYZNm9eI 6owmCcCA2q2D1LgINSj5ya+8+7ruG5MsKU3ECiPFoQV2ULONJzuAGiUY+0DGUHoVJmN9XRU6nc0Z wlf017E5b+ttCKkd8D+xX9wG56L5GQdNIMkMt00dSLFj45Houjh/7ABtG5h4WHhEHl8ECSAVXJnl a72e/q5B+5nGWXkpqKt4VpYm7spwB6pi/cbm76+H6yxTWE9wfggeVUXvOk+QL5ytDQjYoVSgv/uq ptgXyIjoitOIFnfG/NeNNxJIgY/NN5SJk8/eAvNYlRsZr9ZKt1sKZviTDIsxd7LYEfS9P4tZTV+f uvKel6PX6XnpthOaJikBxrQE9p0ahIl+un54GUqVI5W0AAmvfq8p2jylL3w2EKD7gDGzAxWayptR a+u1N3ciThvnbVQOkII5esqFEyknMrZSg1Lv8+z8Zfxh9QE2xNli2eaflGwUmKgFoR4VFSIf3N/B gr4AMHsO111d+HMVaOw2XrYUzhqenk2DU2Q7OvNdS6lVL+r1t0YvA8JRAFATj7H2jwevZip10eb7 c9DYqq9qG4UdTLVuiRfDgXFQMkdJRx3WUUxiuxI8HKTP3fRdifqOQiXYXwFygWUbaw5gMwk369k/ Pm1TQnD5w9AzREOar6jTsuVj6SaYNSc1FaGq6bJjwc4AXbyvxsraLC7B628FTDYvi7jwr4u89mef oyDkMqFUcUrZ8sr/OQtxZ+xQVstYXbiRbnUDj4U/p2JkY7ihMahY0cttv08RLdf5llm81z3BksGS Laqz8V2XufM0T3ijtg91r7+/iaBPd+mOQCwDQLQGVhkb/HMBbkGCJriVYwxfqPNkyl6R7TVso0JR DP7ZrL6+uBa7wPCWRpU3qsMArf0IqzwyGm9MH2jNkEJkkHvSUGsiwmOBTVYDt/C8VwmgxBsp4EHl Ilsy7EG1KOHQWd2MfBzNZ84i39cmGQmmJgyxs9hr78m7UBesfPXpb1oNthK60/sWkfO8DdE0Bk9y CN1GLH/ymwvvZqF4OoBNwyGPdh++RKO0JUewS0A+jsLzHJLGYht6ntLX1vilfomdzV5u0LQWbl8l z/SAcVCGVsTt3aT5U5yEQOMsWsc1wFL0hmp/fJhXavtulCNdZI0j8fzvLDN1uRZeFBLRO7QSaUIU 9+UB2SrXHy3K5p8b8ia3vCvJOfG6sZ/ozbXB984CBN/mpTnZpl26oxclN6URmRTVU1k7wPTvCwYF xKP1SwmsgWkLcbejz26iscv6aJ/8NPRewR4RZY82iD/PZhhd4yaF+bF9XAPfz+zXiBDuyWAJ7ZJy GoW3Pkrx3F05kjabk1en3ELVTZmyOxnOog57UAfjv1hAWsm2Lf0f61LGfeUz/hqSQWFlzhN8I2Bo uzc99VGufLdQo+wbkelcoTloU2rHUS+6h/EX0i3LJR+uNOGD2Unxu1i1VcFQmnyCOXd969Ti7OQP EGQ4pMfy4BplxKW6PHcRCiRDVbqDEPLOrdg5MuUghsqwHyRz4QzP40xP+Q2vlVGnZTKnuJ/ufYox KAfwpzjljiR6PxMSAE7lBeUI+krhcBxiv5w1rOgahvfF2BEdj/9LNgqbV5/wwdSYxHHt/MeTMvc9 pLN8ninVDJGLczaYZ73VeV6O7LCfMTcc5z1McC3ADGkrkDfTiGjj8uBaRfOOLOAFN2nntOOMCO1u F1iT/UEtaEdG+951SHFFYRXO35YfXUnmjTlzlQvnVneb1RLNKpUEbSj7uoh/Sgm6gCFAa/AqwvjL c7yPawDMIPCusULlcdJQdnPx199Rc443xhvNYSJ6Ps3f2CV3bjvogNq/zPzcKs4muH4xeClh3LZL japeVI5X0bIQwDwp87Bngnab0UvbImvvGBXljhop2+7wzGNI2Uh54Q0i4+TGdf4dhFdZNYRFxUUQ rwul7QgteE+2ThfZQl+G89HSGZI64ypy+1J7Wj/5xCpAnr2JFwJIlG5YHyk+uzhqKgXbCcAqcS6F 1ZI44d5N7z4yAWxjqIWACvS202Zac8kIuLQOeW8cJv7MiA50xqOwVZiCGtrhSe2QYb738WeN6Wu8 1ebtSx6cguU3TfR4nT5LXlIU38dwBHeJHCPVK1Xdi94zKkwEqvC/DU+87yd0N6WXdlLZ176Dzy36 I5W0YcfR8UWvgIqAG48i5CDbURNVvaxlWfMWP0r6PHIiept/AGZo9qQ6MNjYLwUBLTVo/G8jz/BA 5Pg4mQUq7a9LyjWQGx4xeQKNm5VmqWGNFfxJmFEtI6iea5uW2tQIHPDZ321VGiRCwUuVGXD7qNa0 XhX/E8vhOPVqCl0uSMkMcYj53ntHuFpeOupa8SAxjR7OYH7jm+CHFikHJ33ef3GbeJSOR/njxiIz Boz8cJDsFPAukYZnnbXA4Zeom0SAAYFGc/jrv9HrcC1meXX+3kf/vJ3oBK2xE4bXHlvkTJcYcIqo TtMN4/nqij3XIMTOO2EEzO2OPxLOesrCSyvUWektLkcTyTQ+G1X7ZtapjE06WJiyvWYuVibU74Xs QRn1S0Fy5FDGX625X7/mLKKffu8wSak5wPMkN+g5Gm8YXQwFBDcByVhTbZPSkANFow9ufPsUcTOx 0GgFiWmT0kzvODQqdy9QwJHdWDKwR+z3W3noH0ZQTrHEhno+DWsYpr9NmZQACIj/nX153lC4jU+N NOUoxdtWFLDVtnS54IIA+IApOoIcro/goLYLkg1FrKw/I1CChgXW8QTZ+8NIFp8Skby4yFxn2aO1 flp4FvT7GVuqb+fCJrk0Yh0LNgBZZYj1YrVBg1CufszmcKzJ2S8Sj5/R0qQeKu1zQL5+1wk+Bvx7 sPAbL4Kq9EA7xjKlq92GIRPwg0c/y8V1akSMIqWs5/JjtP+WOQeimXHibaA2OGxu6gRCybMltxcE 1Gt7sYdTauDtHm/PvgB0VQOVKrduybx4BPmsZZhr6eSzHMTxVPA5vI7noOPLFJIhtdwTs2oTa7VC s5jkL47rfOyobC4ZI6TGQLQkvP+z6nzagdOElT3OF9FCjzepa7RKRATY5XRjH6AmgnfHUc77wWJl fd6VxOEcShmAE2aEuSCpAMw8rerQWKi7ZvOeYLwpyqkJVvDAvvWTdRb63eiMKxVehca2jNi/1aVh IpF1Sz/aMxG48EnCJD31/VxZV9WmgUzqs5RGmgHH1VTxLmUF/+4MpE71as0kQklFmlPX8MNxkfSa jMkBSfT397Eu8AmqUb40qdYzpBDi3sqT85P36k1+6IXgqBiKgOgSWM3xv8ZGjG6o9RuGFP2NOqMU A2p2NL7PWQd1ocfJqWTiC1fCjJs6eCj+ZNCP3b+uW7SDq8qwIXA5EEdOZviqwNLed2/pRxnbd/jb D5VAd1McDwiDSNJJIx7WlKDfCSOr4cd5Xrl6zvIF8f3IAV+yX4kvvybv16Cx02kzvwYjfqKRru/i M18Kv1OoIrG0u2yc5hPqvgXvBdLHikBvDBbw7rNgDN2NVJMpMRT55uerWmXmxZXTheB4kSL6IZ9f W6x9SkAxdweepNAIw4BVAkoDv23r1FKVQwbe15lOS/pOPkw17UypYxXksjV0P177Xw6P37i+iZay yMYOBVALVMAH9f1yxoyXZ3geLN/mxRwHOMVIr3gLSyCvzY29RqAYQgp1rM3H1qSAIcEZinoqTQKS 9z7IR9vzHxAR9pX6vk1QC/npB8dZbxmn77+P7uoz9Kn7UUrfaSnDyE7wZYJGYodj9MXKr3XvXfCk JmNuGA5LkBye3YswpS2LqyC9eVZtL8ijPTac5wrWZj9O7yuqgLDc+ZEr1AlmgRhMf3AL0M9Yxo3Z ndVbpwwskOdIMKtt8LozzWwZBEoP7QZg3+lQ/wVBIRkvOYZnkiR2VFFcYAoQUVBky6AFdLDJSaGX qTVZ7iRv27HjxA7M6nPjYUdgesyN8Kr3zca0h9INif4AkabcoIv86cLtTWomCFAspXFS9pg13tUx NLZ4gwrUsJ4h6yD3OTQbjADebx9jwrR1Tq4OhLKAdiZ4px/xcp07BP5HhtVQFN4jV+KIaF5aeA/h iMPa6hXs5+Mg0WwhvC8kD2mP0euHli43UCt3BD3gCVEZnOhxIJL83RDcN/WZmuJCuI4Hq++PTsDD 3UPraFO9CrGOGtl1Iv8h06/f/NrhsTZHRWTQtaT+gsljTo8BYeBw8xwlzpsalcG3UATUaH0ClEeQ dZMdIbjp5RPWXP6Z/4W+X+tMWi2a42f7zSgTRPkoD+YcJMwzrnURn0mFWBU6vVr9jCMag03vvtOw 9eqlen4MwvzhwAS9KyiJ6ukryBiXEL28qJVgruURMmLHOqxPb6xdovGMNYLeqVnfkCpu3V2If42J PZOlubVyHgispjeArKaQ/FUlC0Rp8xENHIwNvQM6UVytvG9SyhIzNnK3H+RF2cePQZgGXXXURby3 +n33Do20RUD/JdWK0pLJlswnSGgCIXy8g1XLXybO9DeTylxAtxIuGzpgWnQdCoOd792anfCmKQvB LyYxRXEUH+yPmnQh6/Kx0nbDGOQqKHdpMKVa9w2DE9NbPyYBflxFp2thTMm2xg+MjQQvQ3YYq48x m7T14oCt05gTfU0UoQy4mA9JcLLr9w3mfjNhEk3Ikr2tRcBAbEAWDKwKEHy2HVpo2DrEC5EBinHF tj5zcuF5X+Qli8T6SSmJoUjIwsXhzxSMYnYbUcDsqxxqZ64X1ZImSknAQMYNG0uo/ZSgE4UGuRGn XA/K/MjzQrkwh/8p9IRSuref6hKW27as9l9oEyTJN2XcqwgeLeMJHMU4hKrUgOlV2HlMW97Epne2 ICUvt7aJWrtGlGhvDM1+MdQDJp9yoTGofsKHoR+Cb4wL/WewDXepkBiMWZCEYmzFAOFjwNTYG82F SegS9wYeFvvnb3IibhzcomdJFFpi1Ko+jfAnIAIS8KNMaO0XRKneZXNjgrdu9necjwO/4o3V1FX2 GIwzpZgwXfamU7Hs39rGfQFNer/DRGxrfQpQ4Z7XL5VQSEwOiWmRMtkfqqAB+kot23dI4B965gBg +xPM9HDB+RhD/M1b7fFWLXUj48TcnCmQCbP70Zkgdr2Oskw4z8CCN+Wtkl/h5RfzqZ+lVm6XaGAk a86ssjkXkD0EB9MPfNAHCKnqeBBv1EtlCSbHsrRvDOzmkkymg546/bseOD0uAWJFZNIrdjTp5O6V /GDwJdaPy9ZLmAOw+CDEq5fdbDwAEbqlYOoN5Zqf/SYAyiUxkaiyOK0vXQMVekekDdIFJdPugfWx YNp4Q8LGtplcQRFSMKR24qe0/Ipjw2SOJUmfe6QD1kULnotUVGmuxNz9r/Cvx/aAqW2HPY/5jU12 wHZOAWg8FOQFU+0c3uyw2cbUlfYzlYdOixlg5NgHfLD/4VUi934DYJSc/j0vBIhfQEFvvfi0jUkc PMtzjiFkIyvzSRmfZVBQpleukX/4orNkKsREPCeJQvAbGGAQtAXPwtqK643JPCWXTc49mVDX7sWo 5ozzmZraq6xtbISAguH13xEE2RIWD4VYuRLZBlmPWit+RxEN/RodsClJt+4H91PPP+lq3pRlF4cF NuY8DKCQJGwTzS5XWJ7ymiWZTZU/15KFXp+M2GzN41547TcOa0qzKJKM8yj9vTX9pQ2pgDye/oZp BwP/ba/+IQNHy35R9HkM5c2408OpMYDdslzz2sEnu3tGB65jkvySvE2MmtvKN8xv3VqGD4w8zRXV e88upc3Qt5/ri8cT6kKp+y0Q4XfV07fHFW3dwrarUXDrqLoc/7xtSZVn+i8qv3KwiVMxbVj2JbtC +Rb98ul1OKuUAKy0A4+nv6GRqsiWhMoOL2z/hkQ+iCd/zNKGYDdnT9vFJPkQsM2KSGF7ITL3UJeX DIxm05BsynvhOWCQrCATAeGiifP8Z1BWZxZCjsFWJD7XcgpasU1RN64txai/e1ZQdY1VXSmwGsvZ b3I/Z+Ss+Z8R8Vjrq8O79F18/JAef//7aEi3HNtNNhHOnbpOxe7lWlBnA+Sfy8pdxC7Yby57oxBL bTHzgtaqVYbrUpIz8Ts0HvukttenG1feRPcWWOJli0N29qiY10/bmawRieAwBT/V8rflfcc6x5wo IGAGHF6jxwkJu0R3pud0HtcQvCMSLvobGzkmcVT210Ob7S+h5hehPxTQY8QOqGGVaER9F2xR22GO SlHKIyvl0IgcHVRJqjt65N5/bE6mlUoC+fPNGOzUNLdsh4bxeS/EHCpv0l5bzDW4EIcGfnj0+5Z8 CDA/ymz8HdI7DHAyDcPqxJBHwVZQY+ghJicjJDsEAmq8Clma/vIoHQiG37WyxOPZHIjxDIaniwQS wBbSpCQ2geLKewd/aLQ5W0Rp1X8jCvmCHSTMZHLyxkze2ULYOf+aFKxiXOHOyhn6NmUNk5J3zIIu KQzgdTAl6zNDpu/jui0g1QwHlrp9hiEiJIZjPmVy66hzRvWmfc5KC1v119+ZB0DBG++QiIV3+iFq 3MRRctVgkv+kJlkO0WSBhwwzFUB2seZPtmUFxXdFAMxf1vLzRgCJahVEbBb2LyBm35ejRPoqgwxY 0Rr8+kTmKpb5ZlChjWDgOKJsIixrEUO2Qa5iKEHFBW2byTQLXZi+zBAMxlpbwP3P4szEercirKu/ phl0ho+ICq2Reb9c0nJkewZ7Vq6a1cV/bzdMKU9/i8tmJ8LaEqyY0SLI2DFs/UVnrgXF9kMazcAY YpMMA5kKSYvtL6+pFM8dAGFM1ilE9T1YfwDCtcRgN3jNjIZaQGt7Gse5NV6l9aBAq3rpQRf6XWZ/ dQJiU0tEFIJD/VaieasSJ0EU4CHbDEzlq5kPVSt7P11t/6odZlbaaundNiAW74jqZlDYE3KxZ/+D XJcdIFcEurVKhmkpuc+Rez2YaISO1QRTcryctlaGz9BRbUAN5NvZ5UhEhS5OWJXGH7IM9rEPOTTb K0ldm4W9W6r7iWEoPIHDbmIZ8OMw6lAb9shmecLE0vd+d/tI+j1wseHATEbeA7kxQtHj1A7dgZJ0 PjgJGCqLWPH9T4YWBjs/NZwkoK4OGnYXo1ncbvcKXNZoGevk4PpKerSBYxY9FDh/mMuR5od99RI2 mlMWUckYf3TSroqsMiSTHHXfjjIUzLC6tanxBJVxvKHAmdHr5SS/EPEvxSp2xz6/bMpG78qK/K3e ockTOWyFuWjsuFHEh2tnJI1N8UnnrTPUP9Rc0z64XQWH1VvKks7T91qSnbSV+iUwFuALy7pBKN4R le8SHzVgvptIIQTE0vzHB6W0OeohRuqbrL+1ONMCuc3JgGSPIc+Rtb/J0TJodFKWP7eCNR6MKD2o I1d0mM5HeESgDvhGZSaxYSnuyhdJ3xyySP3DIv29COT9jylQgY8SCOkifR8kgMsA130KwQrmJZqu o/JJgCs3eP9niaikJTnQkbHxP8L3ugUkW5e/ul4vE4hqTAPOqgKadGGGeRZkl90VY9OFiaUOFWcT wb8YAW2G/JJL04vRTIVkXY1FVJdYT++BC0HyJU8kJP5VtdWaXaDrFBpz4/e3GXSi3zKcB3viIXsP lXRHLTTLsvnFROLnSENY3T5RfzBu5Efbs9L8Nf14C6jdJEqfNMo2jTtuNlr6SCgWkPZeqyaMQadv vtFyp+RWwnDqaqQ1CE3lfY1I/GhZQMWpkvKLHvIKZbTcjFY3ahhb65zb/Y413eduK+pDMjGyApOI 9DLuOIcvWl5lUY++tNObYrJVXHy/keheDYx5ZTkRrFbxnPMcdpWeCgsqduxM6vl+2qd2nlcIGKc8 OKOVP0HCY7/DmCplY6FHjn5tdbwjSr1rZrqehaWONOxCLP+gswS5Gbjix8nvgYQaVqQovy96s40J 3DWf4Cz2iURQil/CsRLWkVnzRsqtl8CyIQsixgh2keN1DJBgAVSSBKbKUSLf79mn2C+ytcWGl/8n CczqPNbZVzREpd6AsODgUc9cBxwo0R2B9qGLEkGvSkBSvfU/rcUyJS2nV2YN8SG8TrkUD6PcQIDI kNaoUiLxXPH8R5/dmMScjclOSKR0KvM2IG/oSgFijr0bGkzhfSaFrCtfg4x++acuXZSYWahKcCJr j6TFosjPKhK5kdTMtxeTUtmf8sVHvUeb79LHECzLr2YQ+9hMxjPRT04l92NrfbE0KsNnkzvLeJRA cwPWFBDcJIRgSvhCFNLQt095ZTM85UTk8UHgpuP5z8DuGFOWop4Rp6q5B58R/yZzwn83UJkWU4ZE UiIbH+H1thQF9+iyYnjlr7ryDXMAw2z1Wi4y0C+rjwcFbPPQKDgVEiRkIyi7z22sXeDTmevqYogq nyHq/u+4hmLvqdrbaiyaiALcZ2yF4dP0EB65asA3MG5dLu4UADmf8XKyWcAv6l5dOm20nS8RE76F LpiB5nndx/ohD9QtzC26lXKMDQbY2vkrWA1hziCk0K8eFTzWih2gQdWgZ5VTLaHNio55tUeu2NWx 6yziqQvPFLW/2ptkOG1M6D3FDaJYbydEQAe9bqxVSxU+YqbnPrbPc/gGW321s+V6KaKXYjCJOcKf 7oTzIhm0m/Zo2ghMsr+t8dev2o5MUH22ImUnHi/z1i0i1t+wf9xT6JJBpmv4W9+gPPUNtmR1uysa FywkpdncrPFg04BRbXnjmxSrgwWW+e3dGwMPAk1JgQfp+2p466oNyxe0HZirc67uVO7UBnIgJN4+ kavfODNX6UicA7yZorCMNJtlQ+4J3CY0NIAS/t0V+EJCVFDSK+yPcupHJavKg3DClVrP/mmF6MKk eIvCLeY6mjPdRS1lySkmOegYbeJEcY4NfCQd0HWCHCGIrwgzNeQnNEJLs2s2jXZUzwLdJo5iUgDY n7gC28fbjIWGft6NH90Nf4X9f7EcjaL49/1Ut23T+MptMkZGhs5VUhJA8sowVLwRUoL42rjupIBZ 4tnhVJQHdXoGpt2PnkVw+rRSHQ+UhJh9n3f/xUw+Id3klVPmwko2E01qHkVwnLRX+ADsVjAXqvZS mnLqDZXnaymmgufmOtcWg0IXABOXtr9mtweCI3tCasL7ic7t8OEcCVE6ccll90hBGvtSbwvDiMR0 4AgPhNQbkV5B1f5maZYfhwZTGs9qZO7Y7X2Hpz79k0mxCVsqY1C8ZJvnE4k8JFDWV1ugWC7dRWOX hn3PxaL+ZcGlH0mS+1i6nm1ryi21yv5pMX2eWZt04ppWCoe7eE+LBe/0/rAvsv/ERLRR54SNFCQD Clju+U4KxPK52kGgEwbRcfrYfZpiCht2YyTvOjcpIY63eY7x0ARw5gjglcrWi8ojd7xcliY4eLLQ FmotzOv6NuhFxyGVI01aD1mm+bPohuS3gHLNSXIMts3Etj1QdE4U60lfejrEl1M75aIPAKvjZi7H e+ijLROxh8lz6XSGQHptqB8asUTTEqlKlxGro2g15rAOspQKb47p41d02Nz+WB7pPLTChmxHum03 35x4Gh/Fbk0Yk4lsm0MHzdzLsUxGETkd/x0IPYKkP30fr2cGFGhviAQXUhGR5zwJ/Z7hKFcfxtHN 7q4kWV7oMr5gq0OxCBKcchnE/rXZ5Vf0ULswRzQenQh2zo1iA1/+VqnII3HNJ0i/tZwGOvhMTZXl 8D/mHNllvvXUST0u69kXjcMnpsgsgemvKC27vBm8fm6E9lqRWL1qkRK2glyse0gk2NkK2k4A75EI n62LlQenynrdAx7VViR/Gav59NTL10bSEmzN+VLQc9oAtea3YobcboSObnTOlJUKxnRijA5apPVg a6Te7/RUMRbuW91zWQjMBXTNV2dLZl43ffZskgVJZVWmTtMZRqJjijlJyk+c9RIVnBVP6aOJubNO B8SLpWsAKCz+iabQ8k8Nd0REDy7ZYgfZqSbK9WCsZZvHHx43guQW6e5P4lxbyBwdpM4rQodFLrWv r6BiiqynQQK1Rk1FZiMJ8C3FKR6uhJJ4HtY2PZEG9WPs7R+0j7ZcgmkipU8rYbS2ibwZ5FaqgEPQ gJocI/Wgi/PUQOyf9hdzs0tlBISkhab03n5e8aRjajSfIv5+pSTEqrb81NkPWmndko2X2M1uov2+ +V4Ez7UJK1Ta0tzOj76w/CKaJY/yD/9GB9/cqk++86CejqR+oofS3I684rGq1JmXCvsSw7RoeAND oeX1QDEQopBLNmq1Y0nwsJ2/jp9sPAbf5sRB/Qbw1XXtMK8k03sG/3YI3T4BwQfeDFORDn9HjanV elxQ6iTsoeZFV2emLuY3M4689a11AstnfliHf+irJiV0HpH3A2YfvfsuEdGR2ps6jnIA665PO4zf 5LUsrS9O5CdR69PKX2qcx90YCpqrsMqr7mMfJA05o5qHIAUtTer5jeOsAD4rO87FRvZQeU4EGuow lVCnborN+Qd461kr/GpyMcwhvbrRQzwX1/P/37UK7dnovTD8crbo5BNyR+FIxI/Ansr1gm1jW5hF XnO0oysiVbqFyshhn/D5BV0W9N+yesRt/0hamsbcstQW+NLQnN/rublvINFT4UGgsqZuq1zB+ux0 aPPshZmL6Nwi4Ve6DRx1/DUPL08TqPv4g1r074l7vQ8u9mwpSeRTXo4iq1VegYu/ZFXl8qyEDzYh HO4s7kEVU/SmZChGsjZtQZLMlpHxsrCsEHqNIn3z5emkwhoUC1n+ZnqiHbrCQdQOQ2gzjIekBtqZ wYbT+abRM38T1pZVtdCvz17DBIPIozWA/g0eeZkgJ2Q8hTw1bCPS6K+AMvj1jjZWMOXhlX1aRE2a /hM4n8Phexm2I6QPrt47SiENnDmx03oFwcb0x8aK05Fboxcp/r8siwvLxyIUAdI1K+duAG6QjBgB uwh+VaijMOTbQOZ8n8+AJ/r8M0der3J2hlM+DFB/nSES5KW7nZizEkbBbPsIl/AxKzCiZBuEC90S OmWH3Qf6yfVY+Q16DPbH4geeAReRoqxYsT9Y0HrNwXZD/kAxAOd13e9WdxEFiC4Jz1XjeHfEIZLS aujpX5vfFDEUNT5+2Vb/An+NuAL7D9wgMEaWiodOTYnDXDoSBOgfbdY1wl9+5Y7PdcpNCbo9mLuV IPV0ASB3pB2Yp5N8TGvbJBOiQvgvIOgsLYdredKX7qMQk/pJ0tQ9IUYCrg9co05sFNvWYo4uNHJG X2CUBrsWERApLaWlkA5iFTFe8qoLsSr7m2dpvXBoahY7j26pD1B/kfnLywUSRE2P+N+BXnzR/8hf SHqesl2U6vN3Uzc1twGN5YtUgk47fuRqClLy4k56KskU+drGLLUzOJQoZWD3SR05l1hO8+BaiH7W 2DIoLCQWtV9sydrQcZE/8TPQ6oGlvB/tNJW+2rKAzAfBmiODN6qo41U4SmgA0caYoFHk1JY6m7BO MovPqtiLQmVvwCb5FqtHF1aEHcrD0Td/s+2vp7B4S/ovIw2SB8CRf06ko4hBo7HOUYffYM60297I 6Pdi/8p2142kft8MhAGQt2fnrnwLI81btHzHJW5ikewjxN27h76mCxk6A8vGRfWJbUS6rhacdTvG CROWeDmtT3BR4sgFKIo7Lti4dYBB3g4ZM/ZtFGaiZ293nEkmf7E/sdi9OUwG1cwA9O+RX1lWBmRR 8oW6LDblglgaLRq8uqz8KFoZo9Eo2vEMoZGxRmuIbqZ8CDpkDzIFeF8JJFbjm8yIxR/9NvVLUWUp VSrCAkt2OekoRhOapKRskITKCOHOvZoVbEcQVGiM4ro5xNiAdm7BLSprDO71zMg+BnWKLSD5UFnt T2x0kjMRerCGxS2JDjZYFXxRfhD4DNhmWPbrf/R+LW3sfrKJNqhGNcr2xGDU69MlDeknv+YJPfBD /m67cSxVZfRbhZspQTmPfT7DWYbOD4shvQzr1vNNfEP5iWYkFn579oGmTElwkpFow1pQfvUuqdZU TB9jaiijCZtVvCoqeXqanouWHUGR3SEKFcmCTjbBHupMcjKdtJ+PhzP6W5eeIyLJP4GLfcTwAyCd qdYBcokR85Lk+Beu6OBXgmJb3FQO2XUSn5EfVfQjjelOwg1JeVMbioYhQr+K4W72DNYORbvMxHUc HZYWUaHG5zYwGB0ZkSiBu9Xj3G/sfVMxNMVC5rbhvjmi3M0yJXD2Hul4c2NXaB6kjIPsP3CzbCN6 oIb5htdzvme/UmdBcqF7lJNFqv423zjjJM2Nd3C0fLjfOr6arZqILwtbqgW7Q8sDvUa+TUuJ/TK2 Kcnqn1oGKT87SuBF+PHZzkxKflGHqKv5xlDqSCG7KHNVEY+sDTP4q6HGk1DCacaGwFF99RBX9V66 t9oQiJq5yIf4SK3YcSCVd9E5PyVjFC0Iii1Bf21SDW3YaO7BvuCadxXAlvDmEdJ7+hSrRwmL2+cq n9M15ByaUaDBi2dDF3u6fdDcWD9Qm8mkawUeajaLkQDMSpj3CaigAk7eu5hW4QcqB1G9bpKwqJ6t aA1SeLTPpuu9/UOFq/Xc4mQEKYGVcAPOLDzrA2omxPw01DzSQ1tI9Op3X7oEbawcQdFYbot7mfMS BPXFuq4xisVGiLYYBsZXThO0gF2OYEi5S4Y4hDk4Y6yw6OgxM/zIsS6VDCBG78uIVPEA15iTEhoC IoQr7PQHxzhG2Mkxmoq4RFHtfbkCy582NzDAG0Dv+1NNI94N+PeRH98ksiiTneNDbZVYo5XHQ8RM /IJh65rZNWmb5BgD6GmuEEDEsZMrNtoPS1y7dYW+Kbe+Cde4/Nw8+gccOearC6FHTtazP3ll7I4K KaZbWdVBKsn4FL4oM2sl63DN0AyTKlzxPPjO0DUT3mPN4VP+PlODCc0pRjse/4Pbxf/3RufU1OaY F4xZbPNnyTCG15an7e++dOoAK5yA2x+QFWfD24rshF7JVty4Lnqyh25PBVdIIRrvXehdPgK/QZ3r HC8h3bhA/JzJy0XUaaz8dBgByzHAv0f0nN6YMs3gl6s1jQvXCmfw3VibytdgopnGGlRVVGfoYLV/ USv5lZmzATEZiekEmIEeSq9P18II0Hxgqyq0o5q6lBKaetyYDZYlUHg9J+8XkVMVjjp/vW2xZk/Z edG+x3iM4p/32at5LY5kM3qSzeG2EAdLzGi6TttD+SVSBps21qDhryWCNG0bT6fcq4M/a4kikH+F 8NSrrQWEaSc79mF46AOCbwM5kI0neDtK8vVhrSm58r1vxeNUb9UAIM01XWfCvd8hkNp4JXV147Oq bdqVmHxa8nnvtjCmeVyFtS7D/MXh/bo/5NqMNAM85wx50LYw7j3hMWr30PU7yqlGpSxFo0bdnEs0 DuvkUWRg4V97Y1urQ8rwyU7lDBns8/7Cxd1f2fz8OOjNVn+sNgjGV78I7wVdWZoiF7CHqhPs+a7x aXNFwPMnSOcHH4s4RwJKAm6oVfgq2yGR7Yj+cLGEDCVNqo4GJZlP5Tqp1d2zEhehMvfz7SznTEK4 ug+7WZP0rEe8bmqJd71oxQb3Ae7uoK3QldcwvCSEqc+ZVJmjCoVPNiNYnEaZrpDu1IUnpbA8xT9e yjJIlI5sNwEtUqQoEid6HOHhjQvOcLiVP+VKIgK+MkSowEYXHmpJlDDdkp69t6p5/OAXLHjNYF6B FnJHnyRrLXmm9BqhRUlsJ+qYYfyVN/7nMi7YUwa9cJyIA4pUL5Q1BH88Phuxq814rG9R6xjjb2qk Yi+mF0W25Ly5ATEgSiUeR393J3zWSYWPW6naaurdDNJzToY0tEUUzuvxk2t2o+hwEfT11jFqu5AN SRgCABiyMd9LXox5Ntj0X4KitthYfvjw1DsVm2QL997SgxZNmIoVRs9M7xkLPmPD59YvZGymaG7s /IJ2jiD+A7jjAz247ZZkc9zoEbdx5qiAkJFpyVusY8aVcdz65m8sCs6JuUJM0xdGEcxJZkvjuJ+w bLyLePUbM1nZgAWg03fes1x07VX849M8cMQ8/TjKLw/rlEG23J0tQK08ogz1gj+0I8cixg7NrccQ 9iB8BZgG+5NcZxqTr3BhGPJg8vM63Rj0I3+JSikfZIvy9LB1GGos+ZNVex5AApnI7aOSW/TQYTDJ Yp+1sF5lDg7tFKEbiuHDuKiwicWvZlrjgwwx/3xRXdEbrQFTLbB0Pzq1XowyC6deDTlxuIh/gD5y fdQrUDNMuX1OYnEvaSxDU7hsggLD7b0hRGZysG3aGITlqAPADRlH4XpIsgg5M9YL6GGTjivpfJZY 6lIKmNSsYafB7Wx35ryXC4+J84lHXZ5i4I3JqGYab9XuLeGsvyrfMWROLXtfM1avUkkKCfzdPUXS 7W2AWnMwUZvIrJMEJygI3MyUweC5VUt+M7XidZG1AkyNEUrQBtpCI66Xf7xJnMaSzWrPdEZFcm3R B3ewQIeMakvba9p8pBV9u2NIuUgy0F6I1NL/BXQRdTx7f6Sb+SpJ7xnf3vRMqK3O1v+Qqc0XoKWK /Gu+/hs82WPAg5MuwbkZy+FNKjWUuf5tN3LfpM/864zBwMHq5gDQECdMC7/9XDa9D6lDyODYjXqj dPBMvSuI47OZW6fk3Cq4pxmgOjLRlU4MW/HgfvDNgOnKLlSq+bTxB+sLisFhma3nvN5MvodPIyG2 zaQq8PNTZAb3g5BFUBMddI7nIfm4YEDlfz6S2v4GJv0zWuArR1uSN9c35GLHrPw9SG4JgXWLVQWX MUxfuhXfwFtqwCOcl4FjKHoa4RqzS9EQC8whTW2LLX9aSZTe6IgvIVzdLkOv5+W/xGrRmgMrLnSi PDpGxSIVy4BnV3WkHK5KEc0SQRgAkFJQcyDCBAvm3DT6rXc4Ye16//bqsNdd26/yrzQWbXK5ZAQt T47v5KwZwwA6J3eHHp2jOZTCedWjsxgnNrbhJ7PRS2XQV7rCXjMydx9JAAAnME9xQU3pgZEkQ5SO bYXMwWn2hBVJTwHRTGfqyOpMJydoRjj6fVilAhIUcFApZzZYnNG6GNKLcJSZ88AaJN1t2I9Z64IZ tWLCcMfxVV+lEImBRgWtCzVdZ3Fewp4yTYblgf9oMwl1k364MZR3Qa/ZjLwtNHzTQaoV3gwjJupM cVgQ1IRqrfoPTjOWEtMu9GPa1ZLtGwF12DNF0PmabsS3GApb1rta6Y04LvVE1MOgP9rHjmuNLaDF tWuXgmVKvwbtetoJmHoe3V8oIvS+d0ADhbmhUWzLxDo7ZlFjsqVzJT+62HAJXXhLo9fAOCAG0/2h WM/+RBkbVqAwCTfjoQZ4lQQFOOAOxLxWTVEWKnPKalSF16MNA4vx0H5awFQz3sm0ffUWykzG0xtZ AkNrwm0clYc5Oy7mVaVWRe9Op3rgnTg0I7IbCnO4rAlyzDpgecbDSoQzSBZ1B6UcDafA3nR/LG0P eYDDmGIjjX6/U22fUNMLbmicW9M0waMgZxD/OcO5U+qvqg76Flzi6PgP08Y/luexuwut69uq7HeX Kx0RvblqxP28QtT1In83tgNPpwsFxloc0En2imT8OHFxqKXQmiomEhxX08FrkvfUe9RHChWe+/gc 65VJK5WvbQutTBDXeliZu3Vth06o4R8k3iThMOScFKjp/grmFE/ds2Qo0kVPpiirlOK7WYbKBAuI vOb3lpb/DMyqo5g5E3s21xmP83aZfbIf4ompTR0htTPMEmzEEkArExPM0a/cwpuuuY9L+vzBbyD9 zYyemAGFJDsmUGYMPAsrTe0gArTv8g3OwVgPDKUBFkjSdMukjPCsrstzbJgjMHe4GsSxyADS6HAw EL1OWM18SstX1zZlO9m629aJ1TXgue0izI0UOWDpbi7p/meYAPXDssEF+Llt66olzRN7pErzDDRe YBwZHO8nrpCP5Pz6QDpJz9fowLboiTfAv59OeU9RpL62yWam6tUm5Pt5DrrHP8wMdmY7BINchEag 38qV7UKCfeBOxg30i8KtpFZR84N71/l+q2eoN06L1PUxaR3xPI+JVZXyRiYfPHS91NuzrcYjld9Y jSfu7FQlpaAW9bxUGC+h3/OvE4wkcOaBonqA6pY49zGJmRU7tP7tIt3JNNZujl4+/vUYyZrvcoMg fzFyNRVFhqFw8mpHQqCAW0tFabb3k2R3DOlZV0XuaiYjWSVmyH29dd1V4dRWShu8sStzGfYIkBSB H534Y6B0nq5sWPCSHde5f4MbKTL+cVHGznIVFwmig5LVu7/Sp/NSTMvGRZ3SJTmvhfWyqe49kFK5 80+9Ep8pHLcgqk6RQT0W7i8mzeCIom/kh6y5vHI2+gCnL+dtbK7g7rDz3/wJGbHH0XhEYOlKiByi txwD2z2C+fq+1dCjj4d0OfsCEHWdP0Mis1CW3TtqoOEmfv8XANcAFvEtQiL1EklQjX6v6TFEuXYs pAZPxRo8OVh0BgkZl1Px+R9J5cQLaWcCOqeeMmdpwz0ViR7xPKsHRBifs/2LX0wr9qaLVDvq9zbI lq5dnhXosWUQlp4h93OmJ3PSQfKWBpDmw1UDTMOalRW2Em7HIhRv7pYg5ZGvu1coeBPerPo8+MAv aI2qUTyOWAE+IqeHcb6eu8lo8FYwYvvkduROhgHpUpROV501lPTLATC3eLvq3u3+apdeMu4TN8TC gR8ydFwfhs2OEey3R7I+WQcsQ55hiUm4zEnouduNQLJKtyhF0N0trnOaAJSiKO3WhBRQ/zvDr6gI a/mb4WrliiBxY79PPdwTLL4iRQ2oAeEkdmoWKY9OgzVOI4DmpeSqvOerFulzIEFxr48Ve/97Ue8k 3Qez2lyHN+ZaDcHBF8sEuXx6R+zY6CodqPIw9wk8oR9jWIXQv5S1x6ZVJ8Id8LmEMMxvbP9Rcb0F OnUNWR1ZA32SsTQZjZa8KVuYcZIodY9MR3uoDQ35D50nISydawBYDQHR9Ty7r2pbc2MV0VJHKPcW 9XIfJRtMvadYbZXQROiXXI2F7Ytt0vUCwUiGdCWDNrEuf9nhBT+Q7eru4fgXPuyydjqbQU0MSDup 0ga01Za1NwEcMOK0ixbo2n/eWOqmJQecnU1Nyl7ri1LqwusDpQWxO7SlBqOdDA2PC4atpraewNCo fBGCZvqNaLz204coNTHlr8O/ZcgxSePDEIYhM7BxFJXF8URUkoBI671GNBFELczATtcNz73lqgCH Ru5AJAHxQqo2SRRyHINqSuoBXQ/zufwaGURcGovkAcvEIxORk8PqbsQ8asn5TR0oPX4LYPgg6riZ vWtkSncr08yb4b1WczNTM5UYFIQI6luXZwbLhjjUKu8opcueQIz9AsB8/bAkPPSf3uMnuyvLQdSR UXHBwip5J2CkHa/35rzsVlk2XazxKCSDn+RJgHmpTSeuJz9SYiYXt2pSVzDf08y/v3ZJSFPeTaGw 9gW7L3Y+XyEyBVGuKxxGOocWJI8S/BKQWh1ZYupr2PDVYcJm8iMm/CnAXwQ24RAgQykJNB1b23+5 33scwc9xxsV57dlLQHF7WIYjeeR/e7zshQUVmqgA2Ozuf6g1gp2uopitCRauSF8ZkMYTJhqSWMnS UpA7gFNCKkc90VJ9jZgv1XHTaqVFZqP1MEPB1UJPwhdLlsoqy1OaGWQhyT0Qgq9fWbQGedsVkTrV hNsO2wUJveAbdk7wmVurlhdja0IgVP9mam7aVHUbayZsiDleSTVw+Fs4YPcgQAWbOEv1ko9hs0PX rFm3lUgCloTFlyilFSMyNjvYzOcJCY8ebAmF2W+HgzfFdi9w8ocCW+WovkiEW5XqOGE7+y6ImphZ TKHUTaWWvWRJwiLvLAV58NYXcm49q8Ow6lXHUKlBXmoJwouutAiVvxXEMKuavSw4ZMybk/VXPV9H NGzcXaa8FVVCOsf5O1fZMLii1wQ+HfbXYy+TH/AaqDEpzjUTsukhMJlTXiWSQ1Z5jwbXF/Hj2fAb bkftmJGvLbFPmAeAl3wthdHpHBcjz4usqOmY8Z8k6q++h11/gjAnu6L/bLlfwiMw9m1cE3AWfrXq /ChLmBdfvK/vj+ikeNHJZqxqEa51LoJItJE/Fecs6VCuYzIc/tB5M3po56yQXyOKehTycyUe82ig yTl2U6nT5Kw6iFL7XVDIQJZZlqIBtg+ICdyw0/T9YpNlORkAvrXqKAeCIE9jYoQ3DEnxORLxnYNg AeWedGIkrbmiz3vTkRw/ycfxDEhc336ca3taHzro7bRG20xX0cy1eRHTGi5fSfw1phI5hvCvmWSG EL6+qk+8abQmEOIHK4rZMyDM4GCpHt4ia1YHzDr5b/hRExqjnW+JEU1IpchwekG/DfNbiv9BD8dA i0FOnxjyzUcNsLKEOuik2Y12eFxLF/Jg75Efxqf55BfowE19nOQBaDgPYmzFI9BQkIw7gB5zdaaM bzBzI7iMrTxfLdYhdW+hbVpvfqfyoLZaX2Gfoz3NtBe8iKCAHLCYEGV5qO2kROZ4hg0QiJ97yDWP LdRJ/d9dCdSv8Uvm8hkbN34LPYqFbnBw5VXSPlKcEuRr7A+giTYNsyIpPqal+PPzsDdS/RijMTpK hhKJIRIqhpmambl/S5eHSx1GlxI93g0fT/EaSx1wUCGWTdh/nI3ZXMuOuw1UvmLub8HuRMqJ7iYD 7QO25lhhxAZlmSkYWmCSr6wFqwcdTaFIpYPBIE2YrXzHCSzyzz+zwOHnITakgqJP7eaX7YLgcV1B RhSHOAsPw1Y2q7rQY7qjL8th6ZBTb3fZehHJbZ9X/g+RcFAw6sfA3qkmR9nbsXwR6q9dhVXyCRue N6jq4svMbxdrSOU1smRTp/rJ2kLjj8UqoX4Ecr29Nd8KnQRL0Fz3ExriRSeeJdosLrmoVQ4tq9+N xp1/mnojXoofWhdHW64NjSBR2bhVqleQ1b6HmsBRwolawZpDUuRliB1kf/8aS/a760e75CxMpc4d 0ISkKu/v7rYa4NIbDEvW5We9Imfq9lpDZb3SAoU/vFSr5y1KXhARTGzpXadriMF/UVq7U0aKo08C SqrQNk742D/DmBYzz9IfXq+hvFowfJnd6fZ9pcsxrSZp+ixhPukAtamVVutYwnCcy4PqcYOgVCDK Ut5pCqT0NRTUGSiaKEJ4Dq7+GgNMNmcCn4xIqhWMkcK6+/GCPBHDzEuH09Y2MYkCOQ2/zuNZ8+CZ NG0nXJoOcPk9tilhFGlGyA6UY/RtTAkg3sYrC2a2z5uwEFPeY19tX9h3KS3JtyxyKRa16h7y9NO0 8kQlvDTuaCrrpkqUMXCEQg/BBs4bWlhMJ28puaH0mHefbZqiKhxtBb7ziBvCGd/5KMcb6Pq/0cZA EOPXhJPny2zWeWLnsRQ+cwocOTP6gRAkWgMks/XegCx1Jw6rxPu+H1T1UaWyI/qVSzraNwNa/9h7 kPzY33IWNpMMK9lDFzslJ3IVTYyy+K3zUSDR5UJartF7wpbSIDJt/jsX3q9JRWmJNMPrTwB2rrLt fBaEy1yuPc7zRT+fmdJfZGmzBZMlIQRV+3zdouEHVrvJQiymZ1xNb8F3I8pFS5YMaEt+EXJ4nzMp HH2UPaK0dB+zgvOMCf1ywwEClOGE90KkwxvEEC191lTPnKPtqOzfPVIAXQ9WMwNZ8p3p1MOtM2Mu JaoBi/yGEAR3Bqf17up77unMPdOyg7khGkKAtzdUOoDrV+ERgcToyRUZD5sJ9UaJRQbJ8IOGMX/K 4dJrKEQus9cLvIMPxGchHGuJXZ9ytjp+gXTfpcyLlypfCI8B98HUAnj0RVP5kocwsQrEwwi4prng a4mXOeRIvZSqZ5vABOEDBSzJ+Yz71xizOCr8TzdgEdDaeZ2+3MJJfHNAJxzIcMNsWB0gTen23qoX Qxa7d4T/zNMPnXEg6kkkCCvtlri5xFAIJIB3Par8fuQchdfkNY+4ZGHd3QM5woMxpi0mgp1I3H7q xa/6CKDnSl61G/ddpO0/FIKukDGQzvDo7djNpmy3B5n4KYnQpkQRnfoDHU3cI1OYBZyVfuzeGWF6 gP6W5T/4sjWSWSQ/RwPbHq+gsY4WTvFNLYEysWGYPrgGrw0DPhvNzDGBJ3L3xvGzKaXYmKf2hVKO xnpcPCELCUFkX8GcL8n8ZEyT+adnOVbWkuC+PPucyevPlnB33B+r487BCf01j8l6tvJ/DQq8WytB J66gqAa26LksRnOVeLgLo5g0XKDG35jXhI0BT7FVDF+C0uPNvTHEBI9befLPw6/dYlnaVvNRyjAt cF36N9ymfjEpVHybdkuonaI2Rk8AUZP/uMBI0rzuqO2ix0G4x1UnPDrem0t0NMniD0x2amZ+QGFH e1jnuFZNYic+wUA70OiNUnq6KCMOA/M8mBTH6+G9lNngYOGU7brq1fmQt0C6h3OObgyHZGWhttcH S46A7eRzKUyLJP4F2nBlfMcph5JS4JauK+yb77APh7tLH9yoTc5dw7C8FlzYMslMe1inhJwHykjN tvbcu9bpjJP1IaT0afTSUFRKaZm3hw5/xPKeMjKqBrnTl9qON5OQ2cABCIZxXLdEqeMDr32veCXK 5E3JTavfSypvixmaNuDVZQOxQxw8GcXqmmB3hFX17BIIARESwAcslZdaYMG2qq/bmYXsHmhvg248 Yxz/30XFTEsCPHv3a7QN1frwhCNT/fHgq7Bf/e7e0bTEoWLEpYzRtvKLjFmigkM1nFpuX6fiqIuk EqzDzsM/cO3qcum3mZ0uZwBeZJ7ajnhda3VopcAPqBefb7NIQh4I3VUItRWtwthelQf1szn4gLk1 oDUF4+edUgxMCPL4Ot51156af7/Qeu7JRHwIY997OjBLSdYlxVWaCoDnb0LKSQ6v1lqbKsy+0OHP momeol0FysssQ6pjMqHZ9QBhXM8Wh8UocC5lI2oRq9p3kFAjvSKrPpDXIW73ySMOQukfGK/xRXFg CQlhlzNwuaK0KaW8bZ1SwjphH31Q+up4DuMQI3rFyeTlTNsfrevfD0wCWNLFq5DbtBdfU9Bk1aoA K2Eu114KfWTo2kcN67cwYtllKOSBlBGr7bPJryu6h0RC3hBNOQ5B02uq5IWPpgEumAa6+xVz8a+U /gvMR/xNKj0j0EHd2nZNGqRAjFLnveNkSVQDMacKs9vyG0zjgt8ZUupuIle9F1IA5XSaNvC+DxWP gH+bBMpXMoPAmvPMYnMqnXOCdgRzeOrGkSa6W8Y+/g4x7HVFczriQocxT2w6uuOoSU0CJgsvAZGs sbeVDyKLJ4sv2o/4aZyiQe+ne1W8dxgPfjEykt1RQCWJo51S9LWSLW3sO7t+f8P45jTexe2megtH pDAdt32QHInLVRfJ31j5rdPo7HrpsLwroa+BWjMnS1VI+TmoE6+8lzxwvdnepDiRkFg0shHf5rjW MJG6gDjb3DGPTX8X//KZ99jruaBYvveYAByYjtLWtI7ERae6CZ10y+UjCBIhkG2IJ5v+jmb+WADs kw1E8tAQLbU5ywVZqSMi4bQZLJ9S1ksR6SM/CXbfkCvx1vj5tJSLzTf30WqlOw7D5i5vScrreuaz oCpGrGULqlBiYt/xnj+g54shnD4ISJRqARyct/VFCPvYUzGD8gTLIqLrW3nbiUFT3OwQpZSiEd3f zBySJQIdTAtGnlfdlTG6gEX3GCGeH1CRq2F6b6qGlXxgt6+O7zA8ZVsYGFe+8LAcpyqEOB321qst v1wDJPWCIsXy8w21NvUXSAkIwfly0UQyYJ2/haDSHu2IgNsJWG5/rNgD4qHEGTsM+51rKMFuggTW epn14INUIQBlHGLilvV3l25tDkoY4eCBYPNQ7LFiuXEHNQmebaB6VL0l4anwSXExlnzLkrgT5hfe 8iRV/wUEmjAv0FvWOp8fBfyTgiRXrY0Ukw52KHEAQU89X3F+8nSq4ORi0UFOBq2c6lAFWTh464vP cqP1ieG6wOTTa5ki9KOqpoEEQAs5WbI4lg8oSDCHpnKpobIIt39e7TKf8e0s91eOpuDhypqlOvx4 KQbkIX+zjMDDMEMPhxcXSkL0hIcQQ/nSBhdticnlLgjLj/yJayTalmMXIzhX69xAyQUIWA+hCaq/ 3a8qWeNZn4UgiA4Py3yAaP8yTnbeCBawErkyY4zlxwTMcMlne823eRGWDXno6qFs3T0pUObJOMgX N2kfb/juMrhCUJEh2pJVXOiSke6KY+V6ufMmj2ZmG7B37OxZ2pw1fFjlr113ufosctZjGl9jBcdV ZzLS7TX6M1TXpaykavyCHoTji3MxwGkCnyiAr1rD9k71Zi8no08n51GRok+SwloLNzqF1xViQ5QJ RB9my/Yct1rJ3Zio1H44STLYwdLcGkl42MrANXAm3B7Y9uSeZXu3+F+O0v9vhVM5bgfIAQN0YUUa gnfDNFRJ+0IgOg2rzybbuqMApLHs8rvWl4Oy97d09fziKsXIPqI1tZfdZnsCvXunyIwjhL3TvMgv NPVZf4+pp0hWNBUaOHyoTmUtZiLlI2VfZinIc4HybalrAnhv+ILLoDPQsCLi2htlqIxqmlWrNfga 60qww1QSb+9/OayHRYQVUA6VBG9aI3bScTkMmdGN8tOrneI7C1kOTVBVkiVT/l0KmugAz+GLrFNr VM9gJY0MM+Hp1Q2kkwnCyzTfVbcvq6tvSbBqoQ/6decVTY0hSOdGwcHWyWtHB3jbxr+7CJVk+IRN /EgU6vSu+ko+HYGjc+Uc9GgWLX552KfgoTGbVzJ4K78cILY5batctPTGj/ULhaalJR8909afikT2 xNYw2khaMQXUC8NJWgR4OiqYq+OY5NIGWBbGU00pYd0aNrU8LOOqs07WZD5aehCwYM9wyXhl3d9E OKjDCYsnQOIx45ro5IEPQb+af1wXhmUXkxYz2vcBqSCqlywfXVY1J2uhtXIzkreD8NeP2lgzQP5I dR9pMEVUpoZDnI5x0pzq8mhibAUA4t6rxj414z9YtpscyTFSixIpbKBVnfYXQ1zupXK25w/GTdnO dysnjZabtlb9lkn5IbttiUe850Ksaq8T7mZCmll2GgRFgyK3hy+CMYdUb1HSiHsjxCzKQ3H7Gqbo 9Zi3jrA1+K2b+IMihe+82sZ5InXGvvdcZA9EBHrZ8NOSwUSazVLfXDF6jVzusfpliq9hy+m1U9d3 vK/PeAPHuyajZE8NoYVwVaTUkAIrO9PRrylW7dH4kCW3fZdyO8TiV0MnEhIfghoAWE+zcEaYdEyJ N33Oh0rEIddRap+RtG2i3T9xLzWkSwAwgP3df30Pqn+uv5EY039CJcEQibCIeVgC6cU4F7DbEdvK Sh/cGmw79K6ApnBXCO1UlValdACWh2pJAU5Qg0MFIqUZlDvieZDTGFvCnUWMdN6tRH+Pp/w5Fs/F UGZWWlxlzsbg1nsY0BRuG1nHcMB8L5x019OKuwWy+SqVv2/v+PggyzCFee2GFxAHsf+B6OiYO4CZ RXEPqxxai53a6SWJ7BRvLOEt0c7JXpQfV9jUBJN9UTCTqUB4qN0+dKyYDKkbSSlrCiRLpnm1iMdL uGzTvFRzNcwgAN175w5I0ipMToB3WxPiN0ESexmqClDWIPxRvL8YA0S03v3ruOisl1DSoIIZC1od HdZzjzG1T+PLP4XvW2VBWh4BKC5M0KoCpMtXN7+UIC/Bte+1qWrjtMQz4mC60zYK+uewcSVfwUhg edWRHMgTPxxPOi67t7abemgLcqEuiopGkfTAgeZi3TZVBOp9D/qMYm+/79MgOMaOdEvoIce57w7R d7QWIzQctDE7Iw2yMKx0jiIGgpp0K4r89mRIAcCzOa/kh9TEKyd2dEvbmRnP/2ucAOVPKhmFwcbh sywD9Ha4GZBoYFGKkSWp0ajq3L0LILFm/PnO9OMMIt/ZDs36A3yvoMnmxuZEuiLcDQ6pTIcFAtuq FoZvAUe3xkVWmDt4rrJO4+pvDee5dzik37tlAhjBBUlchKElQ7xQM+WUSwBuRvw6yeTbnhBD4ctu wDZKilfpPpgP0/dMdih2sySzja+Kg3/i2qGq0zgYETIp27e+/p764p9S/8ZFE1cJtuJVRkIOyxxG Ofp+DdqaGXSvG3/e3Ke2g3pzL70E09K2GGl5Wt9JDepsZ/IGOobWxhbfYVpl1vYiGq0NIjWbHyNg RTNT0jXLYd7xrxmTGt5mlQ93gZrYrw9fS/r7A1IE+HdcbJHy+CQlC1+67p3tWCamGdAS/qZEidY2 SFXcMLBb5wfGAz0IjGpui7G1Mplu10Z/fgQDstIVzaFo3exeBiodRidCQ62e+6lBvNVJiYKmeB1K RfbBYrLe0OMsHxOphy2CTnJTOKi1BBBhUSldgIvLs+oycBsh3jZFO/SmZuncPlMcgQGtUj77OHgJ HQoaa79K5QHkd60H96FDKWtj2G5V7bl7E1c9dHxBJlHj1QVb8/mYhJE98+dlZfXHLHm/FiVcPa/I ftaHQDkecG7r/ZOBErOsOCEBPTqgmM9RrKgdv3LwAkMuqdofu96q2hMzSpgKxy/sSOj0LoMkZplD g+cM1JUyYAawonoeKrts1GNuOsSsRI88FVyKzh7CayK3OTtkVGJj7fXTcYQnq3PaDlpreY+SRKxp Dcf8aUtEicsFJ7OQlz05KAhb71nlnImoy2Xn3Laz5Nc+MtrTBSYHRYSl+xpaMMYRydBXOUAnTmrw HTNz+IrYW695gS3wXsYTcA8HxMIFbEuXiscRbXYn1Ak/6nIBNRq3RuWnOn68vLFdTDbzB8tXf61L zivEaaDpmiz5wRKu746otPcxjsK0uLiybrz7YdgmPVXAso3gZaGpyFlEp7jIks5Z8RIaTmqg/AlY eC8FPIrBOpc8cZszukDtkI7jL4rEpiBKxZAdICpzW4bl1KroHX1A3FOUxKMRNEFEoh4VZoDKoMoo s3N6L/cPe3pDlSg4g0seQ9HGAjIxyRE/i6nlJSmKrheKNxqGwwvEEp2DvLNSuIjjsG2/fHw+mXTV 8HGMP3Ii7AgISArm++4+MQEqSj6me5icrEoHAhkdpzwfjk7yRdYeKUkC5LNaNKlrVUIZ/F25mklA 2X1GTvY/D/YD+8j6/YQZqiuD/A2WHcUIwgx71FJvfg2LOrSV8FV77kwZV9D7hAKUwP3z9KizBHJ9 lc/oCPptbKWR09vXr1uZVSLiymv5LtRUBS+du8dO3VnqyEtDR3RiJ9vnmYCfg7dRWZf8RWnli79z nXIsff9B+6VRWADOzXUoTOBdZ4tch/XCj0OYGpUYa20OKFLTRd1bX81+2wUsrDt355pQfi/NRjQo rw+GJs7cCrS6tRY0ApMGbg/nfwIKbn0sqGrkUoCuO6HraUXSTPoQI/ybkVehkZedxhQFrq6SX2r8 eQTU9MzOXTpFYqCVlhDPpcO5Wchv87PI0HkeEdQedUGMCR8YJNzlBqvOEO2NM+ayc7cL+1xocdkf syvs5zVyKakgnnYa12L4hnuF51xAVXZJMv6kEnElPiwcfvrluKIODpBr23ExAD01wx4z7o/vD9tK +GmrcHUbwLyGIBflkOtW+uQANV0MpKpJ2BG1GDZhl9qRVU+y6Tvi3QlUanVfxh/W9iAzuI/pQIiH vNwT+jiYMYs46nRvFA1pUVtXRtGmLJqWR5nhMl/qVHrtq7cZT8fn5rF4IywFAuOn97DaQfhFE3uf sgh8UhUBKbRKR0qcX+T+5aQygnC/dGfQiARGpA8FrWkSThfsjvQj4Jy51/qXngZva6mGAzOIusyX AUcPo0D6g411XxwDGlV1Kv3vGg9sNoaYHyglVz+a3gQDbz4UgWIovrFwBKaRo3tHlZtbdvq4DLuZ EnW+ejhVlVE4RKQYJluG7qc8S3KRLwZB+SOl73fKH4CvnoGbdIYJrHejTkbVH123Xey2gNl887Xw wDrd5kgq/CC7WuiHEyQJ6ZLK2C4KE/Smq8+qiYBnrPd9UcBC63azTASSE3KmdyjJSy56J7NDEY7q zj1hncRIarxWOunYfJCEULEgD/P9wIKwFSJTOpN75R9eTrswgCWO4ftLsAouPJ56LPq3TStt6i09 nalIMMDBE2IqYZhLrj4+YiTwS5kvwDEu16Ig5M/hX4xXXr+wDo0OGWUDAf9+b4+2CCTj11VneVbV rfbLj7BhL56Qh4WKGbYMs/I9hZRJIT/ASDDahBwAke9kLEcuyxPZKgkLd9HiMg7PLis01olYC46a nAb3N041voD11MfqeJ7m1ZAnA8eRHp8ZMLf3obJcEixiGxGxGoJV/pRxuNWGrwMoM7Mxr+2MS1yq 2k++flYqecfkm43a/zVy39t4DA1WkqpyGckFLzMvGn0cG82uKVictuG/pQhxTreUDBDDwCYDPMT5 XJs8+q/0JrFOa/sibiERk+9qbqxryEZypS6t2tsc+p4YTKmuXM2PHufhzucAdyAeiq0gtALTEcso RZXiiwdMdYokGJr40wyfnIRDYOohnN87cAJGP6vnU0qPaAyaZtp0ho58JuTIe1FWsFj58cFyEXgi 9C6yRgK7vidCBYGbzvr+RDrTgvBA2RxFIpchw29C8ELwiKazBWi+S5QPQHXlqOm8OKnwVpflBbxG 8fF6MbXe+N/Q7qaWYxQ7WXvIgWuWQkxF3IO5M947PsM9N0dvPZpdI12cYMFC5//d3yrjSwjH+/Qv Gs8yj9ZvgHJcLWj2YJNtshQXOcjcYqr+g7Oz974ez7aLvIza63Xl3vbRVJW+x9g5SpjKxCulluS3 x7DYUTiFo8PwpXUT6RGvGJ/6cMs8g/DBRgkDvwQlLq5qXq0S0PoiQ0ECQLsGIoxJF67s+q83/shO Bmv1C/aW6iCL1DXjfYlM+ArmjWQTcRULDXXzGE3Mw9uXp0xydbtsHhlcK185SQ911X83fi80n7Jz Bdco9JIqV2CeysmGtCQ3wS2XkMM3J+BsP3Edx3KHCiNRnz3rpxVBLGIXS/YoA69BJ1kD0qvQaiWF Z3eiJF6ndrv/G9vkWNvSj08YUuqfnKqX/579Kq2DXMOl0rvcNENQ+mK9lhNVZcvMuRf1rsvqY51Z Z8hHcgFiEt52a7ACy5vBHSG/tem0lDFuffi4uUx8tgFvrByrymQsbUwEzBXCu2mpCINVv5Q1wWdz 2o1aaSLFaav5NN9uBRRbqDpq2+yAfgFoVrpwIVMJN6CukocUCToq2QsSBCYbd44D8YB1B9sZ2kn0 RVC7Me6pVxOb6GVfeVEE+YOb8FYnNKWuSMzy+cF2Ls/9mxNk6ZwpwyCC7S1uldxuyagQex3xBLTN dBT0Tdz1lt86g29QMcB3hTtIQiKbGHOFZ7r+DirAoCs8f+FVdEclmsvxBORtU/abBhhM6XuwKPpc kgJVREQYmaxpkjNR8f7tp/V1f+Pld28KgOmrFvpqPnx3CgbVXj61fk6qd+FDG2Uz6RWR9XOPrmhG PgwInMccemAMAX2KuPhDQjDrhR3CucvzuGkjAP762k8TN69LRZrxKq3RRHoa4WBMJYan9qcbJzBs rz9EV1aaj8NTQ99Nx/1d9qdmCem8lR63kk4BsnPJMTZ/Z8M24teqbBa8BX5hLba3XKhNTNmb/6R/ QBniOkebFZ1Ozn4EhpTyDFp5XymExvEpfPl9TlMJk0i9TexwnQhd+P1r0P37C1SIJ+A5bbqfpyBg aVingt9p8dV9zW/hY4pIXGeBxNC/WnJd3MvkuHxVnW07Umx1TS97v8URdgS4RScF1vhk9CpDXC2X QjGxjQ5LFNtBaisZkuqiWQwJwqw5pXONN20l9jMfUXm3L6rLYhZHUk+/eKOjItFIhJRVyAcXVYil a8tNF8mcE9MelQpGs2FOfjk7jgwOIaOBcrPLpRZQlbFNZhIxLJIDD54LNc3a/dphFeviwIkgym9V oKxK3vucB0PGimCcr37+DZjdb0wtvMTfJApYUdCKsfmIvG7K6UjuzVtf5MZVHYKy62mFq3i5MqwR +fS1uMESpko8f2irCk43c+fVCc3RPEosye1ZqrgQO2J5B8KOcZvI9KZsvmj5G+JdXV3ZVWeCesUt Rvqt4BfS40w8OssTDE6owygD8Wpu2WKLHI6MdGxCuPwyrgw/QxdddUCWSqZUHqya1z7kD23nvWsI YhKJY9MfVGIFi1eB3h87QhPGmGj1IP4eN1KCJw83ZA3vmAWcOsNiC59gvNJr0wEQOMLIE0JkclDj 4Wo0C5CUqnIMJv0V13I10SJK6p1hIZZo7GZ+IYos4LCnFyrncAUjG3n8czbhIkQe9oEL28a2qBtY a/LYJPCbU21Op+BC4gutk+2kyD1TwL0fxHbcjBrtPOHujixjJbC4vgiLo3MbrcBBV0uyzyz05APc nF9CrRcBI+2H1Yt0LUZvCL8Skgo1eTVQSNOqL6opMKjgMJSOY8iueYzNwgW5lwigLi6t1S2qVdSn QS1z+m+Cf2RHhJufdswA9T322JYFHsmqguVvGvhoroMAV/Fvpst7FX/gkvZx1/sBTX9kREmbVGrC 9rId/0zvykcQcololKlc/GBLbJtB9D5nAWu/ut2JRkLHGakUAF2KYK4CLLBqfbk3IvqNzozd9egI tmsC+sV+Z9UpvDd/sf1eIQvfHU9Y1XlT8V+Tr+b1FmXp4VW0Yj3PyABA5kw9txl38Y7WCPcp/7K2 jGyz5SyHBaab/2eCuwS7MiiKEjkZvpxN4LiPvLSGpDA/3leYXy85tN5i1udS5gn9gq2qqqwuCNS/ bbofhLZyhB+cAdAKqWUpTMVFJgzCnsbJ+NUmMKoXOGAmDd4f9NN8TzgBTKIhhSbJQV4G+TFuRFGp +/O/O18WVaF2SR36dN9QT03ONpkzzV1BOKWS1Zhz7Wn6VvtC76jJmXoG2F5YWscBCBlqFc7zs7IQ qOSiB4QfDouFHL5SmV575c4XX8fdMgao5JxIvnBXtGHvV8dLdkjlAceiUq9qY4qhMe5vTReqyXpX XjygoqfA2Z/FvL8ouC9gRoCs9d1q8xJaekGyjRvrTz2wSykqeceJkxzPAl3q9T++2hel8J0jtp8J y5Cf8qE1IC7gYMyZfBZzII3K5qPSYWXI2Gj/Yi9+ZccYD4285zbARMdp+IGsduAD+BWzRWzmGkOx 5wjCJ/LHT7NeBqwpVGq1mkwj8328HmRHmJGEBPE6LuW6CdmdoThGFqQarEDn/+6hku5mSyzMjrH8 ly5wAPphorQTkTku/r4xHqs2ODH/Bh1Urk3X515XCvuk80UHe64Fvemgw+MUlrU8fwGLNlhQJu/J jENUnJ+L795yqXURhag6E89kHEtb/Y1eceRFyvWQeiLFUJlA31rc8vkzzJeNRu4qNFRodJ8wmvgt 9DXcjJ6Po6tvJw1u8ofRSiYV16clbQObLaw7tuFoNgByt4QmwZKWHo2hN/qVkvdtYsnoq98OS479 bTBWTkCuZSVD/CjX6eCYDDunLqFMb/cqMkTZ3AKMk+q6No5VmHE5uR9q+cvBQs9yQ5B6BQkk5Fax RFGnObTsmIRNvqUhXROQ81VhSldUeDc81taSfgtLetJhk+/ZpcFb2+3bC7OxqS30nx3W+2y+cwue 9mOHR3FgwIGaDn2zAZNmEPI+vqHb7MYwhqMk+zXBKuAB4qRMBfpySNtfFfYSVVvQoFD2C8Gy8nVM YAMeg8RM9xA/sr8qznKX7JAl4wClhmW81hj7Bmjps/yDFHto7TgSPAzV3E4BScq/kMyfQfnJf2TS 4NsdpqvoBZIWIfNX73UCasvMW8BBtwTvIwxZfXjG7wHcfGUS80434ddHr81WaTY9tVOUVqJoiCkI Kdx1jmGEQF/3N6pS11Oh4JQeqHICOhMbuSNiV6B9t3TfU5uap0WLNwJofxFVWOoi2s2xfQoJGINY 8+E6iSH+0zBKsr54VUyIt5yhxooqOWSPd6WtmSZ8rmeKt829geOuYNYrSIrVIHg0gcl+ySbp2H+H HZH0JDC+L+8NxJOvQSREnEO0rw9UXf9Hf7VrsvT1FpZztnPMvC5HxWLZLa78eZr2KtjEyVh66PIY XxW+PN9n9qaS3bRMUUBlcIDtPQYFOkMRcR4TtlIzv+lukrspdOsYrAMRVaiIXyeu3ZxKXaK2W7+G cEJ26ricfRy/BPVGtbcFdPRMF0DmT3AV+HlfA28uYivd9S8AVwkdhQ7ZRD+nwAW04GFxFGOub1nJ 5vk1bd9J7ExE6YAPuI4taHHbKAuxq5HArq5LcIsRUGwwruvDVn+vPbIiNJn1i0M5IvRdKwK7sLWS RGkvdmuV22P9R7w+Zpvq3TAFtFVU/oV+O9TVvVwiuF+PaqcCArWaKA2b8ajIc8o/m5AktIUVvGaY SVlsFexGd9Pn9Cw/WE7ziB5lHHv/Mcj+gjrf1l2/5xSDijHdzi3CAUma49fWcwjuBnLI9t7J3O3B 4pd1l72OfU1FMpmYkR7VdZfnf3Wyv5e6kx37zyUHJxJly5vlCCO2cgcRmVZ9QFh7e8DOBYkiuGnn St2lPotRUgbx0nJTq/fdB3+bpQbyPCw65VQoYzaq8EqSAkqN/rN/ukq/yYTshSEyfW5ITXR29ZeB hCXyp4E1qIFZKZZZKHVSzJyAjtdDrITbGHAdJcPSVB01zyWXDOEgW7Dl4kYITdf+kX//6xcLQqJw YEcgtFXmPIK+qFbsTqF67EYGyeG4w3NZ+OuRWYM0oqlqFGJPOEwlx8ZRSWqjdI1CZYQyx761twzM uKyo2GI8IMf9Tjujt7LdrHKiXEH9R9HPfrxuAheupoofro7eEQC1coUqxW2hQOHgBRnTS2aemR5f 8G79fbEYB1ou6Ck9/Y3d847d582CzHeaRLGixpAMr909uaz4LPKarSKB8+09Nxa8vYxuxTgEG9Fd kQ6VWO93c6UtwaJrUmR4BjGr2s+0pd8YnIVrAwvtmfE34M9yUQTuRK/zSVXc78XRqQJV9c5DqMao Tiz7FC4xLwGW02iTe2GIOKJXFU8E6X4FCQ7pPY4e/uUZANpUlF2wyr853JH/O2vFjfoXxeyFFR/T u4JyVqgZvJQCoU60AWL4KuX+8fhLjFwxwbD43YJ/P9TLl5fbtDbro40+GYw40CYWzp0XMu5tVwzw u+VjtuAA+mpAeVgo6qSPl69RLpm50OxlScnI/bqC1KHabAjmtTdf2TVS6DZSp7Otw6CZ2O4qR2o+ 833RJbo79y4SNcq5PqrKifd7hDmriuSYabbqIfgDoV71nvV2WsvkE7BiS/fWwsbnR5PkeVvaALAL i/hJh+eh2TzMM9QPCzaM7LcHGfe5Mrl6AIvmz3vUV5PgZDqIiNK2mNcCylylpWujuRxRMQlK5rvu 7eTPQarhErmvcZv3Xo6yJkvgd5ACA9dSCPOe/ZSDB7y48WOQneCIT3KNsHGBR/y1jN2C/5BdGRt6 2dU+93o9anX54w6CDQxMj57gOFBsui3SbA1dBgQa+ILRi6JhDRvFAR1hrJPWXf3008/2hXVGa5ZA 2xcfAm63czu4CfjMderrb31FWQXwUwWsbYszS7uzWx+HQXHbodGfLFpeexz9okc6fB/SWth3rVLs xMr94xhX7IAFBq3a6oHqr2s9puRB5gWCegKqcfEqGNZvB/sk3q+Y4fRT0WFfiuyycCCLzbtxnbT4 Sx/N0rJYw6zMduy9KZ9elIotPWHKnpRuT+0aYvF1zEkhTKwjhg825MOfIv8jY9OhoAI1XtryOToV lL0OuaCai7aGrYu86HryAVy7TR5NwTa8GcbZrc3ZlS4b5kmci9w20mk7iVuUjXzoiecH5QTlYU35 hC98+fCdbfIcqkFSKm1qe4IJ/lxCEJfnTp5q5HxkoYn3hiTZpphMTY9ygoAzKS2ZYj2mEslevuEC GSM/m8hPjWttW08b7YKpadA5bzvwISvqsObECTuI/trXblB7ANk3M+JWCbq28mp80p6oDSKnmsPK jJhkWlkeoouqzX0LMxpf0JBGbOaFkraT6UVrJCqIMTq8C6NQGq5GDXN5yJLgbPV3hHHaTVxKVxLq oP0JmcFyNQOYWmcY2PWeg/KeoFLULBXAV1JEa9pRt5n9xioerlpX/E9Ydgk38LlJb3gALl0EyUV7 b7/vjaV8YFsO1VKopiCedX0kWlfxVIVEj8wMjAwNl1wTEa9lOsJXI3o0s9QPyYPyVT066o4K1M9T EfO12CQJOnOO4qc/K/MpIkLGjcKcLuh0ZmrVEIURqoB02eURBUBCNevvyNB3MmFX9RtHCoAQFAYp Elt8A5ZERp/isqTLbHAgo5LUR33Q65ij5pxlxg9UK5H2P+NXWyXRXlU0Qf2U9qgvGdnVL3YUUdlI YoKqS7eN1RPo97RKa2216zc4I8dS9C9lbF1Bc6IQUY16AA0PSXd+0xvDH+8ywSMVtKozuQsNDIBV OQq0IyrrYn0SSfvJOjfqNw+iy1fYkv983s+pM0SWvxunjKPMIr88V81aAxo3FswhkMFQKxz/LpTR NcNqOB//FAQBl9OwIiwu/TtGGhb/w4KvFlRIusqMoL9N2jxcejGkoQJMF4ITGSp9ZMjS5aXR4p+K 5d9tA+mr+XCfPF+aWaLUp34hE3Wy3yIv+uE86RuUetLEyw0NmhFQdNy4n6rdMlhgPo02TaHxxuwC UXtCcUEN99lSIK7MAGnCbsgU7NnRVs+6ecTfWSABWvdSb9p8D7oyq0Ct8NsPh9nXA1komp4/lP3C OhFggrmUkvlsZVxdTGVi4PEQME70ra3ZB08gp68JROJ38eDks0Fi5A+87OAD9wXjEG6Yr3g4x2Uq 5qxPd3K88WbynidGdYs1gq6uOV3F4mxBfpfQnCGyyS/NfeYGG38mttX/4H0wZCv7KP2f4wh8uaj6 1mRMAb8HBOzjfaGicbZrEcJJ8kVt9inLz9ozlt56KJtlU1Sg23C8U3KgnE38pq5OazVey0iIcP+y FFeqkMBoRqUgOSKB4HZ4Y9MyjpLQlG8KJH2v7Hx5z2jOWTJWNXzoq1bT/L0lPHBN1RcsE6EOy71O ecaqhC8XC5NFCwGqelzuZgmAaGjcxejFETFax8mmKOH76cxCUtsSl4c72dF2owt8wTofwi99koPM OAERKsmNsbub5OMqrrgeVxq+QOxGLbed6NVz3cPPScGcMkhYJcOumzFCnVZQH2jDGFQeIueGTntD iN0RdhXVoXVGFsCcz2yYl9eljo1HxfBxceJ4UNO7E+yOWiyYlHYUUiMovvYSfCz15pltJ+ZoIGSz C/J7D3vco/HRn3xRNHQnIOlLQJ4to0/ix7+cqmqv2vCUxrg5BXQOauB2hagOfWPwDmWXvvpuWbFd wSx9L+Wa2/p8dmjZrnxZAjHWrySp+5vh166hHQZIun68tqln5bqzq8euhv5TCj71wyWF2k1eqXvG hOUAZP0yH+Re+w9rnoGs9koSLFreJg2kELQC95kCjKKauVueuFrNIH+B6uszeRVQdEEnjm3tna9z 2or1Npnag3tC7/wds3LO+EZd1dYZEMujd4EacHB/E/h3R9Qcmk4DjXAW1IEOyDl7Wr1GJY+3uTk7 ZgsscUpRE2eTxFATOyebc5CAw04uXGHG86hRiXpWM3EOmDBWWF3lsvYw1NlNI9G4nSnJf4q1zglB h0wJfwLl2mA3Hv+sxrJ1z0r+S4+DwIySbPeKcG5xyEG+aSTcw4xj13sjLZWuBOpr7YV24ZdbDqSC dtZlFtEuSOjvi0vblu5pTa6pito17wh6yJ5KMBv7c7HzLluC5JGvOaKgeN5TqPRaFcK0iVWSlZXr dPYNmlNWSyT2MvYkOgiIxoZhxn+2W1fZazZjcSM8pYZkoMaEmorxkijBU7rpvukk0gvhdd1NOHa7 +C2t/94Yls9ILsdpHuafRf4hg/6LallO+ZRDh4AzmptaaX7lwNRT7UDEcrcmZWR1MegIK/rD2XIc RcYdx0LIyoRQpglHMZEqcPd8nTpwVDEDtybC7Knav6CyIkLBEQ/TCeQ+/Rq/7t2YCAVNk6ccTfNe ay6E4OaFEhCRVlCuUGrazP5rKFx2bAzy/DIDNbOpAFrR5v2e7UwyenIInDHMTp9gUsZIhJZ4GJnc BO4anUGnC1aiUINXWGOg5OlQK+nSak29u7EI/nMOhvqmrCddUyJyCe8qMVdYGM/qUy570VmaA4pY FKuGIDTNKwGeDvtptO2t7nnCy8/R9R+Z7z3Ux5nDCnr9c4u90dl5r8eZ7fWYD8imKP3UVWsQWOn3 fOhh6Bx+S42gZQux3hJpTCJ+wx4HHCe80lcqNQi4WCMIxy+GJl/5C9qzrAE2TdCikJgjkqVGn5lg 7XMgmlQvqOQn0FqD1dtIuafdSRS6z6UnIbDECYuz1BevIDjLHUwDuVZcmJfBw5pLQAP77bO53JPV ZCnEZzJGs/U6a+yEI/3R8FGo8wT4Y6uajQWVspc5ccH0kL1XPg3tOwQEuiFOPCXWEp9UDmW7Em17 4V591GWMW1V4+AKbqAdzyGDo1NWC51D5mdOHoqYiJDgtrLCwD6Ir0YTOXi4AxabFxIwVMIEedtCv 1IoATOOYnqulayKc+0n8P8e99RuysFMS35UyTCnJRnNjf37LXMPOn4j8b0rzzx4zkBSZWaK42kfp nFdw2nOd8AFiL58VdfYrF4FB0gucVH3pBPIoEHtRf+f7rHZnt3S/KeikqtQBbJht8ZGZrAPjD7bp v/KzP9kpISu/CFeBQtuiAqlqouKv4J5gJ4yq6cYcDWTOK9nw90PWvHoQ9UlQTwvCz5iYJ8/QbNGY w4aJCJJdpq1BDwgdBX2gWIe4ZHD9+M+TvZh0ezVymEEOa07oR7kCjvgvzCj0CYSpsFjdntkB8tuE Dc40oM3XLX7sHmqdyrspnYLiAlx48ozLDZKaEhk3whnV3nldrq3t5Ery0wWdRpcQd97J6QYcU64K pajN1RCNBQlxHI4IbhuNxFrmK2ufw0B991WvcOahPT0KoO6JFVelzPQX/j++tkBGW/XJhRQYoCAk T22IgcI0ADmeSVNOWza3/0o+tAGLgMDIBdnP8nxNVqq78rQGFhptsTZBnV7/bd2W2DiN4EG9Ddx6 WL4t+ZtrZ1tCoAgyxD5CkscfnY4JPCuen1o55DEs947Hcn8o6Br4OKNmHNmE4xrl7ihBjeKEud0G u4tnws9/3JpVrZiFZqlkxd4d9wC42/v/16zZp89/fVbQ1K09Pi5Z/OFQhtG856BU/GFmyJwNeGXB imsJzX91rJbY2hvzHeoIeD0PiG96YiRCLMc/2PzAXixDcDZIjbxXA4h7QygjBUKm5j+iGXCswum2 KYIkvz/Obz9Rq4WsvLGiVmtEuU2LX2yY8OUhdTNcZW5077K1WnIJjiXhAldYZLJ9arI3vOdUuwNH zrPVKIJ6R/hWgAw4gn7ONpEzUgUXEZj0XnalsMU5yxpz/1zUrDe9KzamdJprlMdPwqK9aOQXFVko Bfxg3/Ls/SiUFTFNqhByeprudqWGMZ9MV47xBLbYIVJl3MbXgt2FFyUowHOsasMS2jO0doxjoS8c jExNSqir6AftLa9rFfw/IMM1Fjgb5l05ilW4ur4Bd4IGuZ5F2U3no5iyRVof1PXmSxY/gcV04Wjr jVSGClBQg7J8hkakS/hW2TRFmkR3g9tiN/3rzm52hrdjMuSil0LM+era3BDJNsNMD8nlGvXR4p/x fQHvSKBdvzt6i/1F+swvbIehZkG0ycW8HG1CVSmEjadJFlfjGrw9bWo8RjMYxmxLYhyaZO1E4Zfd /iiSpFj5zSOXd7Lt1yyyorf8UJoxTQ8u+Mu55LA6cm3gi4KaKVD8pDcLZx8H/fX9ktgzd3rCX50Y cFZZrWWKPHCcRPFaJ+d9clPrW7StBCj9oWnQPCO2suAVdti8SETpdDd8bpLSSRTrOiybI2zaBFCV 8CO9BtlY1f7LqJ8dYr0i5vPHRNGb/Vx+rYRwRK3sM42KNyUiaWsWlwFUtqsE1l9GC0zpp9LL8/TW l5pn/FIXUnEce9c18kQOcfIoP6lLbRUdBZWCnvRosz/edTN861qXG3S72bCzz5MwAh7RvqLhhjF8 B5VksyT0DU+y0zfQA4myr0nFW6viOcNsw6ATCoxBhcJaULL3MitWt5g3R9EJo2AVmjg+VWKZDDop mhVkWnEHSJTTTQnTo2btoZM5LaSTZIkkyyuUKr0SaEZ+N5rVjXGu0oVH7QuLF77a2rTsrCA8E6fV 3MCx11UO2tEw6WL+CC5XCX16pMIPHdYfVxPTC5GF0YTTevcmrr5VtLSxoQpj8XVLz8AgNmRjF6jm qjymWRXx1hOlZMd3xZMulXbcu+nwd20POaJ8IuBXAERC7R2l4WTk+ZawB1vr9TJGaZmFWsb+b52v tlCTX3/WzBlkvLaGYOHf3rhKmjHni9CBe/TJSx4vAAsj/wmS2J3jyhdkdlAPGvWw2BOaVv7PS3eP dSgoy7PHcW/cse3LyXsx9Jr45TkykvulrkOrVJ/zfLVvRQWDprStRtU6akcnMdmgw1b08lwhp6fh XQr1j9D1hYiCO92NL95lx7uf7ePwqKUoikaXI371nWHYZT4noMM4naJD1odeXzwfKtz8rZqt2aAN UvikwNKtjzwysTsA4IEJagZV5mPum8nDHCF6+9W0qzyYxKAzYXzDJ+tSfS+Kd3DCHv1VShOXu6sL CqnhRqnwzz2lsCoSGige8tPE8j7ppzsBvsISnuQbLj+YUkFZj48AFbr/1GSEfdumyLa8NpC1If72 9YRFfpvayAXvig5TfhrHEHtoPTrbynSFf4o7S5vwRkDG1QB+1+f87wJka7w92iySfwrIYvMbNC1f howlcwFlxYl8qAqc//q0X/rkB1ceAtNWfH2NfVT0GQJXckO/lTTdHOEVR7uLGnks55vtn9+mFtwL F9WgdLccn5EEIzobHLOGLm3Qt9ACrYTUZK+RNiuf/oHuH4kH1iWS4DMTkIpGqzM4DIbzkyNjQFpD bCOHQIsdtvXgdkqYTdjP8VrGuT83Mieo8mHnDFPi/aq2PE/+H/XEdCi+EW3Yr+FkJnc3Cq+XwzYM YDs+bO/LuPayP8Zao6TzQAHCg647oJlfXJoq/H12aU3PrQPzf2x+V0SshxDbDFwCb/B1rOOki208 tSdbWluVMr2BKpnqRgl55LR9tTARdhxKCN/5t7iHqC+OgLVeYnltytFeMlKnPHkGB229qkFf967Z 3ES3+fVnFBMfSqCEuIkDCKUe0Ca1IknXCgruwYZjheJbFeBwWyU7R0fCKoVNDh+gCQixNoxddtqp SNbZrvvhZXog1l/7A0gvXghdvbGxtOPIKhQXuxKOjO5dFBXNcAuWPPMh/f1y09mlsqm7mqEE65FT ni9DEUaTb3agryKKLDRKYAgWHic0bIsLprI234Pje4PYp5Us+w8Dm7HDUoZ86M0cy9YnhHUHti84 iHZyaAVSKv5RygNgPKr785mbg1xVK5GGns+nzOStDbCbwRcBE6OQG2mgkO+4ovGqykzVWxLHic0Z OuEx1nSNM0MgA6uzl6LVjTHzke2S+6G3c13+8l6Xv3RayLKdUVu+zmaknRvdvcc0SnV87tjbTCK2 0u98GaoCgv2ag0DcanwFIQyjwQlSH0Rg+yITsRLfTyFujMh1c5EXJySKKJ/b7e30KpsQNDm7fZ1k DmcnQTZcDZrIrJ067B7K9zrZi6RkPZnnIFp3Fcu6b1u0J6lps9aCM/WXq32P1H/rldIgQZ/FK2vC HCwypIp/zE9ZG9n2b/sfUSkE3TA0K4mguen06IU2zSkALpk/TjFebWW4WPNy9F774mlb7lEwV6Az Z3Uct3bE75notm+I7Qr90FbiznMcdSo6BPcw1VJxtY0r2YEKkjK4VOko59yIKy4jFEE/Y5uF8pci DxCL2khXxakSUpdLaT6cKXPrMfFzNjMaIVl19UGW23z8er+nZKvjYQPp9DoZxLvlbkTA2kVbzgWv skLpQdQALXm/PSqFXfn5755IzTfkTnyMPk8E2pFHTNbjq8bB+SJO1r/bercfsnpfQcBBz/yTjfj0 ydL3x1O8hq0RjYIef4pP2DkbuGIJLmm7mzx4qhP1iQ6kjG3WWz2SbEgSRLWycJpOa51iPg9/9qy1 e6Ow/ioCw5uJIxdNsAT+4mPk+tiZURZJKGQCS5pvI797UdWLBAIwZGPttc44jaiO7eYvOd1r7cq6 zaAUkyO60O60hwQovG4/dxGrsYKz01/0ZEwj526xct8kf0hd4Bh3cQ0J4wohmhGalT8fhH/iqOmJ ycLHz6ZZQvxQQJNPBlIA+J6Zo4p8NAQVbu0xnecgfNzXF+usExWVCKM1hT3OMMf0cHwTlPqNmxf9 +bzQsH9rCwJ0iBiKKUXPdencaUeYth6YUN9iHc99fzj0R8THAV41/cItb9UbVPc8Qq8NDlYMzovT J9s8qHYQTyE6JDoPo2SFgXylc7NTSk7J2GkJH/mVWQ4E71IqxIDWzj0C1nJw/EEWeiNTyECug11g OsVAuLDyPbY8ACtqJn+rQLYLdbzIgdjaKpbgHZhd1BTXIRkQ//kvDsxGBd8h3dsSh5JOtKu40jvc DO7apX+ObeKTzqEaV8E3ocZTCKIcuWWh6/iA5VHd8ylK9LeZLEPLbiCBe3l9gMQkk8iJUOjLNN/u ltXbdHCUOOt2R5/6MybWB8FbECeqvxHH7nawORP4XJx0PKP1/JiUQvCn2Hi3rcN7k4OhmkD4myYm CM77YyBpPiZLFanmHJDURx/35fOPMnY7bI5AEdnj4K+7JM6xAUSTX4q/nCwaXqPN7rO15nnu2vry Xpc5TPOe/su/Ygicfp7SnWdwII6yt2EyEze9UDdSNxmGDE4qXwmtt+kB1XRYwGANj6BjEhAd+KRd RrcScuJtsyWRSelrk4yTs5zAIITRZHNdNiy94kKBsUW4fsI+KdZbwimLFqlfVOVtzfIdWOcduHiu 2ld9qkUYht35SxTFlrkKA7nGvkLhQkU8KkUalH+lwhdZQgqbP6I/0zwI7DVbMYygnSpRpSEP3PmB GwYbKkInm/zeMlNN+HmYCXJIodWJ06gG4vxJAq9iEg7BtX6pgdLAhFurdS4a6sRZL3Goqonv2efV TUPy5zimUTEgKPZuDWhG9y8WqwKfgm/LybOfHH/dNBxtZHMJEzBZrkT1p7VRZTq1BIs9dT3SJSoR 2hmF3IsUfIuyyDhWTp44MHuWaoj5qo5JwGNmiaXnU6m+4csUrWqpTS18RRl0ecGKB6z6wx5aPV4U hpbqk8UaRHuN0G7OxiFlphEyw1WzTbb8aEUtkXSJiOEhA/TRCBzkqyC0+NQ/ZYDZRG9CUx2ccITi fonjus6TeujjC28vtTajMublK4gnJDwUBtNa1OQcgPoUV7ST4mFtqy07RcWFRS7aEKKeQaZRkRsW 6GqpzIYIPI3n3PYIwQ2GN16DQzEJhJNpJ1LvPuxGp1ASa7rN2hkWdR4HjmUdsc7HyuZ/Tg+J3o/s HhQJEJT5U9VXlTqf9cnphsGKftXtAIGdp0AI4TJfxhWl2Vh4FQEL6cEGqFEhdAWc2/Nn6mH+0p7S RrcztnFZRvuRkSgOOEea5J9doi9LaIdRNvZN/AlEXzzg3MnN4uRKCzFy1RcwyMueJsbAe4t8PIT0 Lr7jtEp/IZkGqb8fITQoOrSix5811iQCVI9ht+DeLQ8raCSdVwCrHJ+emP+zHRtu5a5EGNOQRVpb j+r/k9RajEaQkvFvhgzLhE4i8yr2f9rm1b2F+zIhJwNhsNBSb/uZdlALKjDQhVaL8Abpa3UMcP+g 7fa0yWpfq1+4TM0LhkrIcdcmo+i0mv4oJWDv53Gnj7481/1WkQ4gTcIPDUbgapHNgXjcpO03QweY nY9R9J3A5DLurEm5DdGBegogCTpFSBdjCthayinv1CKJPbzCpl3hExRkil2aTVbeF/eMv+qfswXL Qz3RToLp6Hj04dgX2zaAJMIQ/kS4GG+3WMaV8Pz8PHqZgeGeSn5Ob7UK8leiWLDCMzfiVRui4BK7 /fObM9kYFRQdXXM/ouGG7XBbk9Y/HtWZ9xYn2XuUxpd+oSm0+ViGesCWlXA5cbX+xDiTS4ziYcSV 4k1d7eavaYyBd8jVtG7ocr+8jsafYw/EB5TARd8ufLH42Wc2c09R3WBpXRIaefm5gdhL7PQdHF2T fHd9QA27mq2JzYjsrtyMGUEmXAwMSrqkwfGjXldl8ZGzymXAVE+EczSLosmFzl0AVcAD0KB9U3AU 9QwyXcWZspSyDZfSZp8z/N2QJ+iW1fuFzJXfkp4N1v98228cQPDZrQ7DAXjPRL/nfmzxfhBkIal6 +j6YFDROWi7L2fl4LU4Nn2Un+9wu038ciCVlmDzKtpsIScutEmroMJHdH7sCS2LNgIgGB5brnizN qwdnZbHhqC7kEfDm8HDXNis+2HV8LjOODKfpcRBIhsY4RwTHlf7VSQ4JRFrMbChz0zSQjJC+DIiM UQiVWX4HKFYFtPQ4hVLkINK/Fl8zyARFs/lLmRWdyERs66FF1FvVFk3J0v6NSbxqX0OzLF1ri4Tt RkaLu0JSJI8p3WSGk7YnL6Hml4rh5x2rf137O0thwMfKtgNpUrgMvoE/WA8aPJ52fRy8bvN5gpZo HHkZOopaiz8or9ExmCaewxi1k4nWZSJNr/hlkDX/UPpJfCwrqyGBC0PO8JWO5vSYvGncWmck3817 Y+ci0EHAUH0QOUUH+FtVO3LICJlKgncW53n0ClebQ7tFs9efd8W5Amy01E/ysslB+lQPdjaAxDfQ S5sbwbevN2guA2xMtpeNb+sZ6jXLIxPmTAx87TBHYZnOl7VSQmkE7vnLXgUW0bLL/bsuc/mdk1M9 F+fZhE6cJlsFkmb9Q6Hh4MRspStgPpQog4vC0O9Bn6eoCnmTI30sfkSfB2NJxp5usL7F2tBlJ3SI QM0fxtxg44UglQvc1Y9NVCJA0Ulz7ShB0Oc0KjyEpJ4KJjH1INicvsUCk7o0PKvvnp1HKF73K0zc Lais+5z1XmQuGlpmcP9Y6RM90ZVhkpEYVsjaT2VMzN4GDSJKtBxQ2LEMTho5MyTNskD0Sg+f8CT/ JzRl8xPLCiL94qYJyTaarHD2kbzENijmmRTylYhlnY6Dxt3kPdN9vqaN20nG97F9XITjBZIom8Sn cfbUz6kzGVCsJQY+adEczawVJgJ3Imr8bXiSecKl0avi2HTHj6yOyd8zfgl6ko582C1QMl3O6yq9 ata3DjzSLk9LKhaqD6tANqCPi6GSBwp4XvpsPvsx+0KVHXmdQLYLsJWpprVACEtO0/Z62siaAuXu C1pDyLF1pQLJ5iQOdYxkN9cU9xZoob0k8k1ExPnXiXlCqfxlihjmtrIBgbnBN5mEebAwjF9DsZL/ vm2ouadHgWQur4vAz8jkDHmGuPzJaS7BTCxlgrsgvvtItBxPQlTKjqnMJgV7xX7wSY9rS5Bujh5+ O2Rzczcj70m1PCERZiaMRUPIfyObgiK8w7JyFWfa02WOu7Y2Wq7U38uX7W4FspbCAWf86SXyAUTv 29eub7Nsw6Q9L4ve5Hd8VJnOI0LsDeehMC0V/EnBYMTfMcOwwGapo86NA4qciMOGeU6rq6PZ5/wf Niz6V3hoGn/b5M2bPTs0gC5v4oi4V4LlAFrcVhm/R3hZtPSpwwcMaDNlUhiC8ChaGsAF6+YtYGeZ zPo7jMlUYWTETiRvj27tM11IhShHRhQhDCpyqsbi7Xo+Mhoif6fUX+hoMSjJ7ze1Rlqx3T3+sl6y 1T2lknv6IOTDyNCiHTfPYdF6nCF5E16bC6Y1TdYuIXdzu704y0IC8VIMY8cRk/xQ9M80lC5d3svt glJVzgyTE6UyRRPOZW1uLFIqHl6ChYZTU6vYzP8d2QiYy9k/3Ny2umAR0rwUeZvB81AUH0XMCcMI j/tB6kku3EfeUVIoYmbGr0eyoqgyWkdbYyLvfASjdkuoXHXPUzUpsI2qRrDI5avISUoWSsf9RddL MsJYPuiSDyj/JL65mewy38CVv+IFOea1RTRGvkzEXQv05YC6i0BXsPVmgX43fJdf+muW7cxgb01f cI0PHgxSmGBJ80P1Iwe/tvTJ/CyMbrqjh6ygm1Q68Wk9UvOAeSu9ytHxxlkpOTW77gB6alo4XLKA YuIbrtmfNNjhT1RWHE314WR5YxCY4c6ZGf18TuDzuSmnL8LH19BEiW8WmKPphpU1og1/aOMCF3aH z0FIsbUxT0LcOaWrRyuBdW5Ipuz7FZBlUI6OdoI+kUXeSGYzliL5teKiUW1iziyE0hb7cEnMnN39 wfgCdf2AFqgQze7NUvDOB1Ht2/Mq4u9qdocED9cutV8DTW92pvr3rQvi/7Ulf90GGuYuBhiyJD9R qEpavQorkBrnYgoyGK7+XAUaW8vJ1waZE5hkpHR4gRkGrHATbMBU/ibQDpRTOBkTTBTgzfiEjVVy KZSYJk7+v5qJqsrbI4gP93QaFhHTS3j6euNaNvN8z27rVlrBUJTyl3hIkX/U/ky1WQlPYy2Mk7/f t9nI2OWLKfEk5sZ97de+YtU+Q+sMO+36zrxHIaOO5irCObAqyXB4hG9EjltMz2UfACF+q31iXjqC rh1f8GxXRwwT6K/9YMHYvTInpG4YzG/1J+FQuc37nog+EoBS6uaEjiFDXayLb4WmqAS6MNCu+JPV zNyXfbZkndfsO9WsRIt2ZB8j/z7OBvA25aeqPA6OOfPHYkC0DvLMo9LmvGt5pAtaI5BtB4zYnwz1 QywxmOYvTW4OqTuadDhjH+GsrsLA1Ld78brHTYh0L1zdwsiqRaS1zKgelhyOivCyItpQLVM1qgL5 F7qT96PjsDBv8o8OQPlIc31Y7JUvS0bpipBE9GpatHk+Y5o+oabbA48UePmf3YOIUaSFavJYA18x R0EKmf/D5Yi6oVDOhkIqU5IhZFN5b8oSZw1wHONlf5XfByu4akrXsKHgp+D+8OUaMkMi07fN/aEx OwSVpXmK47qdmT9mcGQwj+GEEFUPmpu7krbTFIGhnPKOFXwEc/H2aZNxHfe+R//PT4YL13LyFZk4 pZXDafv5RSykYCsekYp1S10kn9Z/V5Rq768DaCePVTcFudP0S0wZFR4V+Q2BCR+7+iHuDCnxSqGP scfGXfjCIgs1LApzaaEYB8eWyyFIkQBFCrm/e4E6e9ha8oTnsekaenWzRgOYRB5jd89ZoqU7fKNV tnuImY7fQiJHO2O0nDC9PMiVNfr8z7dGCMaLHfyC69IFtCm72Z+5b+CQmtmJWKve69TbWGJXwRDT uIM9bkGwdzcCe02pCg0wToCgosGw2ktp3jRENe2ekosVBbVMBBNtRLGoIOTc7/B0H3xAWm1whw7i Btpgjpgy/Lc2/yP0lKSTbS97JiVqhmxBPE/s4DzgPmNUdiizQswQ0a77xsA4CWqo1WIbz+bQApbr DGp/VlNTlUkdyU6az/CKWwdlVFQmgdU1QpugTUaNedDX5+t0McXLrbHoBtkDgqxbYDdx3NMrFVmx DvuTGU8KMvY87ZkBzj2zfk8db063xGY38jmu8bEi8iaryXrq3CTdjMcH9fyfxzPsxEmxpOAaedkc pPiX75WzDNsybip7daUU7wNy7cTYL5NYYaHBzdwJ3kMsspHpdk8hW8GIqST7jnZ6brkRJ+ry0Pnt 2ymaDzffmvtsDzPIcn3lL0SuKF3ORiXYmk/WXFCiIY5rDAa9oo0VJwOf68CdDlq9Pm/WEu4urZFn XM3pXznNEWn61iBW8pf3WEzaHCwb0JO+nV2ZNbjxsqnFKh/LBjk1Rg9TtZuBM1CN0zBm61FyYER6 Tv6vCXJK5EVBQ7iAwiRvhBJMSOcAblIFf0fxlXUEHs5QLAiRcWsUegfLPO7+il3si7O15cPIIvoQ 1XtoL3OsvdZP1i+QNUIBynWI0ibFZNjBcS9qLeTg9+C/aSWEuMafQp5RIS/Y4ubx6u85t2ZOHxnx ajq5gwj3LWODtYMzIAkqzIbCmI9PX5f5RrBNHh3/acqnmPR9soMNkXqxa9NHKIhW6PTf4XN+HACW 8lQW2IL1ZPVf2sTqVfiYu0WUZ3e3/uV8g0QtBdvp/kvItkZon0PsCae5iZhFinZZ0Lr6a8w31VS/ bc/Y4jBCcWv8RWoqHsdLsI+1catWrQExC1FX0K+ZMF5DJ3jwDxm+vJzaChMl1Ap3VE0+SN/C1oA4 bL21hySgtFRATIS8R03537vKQCcgdB82CHKP6fHMnPzjuNz+N/eHTZjsueWWRfvzAEUbQzih4Ugv gkc+HHi3GzIpjpYTt7FuoY4nhgOMgoAtMn1JefLNn2SXs+uYAOaVwgLsuiTR+1niIHS7DYE/AqCS E4vnDTfwTUKIzr7khJSNw5gajacdkRPqp4gptWSaU6uRI8ztuPbefsG+Ac2wuMUf/0IKuvTJoFF6 mzM2M/y1c3S6hUnYYg7FXrZGG8aGtHewNblZj8kLn2MBm/RUfXgYwjnVvMBobi/L92flCUtfV3/K WIUrWQBpnVB1EKsaVGvXpN/a8RAJt6clO2Sv1SJ8pPOW5yd0pGT/m/qRdPMFhR6WPTiYHNk9NY8S kwz9Q9wuZIb04Eov2ZzAelevvRfQWgljz1HTtny9GiKcv8KKdcV4JtTNC7wZb4xcMIqok6pcF0zT jHNws9ozv05n5VDy8W+VJNQ79AXnq0ELt5XTDipfcoGCQ6cPx2RwvB/+7LARiKseW75OY1mqPyI1 0o8+tU5CU+iwQcPclYc+1Cle4keN0VKRWL6KHO/sipBXEZVrAIOqKtfgy4OE6giJ/v8fXkVG/JIV e/Uz+tJ+XkgYXBuW2hQu1N0/zvKYjq0JBK+ppj4lsX+FmeDutPR0JWMScWxVsOmsbxLQo0NqRc2a rtMdK9qDZoaOgUklsLa23807dnsvvO3g0bxuAZYUcFvXMIcKy0cIqUmWGa0UvZeSMCQW/M45FQOQ 9belK0cvrF4J4idoSET0ONg3xlN4uGpTWIq/IUyYbZKs+WzYPRMM2qdbqy15qxkOPV7jArsl4lj+ jVNrn79JX1830pNP3luZQ6B6599eGAq6F1g8dZEPIFPZgkQZJ6d2nc5tvFWO6mDJiXE7QE2bJ+jb BxVxX8P07+kXLcZgXNfn79sfUqdA5WiJuQnAMKupJPSQOaD5jgfj+9UnHU3SA9PndVs3aVCHzOGL hyHmqRU0QNoeEXT48ZNKkbmOhuBpx6HlkJXY9amABWQD+5gjXbf93en3iyl/sgQZ37m/37tTQsyT zdcofZF/sYNdSZQXKdbU9X4gay50oDqF/lwlSsI2cCCcLT2HYasptyCri7NuiJZWdKB+66SGf3Bl 6qzvYNNpHH7GRyy7f6dIz5tZZ4Ohgk5CGy4bhfE/Q6IWRH6y6yHV0Duaw2xabL+kOI+8Me/Petvk uNuAjc9SwBTtvEAp5elcyXBBsRcFQo0mWr0wSaWsSSnPAFaTt1uoINSimw2HC006qZbpMut2UOSr y9QI0sxSG8MWxl15inDIjFZGFgrDQQPRWEBdU+GGQCShQee9Eg5KFOtGCwXJ3/+qVlAPHorXZE1u kWqZL2j4PLZo0GQrN2eV0/PSe8hZW/ye+msBXBG3RvIV2+JlUphevCblhVY5rQo3ATFUWSq4qqbo XSl/E8CvMb22k9kbwL7xOdV/1PnxUewapfieFJm+XvHWBHcIaooEPuq1/0cH0XpnvbxZp+XNMD2Y XkQGeL1u6aC9SUg+T+qaL/gK7QQLpuNVAeMCfwi07CGwlij8g8m7pcEEWZjemUmEIapZFHNBrBzm LYSSLj1ZWv/sf7QMw1UGngJcUdsBlJDTHg5ad5m7bBSLX2xSS4U6QTkzWSgJWBpT/JD6mHC0CNdO AwOtT1V3t2QOabDjDp0s4SnO4fWrkwzb+cqZZiluYN9cQ7LjhdIBD7YOtLHJkZKHg50HgGd8Vb1o S0aQuRBaWgNdrE9hDr0srgF2uNDu1n7xk1JZ0+JgwjKfEqSqYvOzHOoHn/mXo+wpnLk79w37w4Ey /kriKoLHZ8x4I81y3KTVLeaH/gt8NnfChRaycEpO3TlXQMS77LEOVC3vdMt6/fsyYwQwvJfxzPVh AajFEyoVy4VHqtx5krbjpAWPDmdzR7fhGDkDrEspIyHf/qjCQxeRj2GSGoUn0U5K4Z35AWrWNgDU /slVPS1FPQbo77QknRuv7W+5KhhuMBf0p4Be/Zwq6847Svhl3Bb75gCfsqGf1gOXsD5XBdKxRIxM MzWmFLT8cUvZqsIC8q3hpaq0PfTW2Lner5YHViBWlvj9z6yopI0Vhl4m3pZ8p2F0Rdc7RNtHZwOm 34fmBQNsEBK/y3UUTyBiEGV+FTcrupZyJgYkK1076riLV7jU936NUpguA0MfcrM31TPMU2o69aeS F8hT6mTdOzxSx8Qa0Q50K55FIuKGE84KLZz1OMEbuti/KGKGLuqV68LEC6T/TnNhH9+fn19JLdTp yp/puu5xSYqYmvgRJri1Dm17H0nLYz+qOiyaQ2ZKKutYxe48rnZy1a304vMI3dzA+Ur/m9brhFEk 4AmB7JdwssWLBD5fQnBtWnv6wQtd2197sKb3g7A64QGLu4XZLe1PLKEeBIPAdOfnNsLK61VGB4PJ sU7BoXuw7eQsiHzyKs74C8FZ9oYR1h0QdBIyAReyB5WYfoLjw/A4Mte//B5KgWGMqVeDOHQGd2W8 G6LvMB/Tysa8FskjOtsrBwXJC5SeDrXzcVCyglzeyIWdx7RAu5UibBOEsfzu9cDrjdg+9PGoZsWq 3cQ0LtbKHijrcTlb8T1Zc8Ak+t3sMXaPs/jepyJ2I5g7JNgz7C4FtvauFN5BVaVTPoDrII8P0C13 Xd1E3ToeCyH7Da6oAADKfLhXwmxMcfWin3cYtmzu+lRMc2QZY7ctPSSZFWJhgys/dvW9BZLq78zy JshBDGZ9qpiWMYD/T+tcPdA4bHH8kc9E5TVtmeNbwty6XTiFCiGRZhlC8BvKypeIXPHVYoL1+H8x OVoEZm9Je+zf4G12PraPW6DkbN4F5/3mUq28dFH+jTqkTBpgcM/ScojmAOxmqVCumZzeyy5X0P5v ME2v4Sy2jZsLrwYzOmZicJcdkCp/6lO46TdrLrGGxYy9xPIEr3qMhYQlNmkTXVCwR4Wh0uP4Prag pDEkI0RzFekHHX/rp2WpA5M322ofyouuB5fYqTwigwlfSXhZZLjFSL30Q4akMM43pelnsm5tZqqd saZXJenXf+dzhp48QzxN+cmzSZS43GP2CcSqYzWvwL3Ll8ghyPfA/VQkfIq8WLNVkImrta4/ozD2 TP2tABJ9G8WESpjIJ1/VyHTfTNaGNxdy+aDDIRKJI3gV61BAVas3+xXWwp7Zd6dr49PoGLsu3Ziv wghzRNIdwc2R7hu/TerBh+FQY7gnQQBLAaxQKFlgS2FmKBKKuRJtUvhCqpNLT0UHdhNSda2bocfY F+elQo7eexPc8THJvDWLCfOO4qeGaXCCnOPakCQWLFMcmhlwhdEghkYdBN2EF42Ubn5Bv71KG3tT IX0zqGCOmVAhSqSKnV/8VQOgeWYHv4Lq0eJN3xzhXN9TvJMAzYK18xyze5GRYpjbXLZlvANBfBwW yqx4ieN1xnNyQVpUlWvDzfZC5OSFPT8tqVYknMB8Z8OnfnUCqpujizMGdehgdddsXuyvzSlyt5+6 8nssmlTnPDKeMcfdU04KTRzdviu6i6SZAvWGkwtmY3Bcj1avi5bWn6Nw56Jjf4clto2JgqgzJFMA ijSLyySu+jsHg9JR92gU2fFLtR55GmesMRlOS7hWiuxdNL19zlKKvy0Gst6Cf20vaJUgQXrKeTeP QoyeESBx9S9XOWGk5O17oR31nApY8XSSDNDxTeyTz/hBC/MWgWsy2bQClRvdnBIF4JjTnEcbUXLU KvvD8dJ9yLlRqmseM0aKO1MYklSk2xeNFfZCA0rB6ogd/GEpPsKmCqg4K+WYvNH8j5rmAjWfjOk+ YmgLJLfmj8A8EuaLw5WP7PsGP6G3KLpiLzvbmm+VlPLXpymxsA0BbNa2aDCJVT+NVOaAgPzXC3LY mjrHzSCpuFORJuig4qb5KJFseFIkmXSafNwgWAJl9gn7vW3OK1ny4pf3//t21RsPgS4l/GfWcSj7 vMkGLxmkfPT68FCV5SyTABPH4L3OENLjcFhfCkovbZ3SMW+ItU9XRt4LBgHbMYJ+uNrK/5SJYapr Nb4ffISCe05F0I07elDq6oHAGa6mup9LlhXvovgCNrUYLHQ8jS7pczwhVJAdN4/I+xamgzHTOS4P eQaf00+AqFQXLjNkX3alSFONIeAkYQBcadwZXvyPfc7QMH9d6y11zLR0FK9sZSnEbG8R3nC9NA9m H+ri5E80oIQFMz8EPTLTP3dtuTHYGt/dkzhVjyeUj5bbkvTbcNCdsFUmytw1oDdSHjHddyisQc3S +fsszwK5VSWp0eWbx13nru3bYDZbmfEwDmGPoPBF/BXOAxYA8KvEdll/Ac+Lgd9wpD71fEPa6yIB ZoFFU2TllKEMYjKL+Gk146GoSjAhZWX0nfuv/0FZfwsg7yqdqik1Ektw9uBfTA9RTI8WVWdGmuM1 74MnBbzxnfBGEKjd80RRva2jMV6i0cTZ0lff5ijWNp3qJW9LZkfIyCfXMf4P/2sLdYIb4rl8SdIP xLYWWXxsg0v0J4ZLfvXpvwNCIUtEFukq5dG0syNcMVMKOvnRuBxhX4MGLouHIuangDfQGmVAe16J Vx5dJ+F/vB8tifRvMbxYhJJ3oV9WDFCWGah8KYgzfyhbOBSqwWa2ZwV/Aiyw0R8X++k5bdcNFAJt OoJlWZvJtaC3tR1vKixJJQlZoxWepjf69DcoX6w5khVojA3ORoykkBQRTco0gMCXZXSIqbhz8PJx jE8sRR+P8iJDc06hxNlOC66YofIih8Q7zyNtqKCQwX0+skY6Yp7ys9msmEdXojW/ptzOn1nQxHk1 ubPbLD5SqvFyCjvqoqO/htL8TZzs7gwLFmqtCDW77yfbmiiYcKiRrxH2RjgbbvaKndHS9Xz1nfwf S868R6kq6/tSbcmwIQ8cP4JbPyf25Ut+nrygSOLQz8gYvwTX1Z+cU3fuyTYzKLGuuOR5qTJbgB8H NGKRoJMKMOtw11nxfntYYf0qgFeoW5cYUl34PjbBaoiwFKgPJ7wkBpw97lUOn9jO3+V101U5GGCb AAw23bhU8OfEuU+68jaLf0uI56GgP+Y3+Wc9exLWpGwgVHtpWm+JkR0oAGIbnRfNi1Uughk2+Em4 TEMlFhu4NnFVIKk8HJ/TCqUJSnPfCjNWsoA4RGznrOLxaWnPvGJQ+mTmiUz8leD0uWnEWh9wDyWK lPXUe7y8NKXIDHrTqRR1uGJ2HvKXvI/JICk4F3kjDn71+ELkjXxDgsj4Tk7Ky0WIUwGDBOZSDVis FG1DKOA7W2wkU43P+fwfjm4zpHHORyMMMjyUOnpTYoWToQNJF0RBnaPj5YbHLOS8tP6zt++W/h9g HYxO0uD4o4Z0IGIm2JRLFV3dEkuoYl3F6lV9sFIoAdRPxldz6qbOlMAAs2bbdTVSKvlzn28MktzI +cFi+kCS+CYaBdqh/z3I4kdfl8fabnzorpKWOohODXhAKzNqUBDCqWpWX+6KxmCA6IsBqRHMokol OuutNFNUEmAWMkud0YOIAp4fjZfYHuNrTLjJhOYL9X2/0QZR+wO3iYwk/EA5qOXY/vaAf3rp/qS6 4RlkKlAde1f9WsyjkuOBrcx8ApuR+FAvrZwYDq5aVLEg9iaKzB2r3GwVvKSD6W2ORVwNo/DCfZxm YwHMvjuknnEV9IHfBMD0RrpGP5LmGMvZo1S3ikMO1P7netZS0kbgDK00FZJVjgPy3OMJlxmDrhEC vUMfNJbSJwpHJzHUQxHOuSS0M763bqJ1IKnV2UuTilPl6fP0JIM1HA6KbKlR4ugBlcLkZ3Aw3LpY GcrolI76XbMQZfvQ9bci0f/qIW/nRMmb0r8FBRsRXHPzeI85uj0mXbUL1cfabxBWWYTrIFxQTcYm 7oSyVwrebnrN4OEmgypFI/28MdLxRucuzNiUENJxKRM55F9p7wrZjgzS8ZIdfsIoQgzUlf5YDKPT E4S6gIL7Cl4A0Y5cK8Lvc25EDog/iZtalZ7G267bU9PSAXyrmviqn/MlQbm8tYiBgs4+4bfQyv6I Mdem4mobamVWGxJ+FGrdZy/CCjP/XhO9GBguiewTD65QLqZmqOcRs7DELI9QZ2yuE6oVIZC0bQVe OCNdLOwec/ZUJ4k2+UWZ8RT3U6HnkYBNIF+M0vdQcB4GX/Q25DAeS9lRQ9Al48j3vZJCQYUCl0cg BdY6P2vAoJVkGcu7yRC/qykj9mpt9VTmhoFJo4yXGoQ+F5D7oM11G+BsvaxQfybN403+pKtJbbnS a5qS3GA4kTFivlLwZkZul5XVfwmGH022O7QWG62MRXD0pnDg21av5UOK9pFs0ltNDhGemMesH5Pk f3l60zUuzTpyhfWTYMIJump4jrLSefv5K9KPefejCzG1q7IGI8nfubZKrgC4tevuQ0DGaVBux8tK PQ/+/sOZryR/IBKJlEe7JZRrcbZXNc1q6SyFwy8OOwxF5m4qi6EuCajNtwieN0EazqY3/ggNZMUZ LYwCn09qlg6WD68LGdvQWZVf9nJigY8pYjQqGUvVnpO+K8fqzP0qMJvaWro/v/Pd4mKm35+mzU+o QoaCMhLWKL4zN2ivGs0prUKmhdelSO+knoERDZKL6/FFLlXUDHxQYL52Fxx+Ps/BQLraicum9AlC QA1Z+ZTE4+LlnITcIVB1XXdR++kTezueDoUvE35acS0UxeSKDxYCstLZKPdt6bWwBMUccKgrKiZg MGovD2B+eV3EDb7FiiWfWNmfmRNn9JN9cJ1EVJnJliWgJDuLzeJjnO9iIf3xjqm0t5NO+FML8854 rA8ekPe1PYS2dRbeW1wjlHnHgFPO7ZmR7WhM6VW7RYNNqgugo2lvWlu6XAuulpJVs9RVZkVYCPtX 6ypflsPD2a4bpQQgbctxjdSRdGOwwoJ515/JF8mYO3althVyR49rn5CXlsNjThQmCJZDfnCtLxJR 7Um4p0itaq8wudaN9pITHEjolzAdb5ewgfJVItzJm1tHXlAz/DVg5ogCwz7C9p0bqNlNum/fHrA4 e9pGCzLaDlVzRc27PorpRFwblJXmSYmM4ZSZp1jEIdpvxzv6tyQE8Xj/OWwIQzI7H8E77SJbcZ6/ 8+YGFJ6UqkIhWGQc91OIKhFosk5Oi8a7t1rZDIq+ttFVGM4MVOJMLlqz/fLFCJP1BVdO1qwMXNpp udum5uNUwCx0kbSK94t6xIyXAnDmjF/p1+aZGtc1IBpx/p4t5PzIlMjn7NflqYMatv+pva//YfNM REXnLQEBzFGJQQSWoMjaDWrkhlenRJQ8vD/8zw/5VR/jsyVGA0PErWFDAyDqwECq2+b60mNxB+VW c7DUgxWptcrgwo9EbamcdfiKjKeWzGsEFn/+IcEvg9gkEEVrq3Qzh4GJitUzAdnB6K+TQmTVt47i 0oAK71EOfU8/45wHwOVWZqjSPlB5k//6A6bgq3jP87hjXfmbOU9WkN5PWF848Of6qP03wtzx/4mK 68lSwHhMOEp1ZWnq2adQ9HeVJFmqL0Vj2c36qmbfYq3NIa1OvRsBQjB2HRkRhshTF2T7cyjZmpfa iY8qBkp5sifzzUHpo22tory6YJKBMCoZlBGIZNTsjFzcouBEHTQeIHUvf0qVOD+YxBgkdh3xaWej 1IATMoryFFWM3WjoaxUWieGLMNEDcDySocqO6xXgtgXkEPao7VH2rVxpWPKNvek5DKDR35l9ouVe wd9aCNh7hAwLzLRGpDMfLAjvoNm6JYWwxd9QStzG0Kr3uouSZQH8AAFZEbqJMgQkct2tjKLlOsZI lDvmhIkeg6uhzdPkIwAiTJ4L5p47PvWXv3ue0pjcJTyr3DdET3wW7DokmLilmCqPjPvGXbfdjtjm 1jSDaphxR8UF/qReJvRnVQPtKKvgz9fOZoWKrqE1spc96eciacA9hROSsZ51T7LWRmHaLVrObuvZ bq9I1aCrvMn5x3ar3OIxnQWLCOWLvOn0g82IAoUG4AegA0IWyZCpaHFONAS5CUghR6AkNQU9hLVR N7eAz7DKEs7ymr/xW5GZDMjfXgbp+IW5j2Hk5qbBM3vzHOFVz7FvT+mknE2t8x/0jNAcirZx+0dG 8DjzjezwaigE8XvPM/ohh1AkgKEamqyWe1daN4Lbm5Yk3MTW2pLYsM1syxBpyKzxqo/A+7nT0I6a xb6VqbuIrEYyHdP9yZqogK5a3j+Znk8YTwxKmkkVXGhRCr9JpxAHMk3iZRPAlL9bg8KJShBEp1+E It6jmHypGpQxchaHfh9hILzhsIOcYn0lMgAGeAmJYGOe69SSrNXZwDIEGVGgPCVT3Jb4odsiMi09 xMcaZ703ab36tPF53a6cjTpNK4o+d1Nit3i8oXP5Fq6SDNHyVEuilsCn7HeStcwO2F6UBvLg+kP/ M76+/PpbCt4dhIZUTKbeGR8J+h0mA0gR/SWScRMs2ld+9Ls1EORLICaditQbmhBlQgDTMkRYzvnm Wm4TfXEgP6JBZS4KtVP8yu4KZXbF7uiI4doDJIoaTA08PYiZ4su0+rhY3dkCRjNFX1GFHuuYUuJ7 P/37JuANmlv+C5EKdzg6GbEEQPbrje9YgGXbPbwSEMEynR+F8ibc6fRNDYa0OOvNp1xmNor3UYF1 Dl3PKtcRQVfZZd2YS8cwBkxr9BsfyzEOKy0sVrOufs3qzT1saIPpPycq3fEyMdSVyw7E15rxV3Fb 9/xYbQe+RUGa5m0zgFXSFR7L0EiJDcXCAlMNzYYZfUNk+8gT+PLvClJACI5JFR9XbW/kIdbKcHDy Dq/R/rfdvQhJ+V8NWXf16rdZaMuj+8aCiE8r9I68N2eo8xx108zDdbN7UUeEfoH2hqfVYw8CcchR OWJBK9PQuRk+V091lqr9k1eGxdvxKqk4cOfZzfcanPTDfgMGtMO6oZwxmGXp7qK487ioDxPOWcml lNOCke9PVijiAE5AEbbHFjMO1VKWPc91PThK/pfOdjnzLCCFmc2ZXLk/fHAUOOUhAL+SB232U9JK oqWtbziTDCKwebjgk6fVBDf1cBp0hKxTilCQ53lkgoc13BFpDFw/Ht/PSv8fnpq6uhXPSor68x59 2ItngGiJ3G7oPmnJQtZL9EGUq+tqFrFJ/cS6p8SyNiw9wu1YRMlaxNj1abZ9/L3YbMVNoU4QsPmF 8Oj1URzsxnAR/lxX0r6Jn6ZZof/uU0obt5pe37zQ92f1q6Rdv/rTsFLJe57tAX8V5hWOuV9DbDbF wwpa2TkuKaharRJ0aExMgebzgWN+kcT9SNVTV3jLhFGM1nldh4yYAFyfHE49iRUA9WOWjeWOVPgs nNM5knJwJ7vKm2D1cMRuz2fgEpL4McHk/jvdRgTZjoF+YHPnfaBvhIVtEpyTC5mFYzx59kSthCrq BjaXn4Xdrm1TkiCWmO4+kaBmKisUGCzouXVauBpDi3fwmcXIUAnP0TkJ4d4BF0+5HuhuUyMkybuM fY6+thJxZTD0Thi+2eVPuGJ7rLJbLlcGjDos9PH7Zo7X5uR9WU674Fl30hvwK9Kj4rDPqQiJDqGK 0WsIuQy9ZYujFu2xSOd21AF4g1QsatQootFffGfw5OalyPblp8ZP3LJPmeeBhMIR252Cpe+pxGfN 3N4LE3vkVXNObq5OZeb07iy2/D3WcW+/WhYe+Ylq/nbkIoSS+VWoQut5HaavqruG5CH06czX+55G /0yBhjY4jhjvVjhv6eTt7XadSWqrl5PNMcf6cZ57sKVou7OjZljecLeVbNqB/9mvTDWJXZo8QQME BfY9k4pgFAW/DnX7NS8ah1OvCBNnszH2EgAbEOlDbzDHtK1On27VF/Y/1XqVfZ4+NiAHdCiyVXtc DNfPdikacani2LYEWxf4xDU+HJmqTnIoT7VSmEOs3xVYFvbnXPZSAWVyj9T3EGcmg7w2lXugDy0h tTTr4OjlteuJE+tKLQhY6+OJIxdQqm7j72m75is3XRJ7hwPQeHsPKEn8C5YRQzqqn6ZT/t/Nsg5b sAY/tVoEpUPfp2QPxH/xKI64gpG/w6GIdGEohuPi2JF8Qg8UFsSftu5N/xqRV0rKbcDQW4PTQMfC YsZWfEK1PgdcfQGLjtE/M7WDqH9Ii2t98eZXL44mx6RNva3U3zP3GSydITDF3ajCI3WIYaqo7Zav dDyXee1UJ9z0cUofLIyrSxn83YaktopN25bgmn0oR8ky8L1mBjA3OsUL1CqWhT/knEG+njuIVZu/ 8nhuEghCliUXENBWLK4zVJxE6DzmDt4/8Ty60pGwFmZVmRR0O0oDQpmAg+CcfmyTVCUIoQSSJHms aJAGBXqleFPjJwxaDno7r8XRDE2V9OTyIYU+mGcOM/5cE6EyjdI1DLqkzH/qMFOgZtVd1AuKvqCe arrqcYnbSQ2sF/E7eCwh08PT71CST+D/2XqaZcoRhnp314kpWfNFiCqhLxlP1gdyRrYBr4VXuwM3 tAb6sX/x0rwTq0vnOjLknery1qt5iSMFmzoPquI4NLPqrxjjefJRbMWIWYhjTY88hIUB2+AYWXQl OjVeYlR7EtuCXl8MAPwg3Y/bFJlcHYUF6UvUsiWZzzx7BUMJE7KlwZx+UK2kpZICOG4XTpxGsnAh v9w+oK5MBMXz2RXMH1rFxqjQmwkipLukXzdPsUjBTIIGn1sRCBnXnrwApgJ9k4yimHYz5QmOy7Uj mzgU03wdkEftqpXC77NWi9y7tkMAb4IcZF2NsoYPezBrW9VscB+kN96dehFAqoM9rw/eiWY8e4wv C0pilIPkFiKJ9TO2Y2NMcFMqQOYf75nt1kPyjiP7W0CdFXxAxaI5SxIenn4PEzH5ts06d9MNm3sE 4kdj83hOXFKbx1ljYMds7TFOA6qhb+QGHTFvKGYKtWYtofaOdm47M6ViceLx7PFpSYWo0YymZkAQ A7yDQZxT4qxMNKAEkjW808cJP/D2laXS//f5FCCcQp4aX40dqy8+loJdxCZDmuNeRibcKGCEVnbH m/Sau3iQrkJRDLzTiL1fed0Rhu9b9apbgNDD3h78n0oQM8MXQVbUqm5w8TBpm13XHTIgP+LAcBVI AHDN7WZjpH5I2NHrIW5CGqdj285oChj0p+dkKdyiROEKwQFEtgANpdc8aXbU4rOIH0zX/6LYCpFH 5BBafFfl45PfdVyR92yDr4SEjTsDLW5oFa8m/rDxtWfvVsNs3wGRj28AnWqWT6qWrynMpeRYm9Yc sKUtYC1BpEUYuxTawXw9QOBpamaiYAk+rGTYIhbXHLzMsk834GlWuC6OSucpSylRq0qw7RXITRA4 sAg/gQbb3+2tdPEV16/yRIaF5N2jVh4neHqLT2FeAFV6acipbI97lPsckmezIscxnUv1DsZoSpkW n6dUrTFHYiw422Pbfhm2egTmA/8nRaosyUR/XAqzRIfanLYO3A40+DKP9F8M1k/t+mxJioqoGd2v t6YwB4NSUKBGVyY2w0kO5zoc87jr6Zvfp4d8bAZkjNEDdwNyaWG9BVu7JBnsqDDc/wa5g5QOytQt h+Cgro5uzgFj9UxI2TJ3Snnb+vVRZyNQWMOHxcqq8gw0BBZsNYZdYsS7Xu6D/SsmOQjiaxWf8kjw cLncAXkfFSvPTgrs6wffvcQtmcingY0Ppj3oAvMBiLdaii49MggWPS9M3j9sic7gA1bPhdzT68fL hU6FLieYUkdVcUqHDj7prD3nO2gR+E+Bl9VBaKtYrbONtvpcpNzKF+vNo5EXXErCLokYsAZKWGzD IX8G5r7JN/80ADF6YOTD8yOr0XqnI3wEiHgmuPhKxBqotZwwtcw52oYdP1EVjAbJDiAgO+j80hOI DuEFcNIYzvWXFTjnNrp403Fiebn71p/SNHsJuznLSboBLJtAMvp6l6BwZVf5EI1PyRMxONvwqijk +FtolAZfop+gndZBQr2MEVfwbYVyWdPz8QRZZSjnqETDh8D4i8DHYmmLc5JjcoiC5il8fChFzAH7 AyO3rdtQXVTmzvybgCTmxAEHrmR/A0ViJd3MlTKZtD50AhUfE/uXVv6vm8GBZdfJ7DGIw0d3FmHq fajmkCQ1/JauzfGMaydIxxeSMSK291TxlmGwoIDFDDww7PdLKzQKop1sxcjvcB45e58WwTHnroXs gesnrHgCjHpXeLnq7Eqc6PD7RStOhhn5fRVoAjulWh0nnpmopO5/DDllIJXERcXwBwghYwgdXL/8 GI/dDQmneOs2BuDp0buDbpnC4zvMe5ASyxKi+AjEn6KyVellGbjzw53HUCfuE7laLtLvZAHb4k8s SaOwnZ/62hqWHtL/2wnyA8dsAqzHON4lmm5b6UTau5GCPK1k8dlDoeFGm9+UDQmtw0o8xSJggzsA CUd1m5sQVS42Dw5ockTZS/37ehEAMXkBvXiBMs1X6MVO9xWmvpVFadfgsiKOE0z7kUbRB1gm3IAo E+NEiS3XJ1MQDBfRooguJ9Ol0sK0KNn7PO3H8sec+DGY5dBi7idFnuJvxQQjpmyXiHYyZ3vbDj+j HGnAhl9KiPRzQRiPKU81HCdPbbth1rbv/Kzx1j8si1wShhBdfjb8ToPc70yFgck1DoksnP+yLz/Y yZ2bg1murlSEf81fYrVy/yyDXiSKKJchGRS/+ErPVlLWDYWDKICABsemVNd3MeCZZHIuu9r0efmy KpurbhSTUdYEUSybg/zujoLRwsQJyDY6zlFwY0pkIBl8LRJTIcIjB2CQRc3lMHoXleZFnYJBgBq6 pgsbCrevAfGtJMPzWOMEXg2ZWq0Yj6eZ9dp6W1IDsYRA1lQrB1bE9GWcpSKi2Qjv7pOy8KfJycLi TdOJ3mStEJpjEEJT4crDraWURMcicOeHUmAuGpTDoIKTTZW73x8zIPsi/kgPc0QAWZ7I2rbO+vmJ OLBSRVCyG6u8GRat/AUbAPcm4nlhLS9QnWTVyYH4ZmXpPXQnOd+pJ7Py5tTt9PrHpbBsafR03tMs dB0BoGOPvwGDIKw5ypoozhg3GGJ0ym33vVTdA6RBQp9og28GJGVDYm+OihP2DOjkXKO4v61pU7Gb Ad1SXuntWf0rIuTRkXK/4hyWK5D0zgEYW+qr/2CJ3iO4W2zIUma92yPSR8sshd5w8Me42sKLsJXB k65JB/0acGjwQEbPzEcBNYCqI8tBSAIdPZncNr1QHfppCqzHKmXkHaNRQS4WXZ/84pKDdXo1S08+ iNFTrwOPbodG6rbdOf374sBBsw3xLSIErrs3u4dnfuoKA1vNzBiLoEVrYWtths1V5lMVQCCU225i JMyYHXZpao3PlilCBmu5k+43Ut9X9KhTsQflukprhF22ua7okiQ0uEQ/PgRqceLYELEVfu9zfGaN 4TjBaFaiQO5zKHrLXpVK1Mp1gZKADVw1sLfYGU4NeqLDS2CufNMo5LOFBaPRX+0fYS1hflob8xcn Fts2MyKJFjpMY9tskrJebCaAMWoH9b+wsztve6V6955lUndTD8FYHEz1JE0mbPx+stw4ijuyI2l1 fBfb+e/Thz1/lpnoaQBUDIXLMDvDdGZoKqDjd+fuQj79KPwGMlW9C1EtO2hKub5IrKbE8j7JDKq+ KYMoS+e3RAzrwHu7dwiKL1GX38/1gixQHH2x6Vy7iqVZ02dIeUyFxxHzm9j39RJ8/SrJ8Q6GW8Ou bDOGaA5Dy+ToP1GmFE5P9DNKf0nV+5pR8dqeWKmfL5rdAkWtqOzCZlQTQsPuDLZZFLB7Y0VT/CaQ /mF3KYRYkznIWQ6GiGjvFz71MmjT4HPNlhkR3ciK3K71+22X4NzGIEBU76DF0aPC+SnGnQhpkz4p C4NfBrh140ucDG0Cb44vrS3sggziN1QxRh/5wvi9GwDV60mDCtON3sK1+Vm2M5rYiujWASoTgq4Z H7TGwMav4z8QR3IJCDw1JgZl9SyuS/V/8mITMnVT9+GG/mcs/FOxaVOVWFTk/PchTcxEyMYcmvim PpqF44NmF0n1wbOEpLX70spdSv2N9Jj89aNwRTHvtrkXyO6RZnjQ6DgUdMltV/KR/CCDH9863rNR 4ArObNZOjO6S6J8AuLbRRuPXPsEcc4X/pSdKulFSwGhbSv9Ub4RDEp8ajjKDavPmIHZiyNBX7Qdv FsFv//7c60URGzI5EpQdVqNS6FKo+RThde9bcstJGVmDO2Puc89jzHB3vYQjCNKx0KSrrdJP0xBE VzeafhrLo19zLFq0zQvMp14phnykQ35uUcdfAW7sVBMJS9bqfL5GWoWTufRP20giYG1eYXXqTrhF fkNEbEN65vkMntfmQO2QAs9o6oWdFykVjYHsXjvSVLku3pMrrUMYAeHqL/OwhCOqzC37np6GT9sX bCa9AEwTcF+HaYDcArmCzEdouBxC+fqttT9bAjic3+Flbkc65jtjowAFfbo96xGQILwDY2wfRawD +Mdfz4Ng7ijNe5KS8PW6UVTjUXAovAmKHjRnsqwyPCJcoRkUq/Sse0EpJocgOqpoi1r4tLtENNeI ol562HFVmNQPD2LXA6gGDV3AY3tdcNLTRfXB5XixJEMiHBRm3eqT+YzmTyHxqTpt9VX8T0LRluYf 9cf0GIEjlyfgbN2tgPYbbe16Xr83TZnSAbZIvZG8/3qHburXpVlLAqoDp53iXIojmhjYB3cmfewQ l5hSKJSET3Y1E5f6fDkkYBGhES285XoNfnVZCAZwyQ1hU+o3ELo7E0RuG9GbS5dzxDt1Tqsw0fBC bbvrv1HN1NvCgWbetb2vnlX4OSBslrPevCdwPOxmFjlS+/kNLw9d5rnfVfyRpHWasGNYSsHyZ7BD trib5rkjj7NvOc6SVrAv3mOQpTuvLc3TCYC06tL74UuB+5siZE2M+q661Ko4zgQ7k1EYXzwa5rYx uCgEGiTq8qqGP7QW/Lv+ijE9/O85avE/deabYNzMu/2TrcvI0pHaa5KjkpDO1s4aX6Ff3oW6FYOd CxReR0PQR4kSC+xmub2edRHqhFehwX1Cm8TKGMNJZu0qpbRuFphFKLNWT78xOtF2eXi54TrckmnX erQEjsUojOvYfMRNnxUZS8CbAcwnqhPESumPrL45tadLop9TE40EkIhOnQO6FhDqB55abr/IzUXf laAtn4wpUR8EP5FT+h0T0kof4M9dI4nZqRqsUsyE6HFLbSBeuRG/1CZtH+9rtpmHRFCeqEzWybTH ZQIfe5+YVvPYWVy2OQWDa87muh1n32STtbqMRT0KXPWQfpR+zfvxbc0/bg5bAORsT10j6RGic0gy ZN9BP5a15yplWq5tLj8qzgzmIcpecxcD1PyG3CcW6EiI1LL9OQj8xz2FPOYjPRWInoZTMuQY8hAo IRdgWI51sCTKOAWNm4Zv4HRwzHxOWe7P0IkNaZx9IzRR4vCklPJ6awWBxfF414HP17rf4WCNz2eb UuJH9qBMvLZT9JNBCD5kqPIGq7N792TBUVLXcfCjL9K14EqFKzvMp7Vn8h8FDRuo72SJpC2WO5id 5iQWA/T4Asj63miJ+9i/lxIMPf0cpgelvFo628qCyewOE80pT3dTsPjkbqU8QQoZOiA5KSaRYra5 zoSVTgScsPEjwCoLFLFmXhWuR1/6cMNASRsMcLiG5/q395ghLUiDGO3jYSRMJGMFsnJqvbS5yUKa fK2CuwXjTVqiJqCQkIEkJBKUef+Ttdzo5JRYy9A+0+NUynC7FvZuWHY332MDVi8sqNPlsyh6MAYQ i1/4Rt/7h54oUoQUTxbxVHLdK2MgKQvWZpcdaBH1fw2B9vYjKc2MwMIEGdtLY/NtsEo7VjoU0yU1 dyaLzWjkzaXr7qpnaOMHrOqNwL4EWBWOyDc8iOOo6PQ3zfK53hib3xXxmjT8eDv8Jd63f2JLP5ro 8TA1g7twu19L89whEjcmpvBTYOOmd01h6SyyS8YqBzUvG02N/hRceRCpHEq9AaaQiypj212LDn95 4gUal5BMJqG38eyMh06f54oNo0Dl/7Fg9bkNCuaKRjocNdgI3eNbCQGAWapcfxAEAQpaoxNzJ9CK 3EycntgwmVbtxKC5wGgWcUMvFUf8xi1BYJABh2BnWqev/4x2TfYHctnvhvuzeo1u0B5C8LQf6vcq Wvo2ng2DX387JaRGkEThKvkYmY4PnhN5HzLdQgj3lgAlNk4uLDLuzkf2ywjdY4j8bRKDIKnYtFKg asDZmCSvQTfDAeaMHlP1WvVxaXhn1r9iAJnMQlC/oY2vhiXJ2W4lfpcW05AYKMGwWZfANx9gs5Uj 3ivYduitMJhRSTL9JzUVj2mST6q9WK84zh+r/59FbDbgCcAODDCX7Vek68Xry2921T1lTIXAqwx3 bDpmmVXnlIX7dEVqDOdj4Htv21dhZmDHjYs8DiR+T/RBKB/lSrbujPCi7D/a1lA0AOBNLGnje4VL ZHV7BF7ffJbbKYC/0DUqSa+a5/PDT6nQrNHel0CeFHoaoZc/tLBs+C5FyTiBPeF3kxtG8S/gUm32 /jEauYJznFwH6jWY57ysRXZsWNoJJ4boGwqDakrDuhNbW4+qUlnucvvLAQiIypdQBUzNmpZAUB+N zhnhETLu2FuysgwTKTvkc6iOXC8iKMFQB6w7nnPqyYc9QLijmWIy/rMKiRBB0RlPZuVYeR21j3R4 5tnVrwIT5O7ae2x5unMOfjXtlmRn9hFO7A2xzODEwdJiqIOmJDxlyDw9mMOobf0x/tPZYo6FyDq7 BQcsvmwgq/NDNLfFyuIOISlYYrkPRMnFq0EbOCw1RfHTY2F+TcKRsOoQXgQyacY3lsMCn2iA73CB hbq2BNCxSB1rspOuFX6D6vl4rHcn0DpByjNxWl1v0ZaLzqLE3UNMoM32Ub4bIlhHYkiUmD/MU3ze ujrzVjuhyvWk1eHzWrFya3a3O2BDUCMDNW2RAdpipD4zmkwYOtwVgr/QJiUtLg//OhNHiaSGh05t LsfOLvSZoZ2MOg8hMojZwccKJtyh8Sf2kp+1TCD+d4E0CGqWc7m4h1CkcjvsDxezkokr8NU56s8D /Ye+ozN9UpYQMGPRd+t23zu9aQyB2TNyhAbDdFus+dp0pedhOx++C9PPqUUhpHlmnbBbUin2NhD8 FZgmIr+Neo/9amb2Ns+t1MF3f8PJM/6C4X5WRu2EP/fgqaZScxkaAy80vAhkviRQXodkBSNdmf9e 4l4m4kimQjXmgZyEGO2bJ/ro9vbFiDO+NGaz76Mygz9rKcgR96v4vgofnY3M6s4/0STqJnjTiMcM W6A6E7smzOgrnTPDZr9Re3ZJ3WHSHAJR+lNmbh8cpQbmvdrqer36BQwq+irbQOPtm4/aiihwcDTx duOGeqW9Rt7VAP8Jl6j1zVAHRAxs+z0hAyIZkKbh6tx364AsrC5gyOjz3lu93Hh9fbmJn1pAy2Zx cdkGRIRnBux85JqI92n+57GSOKQ/0wymgSQe8p7rMtaq/DwDvqrBFvERc/pN11Wene9Srd3jzfJN 9PSgkhkMTkjXbX9kM1DrCmOx+6JHliPDj+tKuQiGzoydivrHdAjCE51ZEWD+M2nisJiooWKPmsFQ 9xdr6Fwr1U86s5Tqkd2eQYSAthiggi149wmVGDAQQlVSxFh+cLPvOSE9uLw8EhV4M3/CxowyXsbs 8sBdqOy50lNq49I2xW+3JwET8gBCskVfllpFRz8N05vUMpJZDErLxP9JcIns5i8BAIUOBmWsgTgx Rs/p6veEGMi/CRDqJFxCJ13RnsvU1sWfUdojwBewCaEhTI9M6ONGvRDs0kgjTN0KBFwrKhb9piQL DdGAS00nRhMOHnub4k2vCQ0E+yvhtTJcQ18Ky3Ob/v32fHOZLBFSvf/OMCzQDOvMgmLECQiWXsqG BEk7r8Eyi1G4/hrvjCW782ShTD/TrSz4JaNubwiu7E2tWuVsFc1UPV7SbzvHAwbLxLybu3Oo24yN KvFBwZGP5/5nGQKFZN72WMjbW/TZuplfOvZNPtgJ7RddCXSzh2179J9S7pbTlpY73wQEDpzx3TSM a1n7NFY1xrL9ARR9JkxOR5hxisENA4hu+nP/Zi0nQmUlZ8l83jeAauBIObs9aPz30zwqY3MwNFp8 IuPKsLfy1biLNYwp5EhkOKpK11V9lvblypdcwh0FosF6WE4JfZaTHvzWoPFifYQKsPiUAPN5mtNB gHP4F1CXD87NG34EDzQhEa/pkGdfNrbnek0UCbB2WfbnmjtCqpel1tzktmonKolnha1wSigz4LdL cSKxSfCltOnXqkONjA5Vtg4keCsIwG05+LjMpykMP36lA0v6Bk9rV5EcfT9fhRTJLd5lCxGs3P35 6umYoRTjP4rusqiSgxw6w+T6zQKq5wPETY5PnS/3NG4OoK8mpFaKqomyDfaUiAVak5v02kf3SgNY Hsxn+PFQjueXLPfEnNZjakgrlGdHFH2nheuO4NyjosmN5Ulv9e5aSX3G8YQNnViW3VCg7z2/kN5b aIczcVRBZAla866R1VDzLVA5BkadeFyf3fBtHL28qrxW+QpHMoy47uKHCY99pfvgcgyD0guy3v4X 89hGMQHC7Wujv5vyKj6QwcqzxwPNRomYkYIg7PBJGE3SaYJoor+mN/PXw9cVN83Kb6VC/+5iPs5C mIVOhUa1nkjVfvQsXWY2xEmA0LlguzWiImmMMgZvRELV+a1fFoqHLpWxNcxiX+gPsrygclpBCKCl 691XrAshVWR8q26067x25+7WxQpjwSx+OEgRcrWYIXgq92xZB4DhLtoelhwMaUmlZgMJXQass20s y22ygh/omRbB3QYXj4ekC5KtNR8LlI0IJWWWt3fE2e8AA+3fcU2zgz2fUPn73m4xBtVg8vy2pwFK ouTAQXtlwHVSg8MmhB6Bkju23xZQy2yA2r09ok34JVa2eDiV9L3LjWWinAqmu/hnMxwqywJBem13 EB84j1osuWwJQATr8IWYHwPoNTAuEXMc2IMYPl1+RTbM+PnN5ltuUA1qVN1YP47rdaLsFXENuIAM MWynBBEyXJDm8MGDK0EJWtHVuTMqaKj04g0lkEY7rCaxU6syPEcU2s95DdZ0qQyIOS0Lpp/h93cJ jz9El+Splb26tHP8zqqnscpyBp/3jc2sOdjlLUjXcEQQ/Ypbi840A//099tCle//cU3RISZxkaOZ FurdtRfhySR+jSHo/lZJMrgod2A6Yo2lRWuTnDelrkfdJyO2b285C/0pLGGAbFSCGpX/4Wl6MM6S jkUX80b34yH/30tsruOiDYFNxaFY5kVMh7H5ZiX91FEwyCbiDbh05RTV2GAPbf9FyRvwg1evX3/z XlyML08eWipFj0BFZXa1T88AlSEaW61Hd4YPbJG+GcdmMx9S/x0aSdEndUGtUWQQqyEW5D4wytEO POgk45OEUOB/YOmwyBSwjV/XQN6jj+Zp6hZM+s4ujaI/A2go9uTtH6MvJUzzIJQm50B0vbg4LAPA Q1FduJ40P+rzEUJaduM4FK0c7Od1e5LJUX9Dw1NaeGru5+ZpY7Tt8PHfZeYsuMz0rgquSzwbUX2A q6rluZ18ur2YN4hD3K/KKISwc0OfqQpYkIRHKe8rJWC66CDchu+rDPBLGUpTNFaWxrsUNUiFT8vp C7FqO0KVbPPb9jV+IMPQeVebLBOtc0z6woDRBTL+j3NGrEHqA/0R+DGcSmUjUTbH/Lr6bjaCIM9w rryd9raWNHg23p9X5iF2pFXEYR/DB6rjhWrG2xvFULcklIdHQjOC5YXAhUvR1jHxdGtMAB4A8yt1 xjTDegdyajSyMm9VhyN3AL4KGzYJeR7sHGpHpGMDXwuhroVH2BG/OdOjMLzp0hz0Lxv8pj8tkKDo 84Mj5e15SiQMeEvNSBh8rmDQzQWdANPcboCOpz107bEyw9TeLqKb6w5djTCkAqFQDZSpwFc5sms/ H0g6latb8i7f4515iivlBRLeaFuLiGNfs6Sm/SDbVDJNaiJcI+m2g1jgm0ho69HqUknZKopKgIjy +77EMZ/mianmH7rDUgk6jwenERN3MYCzJ7izhRaiZJH8T3zCHx3ETshf/wpc2kanLfX5Se/uxVt1 sMIEgiYLJNjtQkc3kb0NFvIBs27BwJkVRpzR7+EYL2WBdqyWnd0Zbg7Lvsan8l1CYCfXHggWB8UB ETV1qdp73btx9mV3+IvMK27P8aFa6RI4f3VFvRVgbPkM+VkiYzDe8Zcj9gUnCwBkp1uFTWJG6d0N 1VqGF9ZY97xOw/O+aqyNaKAH6LdU2zR8/3guyQkIqhbnzQuV6bgoaJaZF5j6OeJuz/H0Mw2ALd8F ZY25cGWCYFbvGrhsjjLQVRxTlqadBU1mWPWH1pVPP+Jr4RdrdmYGfr2o6N1QJX3H8AenvjVjIn3f +JImLSnF4g/8DH/bzsmchZGYXjC3RSZWrZXCM4qioASJAOnz3bkl5AT7A5vBr3ji6X9D8xkkh7+U gt4AmN5kWT+QRPy2p2VWgXqOVfz3DoXHrusPPMl2Y1PtxWWBqwnk5v62PCL4pjoBp/LxyR1gvW7Y vIqQH56viNpczI/oxSKXNIsFFkHax9FlDSnHkvITU+1DTnAa4aV1/OXacHe9m/NRm8S1Wk+gjlHp IjVBPnBWJYyTWKOEw1Kr4pDJtqhgUtDeiLCXc6fYTYyqJJzjIeKuXpY/6eUsSlZ8/cFZ7R/j2Oj2 qQtT5vNnEr1oCJDDiLE6iB0J5QvyRo0dcX9599MVGIB8q83zSVLJ41XZFR/LTesYe/Kil7bgUV5R x+tL6mA2od04IAihSekIEKapsvlROq0oHmeSLyrRBnr13bn5E+hV2cA9397OIsN408K5AkWsDaDP ZyBnk8soT2KPvpZ0wk8+pHwbq/ZkaqC5AIzFiH7QLAVUAWRh+pzQ0wna4TkkL/aDnMk/RqeQOp6n AciBAkKwQ60+P/mYiIGTW7o9VCtj/IicXKjAdhXdGiMTq6aqcYlUkzYxr/zAJIV/d9PWkTY8olMK EGyWYKjs1xTIcp+DDp5PgJbceD1Eyav9mKzQQuERyEUePPw1MxK+ATO+uTnohTH6mXfKzaC5Lsen Zyi/rrgviam7fpM+fBDZSJZVYqJnNfEoX5KHoWq2r/QT3zvJaMchzTUH3aC7Xxx+EiJORIWK+1EJ xed6mmEzgKtyctyeG0Jt+sjrLM0RzCCKFNBapQ2A4EqSxoEASwMa8nBGH9/Px1pArlbP4vnreMgl zdGqrsM3sDrdZXfBkbuqTIE7loyluZo6V2qgCFL76imA8sdQHhTgQn04038smbXL/Y34th0eeCwL tkeZ1nT4Bh11rQqx1fgELdbGWfkeLP2xHed76JEs+/s0J2Sbrqu0GeJOpNc6JXtI7QEL9BmnysYT PzzHn6ZcCEkJ/kUB9wuiukQkjNl2uepx2nKXTLBSJmlMEYsjL6cR1V9RquVLSCAQpGb48Xevw76+ Axwoa8F6foIzHl+ZhpwQxTxJBU6dML83hmfd4hWZO8KcGb6uH8PpRpWN8kKRQicW4+CZx3aazSRf ynkMdF+jrC1IUn239a/IHZ56quyXD3A29TpmrWNmmNbJGFLfUvQgbP6XBfdfLJigXsV2KTngIxdE R3YURX1+mLO61Zzuk2beVRXS5ZUV5lMe5u6NA3rdmXo2Gihc0/WrrjzQKGQ4BS4PwPO5erbpu+N8 s2A1H98rugqeBr1+OndrvMJEbBx5SE0nZ3X6Z74ZUhmuoEYGrbOd4/N68bN11cxg4Yhg+keuWbau LUuwvqxntt9QR/iVjx2s8Y9Iaf3GRPa/AyzhNtn4cGJtYkmyO3OP/Fr7AMyoRcoyi9Lapq4NklrL T6g77IzGzAz/lSo0impKzRnVuvbwGThaaCPlnlIBKGF3Ax7ShECIwUWJWdP8ZAXd/fQu5RfmetMp 40fAxvJqTmYxUruuKOTZSQ+SKQRT+HNdiJOYSOfFol45GQqP/jE9PkrMFBf+usk6NSYFLaNVs2H3 SyrTn4vF487qN/vqcT3OhPIWX7vDDowGbn8uUQD5DjpLk9j3oZdGPZku4XIiiqU7AsHcc/w/0iUN B7hjuwERHxrXhH7vOq1XimZOcz3YUTUQIn6oh/vhK2V+P8nNPQd3KAGJXCXSr1kwx/cocJCUFFm0 RMq4z1NnKqWm0x+s5ShQkFNtnIQN5YM5HXMoG5aMdufjjNibrGFknjCze0ZKc7QKzGlinIZ+YviW A0xlF7srZx7fucz/7Coq+qjV/wzVKY9rGmZgnfEncEO5FFLNcIy5tgq+GN/PvV9eI1mV7kscKXT6 zpWWM7NVIJr2SFZmYCCEwZbitff7l3Mjk64J/8QUTfGbS72ZyLJlfhKwbdvTz1fvrPgcf8Lg3MiT hbjHQqUY59jWYV01Dzt04l+ycuRRaly9p/1iLNpxXvLZCcA0F1lr67nJMvyMNMLugDDnES0zAwwA oGSENA419M6F/e/k8MDiIcPZtgyJWM5vQxCkBbgjppFaeFnxHnoMGgPQnDJRxWPcSFVbTYq4y2V/ EAqEC+owTy6ZiEBsx3XB7pmT0Pz+XVU0PK02gBvPDO16c2/A7ESA9s7AzW1DrwrqcfKfhmtZeV5h SCGJSGG9a0binWOGQIkSXa2X17//dOE2hWAUmVj3UqYIbXSCzE/LCaVfz3MFQnBznHt2PjRmfUjT /JNOp5kGtu1DqkAziY1bAV8WGWG7ReHbN57a4OZAn3zWXIyUjFjrpyD8HkGkAhlYYdNlOt3URPV+ 4fH18M03hif6vcX02NTTqFK8AD6rB1tWCbzQIk4UB2R6QXEaOzk4cwIByH+ZEyBAKCckM5OjgkJv jS6d1hsBjvok8VuIZsJw9COTON+Oa25C0dADhyugg8/1GVw6vQsk75CXtGoaQ909GJCCZsVSSINP bS6PX3E5ju8YfjeDy/WiT2/9iflP2U7ByrnMSxoTCEgqSHI7e0KH/HnkpDO10S8isMQKnFE/FEVM 7NddICr2kvEZfW6dNthyZOhriYDVRgAhtCcP4JGtRRGT8vtska1lssjBoy3+uJRzJVlKBmTWfhrh e6tVqek6e50esoKafaGG7xR2tQKMCBV2Fo2IVWtaMBvIUL/SeGLbvX8emXtmIADGjF8FPWp18zpQ 5oL/1kYFY+GLdqQz88e+ZOa8Nm2G/Xc3wAE/6IvYMcKg9yktNSYEP1RXesmk9HzABbpUq/WvEcqY i05N5CZzHZ1hrRDBl/y5W8Ylm31Jpt+nvD7WaMlgW83fUcdaHXWuJBR6qOCp9cS4jpoG8yqyxGYs kI2YzfBanbGdmh+AnJxdwt85q8AzV5gZ3qMWnA8H51dKNftKZd21slEHw22Oda3FCL0L8le42mZ/ YErIXVlfMgqkFFnVuvbJ/6kZUdJZrnKS+ny/aSxRv2cH/ditvPpPvaLkdABE83/I4HML+25Bwjqb ESyFdq9Rdre9c/qONIrzYYnbQbitoCQelRQNnWkcrl+jtkqZu5uG0z6bWILOLyMuUlq9p9mSi7Z+ LiYwjMtq35Y79GpqH+PmT/+fDbYW56tss8mrkK5wXmUa7owZdTA/GkpsfjGSxn9jJf2qkmTNwcH5 WOsXRo6mkDVmtTNqRK2LrBoagQXy0uQXQG5X0Prt/o3RsyZ5U2kS9pnLgz584Ypea+QronULRZvZ Rka77QKu6wAjOiN78QTpLMl1WKz1UVy+ah/9jWhZDCYECImz71FqaII/zuRODfs5qPduW1tQEadV pjRKCbUMj8gzmqaag7Puzrr+eFswd6PFr4OB2NliT/D5b3olwMumY/vh+QHHexteIqLdtk4EVeSA nQVLtF5fUeFzYVWjWEkk4NOeY4RQCsvNuqBAVKz3iQEhSM4H+Wh0b35FP3M0NYr5nwWAvPYgA/rt 27ZjikphoJfQYtkX31iOVGrRi20nNMI8m3ETliAvVtyxg+6PGcSaSqWrr3KNNlos8TTlM8fLXbO6 hSJsdbk5s05fiEVxGIS3IumYvHj8Eci8/co1dagfjqb/OtX5fHWhfRoo44v0OI66B5p2LrzPcrnv hnK9OWUlm+WCqA4zTi6gJbifzpvZBpY7Hf4pNzBer4rdqQV8Uj7PZaBU4YVEPSxdResCBzoYY7td 1dicmsbMp4YiKtySEbNqk6NApr/quzwhXm1FPvTVBoxQ98AxIzNn9dD80o++FCDNhIJj8Tz+sI87 TELJgUS9P2G//thBqe0sCaE8hzb8pdiDP+lvjQDhW+lYUXAJrTl3aa4d0ZurnCgabb4/IyQte5Lx IKwHQCFCQ4c753GXm9RIcvc/aPw7w8THEb/NgN5mixkCnGXpCtRAgtYSBm26Oj8jyupqD7j9j+W0 oloZtFkKqUIfXxBz4b6xDXJIpilL9hpFLnnl6C7lo/nFufSGUAuvw1DGjX05v94PHOCViNwHIkFN XQivqXwfgRd8oofS4oS0RiOZ+izvZ74e5C5Ql0evPmR2MYKPW5nY7V540CCONZzc0VTZlyZphFoF GjZg+rSnj5VH2GFCggzU+LhIeSBBKTgkyJQPM2qO4IDCYLYRFu6FkGYgi5Se17XInzDza923u1IK wyVPviYKYWOtD6x9ski+Vgw0EfRZwBTV9ATtMVMr49HWN7F+1DDS6qCanzrXhmtBoQfjaIJ5IwFa 8bZVlW0Hs4B1Ygk8JYmpdrSEop1GRhcmpPCEib26LCLQukRiA/qd/86gON0+WIGp+mfpAmW0q0i8 PZEFtUVct2YmNxQPxsPFGC9DcBGGHnNJWQhsg4skgonDhAz/TsY+R2TZGv6zX4/4C6M9D2QiVi5L TzHh881w6AhdU6sIOM2Sw3afONjoS7lvCQ6pPOmjFv83YY4/rMSEXSMa0vQN5d0n5eubdEbHF8c+ B3CeEBuynb12SwS9kjUNHe79K4V3LJzXb0zssxIDRsoPZnDrnBw3s84+T3m/sffR8za9UzOG0Mz/ xuz9GIHDP/UH2/Y/G64wAsjWefekY5h7gPS75cLGDT47Y9b6IhznsWIjqMOwzq+0ftkZVJ84lnuQ lpeI5iTYLb8XmgbMjjlqrWrZ3PzVUdfFa2F/Ze+zrwPz1zfU8gT4HaHYISJ+wfIGCMKSm5yy4M3l N0+G5brW7L7ER0nBAw3kmxqqwUgxi04VSUh0KjjkRx7i37xJnhPKrT3m+uhU42W6+vNLYdQtTzkH DZXvgbMHZu9YbGtrKqNsdL3ONUAO0h/djWxttSMSkMQQdgh4pAH5D9WbH6o9aclyPZgXWGOZic51 TXNY57k7s0RVmVjRC9moiDHRLdtwjXo9AjsW0EOB/4aLi6a9/I9VNRHSalZKsmjP8OD88Ag0Gidh 1wTKzD0vdGvyrISKiyrs4Nyno0obyCtDZYpJItl9Y1fZ2QJhfK10AlnKq/nskIdxpGJcK5B0JWGv r/4+A1IH/G38/vytQU0JT+b9+QSpzwoclDH8lPQLB6UwxU5vPDLimOfuJZkCgt2k92DzEtQb4gcB MezaRcBedg/EGWyywR5EN/FGYh0wYwRdDShzVmCI3M7bcW/CrbxS8ArUYpPvz73JBVSRhAflOlzw tK5HOMuSIanHuRs4OOg1/p4MYu0t8BiZkTKhhaAFsQQeuql7r+bia4REgfc6mFdNjzS77PH6f9w5 CN+00n6J86UEDvjWM00zl3C2KihL7S7Gt/jgIRFfG9D2mt1V0o1H3otrkte/QQUzu1LUnKuCC5uI MA5EiTdFcbvogm0DKLht3eIKXpk/EsLDPqPFz4eOgCNvPkoEAhONQuk9rc9OWzp4OnJSbx2WOzcd 2G6+76Ko+GUn/qlJMEtKIWXBiCT1af/T/K0dB/VSa3jlE7lPo4IjEKJIf7RXwRy+u56wCv7UajPh scoPWpXmdgv0i4us57eROLw21hPgAFiGVFlsTq6mG5CvOqUg1BDwxP3wM2YELvpQeMIchY9uemhR ec9VyLLqAyMlqsgSFQKRVoAchjkuYS1ggYZHQtlx+eBNiPMN12h1kTM2qm7WZNnmr7F3c90C1PsA slpYFm0/ws9SWOzeh3VLFqFg9nsjsNSyrlD8SjrZK5DuIs3p7jre7HlpsU4qmRr7Ez8VY7j/GEHY 0BqszxZ2Z1TE+f+COsgIGYK+AoCNDrRT1qhNj7D4zfe1AYBD9KLP+c5zg+HOL65o/c1ooat+51I0 i9WOnREGrhfe6d0x5inZpu5fhdulozzW6hQHTA+NBOzOzkgaYrpEvwgTavFl8rajehT90276bZCn a54nTvNMs1Y+I0ya646l45jkMwzj0gv0bVqJ1MGDz59K/JEL8lulZRyvcG/angToXxNqu2qTHFWO 2MsPP2lnu56TqS57M16m6O1QvfZnltEuOTAZzB/jNdxd8wwgWL6uJrUgJQQMxD73ozooaEMWJzf5 P36OVGysuSx0Y+AQi+xw9A0J2PPVcwSIajR6ROKOp6p4kmz/wHCJVEDI8RBRHFaCAKNT1EXZ/B0e +TRLGqdmD3A0+4syv1cvN9/AJAWjKAEOPbGc4lDOMiK+HcaAVZC4KyDEfD24iKeChtOVzgLMPe+s 8b8BF9h6yebxHTIYvXm712WXltirVAj8WtjYOi6DHxpjMqkdsy8YvlgOBfYWoUt3uQPTxZ3l5RBh wNkLrlkWmgntTCUHDeQxpXUkM88vX0nbNcbDtsyO5gCmf1pjwqwrcBVssl5B9Y1rjw1D6BxCOfN4 F28IMuk/A8qD/M8aiviwRE/qLrXfV/C/UQSaq1xdIjMHFOaZhqvP39CWWhy4HyrX6+y4Kv2jW09u QSUKkPSMx8xD3F2x55GQAln4kx/9uIJMiA/ByemVirpXb+MLMahr8TWwx2ViYfouICwKYMi1KJwH eXphFXTfpewxPkHccQP09qAFi28g8WfKy7PvVputC5/45HL9dhx8VdC/6gEinaOfcCh73Bb4HXLZ D30RJJnHgg6ZAwbHczyvz5oALSQGX3ae5TQjHzyWf5mSid6utfkauQTwf9pkLhfRn1PnYBmYBmlR sp1/raXutTMuRtFjV2EQQJE9q4QMAmIRKCTGjF+DHJLWVjPyXViHHn9fuBzpPVY2vmMcvTVA2HxL wdt5o/3AsIaAJBfvTB38ozIAn/DMRmvnZjg/tbrfPxw9Q5qgKjQhX5+GBBLiHP+K8oIATjJsix+g u/0PYtnpAPhU+Jf1DvaY26Fs5tOwCsRbMN1wS4apz8y2WzuH6bVnNn/aksWW7dq1mJu/zh0EbMGf V0EIrqfZNa9lQUKlFF/8cwrnbZPXkbl41UR1mlvZ96bu9uhwCrMd3vAm6gIVZccM7Idufct6ui9S ZfRezOukL0lf1PIPN18Kdp4wXl+uiGUvsXPdT6DqBlm1j3GZMjc0ZWnLEmEYfJw3z5yFdOu8iqRa sRLsu4K70mlw+f5txYzpwY8iMe1fBZEjtnD+L+IoXKPq0IjPYgp+kT3IEUMaIBVncHNGia0mliEj glNVDNZCcaGBUo6UThxfKoFynYNpRB6TwPqZNWxAMwRG0c8d5U6Cc7cVG3JQnp91nMHpl8PATlRw ohqCmD65bq0TXhBBiJbWVJFQsvlxp1oi8T8XtoCZcyBAFg0gxLaOYvb7kp8l0psv7Fk0ChtFlyCT uFhPGzzrdWQL8TS1sV0TE3yEVqkzvooiHdwCt1KD/f7dDmM+7eULQQLXsu9Tk8meOrALAulbdqoB W5lSnFpFMxC+4bmnZ7tG+TZfaXAIRKlyPSeJUg2ZeKDptjsAMNVN4LpHQW1W1MHUL584mDoXvY4K FQqys8oWZZvhgxt8+1DFhpU0U7VXVh3TymYWXS+Nwv+idABtiZ2WFejFvcb8gH0gpDLXZM+fVp3P ayAPaIcesIO53Q5Nq11UfjWS49U+of22ni0Pi1DY5oz5ev4T0J1588EqqrGPPalV3ld5butjWBPb ilkj1NdlSSuTflcRlHPFYEvhjowQuFC62HucGu64l6WXw2vT+AV/brgx5efGnD5FU17SDhBjrb46 OE+MN7uRNNpJfNfecUjo1oonC+m/dGegMwHt1nlI4ScjipHemdphMihDyLkf09H+mldLDFfmwUtG devQv0nOU/q7YDQ+JxZMTooFfA5KV32cvvpiBfeBAclz5n1yb0zslnRFOBry/1D+Rx686JsVgW0c I40q/0UUpdPLwaB0LdjKrdaO5Vq8mArIYWyYZFAEXhQVSxCSflQeJfy58+jIkFBxbsu8DYgFxEFC BW79Wmk1xE7RS4PKuvB4mWOURm5EKqRXz0Qol0Y3bJVnZGxwFb8HpQKFRqbu9GlDvChUAEcBBIWC jm2n5opOOmJQzIoQbz3Kb/J2oOeyUW//IadF8xFuoyx1deEjL3Z7QZ7/7xrRIvzvK/4InAyEHeMM Bq4f9njWdwUKHLpm3cG0gecXyVcECMtyx0y508Zz/PllDGHaeWua3+QXiQgGDYFvYkDVahPgLD1B D0ja5QoISnm+PnkkiP3qOli/IiHy+/S6D15WrhdbGJAoomJ7evmYPVPP4W/feReE5jLaaKkjUQvr I0Dr21BqcHU3+VuQI9jotEOOPYO6sWHxeg8c1kL0nRXI8bdDayN7JtDk3QbvxYZbhYYnEqfQVE1L 2n/bU9xWXDFmElnXoDD49DA41LHL7PzLcbfWA2+Bwf6e676hk+e3u/DKQMjn0Uj1HNIq3/ms4p9L oouhLILRGp6chFkDuPnXBjWVZHfWZnOWejFYrGhH5mXc8BlqTIF4CbVhSnxU/ESxgqdcHzBpyEX3 JXE+pH56jFtRKMgkjke5cCx7NmeSRIGz+3mP9afWr0tSclp5pTezez4Ou16w1sRfGlHzwyDk3mXl wjF3j48RMFsdciOE/V8vlJ1QaiV9op8H+15B3hUqCszMXk2ftaYjcevuv4ZMVhmRWlFxDsVL5gB3 J5lX5MZESPWfFVM+AfEsxl+2d5s6FdMiPQrfei1YSrtVGanRMWltPIHoIKlA9sP/E5OUGm9YG9BQ X2XbjmWE3YWlJsGesJyPjo6ATEKceDCb0Epi/vv/SlN/aPETTz1d9y3fsxgTjxQ7tvkceetP5b2s 4Bo8r9cyxFMJxwyOuXyS9O1Qb1GKdnXpGEKOdfgo8TvdpqpeaUAaf1v5T6nT0lSiA6+e+ZfNo0xE CjUBTwmfj/9zLYV2AusLESKzG/ay9GAUQxyOCcVx8CKhfzP3vwCjTQePwo/uf2dF0uHeKn86x277 OGktFbK7xnELDrGiwdU+Idcl3a4cHxKT19S8mVxflfeZnxMR4Ntpzmi8jh1/eZCZq2aXWTPVCoJx YMGVnAjBhFvEUfM2D5s735K5MAh0KlMzjjK+YEQsDoV7V0sRk8coJVcuYw+A38gMQLOLIg7iN7Wo mJIsuV5AR6rUUCBZBgYqDPgl1GYTTbvJAEgB9Cbci0tZVln5zqkhfrgtcxgAg/ANgvGFjLawOv0U TkZFU8qRinc+rxRVd6s80r3u22QW62ILC6dkK3Qg+lvjnIQLKUgz6yB7vdsCE+ZVMk6YnbpxmfwW OtAxkvpJwW6ks1ufSZc9TRJMFn385bF+l9CJJljA1krPGP37VOfUgQV0Y4YD1bFhPg9eS7GlRDmU aqTAzPmR7yQ3kIh0If9KUDC1FB+303tfiH4udDWhuFq9zfG9v5EBijDNluu3S6Sy4a1aQ8GQOp8O ZCCny4w1jhDfKyqMDg84e2vBvuBr+lVLjytYtjVFX1t01wFCdOkjHNPNByrlPknLiyoHEQxhLHYh OpBMkNrvbHDElf/xVK5N14Pl09jmaswXAuCgMEhsvDv+dM1TEei6wxr1bHzYeXWZ3P8oRH+5lRce +Xw2QuF39BJ9+X9IniSIzJutUnoPs+aY0mCv7SzV5/cvjQ0hhrqfCF6CqE09axqYMVVPfUCn8aRu XWeH+kAn4ActI9KTAKaP2qSlux9m2jzrD9CR7284qHcEXTw2YZ+dTFF6nhDpsFhjAnGPP0k+K3cp pBmCH7RQm9IKvVzWiej0+WPTTQB9WL/syOyRrtW7TH2YXGyVrhL2TOCxDoQ3PYpl3FP8hJRLb0kB h2v98gw7uy5pPtEWWdEOz4N3I7AN8M/w7gxmGnW44yEGrETnXZ0Vh++CBGP6YlYn7k5qltz5yszO oLgjNRF5eT96ZJBN8GutRh/wz1+s60YMWq+h8rDn+HOEZhmx9VdgQrBi7STi8eH9Gn+reMS7dlWU sPuSkjZhz1paKv/68CWupp9mEP3ypQrXV4rbjyjwup7xPeZeen+CIcefPoHF6nEUhzH2QROn5yCm 8rnsxBFNeBe5M/tBxcUA7TCWWGalnwLLYnyQsdKJsCxqg+PfJLLtEMswJlxuekq5OiXew2SgkpTf vLhequEkBuVf8MoIcz1cy9n+V2YPXuenA5P7x0p2r43Eq1md+dCbMo2z3QKHNhEA1eCvVM4fNRBn THkbIv44lwF2/7uIG/J5y/iIc1vUbFNYEezmxIV93GFpFpfLRNaHcxwVA8uW5c+Ye3BPKqCRsPpM wnfPtCf7Vx61uQZLDXxDSYCoBc7Pt9JQEsPOpM8hRuTrWm+Ogt4nk5IVaNIGNv3TTt2KkmhIPrLn wVA5m1lvFJIzzzvsYUPcHR+CzkiFdiBC7BH0RrJONt6kz76c9pFn/Q8nwgmD6I0kIKg0e3FvNXqf v3+namqOCHJqmcVOHVVZaUT/Alrqxdob7Jd8LCxICHiB39nUsahULh6xLlW9GtpT4BQetKCIAbFd ctCefdQ/ezW/Oy3KRh7LqAAlgx4iOfQkpaisSGl6rJJuNnuPm1d3K4SXLVne6/CAhzGzIbh5Rg2K 517+SW6oSCA8Wy6jSnZo8vsOgBo4/jWdj92f3mDcoG6S8wH82GqpNBG3/nUa1J7nn2P6IwxVOext e1lGi8ius3a25yYQDKmEZKeMQIqkEpfbt9Nqwt3OOP8jCYliIqtSvu5t7rOWu+/8jUmmKYqic/d8 ZtRTPt+85gPhpg+MB4be/N/akhWbuvxLnPch4L9Aox86M3Zx4dQuvasO0VjFAgIcSAns8mD/JDlX 1C5hSnVGdyEUdHWucdpwEuDIZl3MCtXhInfwMeE+OOSA4ObUhL6WCcmZiIPslw7FuiaiBgRFOx4S KRyeN5EpBasWVgwD8jcRyKxjixXotKWC3UHVL1ztmd2WNVm86KjHQAztx5gB3js/yfhuGy3969Jj WlUQw6RQosJWmT2Sq90vDCkLrVrOMXAk/rG7APZHhl2TsbPqjkCXJ9JH/sfYlrXgwcotdcRgGVds Id3vONivTBXDQjEu2q3m9Qkc22F5R7b7ii0DMvEBMAZkK+cS247vJFKXI2oWTCMUvFWhIbJFGLIx 2LqCt6S/UGyFNXXWNNWAryHfrsw5H0U+PpBayG2bNVbA6nIVGqItUFr70lEyA9nIbe0CV6zhwAnG +cmJxlUiC9bxcN6DJ6ZfI8POab6ocDHxnS7z7FhJYv/GH/mTLDyj7dRnylwYY/Na1cWD1B/yzZlP m9Qpdblqlh7Leb/hoZk19RudijCjqY/pcMUnXCxqS8sc4Oz0ZL4Q/aZptevojD0cQv50FMf+yQzF 6mufeBMTVFVTPY3HmehcPOuP/iBUBXk9RMp7oewIivsRYWvpMwA7t1vxzMb+dKKlSuN7R3ju7F6T YWIB7byiHoyhwwDx0Cr0HYqFwabDW/+hS9LeCw31KXEIKINr1Z11b0PYfF4l4BWuHjsITxFJIAdR AgTltVmT5KMYiGiXC4zxKxjNaeGnOt4w5oeDjKZIDqG62GrZIvI4aMO30VXXP72QKrVvKlj/XByv BEJSDSdk7lQZaVa/Ae7hUNCtiXjssNX8h+UFR5lIClsRUKXGUpMtpVxUQQiStmFXOxGfdQzfPRyn Pp86/4XdG69GG/l9vlq71LVXnxnyO0cvJZs00/vKg6gXl4+OwoX2JFnMYjKzf4iSatHyYD3NoTXT LCErrhO5Rrr0fZpi1e34xQwp7CSEE7jOcX7gOzjn49dYKgmGmFDwPGte85oSmFIKyLbbN5zEA6Ed RYrKmTraz9AWZ53bHX/ocIEUORkeleAZ5bSrDFmSO+cq4k3aNsMRZ+Guj0hS2edhuFme8uXS9dvH 5X9lLSDbDEHkkxkpXuVxTkF4+S69U4snhoPvz7zN5xJxHqdLmVV2llcVbzKzEDwdzr6awkQepYtH IPOV2IlrhabQnkci+JYA5d1nVLQmYaoZFsSgfOcr4QbXm3QsrvG9eJQwS6eOkmrps+F0rXlBUFIt URjQJMLFxeypC9zaaH8yHQcg0W+m9X2HE+j41mPJ/sP0tWrqr5a9hVmPgtkGoKBzQEAkPd6mwPea FDJSZkCoXQTf7n2VFXJHA2HG1B4tpxQceGIZtNc5L/hQVc3s2L36/C3sjyfjQEDwIugAa77I0m2Y pdiYj8WIlnAdq76ayfyKvjcHrfSx/q0aauym1EKLsB1ysbrCfipQWl8Ll8moChXSViI/co0zpE4p kImkdf4hGDBI9H6QpwTHr9Y3y84JQuL3xjeta5LpdJ555pD7IJGsGcX+SqbjvUHBQa9EVz3eN2Yp BNo20tNluvxHIXtpYQYEbFM3/MAZr0gN6ukd1B65UY/BgLJpd5vj8nPGBhvCP9DjzsnwdnUas4s2 NABBuTf8ONnnDlMvp4YSIthEXQFiORN1A6zl6ja5tseXHHQzzf5ccym6FOKk6jqbRcSUvTpCT+3g xPxjACQToSgHho5Cad7btB6a6qUZjZjREEDuMY9GwE5uqdDWfuulfj/kmA8OgyFySgRAttSN6oFX YjD5+VxnffscuYpZsQQUva3lx7DESNk5R59xtVZ0MjZFKU5/1A+Lh99DhrvYk1KPFen+jH9Z3Xxm TOEBHO6UW8XbnUvXcFwGIQcu9v6lO4Tdw8Drk+sCMrSlfl8VIX3Kg628903o4E53nm7+A5uvxd9A MQp4iGkL8KAM5Pee5xXNg7OuW/I2xDfZvs1l7BwG1edT4vjYYjbL3Izo9T6wvUqzVxR37IcgSJ95 KNWCbpPWIbfg+ou+pCxCO10+DNPT4XjiAtXktPIjvc8SNgruST8PC0tMoAj/4PMX6njw5EC6tdxI L3zBZ5ttpC0PD60aruaXAZsl3qI5bUpKe0P4w+1NdToUk6EYGQCJCeSUohjZTisXLRmB3cMNtgLb 3ytob5vw7jTezz2LdLbKJWEYUHdUdf7n83stW88Dg2l4x66uGD3bRJJdf9IAT9ypmjUTcimyJ3JV xqsJkRc9hC+wdonVemTsqV5ZTVUQ6ZPuurRcGPrAOJ88HWFlrDdVcwn9nkbiD0x7BzJMRdZFgTau 5Gmuu4cPoCTTbgcxxNiDFzbOdCHrl9JcRR/s2AU3R/gQXafSOnh/OH/EgUpdzoBhAu6LLliHqwzS jw05m7rf3Z4TjnEHPu0g7VXx6YlGx9J2uWqGMyk4ZKXUC1jI9MBXCUPUVojk1cGpT3CF0xdelO51 C2blOrs/+bfElOpQ4b4hDnql/Iry2gK6+1azYKS2pAkn5Q0HQ33an6HVoYePZUaGB1/Luv1hpOuB gK8y1ZBTWy8aqOiGvnXjON6ON9/uDP+ZJ+F2qgS/mMjdLg8qUGVF5Tz+Ock+TqZn/Js3FoucyEoJ /ARjk1PkA6R32ymiDYYp/3xkb71D5Z2CGaRQ6Fh81cgcgaRby0Dg9jjh5ZXUPd6GcHAU2cWDBcaT JDNA4hebP6v94o4EsV5TVTzkF8ICnLkNLQzCbJnjiHNItOGog8YkIA+8Km332wlRvk7THbYjw5tK XAeT/DvgcZiK9k+M8D0D10saS8sah6IzvzjG/h/mlK2JG5898xhSaKEziPpNh7VG5Zmw6C0LiPjC mVgmlyqj+dJPhVrn4aksYBB4Z1lvbreJyeAGW5ZONdrO5JO/Yz/BRd+kiqV7H4JDKI0ESkr2nl4S h17Ou+DBCsuSOXtOmeKsZc7f2W34V6Eut6WLqbz/PCwUbIgKtkF2vgdyfPay6Q69WO8yrwL/Ve+Q JVkuVybhexHN3L+tr3jzDXmEfAw3cLtiE+YoIjzSiZCTYJjs99lOCGC82neMHnVMyb7B9x/T4ueP EFSRM6kNATfm9elyhB0MYW0AwU9J/Ki3k5GE0EYVwelKVNEvavkTl6W/i3EZBisNR+BDCeG1lO2a PhMg+YjuvhEsExASVW8U75tlSQuZzoDsAYds/Cou6Ws086PN5r2YRs71FMZ6/zLBt6MPst5HoWaC ISt29owAV7kT0NJMPDKWq/wp+je4H3/eL4fOnkedL36Zk/157zFjDgGwfYUGpGyyXyvceks5b6TR fw1VOM9uT0+XoFMcXKBybDuoDDlPznHpdT4bEr1+iANvX2ImvrXlEhdxg4ARgO2ttdJyvIZnMQMr zcbjGsql1DLSeFtdYwScDP170KNx/g0Z6CVcT+Hrsq3c8kWYvoZL+K18YmqBMbZ+Rf7bMU+2t3e1 +QeWOjoTNWdwKcsJINPVA6oIHZTQWzo0Hi0agtl87AvXFV+euuWQrCDztY1zVywqUunX21rwJ8so 9FuQn9kDkwJzQ3Yo965q3YJkN8RdlId4sLUmTvjQxxT6gxebvXMAQvW6Hm6Srf8ZFnXvzPgIQhR2 eME14qMdSYzH2lghF5S4JqWJ7HsxzMGEFCepJZcoP7fb9RdClqaftkrAiIMCVfGA/tW7RNCV7xmD pNMx9YxcsDp/mulDU6G8V2F7Cmkz0EH85zbrOP8e4X4jtOVAuznFLCU9zejtdA65BOsFGRR2UpVt ZA+TXOWAonttjq+cZ9SgpoVJyFe5FJlMXHAB2mPr8JyrxoLSPxBhm/k08A/qsKlo5eTbN/nUowbW vESdqOzawiqR2qH1ZHMLTFoUwrXXlcj7wfUK+0CkhvrmGhB6yPHbVnHxaqunZPDLkKnTv3A3yur6 +OomJK9ihlLZNoJiVKDRS5Ulav/fS8Pg/GVo+1p6jJuivK2HEtvAgcq5v6XggNPEr/xyJNG5DWh/ jvq4UHxCvuI2lDtaOD/TKjzHzgyIP47DW2wFAv6F+XaP+V7zGHlKN8CM2ohvjYWN9d0/BD72jX2V DGWfj378omEfjLnmVJDSWr+WxVC8+MreE39d87JIzCc6U2m4Ry2dELoniGKF8QoYxRXCQ4mlAgxq 88DYV173wwhVe51T9sAh2r45bPVifqn/lIiXs+3p1tB9A3JEwsbFJKHYH0YtjPDoiFaJWe5Fs3rS ibzxhlQ0Tho4vdFelnDu2hH9resqLkP8ZpYpxxWrKWs3wh7rIQRPyILV9V+4rD4tyobaofuS1Ymr VriIANKFXn+teu5fxNhb2WUEBUIRhcb13SyXusz8eGK7y9Bn3ic+VhfLtdW5Mh37cxVye3y4ki2Y Y63Uu/6/QyKIOl12+IE1MrM5hRXAgPnL+Yu1Que6/6amfINiG3jTfVlgEGitv55WJJ+Vgl83FOd+ fchyip5vjrXJ6H4oehs5syuHCoxAz3wHo0hplV2dTIKwXYGN1yGQrhV1Fi45mbAN1ZJLphhnDC/v GkDwI+InTuAEfRQSfrQXwXBwBrMLoTfvHIqrm34C/sVWMAjS7iGAN+A0/ipsMlzIN5ZyOW+ZVYzE Z10Lw0NRm0NJyJHSZszItvjeH/93iOS1wwcixDFpeEs6fzSobT63Fzzto0Tq+3S5S6M1MY0wsOxt PVD+HiJiyrGc8Ohwm0+bdxHc0P5B3PmBqeW5s+tQhujwl1CjleQ1VTV0ZOIx0ZCte95/Mkq+mpJ/ nxQ9djR3UwkQTLMj7qoM73PvXHKSBwDJlkDcZJHVF54OpZEcCLOJJI+E6eycmpM4Au7n0ULSkVKU Gpdar7Mn5vCewEJFn93EzKeF/grUY42mbnqHJtI7B26bTbeNSGDiYOXDdAClE20rpfCGHovKeHxT QF3cOhkbkmPmu6TRR5CyYGaKYkxZjZhzEvCYF+M5PI8vqo1Tin1Kk/Q8cC5GHP4+l6Ci6zwKFv59 DH4cn8vtnLf9snIFjXxO0MJTWBTOAE/95A17ujSoOpUfDAjXnE/ixLCpdtZ30GFUrh3WrXZ6OOHZ QRG3FcuY3F/fyzgxF+EsEjcmLyF0yoGBC1XTt9EirrlCbd7wFlOGfKwAr1IWi7iJyvzW+p+vNdke 3wgPosyEr1tacaxEUuYyOx/ee43cm1TioYDnXHsMTwxCNlb9Z2KbjPCA6/+OxlKqk535qr5t9CUM AJKF+3/XoZsf/SALZObyCKsna9P05k1wHhJeMjPeic6Lfjsw5c1+rLvZppyWkokm6vIAudkeZj83 E9LXdx1dG7qNCI1YiuOdn0HQBoBKFBmFtWEWi21arPswBxToJHxRcMxfBwoOl0T2ocui2HSudBmy 7on43SZB85+38O6GdxVsPBPzVqGQv+rdL8pRqr04wKCLfpGqkCk90DGKO5Zz0wmrpSaW5kSVCGBz WTlxUQiNRJAPVq6PJnILN4x0sUbCE54ZfjIptpLvlLjuXdwm3kQ7n1AGSMwYWr6UuW994kyv4+Ty 2/UBbUItQ3O5WGm8PKhPdm5GlExBegoaV7LWmxBEDSneRL9b1vuAiikMA348Ip9G7Z18GfK1K97E +KblShaZ5zqRR+0LYBYqMnEJR7By6/vEXhpb/JeHGPLZqAQeb75wQOY1fMYJYjUZLCTkUfID8VZY cBI9XE9Nnc05cSx/XmB9tN3oyqid927zbbjIe4PAfrYcBlzBgXLdeCSF0H3KRRsUhg+xAjzULhM0 n5GXAd5/2G/+CxOI8puP/Ats/zxuxynmorO07z9oOGf7hkt9H0Y4xZOUFK4cAcjHBpuXJrDu9bWA sSfdAmApECkvkM8NlZKwJWNxU5o/lkZtI/WQvKyxvIhjwteMDh1qVt+v/RcAHApIAHPpQpYzqaV5 qZl1xgAo4qb00YHlbX+4WCEMJa7QFPDZygXEIz9jeAMZmG6GKT/okcgt+RJsQZpgCzxx5Plc1hpJ sdgT5OhmJZFKbeQ6mWvCEJVPqjWgO+ijSXFR80B+Gdo8vJYr2NuD0x9qacJc0pAAd5H0Q4XwnFUR HiAipLg21GBFJk0Ydi4bwVRXRH1y/9e8zLnVJ0gS9Lu1snAF+aKeYQNgFY0dENMLBBALEkPnySZY pgUWwx980Y7DsxBPFpT7Eo3ELg7mKNg0hoGhR2nqxYwPXIG9+B0SRUaxiwaqPZnT6ZfDqu4/829x s40RehVv33a4E19J7nRzIUAsbr701xx9VxnHITsKpkUhPpwvtbg5d2SKRk4RlXj3eebxFUVQ3HC1 ImyB1GVa/RTNYm19EwN78O5xHSj/8IEbEen15jJGmy6Ij5FmlGpn8rCrlPTC8Sba7qOVnGLMxhUx SvgeNxYhKPNI4yGjWWcnWyiuR6DefHEXVcwK3OlEkyY/ItXU8wJs8m89g6LCDcHa/QflK4zsgRdA KZatnEFSlNBsiUCwbZIE4lF0JHM6fTM9qfrOjyDcCSWuXYMeDbihM2kBZYLmL9p0/BeNdSG/zx1A z5Ln5Xl0ocEYeJgrmhhkbdgxRIZi3qZ0D766iVKnF6m1PXCjgym30F51WfCrVAcb1UsjDtwjftSI cnpoR5HOl1Tzc6QJ7guM/ieAstiq9IYGODzb+WDe/YOqoKXgT6UINXHS0QATWyKZ4Lc4CuAJsgU9 JhU4I2zSNGfudZuFHuzedJmHkpjB7igTpJK7fjqHt+hvTg4EevnXkdOOQ6JIAzXn/lLOeOjPRoIG fcBR6VFmwrDRnuacFx+4YuzikVk4mrmvlwxDVhYg24y2kSZVYqEjgBfCBclOnIKKVj/kvgHeXiiC R+CiUL0ozhijqOq9dXyaxtYD8XbX7IaGdPLjjukgUVut6BTLioRcf3aBM71fZs9kFgSYYuRtLB7P 21EVs3FhAlZxcBB268sC7Qnh13uYEYjw779+uS8EbwfXmkXdcZPohSU8N1oUa88Z/kIvsz9SbNy+ Y/flM22UDabjLWqgpx2lz7nd99Gk/+rI/0EPU+gKNOWGBhdO58WBmgbDrTFgu6snPbt7Y5HWLSBn UywI5d2hWGomJGrOc3ty7a34mq5YcPwNPTwSdPzqa+dDhB0AFNhoOHhHTMsJe6/uWcQDtQIDukTM iUdgDySccRzn6q/yqoTffBxEEFaMRW5PiQrwjDjvg85tDI056hDjcsAis+YZsSw6/SJr9SVfSWMd qh584i0U1t4FG/XQO1e8PMqnqXSst4297Oww9M9MI6slXKOnN/Wb8qfksKqxjnPaHvSNyMp6MW2k wyrZdO62v1EYxMowI4SMtJ2Gj0bzLMGZGkEGpqxgMtTmO0QM+JQ/fOKV02QfBOJSyVD4GfMMb4BZ aDDlHU6vUIt0yUwooK9HPipGnYjKOnSJnQ8z0mk11/K6WIaNmWfv9Ef25mEKDM0u37pJV9taLBau 0OrcfGWwGi8qUBp9n8dBhm+5GxkVUVMBUkbpqHMSmohZdQSYnI2foZu/2ZQtZCn/FkRdB/HjpoMV dPFL31Va1DxQV/fm2PJm/WS8h0KzeRUidmKRURo+kxmSocsBBUzUogSYubdKQpB4O/Mm2bvf7lUo 9OadYpdjesvJiH8JvFE/b1pKeNVUG0j75TVL7Yd6s4GzxFzBRg9KTtPUYGg+DxXAIKcK3QclkFbq qtT4afb3gcvPdSdrt/1i8U66e5SPlGtoIxxloMNNKokFfXF2LKq2bENU3dMmSikB3p0Ho19jYZTW 1g176abLe9y4NE5eSxNxIfKCIJYW8nbG58HiDWczSbs5QQErNy5b5IqC8ttKjUMIB4/H+OEWh0ZA 677Wcqje6X7vxKq9TVDpo8ZurTIdXiJcMrPErYfAUvwjvQVRiDk4GTJMHr8IBy/pCNoBmG6Vejux qo5LI95r5wJtq3OlwyMpq6TFQkBc2k7nisNTggrdRz1hzLdyQYEtmF0IYlTAg1KQaHmpE+ASYPA8 7+e3A4vWFCdgn+yjSSeeqOdM9fNuqSTHLx1P7xRJW+QMh+UmYXi0EGR4X3RThil21fKCYTwJrTWj jY9lC2pQH+mVyrBv4EhkNAhD3Q1MI/GS5VCb1lpneiwUoaJyNymcHi2mFLgB9ZpP6z9EPJAqJWqZ YC0SLEdJ5IIqxhkN/NlaJR53YXALgsTCM+esIfCB4/SAfRxA7s52btiCCbWYvOO7vn32/YgLbZLN We23s8CcAFMhCL6W9aFPIykVXubtq5rAHCR8R+IozfSx1s0IpxE76iyv3P/V0+ukZ6EDC/D61/Wj vBsI28ukBYkOHc8A5Gu8FBqwbe7RVLyu1yEMgHgSkbNFbwyiznJ9Z+BjcipUBZy5e20DoAJKbyls mZIssLkXYww6D1VfzmnXePs04svM32jw3obbXvmqxOiF9lvFc78u62R09dOieIiFTlhvaKEvjarJ 63CJnBJK2tRcAVylU+uQKV6xLKVD1euh9+zJu9I9nbNTh3nB1U6CyXlF1GoBhR+GL+bzqJ2xocGV vxGhUyKQSvmQGjFbJbt7bzr6ghqmLFWriUsRfT3QVK23fK7rH5cGql/GNJQ2W45Yd1cXDYzfq4mR Q6y0KzpkyDt5uRPZ8pdq58TIrAU+zq+b3kWXfwJVCP3qxza6Zp47IGXHT1MgGXe5qsHVxEhrngTe z5bwEoA2ALFhnVSG+lk5HPzvz0Pw9gQfO1vMNjHR93qtL3BcvS69cgbnXJ4Fc+0yQkqzASKIsv5w oZ3OqbS4c/bVy6lS/9JEJigBuMFk3R5naoZ889B/RmOlR01AiIsrAZu9pqtX+lwJ16b0dDQhset+ Fhay7TSvLZxqhmhqVr0iXKcdCfGljiTPfej2YtCR1lGFTtCFgptEKxePxdr+IBnppUifpOM08u8s CTGg0U6zdjmvkX4Dj0wyqTmLGRE+B1qcH5GECeqyN7RiJf9ALQakGJBeO8xBJsF9AvcXe2Tvy0uI JMzs+Yv9GG5B3Op8TTti8phWB+Ks7CcRT3RtEPlnrnuougQPOL15c+AwhXcgvUdypP2VG4Vl0zZQ uAoa27RtFw7xuYAn99i76ntI+0jo/1Cosb1SZTKKi3LPJEpdDbJVhe8qZ5nAkJoxJKeusizMTfrz FV4a/FmGeu9qsILeAsqiFZ8ErTQlQH423qKAIaSsnrt6Sfo7a8VA/PWFIy5nake3ex5K7zT1sHM1 3O91pHx7r2u0jAJImSEcqWS5v7j367StXoCZiF5lBSat/DJYR7+xsXHquWSxPbrMDXKkdKeMib8W CwhUMTVM/UFYCADy9HBiP+ZmGwxtJjkZXpWujjcTrPRcqE8sPVCf8IdpJB1wwE/Fxfzo+YX/44n5 fDytRDID/oKEfpiStRq3HFqc70HAJqzCJ/gN+VFrEZuCbJ/ZMwczfsLpzxItcFfHC9RA5HZhrNI1 K1PwZ4Bybt9eoHZKNnV6J78Fx5nCvgL23dKeSKAhHk90K7tv9u9vxC2YLV0PPWiWr4xhEm/m2NUi Vl4KS2VaG7fowIXhYcLkDsaptV3WwSEi7cGvQ0aMUaHSI85s5umDoebN8Lnbeh25q8u9o0HvZn0C M2hNKI14rj0Lx7C8mc+LiZIk99a7r/d75Rq20jjsAYQx99R6X81gc++A7cJYezmIHG8JxAdfNT2v qq9b95QClbqTI7jKQwqaSHndYUFfA+HkRB0/KCsGfoFaX6yTMm2n1K6ypFTPBnULBjxqF3OJ2y2K 5Nms3VZDpXO8Gj5enWVtFbMuhYBLjo5ocsLHmelc2BvjFtmxhVinIbXJev+8PONy9w5UeOk4S5eI IkBVo5IOL91SHYw4IppoTGoMmgFwRaJgB9EHBZFqXh7uu02muZyj4zqe6NZfjIaFtZ1UJq1LE/Mv mNx/Ffzwz0RZgaYssalKr1i8pHqwogu72Qa6SViHKl9tfg0bTJGuUrN6cZzIXVA4oOKjNdXg/uSY KfWQ1LelgpDpuPbSvWzp1SXqZzbyn2LvWesDiwrQaWmVqGqQnv0eTA6iuJgIXkvID4+CMaJjmH+o eNOL9ejte5sF5UeHdpu4j4kvRWZP4QwD9m299vrUFOWc8MIEl9ZcTZr9He4RyRkPnBgc6SDblqjs c11MCW9JNB2IaO0Ni9mQjHCtbOUPJSrd4JLUAtzsYtECyGEIxqZiyxg0SA+UVvHy2NSQ67h1nLpU mKR1xUZP5lKz8IvqAm0vpFaHZCDVC3OasMjtG/lTUqcOxVEKsQFAHfF3+/1KlKxvoXEhUhM8ay01 +67+Wqq6d3gk3MRtleFxWQQGuAdD/44pAWPbtnaG8EBWXkG0HNilG934b9yAyJJjgSg88jkTrUDa oiT9Ma0IV84e9Wox9/5RrqxJwYLXl5qvRc9GbYghuqAjtI1hOx9aCXeh/VCawgeCPWvDd+1B0q4S K5MiEQW2qNLVNReOo+Ydr+YUnwTN7Q9PY2cYQEy//D4y3AlGWE/WjQDBuewZ6AbTKrkorRCCqE3y 30qmERImJUoscs+bkBD3m26jV02G3ytOj69MGHq2XCVFSsEnf4Ec0YUOqYZl+caqiPW56TK8WF27 rxMOhIUJ0zJW8TbTtlUqQcowAP28KUqu4WDFCcBH8XT4b20QIR/klGra73LiOYKamRBrYO/Jxomg uAmt1ydAsVikF6r9jKm+i4RLuM5tasGTdTDVfvjKpFSXpRNUIZW6/gv/Ev+LK+PQ/xhQvi8E3vfs DzPEDa/1siDQd6pSutSw1ANwKgcdqLcvSLxJw6uIFOuTkMxYpApDmiPTCtF79+MRWwnWYgLheVnl XwkTRHbwr/2C+8DfOghhiqZ96ViZpSY5HFRqdJMIeV7WZuXgqNwc+IIcz1ttOFkNrsPnCBPw63he Z99qimbZktGZ9inYP52HYrBWuRzhDjQSLTRgZIIbi+VVvQQdkB1Xni7w3+2+G/YAobNoLHPyDE6X 1Av6C/WBhZFme4GB6N3WaDqdoDpYoaoDj0jA4l+h9upCxf/UpnX45pflY5/W1eTBY+JZzIAY7ARC hlmqK2zkeeO5omxkleoReMzErUQaTwaetFShfJqJXjY1RRQ88DU6qHhZYMJyDHyV61hSKWnuplzu tOpB6sz85VeMgxuKzd1H3soqskn+fSIpb1D77qg92QMZJ3o3ccrYcDmNjH1ulWpLN9qu4Dideyr1 nZ1XU9/WOkTa9Of11cqBGMir8ZD4dkbmuB72F9V3BbkeH0QPfgzGwW+j9dQsOXfDYCKTfzU7y39L mod4U87sLcH17ZwdD5JVWQzCXFeH7b1LoG6WU7yfQwRvv8TtpMknO6hi4aZRbHTmlxV2DSRWwFKT Psto34flI3M9YTof04qUy9y5WWNxwoO/ob//0+OYM0jfa+sVPpajevGwxUaarVvrcAWxwr00JWoj RFjTrkkKnxY5f7xQT3BJuorPUXKTuBuEgZ0KJJlyIJq8fXL9N6qzusxAnNDPwzoiRgna41XWQu/A /XqnsOUMuR4nQXM7GpMYaDfMS2bL7Al188avRBXfrEWN7Tm1ZFJlwiEAFluRH9KPOX3SXYliij2U 9EKOBY+ikmGXtJJ3TcS9L6HPVZnVJTCO6hgRMJjcHIUilwMN0qaKSHLqcYpsOEs2uz1cJD/xUk3w CeBp44J2qmy1bp0ewtQ7jZ0K6lqrlhxTPoJ7fQJ10zFFAQVKbnj2crU1heiYL90GmRrowSWRENwU ZRQZ08SK/sjB8NqLQ6FaDA8dm4WP/+sfqT+Hgcc9Ffbc7CMK+5oRn1PmTJqyPsdpf6QtyJWDT5No FR2q75V1RHgbcuxv0d1IS2DCuy+xC2BiatrBX6OyAE6oOmjzk2f50n2Qjlz/3IWznBXj9tjesfmo lSNWew4XabPthoG2qcH7YJ0sv0b57auIGWHalG5UUxzx2Zi3Xe109NIKj1C8xNhhNe8ybgpQmEuJ tQovaywQE7YkCXa0x68QXoCiB1zTOEluRCqsqA8zlqlR6scG/8sn8SXCmjDodXwaVtsiBN3QpxHE mjkcOKslZo8s9OUQmRzD47y7z3AfX4fkDM/ErVlDJfB9kgCmz1Ej/craBgjRNgX4xWnQFvlELCtR xmVnSwZMRuf1hiTsHYxDjv/ZsAIC/Ow+q0yUFuOqIp1OuDAKKhWCixPxdwvPfKxSOjDlgx4G2pO2 or8FsPh+0qltTg5vJ+lygANZyiEPO3VWcxDs4myKcK0NslMukJ6SE2++2HDyT8YMSZiyVvW0AXxt WJtt2jCd271ywbAmhL3QSx47WZUWfSPcraVNFdDtPt20G8S6mjW1EkpNhek5l0BxS34cfwKJ0Tv1 uHBlyreZcRVeMHjlHYEbnzLLVeXyffB0HQPL/wObxi5tyYylVzMTTqDTH2qOQF3FTzM/GmErOaUx r/HckBSqgFf5hsaodZf15QWzI5fbawm1nxIRhQrsgMU72s9aLgznHwVJnW6ZJyv94F/WiHFZJl/V IK88osJG1sQ02BRs/qKxcsIAMsX+PZIjEGtKtoa73lPScD20ghqJEvrab1LNkI4c6SaCzCwTyM45 obdfADhb28U6kLoomDmn8UlEwX8mYYfmF6O7boQ8tmIUaDAnJmnpjZvBW1N22zNj0Zm6Y4e7V9Ef Gcdl0xqy1zGBesVwRyON8ujrMQuA6A+hyFz+F9+fUP2GuzP4n91ylF6cqcTvcfGLlmsCubceU6dK DQrkBrS46wl8ow7mmbrZGKh/HV/9GkhXjS/J1O3QeGuOWIcRQD/kGumA9Ls/ltT06mSnilkvWQmZ DrP0TqlT7bmyt3hFCGyninNKCaBL2SF59SNDS7sTBy3+7dk9Q0RMdITOrEa8tGySXsTsxszCuKO2 GETLu/9dxwnBNNrQAKy7BBdAX3SkuqXjUcKYFkWsyWEjTTrV5kxek+CG7MiyyLv/jaBcFvmlOT/L sbApDxlLwWJDCzbhkd1tGfFOUsIZ3RM3+nJGjQJhICuAoAp+QNeTqohikmaWN1fY+7Y5iqqx8YZX ZuhIisxjsKBJCOU2MqaaJ54QWZfGJvamHljFPdO5YVwUXctsED2nG3UMJb6ZNmL4ozB7rqrF7osY 16eQI7fQ6LbE7kgBhKYbvoImpnp+zCVz9fEsQfsKd4XL0u/vsgApwrzXj6d6TUK0Kbxos/b6etfJ QEqKBJ9QLSTo/LkgPiamyuDL2WVz2xz5sFDGjIu/e2Hw73y8/W+its5wIiiELDy5LOwxdUUKv33c ltlItakCnvRwptndLaqPiNK4mD1XegvP7jZPVhWI9D1DpoWkpjFLAQn/uEsS4Mm9TIDHC+kQu3yo FeibAOWSIhfW2WwYL+cEDlb0ehQXdE7cUgqsHXdBaFlCYyvzwDhvb/q1cl5fRrwkZpWVvjhFPN8D 2Keme0A0Eooq4l07Q5A7G06vKYAGFz4XEmksuvXujDO20FxSnrsl/hOY8ryuSkasYQUp5j9uLh2/ jqVPcbl//yj4Z3RUqiX3Ne5CQR43dNatiWYM2zA9fMKoTEgckxfUTaBh38bBhmaKD7e2Wy/BeDxN fLsuK5dbhjxSmDv/lYV5LsB9N76mD31a2FO9Wnfxd0BSgCHTAQ5LDj62fAE4aAFVrMLwACK9YtHK mnFEoZi6JMih0SKzozN4/Se9lcl+KxTRitJviCV7NbZ809tjp1ShkDUFc2jXaHF60HXiWRNYqo5Q ZuDHlSxiROFBfn8Yk+XpMqkEGqxyETGGfhfJ6zWSD9sZ1SzbN05dRvr9WX3Q8tQLEAQtrnF0BXXh VF8wDrvws55y/j+3YEQTl+1U3aS65dxKR3eiG439uJjr8/ioKM/Oj3ffNQIfl8kWaf2xOIHG8Cuz aYm6qOyaezJPqN60WG5lpgP1XXPcwWIaaGBDOH95pakPJf4zhdfk6RGXQeQcH8qSN4ph47Ou98E1 EGUh4CfgIVcz257CoVlvjKrJOw0RFcbmpKf2xw7I5Td7nms3pcYewbmLKFNtxzNPkZ92LAwcpAEq MWvnzzMcbh8xb1OxecCfkdlVS2cI8kDWnfFqeIzd+cLt0AyelRcC88NkzgBP5LGQdDPWluuzRqM5 +IoTekVa5DE++rESEN24nOO7BoAOu+hziKz7bdvWJgkLqA8wGXZ22H3Ao7gVn5PfBryom2eecX48 pmxLZRKhQHJuQCoI6m6+ZbNwVOPx2XeATSSkeDJSK7XtI666u38+KGn5aKVLq1xdcvraEHt9JBUB QCdMjn1iW4FYJMRQB3eCZNYFA4GWpWSB3OlkRTFrpor6myxVqvwD6LfRbARtIyiVZMzkMZV4pUgX ArVDMyjSIa3CYCFlFRDmcLgnZ8jR1iPYQYmQzFBtfAAf05D7S1JP0Pz/aPh07nkJ6vZ/hzbgKnhU 0Erx4VOHCt1zmp+kE0SQ3eZYKrrRykCDcPu4KUrna/fAq4B2yYgPM4LXGsZybHxgtL0lQEczm+aj kcTxpPjuHO5a5B4Qb4AT97gkkBWp1qiZ+djv88fF1s6aydMywXsdVkt6gtSIb/mRfNZUvb/C+c+1 JBSRBFqvet9G/8cw+0IyX9IJk+ONfusfL3WxW97nVfnU4IDRvZ2c9Y78MEh9BtAxktIRt5/JeHZM wpceVCsahi0zAcC5FPmp5fFubSuZBenYtkX73X2WmNlcVMrB5/NWyTyDI1cbxJDFBbiPITBTVuc7 3w+EWOkTlrxPC7vbMO56d9OPABXJhGaSQbHZFgWM83ikgHDFpR3RS2IrdhOshRxoLwcU/dIxwD0D 17/uv9/IS3UMGFKAdTAWzePR9tw71v8KD3ARHQKVr82a30j6cVkPg2Ap6NWiviFNfk2l4CeYqREP BgJC8hWgxEaPbFcsKGGbiXH23wrGqOC6bx3yVOLk1l+147VQvkJMuBpepcxcKru/LF0KWK2KmUzA jkK3lyf28GapzNEqTHIDVZqmEgHRdxy+7P09AgIVUp5Hy8crybSWoXBKtLFDNDSTEsav+zcjjiSP ozw31JkqOv7ZooXvNgcoAUPcofrjEY6JFKlBJYfvJ8MS342VMhlZxPSeOUugP4rdukVlBJ/3HoXd VTQtJFIFRJ0T/dcX/763RrnOWHJgTJilF+/wNZ2QMnOpo1lJPRfMIS61XxWBTNnu3WhlMtLfDt4f Exf+EbsJ36gaK+ozz2+FMqVboeddfCfD5lH8DYuFEHMRZhjc4XkCspfA9M3HUxQWvwHxWLCKPo8S E0+z7Pj2Y7v1wPWVPYoQkbFkwARrurg2jZNM5hrbZMppFX/pjvfRunhl7i9gVV4nzeoybbAi/p5X JNsQhUa2hSL6V/Xcg9ueidUrz8rHULS7JJBvXPBQDTipKXTXDXuXIrFVQyik7QWsEZnSz8LJnZMn za2rAExYyD7pD4uA+d0KEOZJ7VwINvCTy5obHyevET71x+PLHV8wybhhIp0YXJrsDp2ILutNspUr KToTVJvj1JPa/JSMPwIME0sRP9+AqUU3nFxFc2OYls4zNlRDEAAUY8Wt7/3Fgu78Kns4IpMLUNVw uSMypbZ7E+Mi6HiYZ+AcORgUgHGIT6rgINncffISzJN/4pg4etA3k2Gbu/Vy4Bm6cyJDtI9+gL8I qwc9mwWrQCJRpJ69ErTrtQA0yeEt6HuLictSOX7hgrDKlT4zkoIlLJIpk/u9gWRKpETYpknRVFdL ZFAwstA1d22SMq3RBITKhOpKgLoM1W9iQ+zRTrJ+ROKvTm1es3PKTL7FbNJe9LTuW0OJRilkKMKu S6kaqrqnspVsXIvxBHyHqqluLnPaCyPqruju80MbOqYm+WMeIlnVpEuXtpFynEe2GOgQFe+URA75 ujUkFVQy/PpBhFrAGOWAJXWmyVEN/qEXjluGAWBpKDjSAz3t1T8GVwmb5Jq1HFAXFB42QxTEO1sA eV+WdZOXemLi/b7GMcbeg0dNODzTloU2l9kZGiw9Il2FBZvzLE5OfECG3DEUabtes6xWs0TjyY6B v4LKKgj7C0vw+2lwZ9xPYCCmcs+oSSJ797PtspASzdUsARuD5Kfb2cGequUmQx2FtsWe01X0AibW STZSpQQfAsNvu3hX4iw5JUwrbWs/9FzLw9ifCmpYsu8X1VZQwKRFZsi/Q3ZmP1JubMMGHajSzvvD 2m2Tu/cGEYAFAjk8QDTvUBu2Ef//rGaQnhzETVltKyCWEUZAjSNuXIbHhZduCDA3rx8D/J+HWeSy H97H6pUxkiDCLmCFPotqDSBB6cET8+ROpoSBGJNokZLMI5SG+i3WhiC9/jzMFkTRysc/Y7ysdeqf yqif/G71YnN5VDCGM8LO2dGJRg0DKDSb1aKxmf2qNxovBK5ZYA2F8JK0e0AlAMYB0XZ6zIl6MI8Q sGxM9wTr0/lD1f51rL4nslPYbGCTnAnahg8D9MjOqNXLK7GQNZfHCfaJEH2z6NVLr+dCBwK7UTZj XSm4F737FtNzueJ9pIF1TEgQNFraMDY6ehyTy9Oubefhorp2zNl3aBhchULdTR5JFcHjEzzFxTEl 8hl+EmwhHL/nhLqvluhBxSfdxadb1l1gtKFYQiD/O5vgb1Nrx3FBoEb1Qa8mG6GnIQanxAo8nLl+ Tv2u4uKLN3uKBl2RLPQdNYW8nKWHkc4g7Dq+c+eGSiYDvjbBBj7NH9RqoVmMZdKSgfkThgaTXovp /u8BCPnorzkC8+bJXSCxCu/J7PblX4vGDphAY41W5DAHhRQaSL+XCn7VGFoOOxYyl3uUzf4u9D3B h1aVmGQTJjNGLFXpEpEpVIHHHKRhAFwCwSys27veoERiw5nrH4x6Gq/jY4Mxxfma3Anl2dGFux2G IxTuRWzJKImL+nT10OhU09XT2ZBnqxqnvTiJMO2kHx593uxBzfNcz8/Efvzyxk6OwKvgEwjS0acf nOU2xbaRRlJYXwluMtgcHYHDmaDRgzqrrVVlr9KRV0+p6TURj4oDjvkuhAqerizpqc9lDlds+zG7 mk1gi1fYa4EClgG1/PS30UyIaIqwM/WQlVZxXDzxv7est5RLy73YQ1eCcf+BbpF5FKlFisGN+72a hzTcnyGNrRS7VQQwbJZuLktHtgENth85QeDY6tQ9W86H/ki7WPkoPU51yUslR97Fs61eLwnjL4Ug kZYvfUpMdWi4/SAJJ0df1pf17i0uXKO4QXwWdi3oC5r4OWYeCxwW0LXCKxTQ+8jUD0IftUJO1T8A 1LEm2wj+7gBOqtcN3j2eDUIlR6xS8OE75plrmsYfW/c4yARk0PjPOp5kuM730BrapFIBawyWrQMR sIX450t1Dp29TcMo1SnlNpkeZxIu6BrmnyN7xlHhDxB3Jtbq9jjqIIyMnj5ouhDD58HU+V68Vltn a4dcPGFmj6vQ6gJeVGFutA3MxwoZOOIP3li/MqA1lFw0nh2nKeKvHeBTWimzOtRUALICCF/g/Gf3 g9KtQOoKHi11p2FcK4PvbROZGKbZKU0QZt01HLjWFp9H+uIFwzFhO6Z22iimzOJueRwr8Tca5/zD YPCSvO1hpMHtKURBN5T2jr9esbuZyF62nfPKUyWD0GOAh5S5RqFVevCM3y9RktOtJ2bIojxqKq0C LxFuSlQwOkzfnW9TqRh7ywVccogyTojOCE7cKMBVjp87Fl/QdIRTd7RMWBCw5gNuUPBN6sYvPBhg Pd1OjdWZf0e0eCAMevvlaYd0b+NeGYpsj3GxQGdswrhfkhWDBI8g5YiJIilXI6qZgNe4tF05X4jR wrXU+5f5+T3IohWnWiuQ6+NCU7LLe8ROi6Lr9/WZ91BzvwPf9lbvwmrCOu/sy4PwqKMLz6s4/DW+ a5AOBQQdLujvpRBbEFnWPGl9LwOLPDabX2QcutqFOkd32i9jvPAIG2pE8KxTh8E1ynjLu0+sZ5fW RvZo7WNwFsQwfcU4PQ75r6SA80Glq9twJNsZZwu6lwnrCYOAvXN9rlZlqhT1vZmLiNzJM7yqV/p4 wK/akZ93MXf60j9Zuws1sSXh7wh9jP3/DeWES/Ku/ID55fQGHI1imea0MU92IjIPLJq2on5PrmKt a37I7OQ8h3XlfbQsh6S4b+XDDgv6+L6w1R9W/Qezv1zGuF2gQoP5yjS9P6F0ucjQ2vJibrnioh26 vnKzMHX50Mbnjo8Kvwhxa6q/nxmf1vF5/2KObpYbCQkD2gYiBKO3fYHtrT6lb6pG7G+Ihetv2U7E 4qUsxGNxr2isN/oZY0MIP8BdNQmSzYHzM4e+KiShDePisMif2RLzqDNo2kHjdzy/DFs8HW4Wq7d+ qmqGNXg6wioXqBtHKTsi7pi1rScFjOC0L7hhwvrymy6pjZ/oA6fof6REfjZgU9yKME6ebCTPB8MY AJjwEcvUrNfrpdsXme7Pql4cU6KG2ulXDylFB5LmbmOHxAooWc0QHBO7FPDI16v/4U9PFzUg08Q0 7T2r0d2dCUW5LGWihfA7kamWcL+InImFb/xLtXMmO1H2VSXHodEwW1e80uyL6CpNakIhTwSZeMXV lKc4n7hoXVjJZ3yanAXqbDJd/31073IdycugZR0nf97Jec6TCCtbDpPMHC44u03ANMtG3pFAZm13 TQr0l5Z+4smPtIZR1oN/ZPSvnPWVtXKsvA1MoBGffYN1Rtsdma5pIX+DqBi9UMsdoiWzDzTHgwVq hCUunfhG8QFGSy7MljmCzTo3h5kPra4qXHt8BS4ke0YWo/1ytp7R5iMNzcyy8Y5zAE3hJuYTBvhH HwZb96whY7jSAlNuN6gGtbYxys6dwcw2vCcSWwTOIRaw6mx5m6zdn3h98Mweth42vXhI+cUfsxib lzRm2h7MrCA39Zl95O0ggwvNEe2Nk3dQhoKlrYe1SQjE1ac1XcoDlRSz/ET0wyICJTdT196Spfxo yoEl3j3DpOCAm3lXzIFSBKQODuo5n5jKb1+lv9jF570C8NimaXLcLCKR+PXdqpBpE+8ktIId6dpw ULcLKyVLUJW3BA6wyKwIZfhKEnsP/pp1IhITwvLvaLlG310mRxLS5KggLLr0Yfd5yahAuf9iOGsh H1CS4WruHxssZ5X0BgAqHaxNenSAtmg9QaE9aOGO9Mc7eSCFDSIs4vTDjnruMsJN+C9WyT+W5D4P SpkKOXjaDMnfAu+kNPwYRVZczE4SsghZB26P1t4WT+rAyQwOUqdGHcCoo8hnd2EZPb9Ufmwvyt9n f5fem56v/uOtUhjQN/dk4Zv/zFEvo92DW/pI/2i501WTD7cHu4uHHJKGMYxr+uZuzG8RWlHiToKO VJN+LXpb900YmdXwXP9mclcaD5Sj6JWRFNP41ZHx0nTffl6U1vEmM8ZJ68m07oTKrlQUg2iHTiL3 oq2+xA9LnHoHgbErdz8WLbVrznEP7NxYZCpa7eChm7HjnCW0AT7+8pAKGbMrIQdY85rZDJRFx8Hc k+NrqpXlfiCc26qWPMPCHwPoNhuTXH4f50N3Ta+NaYwJJqGSUBsc5x/EGLtZZMUbdrj0SgbXsVDD mPKNPyg1MiJpQAYW8Vl75K8blOzHbnn8RTP2IzG9bhjB+8XR8mEp+4aBjRc83aogF9N2HRoT54Av UQ80xqXKMYJHdw1ypf5HnamYilhx7V7XjPYulcycVliJt/A2H4zX2cpSbrin919w92pj8GbYSDcO SoZaryU2wi27i4OaZNyO4idgEF9ggo6Xdr6ux8hG0EeM6GFZMt0JfXkbF5rd4ap3EYLbZKARAsNl nt6LgBW+c2L98KpIdMmuWAk3hDBTnSK9n2ZgsmKCqYsNbaMX+Npm+dJ+ADJzSRWGA+l6FLXSE/HE NB9rd+NO+6yDoEZn3E2Vmu+zsWW8DPvGoPqqWWdVCa2N31Rp37z3DZnyZ/ifsMH7gwnnZyHN+bFV T18wnx9LxIiPLpLNtRGGSBbUi8+M8Bg92ZrQ+n8HEa1BuIN7SlHahDUtuHY9hXHz95cYYUizZxCX o66J8z3A21Tl2W2q8TTz8PxOk8fAb0zpu3bIrPdlU1z8Me01bBho1v2kFs7aAW23eekeVrQ931et drO4LZdhVPtpKqWSHi7hC/4tAwcVRlInAi4RdDvsEeZmgqJ8o4utE4FOty0C9Dh1SCh3L4/B1o6x Bq1qVZkchrm8WjHkUi7dzSPXWzlx0w3Yeolnll/xms3tE69kJ6ZQLs430T/5PfuzHgoZkfVtPE7w 1w5IPRNLY1+/gOpxUSYYow9DIB96wQcpyMUzzpIu4EkAxtd89LKmUkO1XvCeKecSwSPiq3454qxq Snk7h59UtGz7DZwbSmVmb6kzzEFVAhzscuWaOaWXrm1cClsMhOXrg7cxRf+/vOvFiewbGWe3I1CD sMQzZ8HHdaOyQaQVfDvw5/AjuiNOvutwvlxWNV/rdpKdvLRquQ7fvQEAZ74ILcIKnYHYZfkNBftz E7YabzehmQeHXscSHWhDeaDrPKfi9BNnZrC4soVZivDoFLgroKTdyoYIinM2t7Of4VJgjxwWZnQR EkqK55qG2U7T0S3PdepFbHT/D2Vx7Oq2+V2i7dLe9tgwVYMVpMSlQzA/n8gS9wTuEQAGjO4hJi0q YiCeJ3B8sCJID3n9p1D89JZYukZsIhEAxkl1N18Eh1Wj/QoCIuLIrlJJ/bUAvVYjKFK+XvgdvOJJ xWNnVpuQmWv6jdEy2Bb1U+Cou3Xkc5BpCszGbIwK9tGqiuLmE3wRlpn50pZ2ByTh0g57OKacgBAW ItRF3VR26OcvtNgojl/j/JzNdwuV7BJumHypZ7J9lRlPotZHodnz+s/79+o49q7sCzBg0OzAkYT8 tscHVnmRlPA206UIT8A3x7VP21CMe+Emaz13/SV+9rGlslAu3ltLR09ba3pKsxaLQXE2cMDutW2/ MpEYdwrskUaUnWuJfxZiqBEEPpkb+BoFJAbUhKJP2IHOtm5tMzJe3Qx0GP9AwbGjmGQuTiszikRT bnuTYBqPkHMGBTkXQlQ0GYOvankFBoKibVA2RswrYcUIXYlDnVUk9jK0KT+vY+qdnkrf0UNSQ5Vs l/4VQ7WjV78tJz8sCIJQnx/fTHowA3wvqlbwGuGFL9AoaqFZEkCcg//IlGq2PLn3c+FbTrQQ34VJ VvYPVp4jQ4yEIWNkHO4Gggj9oSIZw3yrKik09n33BOzRrAHdanPlgFBNzVeJRC4ubzjuqPlcV7Iy RGytOULsbZJ+hJsssOPHe9FTnRPvurDVcMOajxRQbTXbe0chNTTzI0AoMkL6Hd12aLuve7bsyp5W HXqIKnpPqFcwMBPjIrSNMwVVOgV+MjpMnYio4NS3eLweqp25uMK7+ioVtgY9oMAUo+qX3EZdhX8G 4rqdMxighgyQNgNlsQdibNQ3LPWc7cOE1L4Aqn46VhQNxSpNVW/bWKsBeyTIPpkIZxku7mx4Olt7 22yY6fQnrtbbaz9d/eDhDnFUKbK0IXMNerD4BAVeHw++F2g9N8qJ3d5KIICQdEHpXidJuW6FY42U pVe5UuQmoB0Q9rVlwKf9y7eikhi+f6NX7QyEb2sH965yy3lRwQ7G4ny4vbqp73mTbHKRx8n4+WdX SYo/rDbH66+Q75S+43ViiQxzLLjDRF5MjHTi2iX7hPKmCRRx+6AewugegoiBGklnarS5oW93qvyv r91QT7Iz4m29mRWNCIQy+6kqHkzbk3w6Trc+8rzXLRCzWc5LrItMy08jn105bVh87ZM9x9rndFWC 9rehClerJFhZn1A9WMxf8CXY6C18 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jxM21pSCZdk9+AekqzDuMmVlqxFHUwhCmWakT9sHniEynOxa2xIO43zxjPKn3LIShvNjKq+SUugR VjFCBLrkOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ipViEhKlvcdwsr3ezE3VseQjO/kCM0pdOs1nNzdH+1pIkicLlbLbHdLkMwJgNcY41nRZKDvJvNb5 2o640b/uamdoao7lHckZyA/b9hKmu4NQlDpUOr585ne6W8EeXRKbVRFlcIGi/UyklNaey+daKr3t azLvUsMLc9t0W5Lo6bw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLYJUpfsAKpD8kEjApkfbbLN4CE4391iJQyoKaQrJDgskBS8wrXvpHjsK11VGOO2O6z7PTuHfjm4 +rlUyKh0GwMvTZVzCIFrKtkrOmFrK80My0jltDwA7T0o+eRi8zJ891THrerexurnqtwcCscxuY8/ caZcUYJiY0uEZGflvdv4eVJwTO1DznGjwYUQ8N5tVm8w32ZqP8nh73Rn2Jpj5YzHMo6+BzyMIOHn paou15p2P8Tlw5S00HEQRsdb1zN/qQMb4EhsSdSuQUszUf73ESkHtbf6XdhawWIsbhDPYF19BcF7 wltclhF3kzDY/uVrU9zSHD/VWniLlFNhyY0sAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EAEQSpuW51cENyn40qmndY1WzBowAVjd0E3ALGYwBsECzF49IVI76D4wHbc2e4sUjHrPmzmkHPF2 B0iblxwK1Xc9rkyv5L5bvcRVm9mU5Zpzr4uTjpocaQ1n6YkZO9ZERJtMNw2Wt/UFLID4ohFEV05x QOjsJIO31Zaaye6Lz4o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toScMir1dWiP2ET8ayX27hRWZNuLrGtLa22BZsKe2FVcpkYPz5618smUZyaC2gnCHkHBH3RNrF9U aDTA/Jeo3l7wTS2IyLq7qAUiJAT2HajL/F0v7DUAxJwbFq48n/E+gNl8erlZRLRz+81JEQQ7gegj JQNC73P0PG+fplPI3NdopKzxu+sUNkBATD3JmdfvGTJaYzXk6ieXq08yKLZcvg3P3wm1MT2CeRXC BENO6fto4tNflaeV2IwJ1e7ikUQwuCpOL+1LDITT68Mr/fkRRQcs2PfumkKOBCUdYfskkVP9/rJ/ VDfaU50YLcY6V3QNCxmefsP1FLP1ocQGmwQpng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221808) `protect data_block rvQMgnh2AUoTz9os4Gf+jb4yyqNXV5E7ZekIFNY/15Nyy7jj1s3DzlfcoH96gyLy/HPoi4gxCR4V k1OhzST+Iyj4bj+mds5u2/YiLc0NDTSmKu5GimXsUOAXyfvF2ZXx6ssXAng1h/J1KQvJ1rQZszG+ H33iS3vYSYhIWQuANS7NYNWbS/Jt7fOngkpTFvF9s+LAcqvL2NzHOEEr/c1iL1KopTeF/HixY9lo u1Nhonuv3qMt5XEr+LMma1lQH0vTeSr80lwEyIYS0VfhzS4USziVm/whp3hb6XXlkqfttxSiGzkR Z5D/ZNDkJeusr88/0aGyZ0Uym21BMfrnenHGEjww0hFAqeryF9T2JM5bKWmA0hIT1DCMUQZdVfL1 yb2AR3Gne/Ashzq2SsfJncyfbXDBKGBQZZU9Jk+nkpG3TKDr3q/xSZpfA6aVixcVf+RNHPaLObcP CgQ3Un7O9fVzjRFyVzEgilZuoEwXmv6HAxQyltTWvx+c7FVjJoER8K/ZQMJy0Ri0Ea3PopIN4vPm GIMrFDdkuTONOHXfXUncSfSD936dNgiVFd+rZ8kVxvEQ++t35v60TgLdkGyyT9jfMHQPxGTqspof +zBml9t/odhBz/lf9SGlx7Mn06U2gMwBBmF5dj6D4zu2PcQw3EcJnsWiBnJWtrwlOUuotWZQ7wH1 /pJ9s/3eKp9CKKlEVwz3+dPU4TcujGehwihzKK6C8kyGJMhshKhzfo7e2M6cybQMem9f/ME3tMjR C80T/A7mDqW5xavldl1f82Pqj606kCpLXFqbPbxGG66683wkWRhoPGyyyA5gdpCyA271JSimRDP0 LCL6gr/7hTD4ou2VRtwqrKm15Jdl9/WX25OCrD+FDlB86xd2K0n1QKO843c6WcbrE9SY6A1PACbl ItEcRqqiJ9XLsoOyzlJl33GChJ+IOmqfucZRfY1HFIPxdBqsUy1ZeTv4+YvdK5CtFDUlagr65T/P Fw1VQQmGwUBZMVIeW4AzqWh8Gj9tRh3NBGQpNmEGEYaYn0PpmO2aTd9xPNWFC8gZyOC/2GTYoG85 yb9fTQR/9N9MMGu0dxrHvdnijpBj6N2KWnad/nV5hkM538OvXBx77HmX6bsHXK/7hY9JXAYeP9kY OZkvOgniISRah7N5C2qe66hI6B3PihdEoi46q6/mZjqsNV5Y8AhW2ur+YsjbPiFt3Z2RAZMDSm1z iRXqnTVHUgTSN0N8V26HLcaf0w4gv5UJHqc63G/g2uLywTgXhjomob2Jy3yoxeurss+BzQObD4Th EXDq4XsNb+MmuXpZWQR4ygEXnXicgUE1bOHx6E4GD3KxO2jxf0WmzYB0utuoazyLny6F9/eNqpnf NpfhE4R7OAlPjEhMLTUN+T4mdcZdsfH+CHiTvDI8a+JyKo5m8VQIvXSfO9q0jDY3nCYUYCMCIovY E4ghvWACUPC5cXhyyiiTxQIu1oQ9unx9bGNbSSoS6g+K8yEQtNmTK0mS3drkWcMkT4abaBOpyDic hU3BQejPT1r27FCUZ7m85KvcIdwXaDiPxhFc88W7Z2YtmNS6osMw/x+KvI68/irpAGni5RQbbXJS TzSUqlh/XelA4dSKqCbZYdT2lXlKcHPo7oJ7DWSFfF7U+hzOIKNKlwuY6muoTOEO8IeFH3ycRwsO 5IiNwVia8LzqkG3L2QKgTsjDIsaVWt0zUv7l49KSpuUnKWKb0UTokBZTB+1fieNmCQ92idoB0/Xo B8tYzNM/aHwx1GsJsEYyYFyfu31WX2YGg6MPMEwEdOxAWgFP44+m6OVd9Z5nIv9xXuig4hCEzKcw rBQHQTSpLA05XIcEfsxxX7nIzcLwuTs7/gbHeHgTZc6uyJo/5boPFTtV8HwFkewWKsXi0XpPW/4O DTwUAXnTvmquPCsW2oyHWdKZMg6JA3kLesZowJD4qPW17eyO+4SK/1ya3q2vHmFw09TzLGtmYa81 FcayZfNloyy433oxrelbjwv5xn8L6XiKBH+BXvyLb+PWEGd5rfwrC4KRIpuVoyxv5WaWtPRjwc6b CJXr6RCjwHlx0kOuidrltTbd/WcNFLowoK6JKjlOW6dKjK5EPiCUewRypdC0b8NoKBTUYsaN9DJx uyYHpM0Riyf/S/bHTJiETCtaZGYJ1FQFF/tN4dAyCiK+5NyzJc+3lWJ2YjOKmoAAYqI0tqYk34wH qwtqpaBM+8sIb3ZCzssAQJsHJ0OXyuFhtxBikUZsYkO6ySMlvpXgIkdDjNl5YowPgmhsUbLIZ+3Q Hgl0FyIoAvZXEnK/LffRl2cLGIlNl797qA7UDgalMEkG+CwZgGFM5ebdIH3hBdClhMTiXhvwsnbl lRm1MkaLE6kRzDATa4PJ+J1ZWpE/w0taNGyeElEqibyQrj6gtIbOcxZKmqteDkLi+TLdG60cdBYN 8m6TgZk6y0ewjj5RpFr6425e1s0g5i3CJLjujk9ptntAoH+tiOY1zkX0ozYUUU9wONW6yAd8PWZL 3eX1r/EES1wl/f1YhVqOokayJBgbVb2W3UOEI9fpRAddfV1x3+dwvWqFsALR/8yEoM3DvCtJocIu MYw9n5g+BZS8i48zAIjxICQk6Ynj1IhEqvSuP8ANr3wQsV++ZaaJWJRy2ph1mq2Sbrg8Opnf0YjL ABmac/e4U9reRi2irFIEt+Awxmfy8lE5kbS3Cafxin43w6HDaeGIGW/j4wPe7/4WcTbqwB8K8YH1 E8hiH6ZT+/YWk91fKMvrXlf6Oys8VbRrRIfq0GzuVHC5ps5qMr8hj+KvE8JZ86+hkfgrsaujVrMa gJpz5CoY0I4+6+1JcYE+vBiaJ9ov/x+coL48rnncxxsGfZ5qS9yCGRHyQzG6RAdDt1rYeMQzeYM5 hNLHOiUxeoBNHlCzyIyPe9XfKiMNMWvWKB4pIKUiMhRvD2V8/x8moMkIwutbnS4fHP0PV42YIaV6 Jt1KGWTVB+kkuiAk+tWT5NEpo3cMmDVHWrSy1WnE+YC4D9fa2fm4faBtq60un5BV08uVOhCdJaPA ZB0CMHc7z9PGhBs0DwCamvLttn5ePqZFUuIlFACuNxUsQqAYUPsAIIiDHVcKTwIMbazY898n1t90 NTYoly5H7+cILw63r+Bdx209AZKA8JZ54hCB5je/NCtf8YOR1ii8lbjRehOEvkxVRYMI0IIUNfCD cBALwelK2VTTV1efw/r3xM25qwjfs7KXqa1bLzd8axVuKS5dkZJtXwFw6OIK3QLdUuNgMkPLKxrk FkV7JgUjHwVohI9QcE1oO9cdDHWte9mj93QoADvBQXZrGtWJsG2RZQqHCx+nF1Aagnq+337zyYtS DpvkkhKBiXMSImwrKPWEVeYoLuS9oREctykJTkrRtp9OmJLrTtLCQBvMexAUvyYt/i/0+uKRAFG+ JN5ugoWOTkl4gbb4TDIl0zoz8sLAw/TVnOqY3C0z6GeTmbyq0fUD8APws020eKikrhJPH6Y1653h 0AKHtFa56RYG+Qh3JRMniRG3L+r+6BAbSKbWmtIgaaAXSroFOeUEMZ9PBuG5HaVzvjNrzfIeO4Ts 3KHc6WiBNdgMzuhox0o7EOLuvtdep5Blql40gVPxJ/H2RuWt/DtzxYXHNz9FBJfYpzOvThI7V93s YbFTRFy+jkSCtyg5oXTQ/fs+OwLqHANuQ5WAYl3jbc2jE/sWSYo2WM7oRq8mhyl9z2hJORysbxc+ PlXMOrW1QKVQMX/NE6yq+ZiuVJAl66Sx+A8ywhPNz07FjSX6eI7KIyWUCGjGfqVsNbfl+3GnV1hP +We1CHjGsNurOzEo8Y6Apmvs9U4yBwU7s00W7/zdFgX6Ov3V64kJbMq28WY2Nnj42BZkkvIQsLJs m9QWPQ+5DLPXX/SRYMY/ZZIWjQABad+8yS7arAxuoTpdjnvCMt8kkcP8FIjRTgibjBocaP7iUQZH lqz7Ks247RCh/Nr9vPyUhIPwfo28vtxtXtdRSW+zs+JkT3ZB8/b/IZH9YkQAtqnJr9eAohocWGkL ZSq2pJQxumqC66HyYrOTZvOd0vAHF72Vxjtr0HmQyTo9+YFHi2KtVcv/YZ7ItVJQI4X6jSwxboJJ Ktw7DmzRTJA9e+ToqhyNzTi2Ke1oA3mPIVaarGxx7rfx7E68T1XnW1UQ4rnokVMap5vbE99alfoS bAb36eGMuoCoxxFG4HAFCVIZbRA7R5Wy/l8yNlbH2o6yy8GZE96ahVP5l8vNSOqgaoj5BPkZwo1h LNb+Aio9sSuhNXmxNUMsxQkF/W5hMBJ2SZJhinRZTU7B9bhkuXf3QVbPMh5rLVrXrOJ3+goikJ3I Mw07RhxF09/t4EYKQrU3vM7u45gzmQl1z1ycr+TWv4UzJfbVKkcol3XPtqQvprYo4tNgkeNW0k+2 2EFNxH84fofD8XgjHLqwgS97Zxh8icp9wHz+ohM0Oqnh3Z0mHe6+x4TfUa8GXGZJtlG+h2vKewPG kdUCpkNmPM1sIASpn1ZXFc+q7XA1XQHkiNq+GGIDxDXlkkMdHGjNzIH2O895VQqc/rPJaanoGJey U25SubMiyBijW//lhh/otQZOYpv0CmejFlDrx8cNoo7W+S8JxLajPqnnmAS1hVkuNtp5Lkq2Rk1G 8OntvqHYgbRxyLh+IIvHD7NmS2HxziugY7jQ5rcyOiqbuOaQGmWRfsjvsETcQ+cD6Q7ngknxBvB8 ZTvxLE1T31ypEZ8Rq7faS4Ehlqn9SZmqcBQ6mX2w1xRYwwwLcnAZZlG16vINfWDHDaf3s2YC/KAx CvRP8hzgfIY/15cKCX6f2DUewuuDuizZdQhWLzfG9ASadh6oRzptxhUQwOAwQrk7r9zIu2TzC7S8 HKVOGLWsOAVvqbXXmsS+Wm+67CGS2IpSvO/LYorjQ7IiMxAXKhxMBT95FpvLunW69XFrxgImO9B5 EvP6O/VCp3c6QxvXQcMwI6XVRcdqmiYRaFyv77C+0rv6VpA1Bo9gO4akRFVaK0ynpTjzgRuMgFWE Et3txXPSH8ic84LnXMhPoV2oWi1sOoS8bnGRkkeSP4HZ/tZ6Kl+AvsMIr6LjkOZ8+Ao2pNkKR5GG n8Ou6AI2reGNQ+TwcZOj7tafzNn8roic/x9V2gDAKlGZwLwi2Nc1dxcHffvtkE2hcGEY1CrMuS9X D/L90ScJPo+UIFkNDBzh9jE4vUq3ZrIwui0GhxKrgBRS7qfP8C3dzSp5ZGBRyrta5fR8zahJxv4g eVLGMwEJ2aEIcVkMnGje52mSrb+kC2IdvaPhgopAjCod3Emknx0m58eY3f/bP1ev569a1ifa5OPP iIrmULn2RJ9l3TMR9cLTtX+lrzYbp+4t9w4R091PTKPSE92Kns5HD/aJbhFtdzDYPamMcqgCkAL5 DxZhY/nBz/yA31cCrz3a+B+z/alDPB99xq9Cdx4Gq4WHpoY45/lnS8anl10wZt/737a9ypL6/J4V oNHKCMB454xW8cq+TMvLkhYQK7IgbjxyAa+E/rwU0hGB1AWy3EHUKtKb6ny3rs8hnXgmEo6eqn5o NuKZ+4AwJR/A8qvmeDD1VsfwomVCjHZHCFKBpoNuqBiTqCfOsYyh6impSY1Ixo7qyDM9VdU6o1TF nC5stRN8Y/ObjlESzATVPMRUFhyos7HrOuvwnTFnPddLDY4F3ZHw5AynfNUJ74+uMX7OKQhMh+z5 PUi7GAjmM+y+SVuj+c2D+GgejFYCej2yBUdes4xNfYFnqrf4Ip7RI25zB2PflYZkHd22NLLq/9jp Z6HX13oqI6QsB1as9bRbRPw5jYcEU7etA3Wk1p+yQ/sf1vGg5PReC/ypibIdDdAarzCOBkjwHQ8N 6c9A9s5Ihwly5mY3VyUzEWhqtT50mSqrcnzz6NcCvbm3Vt1MB4xCKVluoHwkXB2bEuxhGragSrxp NfbZZLlG5ADLP6LGbYBNkOQOXyFlqkWrXj0EbRRUAlwvlJlsPAzy03UpqycHJV556epvN2C1O+lc 20Ca87EGOTiEFaeNstsacxmax0MXhMkMsOaJ2+f5Q/61fzjMC3isPLda6r9k8FlNFGkibSxpSs9J xmi3pu+F5mvxFQ70NMp8oZzm+rDLq8lI4o9vuPTnAIZfurGzJSG3qDGi1UVIQI8412ffigCaEm+H dwuwx/RBr7kZaGU9bWkUrP147cx1KLrfN6TMx8pJlAijTYS5/M6xfmDBsc0tnkrcTwoe0+G8dUkP FaP1OlrqoT5cBYefHkQd6anfXrJLNxjuxjNOLDDdrz7r4rDdSkwE1fatMpDyFFG3q7BaSbwTXhWL 1NZLnPJ1uzC5L7VNTsxrnB+Ep9Rp5x3ZEt757vrPy8Ram1CT0daSfhMeqmMXOF/GIOlV3gFOwyOq alLSF+vgiAWSp/U9b1kqsMrOONwtv/6cM/Ra5zkYpOei7pmZ5cGeSpZB1J9wUy5o0frOGIPj4BDQ ImoqL0diXnrV1eL0fUJofM4csYcQNepwpFLwWxBvZbBhRX5Gjatc17u1j1a9yhoQ9VDUoM0wwPCn F/2BtvTxuJJsTIG8lgbL/al393j/9YJ6OEb/J2sGhQ9+2ndaA/4KOlRJ5mWr0JJkQRzkUiO+sx53 VA4WTTfD95BLZTtm2Y3jpRZwBGqmGuEq8DBG0C+m3kZ+7KUObQuViC4/3zxGSoxCGUFplIJGlh3G B5fGdeBdhj6whbyqcf2Bt0QpNlEwdrh+0aExxCPXNQHFa7Agx4DqFyPHKA5OqstcvtiWhZpKawZQ 4nRtMx8F+LT27cBZvyiuWwzhf0Y6ZS1eUWe6ruf19BDpdBpSHq8pqJcQrLnyKNS7/gWqRvhbLuMa 6d3r1thh/0PJtBLmprXcRPfyOvjC1CD8aO32ozuYh25FZSmNYQHLZNwcdfGu7yR1kXy+iMGu4XdJ XSiEj421LTrVQxjZHQ+VpxeRB+EwGopmgPUbkjsV5a2EJwn3hiS+A/BZRYrmyqaGXAER3avJASqW ZSY8Q+JmXtVeFcyvM9M+PKmUdQ6MukuSigFL207uWpzTa7UpsgDMFS+njDYCDJOmN6G9vOrWqJnJ 42qzdc4cR8s16w972bz8qKMlINejz7236M3LMF68A6wcjnfR4yUV3LDYSGT0ubVT4PFDuG8Ph7oZ vVqWVdmEyoqAl8o0Z+7iEUpP3D2wFdaZBeouyfInmvcWTQ28OfxmHePVKmfd1b1pnRyxa6CdP+ho bxkVtOA6gbbj011qz75tnpFAJjqiPNFV1V1sogwdXt5rZIm05j1PkC3sZTr4s7DlZyvEC86XkSVC PjawVekUX/2AILI0wJ+OPtqWsUaZMx56l1GnF9fWSWMHF0N4Owxk0hIWl+6HLU3XojTEXcjqojHq pzkGRTO2iHFugOIkGacH0FfIArIMwsBWYkjC12mwW+2PdRFmNrThpe4avpzT+YWsbz6UCIWO2naJ CztipvO6Ix8j5blRAivKusEFT4WKeiIN9fjvb98P5ioxMJv0G6+xWf/ULvzbAxSs9G3HkR/zUeGj YRAPHExrGgap1CyFgeS97PcvyaZK0ukD+OLRZE3XObueJeEnicd3npeQYh7bHCGdElvY0b1IPF6I lcIOEvGnyOuF1c+kMMRmqR1vek6LG51OF84vAU+Eqq0coJSvFrCgWwyIrLnj1WRWYiILBxwXG8w7 +gJUApEfgSGqxsUSGX8PKrFJFPwvFZ4TsuZzNXskhO798eme6mQxJ5xmCI3MmU1zLATYR0cHyJ2T IqBP9P+wmlSAmoEbtbVeI1kbfXtSKdMV0sxjN5PUNaf5q/IenfP0dt7RPK7w0h5EdhxQRW0vSqCP 5qCGYYmfQOpakp/raqNMLrTClZXfG6GivHT3DA1YuSa3fCFokTzh3RAgzBg66nPQOYfUgaj7aGuv BRHojxJuI+moXu5WrY7cgrVreJUKZnuvBlYGKyj8a/fLHHg+9/gjwpFiVmccthCfIaTJRkpAjkR9 rndj/6jasnjvuPI6kec7GPaW+qJK3FXKhoFfpQBHhKjx0ocvsRQp7pRRrFQqpPsMdAH+Q5pqjbv3 mINS21efExfwHApOVdHbUTZzf9HxvinimF5+6JtocnX0ejwHnOoyjrzXVrZ/ZM0tyikA8lpPhJSA 7rRLMdS30N01Au1Xp87lNIcpupcApCM5/U58IaLoz18pkqAboL02WsQ2F+XDKt8xYulLG2ZF36pi Hb3xnCm+KIohOVEgvD/bxOym3yzPV03acISo3hbMYkQGYrJNZA80bD44+kKVZgYEPdUQhkiniJ1j dvyYHnJDzcvt7dGkMIBoeognXwmWqZQV4OL6cOS4BltDZlBfpIu9/jRDZyJDQ8ol08/yrPmltiz8 5ynPxhLuMDm5dbW4JgEtYNjm71GXNJVxrIEH8x7ZgsIrNDIfXfeeLVNyRoYNoJ42b0MUijXKF8kw xEgeljmxTb+nZazLWlIGHFTL7JprZrmRSDxGZNPpsJKlf3WBzibM5K39GpIWjz5WJYHl2RJuIvYu wLh8prLNaq9rz+KDLorjcX/GSTq0IS19BgYYVg6xdBDfHf2anp/FR49cCCX6vHeUjbCcRzEiVsya 5kkGVdvTMb4VEr3aofig394vlDXe0fW9HKvyey46p3qc9jH/P0U43HOEKDnYp4/NWyz81Aguk4LL yM47knNbVsTkpczp2qSS2IXgLVbGXgomqVAEhfFH19K0ExWsit/n+eRhndPFeUeiyuGMN7RABZLv eiLY3qdzd/Naspr03ZPxxBv0ajI9dcnqNvFOnFQffaFs7LuBUJU6Q7DQp+njAlM+R5VI414bmee6 pqq6G4IOVT3l7oplXl8e4Q+suFfBGaKiOBPA2V0vrnA7EnN0ujpzgyKqCLzIHtdgpB5wxrQ7nbpt RxxT3rOIXcGacV+9w4G607YHGNzljaUtFPEH6FdPFIHLTzXFQidFgnR4RxMz4BfZYCA6mBfz5Z1+ ZuA/oxEYKDmW/FNxf3wUbKFYoNXPtg/1wRaL389dCF4Y6OS9EE/50R4DJ/yKZhZzwS+/vJKIOSJv EL437vIJjF0WSc+75Qx5dVr5UK+oWq/WrH4t2JBklgoy68WOhIPmAEcpKomoHGEfVJfMMUaoAWnB OJMLLfQ2aLvCpTSmaNpY28jDvPoLk7hJLB4XMu6CkZG/XIij0DR2cQKC1CI1Sm3D3Fe260K7Ysbm qyxwSikMQldJKFBSQ9yiaWE0r8dzbGuN/eeONKrp8a5rFLxUCdk8Xbtx0Q3ZdqeEI6z4BYt2xjVE 99AeBxTb948aTWh/2CgSpsbEAGH9sdNvtnrZh/nw02jdYPsCuFOQ4PvSn3K/WmGLptRoR4O/gA6q Jgxt9QQ92rsiuheMfee8+Jj9HuvWc1MWJj51dGbcvfBpOXKz60yDiHnlnyGak3LhmAOV75TmTr58 jXBMgzd8AN0E//jWe3/MqHW+xYVLf1QXy8evRk68DvdsOgynJGGmJFxCWR6kpGy9sfQEfx1YnAcL iZpoza0nUm2REGBCDKXrSEEBDW9GA1ygkR0BgtngtejJVHUfzkrDAblw57Yl66KYoPkO2Rsta1oF jo/0EIz3MDWzSe6WhWgtlHi7Aev58EdsyhslVRM/NnMi6aFlFSWSrik0AisEafI01AkY7zKi/81X Zs78D82zjqrFZ3OHG2ekeXrTZZOtMNvinDo+TEJ9msfJk2xoyzJMzdC2PsR8WGLusWCI6U9avpC7 cvKJQikJSEAQtUTf/ZzGoIQbNr8mb+zaw/M7zniIq7h6KWjCTuBk50kn8BH+YDHJWTfHiwN4/ntJ phwv9xsH6EM+vE7YywKfYrc89IxYrjiea7B5rIRgaWrt1Du7MpyeuI2AMJu4/hH0NwcAa/bXS7Wa bS7bI90u5pA9m0s85ns0CU6Rs8LsL/+AO1vxXB2jIABALiaIPbWXTbp+r6fP8NDVg/y/OzrDkOno WQcgAc8kI2iOcWotcR6rakC/qQM4GIm/mY5opA8XWEKfVgz0DhyQFoD/DPBcL7KDRk9bNW+YihZz pnpwQOLr2OocUXedtF9s8/UJaZnrZPapfgkzvsH/FNjz7mgE0WpsctheS2WJ64ND1XNTIaEbtP6q M7Tay9WE477JupvZRQocbz+4OKoWC577SzPkezT0ut6ngcVQaFEfD8k1ShuSjShE0kDg5671KZ74 MhZNSSXqxk0I4IOS7fvWCoKY5/j2DPLnEoS9uw7wRxtThX6MCoIsTtxltWXZz+TXUGavM/OVOcuq FjKRI96FfhszDX5hM78d3lJYN7n2RO5vjCTnC55zNkS/OjsXEspReEjCdxyBgAmVIwHx2J6c0Q+h xdBFR49R97LcSlFmphOoRMJ8tXic5cINimGIh+vFJTheC7JB8GsoZhLiQCBVfJajZ9sQRZlMyIDA FuLN6e9Dx+wZxqNOJVMON+MOA4E1LsPl8w6KYRlagmiHGCS4YI3ANFhjEuVz0I62FtqckNdXQmjN opSJKfGhBBkPTQUMDYkXiXLAxuC63dGaHcJi2daNjmGVlksdpMofxE13wEkTStKWpP5jA8SwUY8b 1qdkKIigZPceiXRne4LTefcm0O0sG5Ldi6aGLxzixjxiiZJzh1EVKQUVUGsTUZ45/GYGCq+7w0Vl fnZml6+J6a0jT3yFEwFlN2E+3AZc/N1bXsE3F3I9oqRZNLuKZbMIl9SpLrHBgr8mNC8tmdw+VDGG whwVibbGXefOw/9qAxIRVnoDbsBuh1dFOfd2KiLEa76qtF7iitukcLMl2tv05np2SsRYZDsR56oC QW5qa0VotAuG9AK8RE1NipXP1IPPdaOKrYQCeSz7M2C9CoRIkMJ0X3aNmPD8izblEfykgaOHuCEC yNCpEReqZCdZACcO5nKCIDKoVhikc/jneRRAun1UlQX+V7lb2k+pIBt2x3mqvXEkoUfBDxwR5iUv 9ygzl2LLBKBYnAYRN1FdWcpSbu4ZIqhor6zeXy4LoRbwt0xIpr7c0HLVHNIPRmSS36H2/AtvBOPD DChuLMMW7Jc6Bk0cEuNMNTBFT98ipdIeULHnOity9CdF0O+DTUOZK62GyrAkk/YBaUqLMJ4eoXdg GZahOlI77yj4BLE0J04hLPliAaUtdYSJBsHsUwHxq1tiJtaxQeLpm1cvmuSSVNWE8/WwP6zVIrQE vauqceffkbz2wJxbvQCXEasQdgtd+9gV6RPijh/LwbCJ88J5uqrlbmV078p1W+DQaIgWfY3ulybN a6DDMcjF9la/Nyg//stZrBo09Wpe9K4T6IUYN5qBvZ4pY0Gxj2GozHymqt+Q/vlR4IuwT2DpEIvu EmGQHo7YsAIdaHRq4AgnIEppvcAaX8G93e2Qm6vBTrL9JrSuyyS68DRVdPURiMhQELiK8MpW4f55 3KmO5YNLK7G6uUjmL1kz5R1uOdC8aUqcjTDRxm+TJO5GUlw205b1c7DL8rtKYiq/EJdiLpR3ENkY 8ZTyjD75i2B0hdbxYg1fKdJoqimFRQ4crsyZ0Io0gSKdz8Zs8BT+2Nkl0u0QVMhG78DoiilSUTuX qSmtpOOBsZF6iRMEJVYiXMJotrrdP69CaTVDRK59tv7mUoOjXPGqF2Grivbpo5unAb5IF9Q8zSQF /1Us715YoNDIx4OaTKqWLw4uCbkODofzCXfiEtZKVsxLn98rwmpEW6Evg5ljWhYQxndm7px86IWH C6+Cz7DV666yD8CvWIkENzlk1R2uPS0pI+qXxQVGMAE2p8Zlx0P6zDVQoaXWrNghSgqGjxeETCz0 DueFR7j/sQvXMKbSj6dcsMypyxMLbjk9RWS/0v6MYu7OUuiJcVzDUDXRRO7gOanmLgvurSpyjWQT rkkXaaKf7voUkCFpv1fGfFzW31iJZBg5ka2ZUnvS2M1gEj67wzM2kFmhIfcKGxfPur2i62r1GivP vZy4BmolWd3jbVarNHAc3hV1ghA6Ocb4lLxkiPRN4Wg7K/4icSfcioBKQX9+mGj6ZEskAI7L0bid bPLJotKtBI1bQkEJvIgX/dWTxH9DAk0xd4wkCcMMOs+EESnz9HW+X70Jf9RpgZwZQfN4Z4WsojeO meN0zijUvENVw/HwIqPOg+IjQb35LGri2h2B8HYDuBI7J4suLB6lmb7dkRugKcPoS6NQhvVIauEd wgR8XqIhUcTkIxLFoja+bxkbT7tJ1e/5zOBY5D2AnzRsGFKy1aYk1Ayo9SBi1WtDzzSkC13cmkR8 Lfulr1ZQPEL5sxU3bJOByM/KrSH5pzyUAtHlyQTrHpuWZBSl3MWNj2P645Wr9T1PxzqwOCbSKu+K 0wuZ/7ftKgIIWrIeweWTXqMaj7O1eVthj3VKHU3FXgeygHKF/gpIQhuUm2cxhaZ0C3UJ1ZBQwzll GYQAd6hUqoHWxUBcuaFI8Www+EsHmIad0km8ZgzuDuetZ5raItCn+b/93BtIYMUZiMTjRzYTGyn2 Nxv9l8W4av319cYHaeQcwoUu9fT9AghAHjscboeUJh/e9KAIuCv6bXxk3Yf3Fu3ztPS3q2vX0E3o 2+yfB/+91yxzexXi1BKbsMXDZQ0bETDZuI1cZqUFg5E17XXJHG5F0hjEfkEg03EqlZ4sl5kswFtA /I4ZGGVTTfokhxWfw0cdz6+4khMGMnh/zRL6KGcg2qBB8nBRTPebDv6D9FN38JPcJQ1UqcIgHefN mwjV9HCsTM6BwuUVr6WDg5qIWAMFnLmy58/Q75Iv0pFPQOfpdT9WiQ/JnHC2SHBWevS+uiPiL/gS 2buDYskyA85ErxIEWcWedI22DxZQEDQITXPvRa8GXCt/OIo22fe0+aCChgZQEBa8otqc3JBKPScl dNFeCjbj2yFobP85DpOFAKp5Rtf7E+0IETW2iZwbw36H/B8nmHSCxojBZjheElcyFd7gH8de9H7j P31yN4dNpjMA43nC7T40cpkKQ3dkObgaG2fum1w90PEVOkSniafCknEZq0ZD929D/LlASYuwGgDv oA+YVVtU5ojPMUJu3xye3+xxGetthO6RG7nbeV9rZZSqGcXFOC36AAd8IFUP8OPiGjZgOmQP22Xg TxNHKH1XkXj4+WPms2InGME2Ur2UIOOsFtJ7/3LgD+btwSkb0WFcwcxT7qpStuOHhBbL1YabaszS fBi3WlMwnSqX0VHn/KpUNaND6Ap6FtdlprEWSWL98DuKDumsKCCn72TV4ZfoI3X4MdSFAhpjfrXL U7IrbFkkX0gQxOKZZq5HlNBtkM6D0LEBlcSrpnNAesil/XUXTsf6d4BlGKhyZ6GcKG4X9CxZfNrv FzUQYftojLQ6G6AmFM84HKU9bvw0kxxOcDZYG9kPREP+UTBv6XoG9Wktk+JBpvZhpyO42nEU1A/o oN+7ot6AE62GkH0pd80l+DdJW1ELVbYrj3OK6JBupc5hHd/I7+jVu6YPD+Ri/r0s0PloEW8JQxEt pTqJuATKy+XfIFAU+uI+rqF2auMGPOS8D6HCqkWApJTi30z1b++nL6R2ynRYkD4I+XihL+7Dyu/0 hVwDriZvFn7jngN++oaroFJfu7pHJaKgeZ9zjXptKXLxUy3Dxt1woJxhSS0zOpbhnS8qxlei2B+Z pNmx12lleNC8JGy5uL2/dtXl4xxI4+Mxm1oMy7nF2+bsQKfAzeF+L7wyul4q/AS9jiVqjfvSyB8w xivSHQ9yWIiaG5wivZsGJd4+Z6eEAbjVE22khpYzTFBXpHfp0m0CHmNhqJFBNfum1FBM+o73fErk YswGQb6w7//5j/Y1K3+qJ2cjPYfkekL9p/HFIR3wyAYq23jJgqySTvKYIRQrjhDsUDieFwh1u9Gi p/j+npOPAy/2N9NVIfCdo4vNhz+KZzuLR4jTtfnv15QAyD1y8a//3Envgdwp1gG2YMt6LObTNGGv CmNioMmiAL2iRAcVnZ1a2y/XbwuEN5Ly6FxgchCaunpQiB61eXmbAQm+Yd5Ip12JFoKIEjnRuDoj L5Lkqd1iZbgeAORRgdzn3EYxhSHqZ+7yIRAs+x3r5KBe4947etLyiuiPIUkadEQmJsIGtA2wPtyv h8dtJCPPSyu+tdfzguoBFvX0kgsqv7rYKsnuqRboeJ4RH8wadh6n71sLcT7bUpXNozYUkiphLz3F I3YkFhS+neQNWTnSJugA9UKjdzs09bFz03yOJv5RkXF8x6LLjEC2RXoxfLZSDGlLG4yDYWpHoNT4 r9uS1m69lJgM1D+jxXrqCj65WaIB5ougiKIvDwFI1S6dWMl8Nab3qBJRgUBhpLafJmXlFR5oUb7w MKfYfHfNvdCHY3BR+l6H4jZqqLADPiuhqHVVOzpkG3CUi74+EIQmaYLk2C1T1y6hSCLvW7RKkd6R d01z2uJnaKb6/a3ZAyDNhDPx+UzptnDnUxLzdVIOzZlmJDf02JIoYqD3MOH9z6l7kpugB6huO+FV I6Lu3t9MbpNYmiyWvAieycgdFTPQ6W8V1EfBlPCe6W7/prO/3TSzchEJjtRi4gN+Vuoy9OS8067P yZCCN0FKA4duw4v+/OBK1Th/fOPxXYnWnyKIrmTJupyfD924iD+IY6FlHiMIP30WcyIc9LHx1uBa U4Vesh44lrMg3D4XWosKqBWwq4l3t9KuHujSbWI0iJ8cBo1wQ0SFivJs46HuJF9veu82jtt2Q+qD /qqgx2R5qdj/upL88gQ3+7Z2IT9SeM41t+8NKDEWEW5T65pExxViezfmdF80uEW0GS07hc6SHP8Y psOVXFFiGcUgmOf9g6t1tw7eSZT6S1a6SuEtogbEqgIpDI7HHGhpQVbzj5VyIbPlJZOu6yRcL0/e /zMPYl0O1ancgAXrNrw5Ld7hqgmV7fm2cmT6JzTldM3aYkkBVmcR46klhE08aKoSB2tgiebfB2Zg S5YTjAJBeBa1itAs63R+kBV7aPUq8hApUkWLdzJ4V1hWFyQcLzES/TagMzEtZCG2688zf2R2LUKr 6VmjWg9v5dKMdpeI/+F6StqXQnG089kA7QCbmd/VRwCKg/Ric1zWMuFpwCKLwU47DLx+XXPP6L5q u6smVl6bji7/qYEtVXVNLXAvURRZw4F+QxuESi2FJjFD6JhFfIyj/cVtbwi339ikSQZnarGenBal uBK70TMeHfEAnInrAmdaT9zyH+ZPJtAvyT4scVLLVGqU7tGCDgL5/CkRs/uYPSAKWKgtPnzzJJV1 s0fTEtO0OUJqQz7Mq3tt9baKTXCepyksNsMje1v83rA4CJTZNRFEaj64RUUH4XgsVOSYtH9uY761 nhKSMRNtV43gpkELQOd5AAywy2b/FXSkuCBoMVW13acS1qv0fjnjTjrMQGxAvfddrFnEKcpJkAmf VvanFvUhpfeIS024d/Q2ZjTku3y208+Z8PJb8BqQe7uitdKKQK485fJth+FHzpE+9RMTrBImv+7N U6wxToAoSP/Mi9oXuqkXoNfCwDM4BQxUScUzpC8pyDlUDGcLPzl7FhknykoTQzvygBe8TCin+zfB ClbecedsfsXouXuDYIOWeE4rgqE2yVaLVLJ0Bj85SB4z3qI01p5souKe7YanvYZ+COoNA0WzE+t4 2g7SU267NYR+BlanFCRvjYb9VUsp4xrtclNCQSVCY0uGUKPblg5jUjnPJhUv9VCxTXU7VmMEp+UF W4apJjwEG3qrORRKH1LQUipfOZVP9NeaJFwwBnrTO+Nqd7HATQUAXqMLqCblUpuFbSuVUk0OdTb+ 5pdVYzRvJk1FpZPQVWBanFQRFhKvl5fYUBYg+/R56OdPajcaaY8EPsGeRW/YZLKnDYDAjsefrheS XIS7yotFLAeNRblcHiXMtpvvBIhb53pBxOL5NTcB/CegZXyxveV2Jnu5uw8eOzfPdofOVh4AeJBX LIOmUxU44v5iWfT3VIFsu32VsP8X2yD5ON6DmZZV6Ez0sD98E0w/gy3Fk7+hiYR+VjX0Ophkls/l Qwk/A0BdIQt3/+UanZc4rPPMCtl4G8qdXAoPl1XQmq4FBuiuKHafK6ENbp8JcedwCN9fCVXz6spF q/ecNDq0IRhgaChdg+gkZ6CQT6Nimzkh7qseFFObQGE+ELy12oaeuujeJXJ0+3hOmC2eocuXIJap Zmz6Hf3IDDVG2/NOhWf0dsXialDDhvUnRzn5x+9wFGuFRRb0FpguNWY1ME6BOYD4cs2Ky3n/GplY TtOodnML9AKPQlyKEmuvHKRysrAJiqmL3uihsmKK6y3h/8WJOCESnenkWYWcRcw1kduVo5nt8sUN Unhc85DNg+BYHggkze66IAJVt/84m6kY7Lu4EVCOMiBpY1/ade65KPdVz8pVLaXhN23lXy8b7Iy/ 6ZyQ9hzQJBJJ3LOdPvNIOTy6NlfF9eC1oNxeZm8eVeY/YESrZos5P9mMUpy1IB6pMU6hy50uGFkd rWi/aeS2QeNamEsqCrKyLMOEOP9AcRB8biozclMBBM96cytgXPEcEm8OKiLiRjobkvzZy5MyjV9A SJibXYWm2FQlk7scJ9p9/tGCKTnb5HrOv2HaiKqgQNWh+iF0utAJh0Dyd7TOdizNhi84+hFM5ti5 vE+TU2TCOh7orQANwPqPpF0325/TitS4sPKBJfx2Rfh0+wkpHwnH69E+j/x5E8WV5LPHCZBXy9/s uJDvIATDgk7N0hWJyr4z8dRYppB46BOCet4utPd01fRr/7KhUr6T1oBUSCvmLgJ/JiEgIk5J9MF4 s5nw7t0LFoaUnXDKc9FY+JtIFPlf5+jdzOFqKrSE+HKHEsfRgJYiDY/zj0WE91cnHfeWRzEqn5RT OLa/VSx1eKyZ9fLpclM2TO/Nvi+dXjgq1KFg2LW9sd4FTrjZdmDr+va5rA00h8EnIztO7yVJB6ft i+AGmHRQnSDN0TBA78Aa0MeQC88JT2EfpVJQRXMVJVjdism+of9i7vha0ovkX+PtrcFm6Xe7bVle lVFk/y41hjtxYi/EVBEO0uEEB77v5XZf+EHYAlx56lJJ/UDykLUGMFB3mcoSyPG6nBMVvWcGGrfM PeEHLv9JmRg4Lo3ZRZLuEAdML1hoSTVuLFHQA8ELMbpV7Ag1b3tdwnYqWmV+0nExqow0h4CPpcKM d7Yl+AmbXwR+9EVScWYPwhPCC0OvnyhbAmmoFoyKYIHpXkPgUFbtZBhhdPed04gKB75tRF1/0xVw B8FUWDT5UjgtqYXdLfH2lWTbNddAX/nGwM1ovxoZD2vv7FrC/m+Nl/lUcM1R/Vji6hOp4qM7xxAG OsiP9YDBsFei9xpZbAP6+ce1A6eyIDQBU7bUXiL9f5qRSqFIoZ8RYpCxbmNom9EUicssP9oCYy5O T8r7q0XQa8D0iEVywTcxFoeKmCXoY6sOcVsK5UxEYJ8T/Ry0dngprYyANb6P27fuMgHu9KDt0OVr FG7L2PMlM2owcqulIqBTHz+717BPUkcV89V3eEQSIoP23+ywQrt+Xn5CKLYO/TexOom82Tzzn9SP 1+XAObw0fu7C+ApveGpJLHQqOAHT2UkYFYCSOB2+xbuehnUrjGwCHaG10BA1DREk5PxJq3/atax0 Aqbu28cVhFmpKAJ3xRcFZ6Mit7V3ZLCAJaT4pYlHESbIlImX+N5Faam+S1RXdkCWm/fItVVJ03vN ld0O2grisLKZ+PDTC+BTR/kv3l9c35u3iMj7eRVyxuj8M2xQCO+w3vgM0uIFWl2Y7GRL2DrzEorw Nc2HrWJmLY53olcZYcdNPn9mD3l4BD8ApU7n54KilCkxuz5jCPUyuvHil9lDCLKnnQ14yj+cNvbR /+a/fPBw7RrG3u4Vuj4icDYuiYVsJOheJzvA1gdL/YavWLRi2BSFtVFYV0TnVNPai4Bs7FrigQ8C c/5AkTxag9aeAqGTA9B1BoxdZgLLAN6j5pvGvIIuJEClW8vziJkG23ZyBqtW1s0yQEmYYGPSrt+Q gNAfF9Amt6P7DTQYiUcPbL9jc7aTRz1qXZShHtGOQA+qm6+vi3t+6ahyF0JreQ6TRsu3Ma5aFgOI RhI7hCAgkDjCOJnJ+M89jNpok6RJjmNpQVVEtfyU9SjIoOCDv4KrURb6DURZ6koVaiW4vE+Nkzj5 Uzx91Nzx6D7C3IUP9aK5HY43q0NQy4/eAduzz3ewBliareX5rdNEwxOE32N9Spdb7P4GXxtWUO1/ uQ/tpQU0L7bQebFa4Xg0I/0WCmDyQRBNH0Ops8+JLQUcdTKEid/2epmBm8dOW0WBIrVzFA7Ska36 1JwKGpaAbOz1O4/geLOuKCUM2kHuGDq0RXD9YcUAR9YbssMSkKRWPADnqBVLLU9LE/UxnFDEgN4S dmMbShQ6fRuKJ6ddL8mfgG7BKzutqfh04LV2rhWA01Y6jdtdX6WYxIV4DrSNul9Be/AyLkXE3IpN mhxgyZiGFECYe2scOOEazPeQXza5fmjys6fj2WcqnWcvZBYerieHOWoP6qQBWfIML0dnuByy4kyJ oM9gJYHiY9rWXqjrNR2Ir2g4clp73BjRlJ6WkEgl4S3X++cMAkIhbZ5Cl34Dv1+3DWjKi+hetmQh mtfR6eTRoMP7Y0ueHW/imWgp2RJqdjQVPCWbDNCNx/PAY7LgIqBoScPRk011qZbe/78Oe7qvpcFn h9TNr8PpvESe3P3TZR1XrOr8OGwkLC8Ml3p7D1IZPAg0g+R3ZalD9C6AkmW568TymiFv2pWvNVCj RLXtzTnkLxg5smvqRCLeh5dHJNpmI+ptjPCyAjuD0q7gwAkxs2KoCyRQZu+MOej49lDPwVU2EsKc nNU+6DX58K1mAy/e0URzTMSg4S822B4sYQF3N9MyXX0kU3TtrHssrOGtEoexg4qdqRQaPVNOErgH ZtUZGAyBKGLN6mCiTJxglNwkAZhgC6UCpArDTZBHGcrcOzf+nTQaIWI8K3BPNGziE+w4Cd91zfuw 3Acqzr+1IC0a4Mr6hZDxTW1FP4nWPT0gMoHBjtdPl1E7syGfngrGfggSwxHvEwhE3vGK41D/R/vq 8VyLNx0S3XJkGJv2TQKcggUzfpH5qGoQnSKln1MZMXhpNuvfkbXzChFxVZHcRW6A5i1U+apztbzH TmuLOnJE38M/pdGeT8NQdb+TjAsMKGPUOAisulQsn62bKanuExcO+/bncJjCAL7NJTgy1SBgA/lX tAFwrQ8Yl35sfttAbK1A8MZkOvxbs7NJcaQQtppD2YL8E1/75ZHUttthqo/9z/NHnTbzJp4WhZ1R /09rFZVe0R+eCqzpEqQu6i0H2cHg4p4USGiv6z/f5SkxFXZ4Cco+HvfkF/tadMyyHDdtQzb0OYp2 YfuCErM8ET2YaAbM89Hg7Ti6wP6sJ/Bzpv2/wMP172iCcyFxcyJNbt3gmLDizuxY458cbSRptJq/ APbwXUsyYzPKLW5PeBsty3vU2OnvTemUu0Q019T8bmucEsD2VA6bzYxHJE6bD1sASKQXZlyGX/Cp GJa6TQx54x2/OgjzWmB3Tt/mKg3WIypMTo0VvCy6F+IOCTNVNWk+E06F+chpgvuGxrzOxhDJRyLC 6tdGjX8EZaQQiwQb3PPPG8EFvKxeDMjGBF+FGfCKs7rcndBANJaifB4kvy/B0NLuSGNH7B90Wp95 29x1vVZjRQNl+suq2I/eA/1SKab8zJw4sXMHv6kNzEyAh+bq97gU3IAZmX1MnlC8BF0IHE6v5FLR KmIYy7BnvDjZ4Uc9vmAHmW/DMvZCD1UIdieeEO3bf6omXoiBpdBG6lpdWxGW4vC1UWMBXNnxi4ID pXlBDcse/aEAtUqSNNJ2XVigGHEkLL3t7MdaD/1DYJPN13k+5n4McARe5Nc2gmnGrRMrDE7aMOya XXYFXt9Cn9/YPO+xCUK6hFm9KFHYYdGcRFgto+mQhPALQ+oTfZlGhAnMZrMjh76L7lpXV+f137Xp KLgZBGluPNIl6zrAcNqXaQg9kaFG6KPvB7ifa93i93uulTMUC46qhu5a6XyoDmL47xKchzYojbIp KgvcAyHn8aNXDFMNOic7W9Pz67xaH8FLg7uOrJG7hIsxeMo3RvkqdDY8MW+V82lAxS2fgdeci+n7 CC69mdX+Shs8Xu27BLlbESFaFJKZ7R4xRvh2zFmXZcky4jm519+SaOjwMWrgcerLcPGz2CfqIpKO PHgDrJ6QwIz/APO/GTPJVMol31DM+pcpJ94Ow5ahGomlTfd9bmvJv2l2hDv0NJShRmfOXFsQrXEZ VrEKQ6cf3a9eH1VMsH+1ICJIEfeJIDeGVbUeHZummUNq4MTMnA3/z/vCdNhJBju2/p3v/jkxYwtl UT1DTpQst0naLpLrdV7IuAuQTLoG8S5RImOUYmGP7O1ooJv8ZEsoT28Qmd30cmRPZwzfN3V6zxZl F3/HI0NQvcUenhNy7QT7OAVXRclK20WB645GlH0ZDCpxoon7Sjnu3DF9QuU/KIL4NyfSa9uWuCf9 zYlgYt/vXzcYnmfCWZkoq5kd0kL64szV/IenxW2J2LDqF6m51jPvCYcFl0mWGgwjHRaIkspITI/G ISuMxa8qJEBpPAQpRAPcS7A9xOWyeVSzfufIpuCp9YfrMckZOC8m30StG22+Hixy1d+9ycmeY664 /gmhsgi4WUEt44nzcZ3uANuU+8jCXrlUm74590CBWQyDfUL1KpDoslM5qKLNydG/bZeEBGYl/CIA M9RaKLSh4+Hh0zfHsEGawVXjxQIDDq1U15UQTCNZwYZRe1O9yYhma3vAqk96/HVT6lRYCiVfVkcD 6Xh61clr1ctO+2ypRBvrKYKx9mRbb+ODBebJIGZGyUh4FG0pg9suT1ozH6WLhGtkUJ9+wDGUbNIG 4s9wqGTiKXyR27NwEsfISRPBdMd7Ag0mXOwzjwa+2pHLqlwtW84FDw0GXAx6tuqdTZHIPYlGpHW4 Ke93g1GF9YmH4jtJ1U9wuUEDNGB43dATL3zI5NcFhGeYEul+DBqj4zZ/Ho29LH1jpfI6lSrYnvqv wiScjK3f1og+mxJjppWeD5IleDSCuGnPMASREq6mam0jnU+xLLJxQkCWBExIdvujCp4AyY+XZdt4 bLV6yuoT+YUQCntp9gDa3IQmNQsvnKKilUj7gphI++6ZjGziKEXnSXIJHbTorZtElg9qlOan6tZ9 rk/tFko134UpmbNuyqATI9tYMj67XeorFRbCkLyJWgemhB52PZQESPdYBOOmCYwyJqBAt4EdlgP/ +wDY5kGQ7FcBQyfLRPsCs6PUCqEOEYuC8PJKPkCFb4WOj2bMjpKAvIznyvovB9wbHdlvfSTTFmt8 95FFsMlJB6JomkFHGM7gpVjia4eAM0EZzmL7Wnm0aH4Kp3CsV5EkhgWz+O2Y3K8lkjX6XgfECvBa RptCIGHPI1JiXi+Y9s5yvW7G0nz+F5Og80O14RK5DilviLGGXTwXiOMvPgOK2HeJUlcMFyJC1rD7 EFJgV/L0dpxJseHY0uqVU1YhvznrkqkQwomLc9Da8/NK6IRKyL3k4Wq9JVBp2PzXhNQm7tpigF2M zxFbm+1wL+uZEvEHJjLbxG1p8m5sm7J4tzstsF/F5VQYwi3H3JRwbnmy7wQb3adG0ld/CFN2N3GH FI/ZoDjaSOmxlDJW8w+VYBcqPNtlDfZctvWMTS2oHYbQYjwJqn+HhV4k6G1S/O3c8JwFWa5YBeVz A/0wJB5s9RD8o7Yr/ODE0HGcVZHyH85H/ozQSFwHA0fuehlooqVP6yy45xkJhu5nk6wm/+ze8DWi upgX5d+utZdbS1rSQYMPX1Smp+vLbj6igPmESCNY0EKJV7Q9yMHD5YVinsSHKeeSGqNmwdrb0VRl PSZdCilnBeu9whaxo/sk3KjY6Y4ip+C/VPD0pL9bQJkVN0+JXYbsY+supHvS+6l8C/d120UwbbOP 0nU4oI1g6E3SIj6RXA9MydX1yrCMcHLWqUku4Nvb80ZMGzJrL4qT69McX3ctKFMkrj0eAt02zXZ5 hQzpCcQyN3mQIHINwtH647wb5fIB4yxG+w+OlYfjLj26mv0l8x3oudOeQQaFkM7l/OUFF4oH0Zt/ OPxXkhIz1OweK465xSost/YRbsCiR3tymNZjN/pVlrZM58yocucfzXfm2fPn5qILOhMdfmaUOtnR SZDc015BwsDIeX65N2kVbToBHU0XJoZK0lQD3/kfxW9K4ipSYkURY9G1OWMk2r+bq7t7BFglRvRM ro/CEx/0JAGpRC0sgQncDipnGjzJPaYl1WSA+dhCnN6rwz82Lm0IwfwWNTOtqJWx9oMMDDakktAw fLEYRnL7mw38kXKdEJePpxOXhxSHA/lEGGDbZLEUZ8dcRUF+8GJUFMojfW56+CpwtcPbm1TUW1r/ 0OZZIsKBF21+tSHVkKIebr3zH7Sxkg8iGkU75DpaUZNsYMUC3TeetTlrt2eQO8NPywmyiquqpSE6 u5besWOGVkPu26MiHwkn7LTfUkRJ94CgVvHYPF5vTrRPBqprp+tLHGhJ1jCVoW89jomoSAmkUO3W aQzoG3Qx5dM9Z2/B7ZAwJJSDe72ll3uX55F0oBgBnP0NVn6wBujVyS+Kzb73hhYNxV+B+lip4qiP U1ANyxKtW4B1NenfbUoBdGMaMMAMvvd+36dK/hKJA293d/UiNRPpL516H6auXUGllg3OVZK+JSNc FHPiW31mPmp+oVYKn1dEu3seSJ/CMkxsSch0UkWrmTVWCF6JP393IGHPJtKbkAGMEWw4oFvCYCr0 gr917QsFqeYXNgGLR9KZpeADCHqP9pyZbBapFBar0is61teDdRfmR6/CZYki6EYR+vCAxSh/xPVf KRGAmQXbIXTj24XVXacwxiSkrHAgtqpv/hCkRKEmDbhDpys7NIDdMX75F+JfEnyjMiEBYZCkQfNE xQZC+vxnXllbFr5ROtjPLDWGM64BjL0n6u3WH1d+89iMRgQYp6rPkPUvuTq8sLlKkrP8t8Cw3uTY 18DcCu4xm/9foIIgp/VwhMLAxqblPjyv6jCOE/TZMvq6mwsSkSZrY8BReyhKAFNsTFDOGJgey06I 3CRxI75f6G/900kMEQRYaJgPUZs5F8TTfkRmIlk2Ow2G33wPhz3EOZGCAsaQjRTgbDelOaeWyr8F HoDBiFJD+T2wYc+np5skD02hR1DL7X5DrngzE1lyhpMAcD+oQ0FwCvsZjd7TojUtM1MCrG+SYVnR bgsKDvsHD+FlqpySMk6i1i/3atlN0KqFDx5NsyQsrZp9PXjQrWjtmvEJoH4DfdIdTRxhxkBDH2Zj q4XPzb47RccYcahyTljxvs2bQC9HYgpjI4RQmHrywINzXHyTIz/qb6TM/EE6SKPUgedgjQtcd8Ai PR5lcV4gwd5KUTw9GUuT/gCjRN6Al/HMZf/OW4nLBR18E4nPD415vipJx+IfZQgeR3lk7u0v5rl+ 6ewSaz+jj5UGt0PjORzQtvzySV7Skd6hdlJLvaBmJvondJwyH1xfvK0rz14qO29qoboCQqCMvhDQ 8pn4UN2xhOn5JUh4R15hAPt72G3b0ttqMKqmrMDdvTgDj6zQ2rGAAn3mJEJXz/FsbSBruvLMU0dc 5JtwgTmcWUFZma/hgvrzr64PB27OhBOZ0jdHH+SFkFpU5VnoQtc/Y+NC6TREPaqGW7OB9L7IBpJh ooB8OsuIyp5mhr7gV32nfINJZJvdTS4UDk+eyqRM0kz96RqQLnaQZo/6UTPQ7HKWnZTDjdUPmjev gycZRMKgzqqbxyjJ5HAGtY99PmS+SXQ+D2lBOlOvMnPknBJGqTFQqx1KIDvF/jDnqsZCdZuKnxj/ O5QMBRXWcqFp72nj/T+eLXGA6k3WwAplFGap2u+E6wXfzKPIkQ71Q3qKcU3suMy2wO52O3Rtl51Y AJ+mV7HfmZnyMOklMNUcu/FBBLBZ679Z5HwUnDIwPvTzYHklWb2M8TJA3DDBILLFZ3GEUw9cPDAC c3ctFzF0g/F4I5ihUwrz9lHdydZInRpF+McuXHV4QNJfWo86efvzPQVVDoPevvbuv7ulzkKQfOGd Btr6Ua4OrzmAnguLVMjI+weaiOqZ5ou49vuVYgnmVwLs8tLSWYn4Lqaq8dXOMOKATVcn3VZ54zS7 Xsz3z/sW9HAcIFZz+Uzv/De4yWqCxkEV9TXhwG3AdBMBBrnbuJ74qmE7FfHgAFxNMSPUYUrLLwb3 il52UjI/4YhRcMYhIwPGk7QaVf2uZe5i1DEZ0CE2wgLwAn9fB0tqLGoYB8Zd3QjUoiuW6SYGWxAl ve8hrsZb7g/qwpMCkHik1LE4TOGpo8mCF47r3Dq4h5xygcFk92NAG2aAvNeHhjC4qerQC97imF3H UmILi+8CJ5rtrEFM8B5gf3mFBb7+lGzlC/c1mO0+T2CLCMGkOUYBjxsMo7F+BDh1kwzfKv1goukR TNXTBpwB08mAfdwMQ6Dn/5nQbuQxGblXVkJkuXCS6W8avgxYtCQKhuVmcVgrK/CjgQe539WLzdsz dwmjyR7ggIldno94CZpKfTKcw2QVSOk1I2cCqTjC2LaYQbSnkGID0KgRqa33TsCF0x0ql/7TxnWx p+nIS3UhU5IhMapKRaJVExPe/8jBvGs1ayMbktYvYKJPdGxOv9IGh4HqmhVL0ocWpdkInGp+4VrW 8SHlPRxlcIo8lb+e+S/xAuIOym4IAMPjokwhuDqHLjgNR7X9AgeocAY/NoFstPwgwrE2xKRRqlZ3 qNq709VPaiv9JLGXKTT8Joep8pEBK6fYNVfz4CbsQFXB9uYXhGbrXJ8o7brt28kIKO3Zg1Ow/ydu Rkd3mKAR//QpJbvh1oG8ZpzD5qNTBRqd1Ztc6wSAffV+Fp5TilmMDc2vrORNe9QFEn8A55IzkXIQ ew7a06XzMIf0ufBwA18DuPB7YZdsA+UXwD0J0CVR45+uC/1mRMb4SXT52455ejbLKm/Pb4fRovYe igW4k7/drsg1K0X0v5haa/Pn1ghyAdcbFEKvSJqxBUurRCSLi4sMUpP2GgCEMsiR8wiNgrUSddVC NGM43HALiTE7WGTjbeVBHGltP5XSR3pXqJv4bEsgTBItLxVXuNrk2YehsURik8fIstErRu8t+z7g zBlGDYbnOdaaeLAXG6SNDae3tk1uWunu8QGwYt2rRE83lh3tsIggcbGYUmybslWU7LWqwQejxpis 71p9o3++bHdzpb/tbex3KxLFKIs9LBnPPAVdCVKfhDPEQXF8NxbePyigCyQ+Ar85hXqbhvW25Rms pHKgobN50CgxvAmzYECulTuYRxsX+K5mq1eqD+/ywC67tIYrlonIqkgQMxjFjjDh6m9oMiizzhPR 2nDC1yoyWvNzYRpejVATNBSOSsdtGsWxOM5BqWRRPNtUKtIpiQfmCKYQsZ2RzsRCXUUEpwxb1pqY gg/pZ0oJoO++JffAxeJwNG3ETzGd/5X31Bcvpdq+QNvlhkE3GMXWClxYlvavMqLcdd9LWlQYH2Vp 05Q0TZWcXLtIINudKjSVo1AkTdI4HKhiEReOIuVEmgdpgjZkxLQyQaRXGSgkA6jrIt+3AXmzHxqE qwza9i6PopqTPC/LB15I5s3NTyvpLQc2pRjK+KJiMznlYkxwLR8+zIyltTfrD9E/TNpdoia7uQvm gPSc7S55fD6niE/XhiTEr2Fi1OY6g918Qua3fFeKJTzWO5mLjUMKjP2rkK9h/9abLvHL55wn18On jCJdyDDhv7/2rDNYWTiZ1lFPG+GbbM/WslJdUaUKPdKvL2mDDdMgD4varteTCeeE3pw81n+3J/fm v9/4diizCT5pBW15qmA73+FPov/577IIC+6otGzLBFVU5/q+tG9ZNdJ7MVLX05jWLfpeGe+snSsY WDYCZZuqIBVq/cdBjD1ZMGzgLq+kRuS4h1W+e1yB46Jx//zwZ0keNXncrMxc8WZoJ8DXtlsKGhQt 9dZf01Zv05+VzqdDi4YPcRKUwcZvjuejsivZgNxNdAhi9wWdsfg9E374O23CoBLkhjla5dww5UAo QbVpVdXOlY3dkWMlat7aqjyOhfnrQKHTSUfoDrZfEU6rrsfxpG9PVdvERUL/Tm5RQXGEkVY5yPsR uaIqIqnk77iQbScZQT02fs0WVrzJ/XOXsDQR9U6QXwN1NiREsHBzK/rbXiaf7twh0xJb7U96askR kOy7RrzyOb2IEeohUy/Gk/I1BiRo7VbQMbF2PFmfGvDWARGWWkSzHZheuhiliVyNKiKJPeZ2nBOP kgvmb1DDmHa7qEnn2570Yb40wtbdJNaHckDnU2gJzdSvslCG7YdadOBjNe8w5m2yqA1eZc//SoF6 pjVTRkwIVA+jLEZbE3L4SyQY48rkaNqfCT78jX2wTEOxVwWIzsyQsuXlCBgLQzLnbuuonYHNj+Xk rzGm+Wjwtg8a2+Jfvosjs5fsGYKtaBsg5BuXoq9xH4Isn/HQIrHM7Ud4XHofRlLYlp0HONUz42n8 7Rw+PQh2hxXvjaJsVNcvU2WLkoMfBwQizcSPswSo1/3WVjloH6VnrS3/z4Ak78UNvRelGe/C5O10 /zBtXmsQNjDzH124ttTcripPqml9LD2SZi11+Hhru3hBU7Utpl4vwUPABAxRuqFbrcUan+HVyUZr FPOtG5UnbWUcY5vw68vFiz3Vx3jqrn6ohgc7cHLbDaTVltHjQh2x1+iOJkWuSBJb2KOiSIdiISRp rg8S4RdCIo/DBPdUx46ZS3hXu1j8cuj3FQrx/z697Q/2iSRHsnlWhaPxUhcTWnyqzN+7ilAh7Mb9 Rthuuxita7axP5QaznZBN3dpF7Y6jdLYo3/dHDvt5MCLSbh/3HV96oVMsqPsguF99Zn1IWdXGBfN VZ+nPWPz+uUbXGUNWciND5eskGty3zPdZ+pWaP3h0+emn9WVuW204JdT+33wySiRwpMzXdnOLuWV 5cheJjndgvCRkVqaXAvSGl9nOqJ7uz9YyChX9H99JzaU4nmRiOPaQU/dMSVlFWoj9Jia42OsBR1u z8tAJGWsxu2D+jo+LqnDQtvVwlPWYrJoQmmsUdgqeKVbPRcXbiXrCoizj+vMsAYFZh77olD82S1W AJd/GEYkFTXM41UD7YBr4Lv7Q5SJl6RvvXqbuJ/I3RsFct3WKMpyLurD0L+m4lWsh8NLterviB9T Ce3LvW5U81FiRKXHYHfp4p67bfk+H42wCVtvqQi7mPBAyNcpuckpPVqutaU35fTQRPNG/bmJHtRG v6T1C8IwkSX2xHSJFiEqgf8IMSxuM4pVEE/ibiXOeGNPdY6xMj3VC/gwCP5c4aqsN7vullrUM+c+ vz8RHalsV4hFDf9tDvXEWfEu8AkOgvg6oObCxMhmObeU79ZMeqHqiN/dWkqA8pA53/CYPJrCs7L8 D7tetR+5r4zYT9J5mlPlYt7FdSkkKos6n+eAUU61uWS6zsRUxHPjDPlmEHR3v+kDVYVMR5JhieLh ZzE2tF+Vvu87LjC1tVfmVB+BYkzZjblUQL8ZoNPXIkJEgDEdyzyVPCw6GxADs1KykEwJJudcixt7 gQzFpFcL/Px8lc5sGSu642Uudna+ZUaooVIwaVKyq04M/Cl+0p8ctzrfZq6VcDlHZ6Uv8O3EDaz+ InXnRcLLI5vZ434aAia4Uw0k4XXXX851V9n70Xhper16Itw3UyZbepDn2eiSUS4m/9nwxAoHOLbO xwD0FlFtgXrIvm4AY1kieYSVern4hVienPLEwrl95Bp1/a8Jr9w0iZcKYgl56JoNgCVoBt8QU94+ l9sTKLHO6y0w7DAGK4/c+uu2hwoAtTL+P/suZ5bkuHuAE+wKNmZDYbTBWIlNrPA3nevnx9kWsOWF q368PMpYCVhzABGkvaiSPypyg+aEc0hrkVJKr+j/7THc0XAhagabI2YhqU66mw0yEvIGniffrzVh 4Dh12HJ31Wf+kKbn6ZQ0kfKYXjqagdzKDvp38UmhSP0YFRzB4Nyw7TTQK/b9wh4k2F9GMWOKpiI8 kJWlUG9/JwinSOxAYmfEifr2s+27vZUMxo+9fFRQlvKHQW91yzhXfXVAsBGFcu5RB0mwz5A1VUhE VLQOKE4JXs6GH6kM9efyr15l1k545jAxEjwPj0FuJfVUAnvv11cJYHVqXnt3PUODo012rhSLyp2O ucaboTPYIg20b990N92Fyb815V5zbOYczXt0oZ80wsRoZcnuL/2C3d9q9DSqQPLW0ZNSE6KmOjza ZH8FpS6K07+ZltzCIp/CpxduCyBpuOPJPmkEMCQPSRrgLdPvoynT1hi5gdSWY4kVJX9bPgYo6MN6 eqjagXn7w2Rzehk7UOzzSSYOIEhE4QeNvH3c3/7dmqOkmVJB3Xm7GXiFb42OymlOxNnoUPQPTVYu zBm9yT7EkmA6OQqlst3w7M4u0ytz+fG/Nbwwo9rVZXmVwfi2y3BsgsL77uNlAVtzTUQbnUSP4BUF p28jBSEGYPaeAr4C9b7gxvEXXjKmTTvKtvP1NlXeF41agB7rg4c3e/YYKS2f9zD+Bpwc5VWNXW8s NO4uB7rye6VX3QOVot3NmMUkv00uLImupdhVrv7QkQ2jDW9m9X03utlLZp7pWv8eytzlYn8vkwmi 6n1sUbfcxVlbeDbSeV2MdqUzBYNPpmrLttRRW9xfOEV6QTaVKbyIZ44V+kWQ3ea5O7N532pRkgKX WoOuUvLWVkMIc8gd7cX8vxakc1kdFZO0Mre6VkR/E0N1MuUq1a6NZj3zMwPuxHMwQV+y4avIX32Q fpX+umQNQa4Cl80fD838MAhlCVIoznq1a2EJfuctfBAcWDzu/QCu17zWaxrB4hSuV6st4iVfSiio obNIeWcxamxHbgE+O1MEIz6vVTsqT9RVrkbbHinQm8fn0XdS1RwrI9ZOx8eO6LkNv3psmthYg2Us s6+Ky7PKrYx1XHU7APJaMPyJp7M3s5cPLXdIe88FGt8wjsNK6TpbQNFqQWIW52iwDWIfUa1gYdz1 0x64FwZccZBAzOLzjuJjtGxch7TqvelZh37aJ+bZqouc7Fy8xaFdCFQgVzuLBYq0vHySykj/XmkT hc0yBESlVrpuaCMsk+MAmM6DdshtjmfyUg/WNkEQB2QO/D3szpr2lZ1pgCiHBEiPRRnReTgMiAoT G/pz/ZaB8tqbMY+q+vzCMW1wA8NYEEptDTCzq0nywJ0C6hQe100jmHKa40KqwbXrJZD8cZAdI1QA pQb8I+zzTKGArl85TlHJUnEtDGlvod72NdHEaf3nq7zrBj6UmRluT8mFGacVqr01f//IPKXKLqDJ jNGH1ofP3QoYQCesTH9hL5Bf1WA+vjTcGAXz0pxjADhBDfvHXcX6sMoAAq4ziBo5nu4VFRzNxKm8 hWtJkK4g4SV3pIH5nvIGxG6j+ZC0zJVZZ2uw3WmKhhSXH6IRvw88geBNFFudebDXIJMpJPJfj+Vw zUbkG4RCW8mLLoKf/dR94UDFvGCo/8uOOPJXjxdxlIuPaqg55/p6i2WUw7tUMnPW9iiPrkeBD+5G UgcdR7A1ptnW2roItIHV658Tnx0orVElKDaTTCPCUkZIH3H/7bQfvj2Zz8zP4aw9OP0yR95hpbHi YZ5WMPls87GLUBJ8ktHqFVDbPR3usj/Fnw6wM7X+z0hGLjPSueZmg5vurABRkt5VtByi1DtBpCYf bMm80Q0ouwnV9qllF4LE0V156rsM8MwJhC0dJGGzpmx3oHlVPLIzq2i2H8g9iaoYdNCtRIv9sk/4 iH2EYs9BJGPCvl0oF6WKvD/+FwZo/lXUFj8jBR2mN/sjpXEr4AklTCo5fpvgY7znHz6dA9rSPa6I puMBFB1hcpHJ/cFBvMaYwbxlW16SJovveel6sDoPbgQwwCQo859C2XxclSYz5OgzqCJPKicOVqee Afl/SxBfWTnp5nALXeyZriqzLC/lH84UrtQrlJHnQLkMKfLvZsXkbbEGWj1h4FS0yuq2NSfPjQWp jutVDsHWb1uGbyi1aDBqEPW0ypDdT6y9l3JlaHAc79tl+y7xMm3NQSJ5daVy2g/moBCVF/hVPuXL 8F/6ammJIQsRScYVRJQ04Bh7qX6s8nkr6MnuR5TbMx6xVuINEKjEhvqmCdlh9eP+MfqOU/8MQcPh 2zusDdiCIlnGthvoc0OeBALYyk7+VTsXZUr9xzuP8bIwWCvXeci3DKFrFoExocdN92BlJicJ33HE J5LQEn6qYmUXPPHIxE2GCLdhTEUaFSdVUdVOpjFG6HMcdEswLBgRA9jnu4YXCik0kBUeLg0Fk0Eq 5JJi6AOKFd0x+O5umil0pmoXaM3IFvRNjPnpdJ60zn48/hrSCMTbg+ZdOyhV12stmcSicNl+RK9r co9WB7813Brd1SX8zpiYodntS7IKADv6/PrQ+DpIp/xLBO1v8q/gU4tMPbEx6fHDHkZGiCdrC5uL 0+3LNSlq2Ilx87NA5pqYf2iFyXAKePA0+uu3BQ+/ygfw6QRTYyGctu7GE1hmMf0nDZ0zKNQg3y4l jRT8tyd7YBCr3bKI3q/AkVADCYXyYdmRM1etSpm9gnbh0W4CBMekjpHhRVIjEabIkhzzbCHCvXvT hCdhhuL1zxMy8rt9biLL1T0SMlbr06gzwax8diVZklt+6M0ul6HrnhU6qyhuLNLfBEdopdpY108B 0GL6GPMu0WiscR9FOTfvhkbmVpFLgUmxWBg07dUxlLilqBBZqloRMjb/T3Mg0tr/lqN4jTUfKnnr FP2PsKuvL5NcWTZZJGTdSLD6IoLJv5fp5YJr3WJ1zL/qXWuBbqCoVAnWXFikztM0zB0pddjUQpPO WflwcwQHIyWoAeXK92CnYpJMh6qCoQucL18Twn5hGfWGAEbkvfAlmjds/9T7LePvyFs0KBu+TnBL WLh5doKX503UzcGfKKS96ub3ohZeKOlEHOSUixD1Dle1kmcGVpI0EsAZVR+4SNMeW6oHVVsKkyU2 +VPkPD2EkpTgtg6ujX8HYXVoewqWi0Sci/M7Ww4qFk114Wxlx0TEoWbOD6fMuDyPKvH/QVydoKxK 9lgJeraZMj3nx/DDqXI85zChekoYrwpEVQQNIhWZnC5bpJxqTja7eFnD3x650zcrdTwmyRcLA5Td /G7Z0zIHbyUcbECQifMb7EKpxbdRi7nqSMbG59mE+j5ztt6WhsjO0b9j3LHXOOUKCt0kbuS6lSvf sFn3YNW1fdAguGBn3/+WZJ8/BZ1nfnlOfVAiOdrDREOgcu0jUHBaqHzD7LuaH+JAcZV2hDWd0AxP YgHQJJ8hhwYQnrsR3jTOyVDzpiQBFHiRrjZeF21TFU1MZ7RhZMIhuiWAavqRM/VdJTircAPUiePI q7NiM2LlM/4bztjt2VIQGjbDGtrrxpH073+fC77sFZJTO27M6sjp/EBYBzhWGttCOwVtSZWpF6kW JO3wMSzaOG5DrCB5Yugy4eHmoV3xOidUGQ5mLkxPOxTEco/4rmjdV9Ri3YOko2/90dP5Jiu9ykfa WNf2nd5BfAu1GeIcMsDly33QUAJv3cHYIO1WJXZ0VC5Un/3iSLAKIeMZVKUIUivZR5PJjN6zC5zk GkFYXdFJRouFDGBmvFPr2qcBz12jGRZo8/Kz9xTHLQKlX9Puc0PIjY0eLqmCCSQdB8HEzj2L0o/S UmkpELt8GOCF+EMosQd/Vspqa7FYciF6wX4qnX7ALfp1DBUJRrXQNQ8jKWfbW9c0IwAcAu0ahLtj tSQ3bgQTtDdQPdGGZ1MLAutw/d9tcWYHfj1FiRGsw60oKacLIP6XT5NevyEoHzzkSZ18ca/9uaMy WQ+u7KT5scIp3XwaA/L/jZMoxRa6x/9/gvzq2Jj/iGXCZqMN6HlBuiGli29UlMX7qQFxFDZuKyCH DEOWjyQL3J26porgfaAX0ZnN8PYCgHLgFd6UXZzfZYons3FtsBjTDTSJbl0rmTniO5H5tpBXzfXp 7U/feZSUS1lGd1vyTLeersnM/PSlH6FR3IGfs9MqIjJ6FR+aU6olVvGj/CPbomnt6hHBs8JboF8h lcuUIxYsWurJSuk03rOPPl2uENI3DWGEJlxfKyMZ6B08WAYnDfWGOUEzQC8m1cIkcabdr7xSSYk0 f1cABnPfQ8I9dLX/rB6FT7xn6gxKu3E5zqslPNShCfeuEYUtSLk9zxVhlRJbZHDcSdUDUDYEJihu Q/NkVDhRnS+XH/PvU8XW9rnFZwybfvj62I220O42dGeMpj+7E4zczkHcnxONTyXrc6ROtfTALL8k KFcTeAZVdJFVMIZZwpvBMaZgHQN5f4i/MCX2ACfkT+J5DA4P28zc/eOfWXzoI9r4sEJf6BbUmEYQ OuGD3sqG6MbQE39Y2iwwUuLZ2e7aWFVphOAmu9YlkrCic7EN8SprAQLy02AFtopu5waPGR9e7ooD YaDzsOAR+MfBSloGblXQRakeFrPLT0wKlGsXKHh7CD40UA8Vc+jS2ff+4DKUU81/9Qc2JrYQljc7 QFYhZ8BDQbJMf3rM+V+iQCowWYkDFonzTg4n+dZEKw2oaxy48xjh+bwjo0gpzYzKmwtDhjr9l5s2 jnOFtWxRspE2NrEYastVWYHpgPaF1U8qgABKpsIihtoyDlzjEKswaZW1o/NNfb1mpdzFi1b1MgOo aJk8Ew+uOg7uxBKccnR8lvTTMWC9vRdL0Y03mbAjG61QFn7AeoMaegpLeqzCyIg/wTWuM90OjSO6 7utRLzwTnie3mWMXM97YuWwQOQJxYxLwvLrbMHKLyJMaMsPmZnFg0QBAs/Rcii4coiLGFn8BHQLA +roA+gqZ1njYLCWZIy2l4PfWtoUVoJ74LocW7fmTx+wgehnXik1Cx2YgwsjiADKhJGJhtiurcwCY k55FMldmjX3Jm1L/usk7LuMlJlL/okFVgaR8L+yFjZrbMC+f9l6mhAD5Z0io1t39/x41S1BiaMlP DOCh8moqOHZp4XAn4PQ0tl8+VFrujKm62jJzZd5N/Fvk800gV1ID1fM8uA5KFRLiUCvQfvQEuxqY 7Mc2GtJR9UoQ3JeQMrbpkbenY38fSuOTvrO4OeoUY5L6fgMk1wVjYGwqP1PTieaXf5+jSU0YxFyp k7DjsxVksvzA+O8sPc7PRr8VlrXr0EDtLQUvCY2UrQ2X4VOVu+jVNbhwscZIJFsx1WNnzaOAkPCn l/7edcE+6RfUpja3V+tFB+XHrtbHOIekkOmV56YgPRvLyyi+1thWSx8j5JgyiVYf/ITWMPoNMMbH +qkcq6/sWPR74M06U9HzhmDuyc83TTjW+2ya4HTUOb6e83MO8kMK3M9mixEYn+jrxIKfkrNx/qHT dkLhEao1Upssiu4ShvAzdVgT9JlnhCPfFMQCjlN4+lqLdAQ5k2ijaIaB5MCIHfGFbGOxUh6Ouj5U fgQrtjUYLcTd9m/VM4xv3DvuHe3LHwPnC3J7yiWVlK/9YsHkEOynt/d22NgTYNT9obBQIJO/LoVh hRiD28/kHrEqQxr5obsoF4ZAx2Y0GMslUG+duA30oyzV5eS6MK7c6bsHA8ZlSzV//Mk9jSCJFdto JO0uvOhXE3iAm/RrMa5yA8MvQroFV85Ko6LFz8TUJx5OonxNewmjnRCvmjcCD1Mx8HhmwVeK6tlX NhUCae4ClB8IkY1rci1l0QuMYwaXLTdXzm8ey7yi7TSCVP6NTc4dYIMI0JXsxLwluLw76afeTBXk m1ukIz2Hv/fLKTzFecXM0JCSpIfMD0JeHX51SzKpLGfndsCNPRUryKozMv4dgXwZBI8AGcDLR/Ew TcQsqWtxFakqXkbrQ7tnIYK8yCrbGUbQwcwgq8Eqw1wh7rPNg+HR+/GDducufpw8pP9cpdUaJw7w scxMXp4uOm3d5kJnxe/YYSlOZfNupdbstxQb3yeu1HTfQhTXNy8e56Mysp3ZEtFW07LuR++51YHy CxFPklzZN8aPSluQi4v9WExrhQCZz0hMOxhN7UvVSjhD/LtgIz67AS0fzsP46w0Ej/TR1gzNVXIi FXcCCN7VIW0WHlqf463MtQ9MaBrXQbPYRsMIn7hNXLIoX6jAVmAl8AXHmsE1t/kgAsDIXxZgJMBY q4z6REYkaB4DGpgABO7yc7oynB4T12qAZCPVj5Jagy8SxAfpQjw4wbhAyi6ZA0/2Ncd4D7Izs0hJ sI8FzwqCDxjXgl6kmoj4In657JFFmH94+jmae/prJ1LzBQnR8Mh6jLgevyA1UVMnKOzLpdnJ02fJ sDbgf0cGZYxiIaOUsVyI5ZKKEyHmnv+w9YLazMexs8WNdek9TI/4k1oXVQMDTlmKaftY0xt6YvrY s5OxnIcFmwfW5sHyRrGTlsZyJI5tXv8vnXlyLAWTyJ7PEFW4bFZCWoXYEswrbN8/BQCkdeab3Koj jMyz21wvfE1iEzgZXkc90Y0E5igwQUCZHH8ry4zBbcYG6cgMrOptqy3YboU5otLn2TnQQQjcO4Di DC4QV8JHa/7ngx9xr8E+JfEYD6GocqTUeM7jtzYsJGL9GI8lQPK8h/jYYkMSlKWeIOKMQG4jdj6g bPKDRBaUjeZqI2oun/fNBVwp1R1/6b28savycUhZJ7OZsYx0a3Zhc4BhyIdzrTOaMu3J8hGXP5AZ Z8vZ32fqFyEMNISxww11u9nI77Dwq3l5HcsDGGJMyOzELfQ6gDRpSjA9VyJ9TQUD4NYvYglnRevR PbaNT2R0aBCZvTi7ktZnkhNR833TNCG2RIGY6R5kEeASvnkwSAq/82phEqqszBp5xpAHuDH8onqe e3TWWQC7I0Z8Srwq14604LdKsnN4zay2syCztUMRgKe/Vr4fGJpbU6NgI+bZFnE3lYOUp+YspzJO TiPu0pzUoUlFB2gL2egFmDv8eVr4PVW+dF/4NSwMquUeQE5we4mtm7zNuU3RdMR2Jw1zx1rbUqJS +8HbjhblManjs02JHZNr//9aNecFmb0LaCf88rs5xXORrrOxziABDvXf10Yt5ENP7riwTTrV7DYY 92+8oNYsMramXdyZaUofh7Ac9RHUD3G2qmNFOAm4M+6ahJyZ8KLiC9/FExWxzvyyC9RHZG2rdySq R1CQ0At3pdOfCepcowqanexM1O4DESBlpVyIrSQfNd+/D+nuKdooyh6kuCjiKSJ//EVvv9jr8o0Y bm/zD07OuBRcJ+dXETNR/Nxn7jQoGgDu2lqRlJE8W67andMBJ2yqx7twj0kxJriBaIqcIz5so9Zk B5e01hc09BpCbFCkp9tQ5j5umvzVbftXxq5WDO/VieV1dkWG6zJ0z3MzjYqAAQMksv64/5Mii8Eh s9LBsaKc0JIYGP3n3nJsboeBDgMtbfdHQ+qL9Vtgo1kFIrEPrr05oug5AjMRCVZgcudy7k/a8PDt p5G1+4NHP9rxd7Wcslbh5e6ABfGrBx1zgpwyOd7TWWgCOUa0I7lP/ng9m8oaADp5QIXqXWFOwaae zXhozl+9y+iaijHkQOL8UjYJYuUuk8NeCaswLY5KKFH+t12Brcd3qytlZzqUIhiV+Awo8AUDYQ1E lKeh4D9bSqjPupDjzPU9/bN9Db/BrgsaXKrU7YkBdwqzs4SHcqV/sopdr4lQ69P6+I+/AS28pv6z +ylLDjxWZujpGCfnGHk5+KND9mh4JkDoiP10wqhEkb/H1dFSbmFD8V+zWgoI4qQyyxqx/tsq0fwx M+EosnF2cSpaPlt9JWUY7dkKVG1ZJg7FzxQ/+R+meAq1jibnf7MFz5INKZquyMLEqdz6c7zy5hIY DRuICjH9whOPsrXW1c0366eXzxmumZ5Zk2jeR3uMGNwHwTM6mxHO11Wy84R8xidSp4FR8IFFN0nW utINxGzbUktCRUcQAXzHoCSXhWKAi/mHB5cA+iMGIm86M2++Dd0F8WFsdVS0o08JFb1+A48BnLFo ZBJTQaTF3cvJdKIzCSe1L16kkWJWZq49TVinONCGI3Tx1zh+T3v5Lx+iLxhsWCVP6z8s5LGh/B1K SsNm1Qu7U+ZEXsRpFYLDXh4iz6J7f+t0CZ2wFpsVuvZOQ5OBFiPKiCL2ztNacQfkniOFWWmiEMH5 Ac++rtHymBl0HCugwcEtXM/VkGpg0K6s4b12NeRWVdjWrIskiETg8hYQ4R5D8YeHl7H24SgHlPsD i0Ys1OloTbAx5Ss1WbGV65j8YmBP+s67ZlvmX2780Cdg6LA/17e7sQpJ6Xt+TPaJmxUfSDxnF/8+ 34+PJx12QYRYjoKuOgai7gIorE8I5cEmYmmuNoKvDhI46ZnqvOu27co3J9rYX6ufA8J3PjCf3j0J fbarsJIFAmpmUlBbreUATIyNywQSYS02WFlOjUNEgEjkNDRRIey7EG+jkXeqfkTlBiOrgI5dZf83 ndssmuVu+6WtYnbvbbjxU0ziyESc0O6JtsGkOEYdxmFKkv7F94/oGCgjL6PBXrWYrDAw3QiCO+Nl K06DqMcA98pP8yepucIvFmdvFMIwt3Zh+zjkEVM1bOfC/ZuNNn6R1DUDwHXbBHN7CfIMxQIOlhKe dgT9YawLjkyhjjaCsbWo9uvaFZSktxSj29m8MUxx6E6DJXrGGXbl6qsRW6H+kJmFykV0Sjuun6md IFGwRRVT0Kq1oEqXSesZJy9Ivu6nWwOhtx1JfGrsEpFUCb0z2kzwZxFuB4cQ5iVtO1vkEHtjhA+7 GqVM0JqIwEbt0Bo6Oh/Uwolu3Bo3tRa3DZN4r8R5wrV7DvseDLJivFzMUQSryJPVgxKxL1yq8gHh emnHMr0DJwCtJ5r5I1j2U45CUDfDMylh/7mejKgsytqcZaU/ZsrrVXOv7kJ4J2EldN0vkQ1FdI5W wLlSM35FTuqbDOd2uPFvaluxGMxuXyXjR40lZdhHfaAe5c5oe7XeR0sj/PiYy0gYyhocagmVmW4o hnkPMcj6aBUQ3JmO204F991M50Z/jMzaryfFrclX3NusWjareN7iqJ21L/adIvmVo3HGFyFsSQdV A/jH8rk1kCrG4qnxz/lLGVMeXwFALaStRljYKAs2Z81Sib6dcUcI8Z7Hpo1dh+Aw1wbn32txDsTg ewaAHkB/imZ2L5LwFtM8GfRuqfFCiSdjZKMuSpscLQGtBUeBjQuotL+YKak+ae9jQuLI1t39pzuD qmlWNznmrNJ7jGsdcUPQwu57eGpxz4eHRlkfrQMuKyf/nPwe5VCHkObQOFC6u7C2zdiSeVf8Tn47 Uk9P2AGi+CF+xk1j4tySPnBPTrQcqwKUc4pWbE6dj/7Bdq1EN14yk5pE8osE6GBaubqQYygo4/O3 i1/Ru7mt9w7XbblF98+nF3EpEe3vJfpSs8wDOuVx027FNyq8r/FXiXBkD5EHS4PEOQYjKCkJMMnc 5ZHdGqvjCkoI5pcqCtBoqWdHHNrvvv55PVHgLnli4ta+dgCZMaYXFODzSX12uWWtKCvZ/AUJV9mW TMp7apVgh3OgmOc0NESmQ60OsQ2jwYcpuSWqxx0fGV7SOT2B/qcMgp5QFzvN2+faJLA6ZY29e5tN V53EFTSBsRrSwrZWwAG6jiKgGMLWO/GQHKu0pT5RQ5ZQu415AGvomDr8AEooweSLXlSIJKK0VKqG L1G51XjkzEloZ/QOif33OllvEEtk+YCwENMitePeh7itdkS/jUZjuLH3tAP0k3R1te/Xd1XKKOYV hqnuyRExD6DPYUFJJnlLC2USsTGjlP0ULwOZSQTAmYlsLFgmbZCN1cEMFXN/OgeSdzOJ1jeJhfos kzeX3Xsb4xZ0og0j09tAgjCDZN6RDm6F/SvmDQMnMVEdYixNKvbyKZYWL9r4kCe+VQDreXPxuylg BsY0dXXbwRf0/fzM5cPDB+yKuu92L4rhT/iFXecSZn4avVaIStrkHDxYlyXHzAkPipbIwfpgdPBt ctcnbwAe6/wqPuM27j1v88IWQWtBnvnWw6AFcC95xl+E489ERqSrXMtEQZfc4/CRnmEQ1IPD0Hcf 4KwpA1vtOO4hdAUmFctwZzc4igxZiNILLRnWM1YqiG7bz3aSCQ/WQHobko1fNs7Tep7lcX5AJjye nyFcUgJve9pr39f215gmvCN2U8ZL69Szut/wR+WVvbOvHFPzbGFhZN209E595nKpSp3ryihoZCkm OxJvyk0pv5OCQ72ZvDNTR5r8Lcw6AbWvXkzbwi9kdkE9l83dYN9MfvqoOF9tH5t1vha69uPxLMJP d+WfO47HzvI6iEylqKwhv/VM8Lg9TfmBLFE3V56Avzk0QwBCsPOMSBpVdW45vctJQ1DGNKyNWgHT NZzCGy9tmBi/DBoZmmvVGb98jqXkr706h993D3V/XhGCnIcX+pJFo4Q7j5vQkgzCVDaSNQ6rnK9e gaMUsuGfpmn6QP8L/04gD8uO/yt+8fZ4BOfL4wGDTnZFTsAkwrlLPU8TxWYgRSpbGc2vD4QjvbEL o5swoLhbWnzX8IohLtnQfTaeKegZfnIIFixxXj86SiytberpXRH7kobaH/oooGLUOakq1WjzXrgs 6E0IkkQQBMKxpvOXM3qJ7JHH3RmmCMj6WJNrrZKJybGSEfrhuutM+OZYLl39ESe+yywrBwK2M12e 4h290ghjWn7wMuD1X9mT6CVNOqZzs/zB7Pl8y2qxi/BH+tcCDehVn9uk0f4Drqu9EWgYzNabfve0 E4oTJ68UHO47qvRu7yYdXBYs274iXZ3NOms5oKr7w+KTcJ9YUAw6v38nVMActIwfsH/Z4ffPDlZ0 Hb9+vq0HQ7OYSRkjx/oSy5pToQcNN8DcDklpBxItTfpgD6eZBqi+0nBONAGq06DaZjugBFDZuEyp nYlF1Gnrwxf+qLzvWv6pTXSssfMzw25mCTEP7MVLQ2H37n4Oj8Oha05XG1YqOOvn0XIK/r16W1OL LJGLxbfNc32VIyToPEEK4JoEu5w1zywED5mdXm9g2pMgTYaRxUibbs81cKq8CuNLZ9TEAtBd7NF1 WPZYo5bS2qxHweV3euhM329siXQV3eMg4VBjr68ZuCuAk7TK5U6Wgm0ab88DDQRYRBG1LiDuUon+ dTcwooKh6oOcX2HofkzE5y7iiW4TC+Q6yGdp+jzcXyzY6CZqMQ5gGrTH5iCOHgnsw76G2Vjog85i Jf38dECAvHZTL7pase84dhozr+klH7Y/j8NvgUW4pgHeZxQrgG/sbgYFiQB83y/enemS30KFicqC kiRUW7d2nUOwESPrdxC28dE5PmGHLwNLBhmI9reGID4AdnhdOuNrLmwCDOSiGSLdtweG6lhD9Lyb 9ZNekQ5cPQjz+c+i7/ya5kpvbxKenBwROLRiK97Eqv/pJ/qE6w/xZFAyX5fIp9pW7e+e/ZE1HM1d OHjfcGpSbqW3TOPyKPgHALJa226wNbiNo6fT4d6//4XiQOgedkTX5KF0GpX047KfsQEDpK6tFQaw V6Z2J7Ai7mjdskexR2BQteVgtSaa4mhguowHxCe/ECXBIKkAfZ57oY5JkCqC0019MBiXzlAQ8SsF bHFhUlS9FzbsRCXTsBch71Qa9Ly90zFQ1c5uWgNJskE4h1kY7gsxVB1cZcS9LqaluRVwqyVGbf6+ JxpKJ2+Zo6qxLTlf9MNRh22y5Y74k1kAlRz+65b3pE7Q+5q7K+21DeuH3fku8D12qhg1iL4isdqx 9dUhbj5VHl1jvo1hB4J9rR7lcZsyg2osf3EVdwqdOKtKRiD93MRPXV2MnRkroE3lNtVMA5+5xuN7 dNE3Ib/mcpOy7V4xk3C0rJRdYK64UCwY+CvQjJPfmQ371sBlRQR3aljLLy/FAYXTxc+/sw9CllR3 YwIAYuIVQ1ZUdrfvG8ZyQtYEDKXiLqgMyuQ7ni9Oygx7BKlhxBavpl8TA75LZwap+i7xj4S99/53 qEExDJxkQvf0rgxvR31JDhHVdD0lGGA2bUL5z0LS6pJoULF2FaPQzWhzP888ynMhXyVg/4Nzta4p zQ7RVuiZ7iJba8zBMyuq+OukDbLLm9oyrFHIfhYWgD0gkiQrPjvota43Lzm6iDUf8bKr0vnbZ3i0 wq6udE5voy3Lmsa4K2en1XicORF+SqxZgFOAk8NFQlAxdZaZk+R4RJVuq8LaF0VAxnnWSYW2AVKc VmoXbMLskv3/l2GOSUjhu5xN1gMGWlr7FcDwKoiBLSmrnCfhmjJkIqMUv/gizInoYNzpK/a8KXMb oI2iuiJC8p764+HiO+nT6kkC+/sYZIeFM2w3bY3JoAlAsNXXmuv76+EDgCMlHo4RHusKNHh7NOSu EJkn7nelg8RClUFM690+nK3zVERh/HIfkiSU34BOgIfSB25z/NqZ9oUJd9NCHtIx/QFtAAUEVupb TsMjJrCzgbsjgoGOZk3fIlakde7DYQAW9XjQPxtSFPnvi7Wjt05+e5NBoJmnBtkXU4BTktHT+2q/ 15I0IQGRljD7h9c3qM0Zgfiq0wqA0UKuhTtdaAwu59ZG0ungC+y9xkf3EvhtgFo2wOMUOrH2dJeD b8GVFDU1Xtvk0lbdyxuB4N+0NDBQC5ArXTOOA/ALffvZxS8ZUY4swxiHq+0/CnPNqrsaC4bsD55v gN1b1dbWeREy+bxsySHQ0QjtIm64kgIjsZgJHxBhC2JIp0pCUGXYFmwFGypBZJO5eMW/GMY6rXr3 P/ACe7NEKCzZfvtr3yJKPcJeriMHF79ME8Kk3B612dQHTk/+jDoYXUAEOZI3mK4preYraPOGmC/l AeWiKUuD+RbEbEkrF/wiKuKe+SI3dK92qwm4/8s+ziNxq1Rtv5fM/XFIQPlmc0lXri1mBNxzzJOL en6yzgZ++T2M2vsv5GDV2sVhpb81hRnUxJ1tj0ua5mQhT0nQv9dex5VpHycFdEwNcL9lQqhPvI0b Hse2QcSAkhVJDhUVm13sUVRYHQAKOTSXM/6HdPkb4hIkrVJWyKooDoaZ2qPgciCFeh4AC+iYN0WI vXgff/nUI/opij7wNtPNWCngkbmgxr2xhrP/zQ3Q0KMHHyd85Qaaax32tRG46jRNMFNthlMCVQnD M6bUzL4KCLpKqtvjauCxO73Sf6NMflCiWmZA1ImltvqVtO8jaBo28l+pskbxQ+2a9Sm2lP691sNo 8VqYOIzhawnjFacobAwnTrOFe9mZFVRbxAg1BGRrGyMxif6IGx6zavobF4pWL42W/FbDC+ZOCT6B cHRjD5/rO4eJJrPWUxXbypuIIYd4y6M0BqsviGIdwbUdWpvhotKxkP6dXJjilx6q+ppUJZeJQOr7 i5p00ct3BD979PamEqOu8sPlaB5dW3F7qnzY0m4GIXipd733/6oN/ubN36g2l/2vE88CH5G9m8AZ wh1gT3Pt99qy1dtEgFcghtwn+QObQ4QaihXm3OP7lfOMjeQGTUpYL0cV02h3qqWjEy8365zxjvQg Mz/JZc1ZbojRW13vL27+J3rKm+19/tbdr+7E1trMEzSEb492Wu2yg0ngbDLoORGJMh27d4szCK9A UOfbYrKKINjI5nHOQSj8Wi0BhmFzyHqADeM3b7X2qPVvsu1stMwZ6wjQAGtRbTyfaPojSBGlLWR+ fR9TDovDpmtcn2voro6R6ia86wBq5AQOGacsZGNbBOx5NBkH3Li2TaDz2ItB2wsbFqRozJ8sKGn5 WKx2xO29+gsU0kqVlgKajmATXRcLBwS1hNV5V2O7C+DO45ABdibXZobxtNEmMt8I1Cr5b/ySKNo7 77AcFJj8fkCrjQTk7L7nW580kw82rR4HyT5qDrp7m7ewQVfh0lnmgACcSxx2iRnu9WdvV+jgCDRk zjG/9biWufbLnpF73Bm6xZIvqp01iFmWkbkvd2U6YZenXezpV8PqY9drVMfvHqfZOSzf/7rQXdBO bOFGERfK8IxDKt0n7xNApLLncucYPLEnXH9SvuOOLelunEQ80Iwbtf1FhrfKe0xbZLpKkGIeriS6 /mx5+BJRqUgmJwvArScirRHpHxr5fkrr9OcW0dOcGEX09K1tKOFp5OfVLwhjy4i9BzoTTDBHqe6B MSboORI8/cqY/uM3DCtgpqjFbA9ZPodUrzimu8XoSm3fxt5Cin9v8KLnD/91u0Z5VYyQ0JhCmxc0 NIJeCi7/cLKnndKhwtaRf8FoeYp0fpyH/UJKq7LV0pEbMo5+jf8ow5Hfc3R29xsj3665zY/pjbjy plvSvvNm026B+qMxtkIgsWmvUY9amtOwK13yoADOBx7EnoP4fgWsdwzbLpjLq26SkP/aQpYarJ8s J8oI1Q474RoM993DENHGf5H2Ghzi3u+QljvPP0o3TRXgifalnwr5K+Jrz0PEt5KYbPD2x3b++uVL qYCi8PtrDRUjfdK9QfAftoiSST3bp8ydrulsoIMdIOdKuu91sugoGExEFPzkf+mWe9wX0IGHdNuq 8u27Rr+/CQ9gxioORRMr/AuxMVq5dLxE7mBwdTDQp1U9S1oV76CnZG1fy1rwV1OWQTx93wkijVum +gsQonVm+y+4hmhWD/WqiP+kAod5mZYuE05E5qmydcNJaPQtiesjnKUbPjG3kUgh0OZlmNl3/XXB 6JIWWR7SJDvcqzgrbsfL67ciEOX5fdiPkXPBq+xLWxRN1a2I4B3agJFCWthM6lzz5RSBS2k4KMXR hSqpYZLj3SWnbNSEK4TBbgcPnblyMooI6GmxuL4G940bXI3TyD/VzNpZoNk80IUshElC7mg+QBKW DMYdzoHLkP6wF50sa9IO2WwvR0MpWJNjRKCiLwnaYnQcoYpAMwioDATgjiRN/FCdJOVBcAlO5zWm oV6KKFSiWzKpQ+JwzxwHk2z8k6cNmzMyzQWrRfCbDwFL9dF5gexXqCB5GBSuzrsOk5rbSBWOh99D uC97Z2J14qMN1i9Y161L0WDzvp3k2/HsN2ZW8KD7QCXtTfo8T5Mc3npbE2LUvDzcKsn8niObmndl xMv8/9TC0WBQ38NzCeZh6NTvin7baVXAG2cK7FrH+QosVA2DQAl8385LBmvkKXI3kzTYqUYol8G+ +cFIv1kNjc1UmgjGetO1B2n/nCXYcrGRbhgYZoVtq9XmeCXGZl3sVQycH+mFtUYaYF1JSoT75mBV a61j22eB136vIn+/okvy41re9RmHLMMWgxxqinzEOSS43q/SgrYYxxTYx4WcBDXzX4MZTAfu/2VB PlMYKOxmaqSNapezud9B7G/1tlcIZ35ywFWmUfts9BMbIj3NFSab56QDA1VzdycSzIgydvkwp7yL rskmsEEmPIXePWod0lO/z8zFswH7StEVX8Ric7BUDrTb5d+teo4D2YHnAJQYwtn1htBqD299ucYw VSYcLrq1+nHhyuG+9Yx0vyiwCl2LSzHvgprqhvRw4+5mNLrV1SeMFMg5WoCoPvNcWDdFOow/LXYh ULjuA9AeLqyvv8Hl59t37Pna0zbdP/uvK8Kzi/u5E9uCM3FQ31zu1RSKSEU6Ha/ohrZ5uEkhEG+t zBKqI1ZKH9jWSzq+M3DeTdAyNcBW4AlTnepvlRqHo6WEnTgMHOYYlB75Eltdsx8O0lQTlgsB590t 81skGoo2Noj3MhPqY7OMl7VHPt5Qc2JzhR3V7xBWcf/aLtZ2Od4eK9ecqUZXKIQuwYZBTHVbs452 Yuo01P7XguAEoiJgoD45tPUTppELExihbUy+GDu/L9/eQbQv2s/2pu+0GRiFYk262ewqlKFRIYFW 1pk2NXgNnyjAti43E27FawI74pSn2rKrquqsl8plbHStdH3xtObsBtXp/Q0t3NoKyam7FPsBKLj7 oTgO04va8PQos7ltuZGn0RdsonhYp/d5jzCi/4BjweDnLTFsxGrp9jQFo9zxmcKrpg1X69kXhEvF yd7Jzibr1HdlfiG/KynSmRYA37PoD7f3kJiV42vjrapCO9TwWUFLBt/3CawtUfGmz1tGwD3XP4Nx DkLIrkyKHSUEAN4Ih/2qVZ3HljpzFgM7IXbPKNpOb1kTmULtvo+G9mi8vslhuP2u2qCxYH9gSfkW SmyREgoSLZnMKwcTm8xF7ZNqJK5Cl/fewhDoi2U2W/xjiQu369Ppm6nOGeXOZACYigdLgZtkZ+Ef HALtO3jvFFKuI+GQYVN/lR47+aXXrfqwoCF5vavCdXZ3NbrB27Q8UXGGVYOTcqq151jkVIyHXfhu 5xE3+pNmGyfBXn5Xs1I6GksWZbI58YU64mIj5j2VU6PWyVM2HP1dPdZE1Gd3TfSgviUdoHpb7/I9 21pB+z3zjJSYwhGW5QUd0lhblgU7y0xtnXQO1x5ccEDrW+9Ana7OC9JX9+Nt6i723/i2j9UEynE6 keJLcjpJd3z8/Qo2U3vcybRC+pfDY89HyFCtlTxKnZ/F3r2VurUdssyszpf/feb+B+0pSw5UvC6T 3bw0ZgfrEDGWaO4TvaCTgvDQOtU6sVmyqny+HOb836AvWg/VyO3JafFMTdIFkNIksatu4N5zV3kW YVLsUvojpf369NwJssTJYOsSVNfLhwgfYCSz3K65YFnUzsG328VUxY+lbtitAg+vTo5Wy8oY148m PnSwJsmiEbSb6K0DiLvZYp7fIBZQk7yuoEmNdtS/VxW7lZZytZGf0UeJsPHwQSfuF+S8gzh+K3wG fD04UK6psAKZi1JV9EiMrI1nzbQVWKhkqSd7xMTEl8NVihpodeWwpZ1ziva+t/5AjIkD4NupfRpC Dri5EpzYjkZzKwdL8OBzw2sawxSzFpFcvjfFQarXhhPE7hnY1wG1mbmugKRIHeWfJD+MWhdVKxVR 4PW/jynaxjWtTrTfm/eiK3nNBs+CQ9cLh8niwNu+kJPob9DQ1N0qORv16eFlsGgq5n+0raB0P0lb K9M2QB/sj4E4fdjJfX+mmjdC3cmtQMVt7feUOdy/Npqgl1lIrNqwAQWCg7xTUDdLHUsfEKETsrJp wk9R5bbI3BjFkI241Rqq1gEh1LisA/w/+YVVXDzRtdcH4NTzaURrWzSkdg9ldKFtAPN+AdH6MG96 dqddXKltuEeAA1nX8A7cDsmDor1+eeEy8xtgnxC2ZVh2OZTorA48MlSnY9UfHiI6zZtBmb9+gOeB yt/zsS10KE5pzKbyZB8gGZrqxrAWhbq6UI81NvLJHxljDpL5sh/flWfOY3QAja6GdTbZ7nV6LXZp ZmJ9MJ/2ta+ioTMjuJvlaf2/o7areI0u6Z2mlBHzl/cUReI1I3of3siscaXYsTrkxf/c7Vwbwvkz TD2SbN2x9ve3EJyREw8Hw6rXsWZLl2n3eA14iDpVxLoVmkB07In76LCnlNvV23QFxmMPnQciIU+J QbS85dQQgfs2oX36rS40lJKmZBQASc3hXjcMwb7imVFwjR+CVMPopcwz54lDlitjA3HSyJwmvS3d WJ+sQEs+wIkYeCYYHy7vry7hnK+DF9KNLNHlXxCzZqTpcYlaKxUJIZDbLmgkDrOPjygFP+eNNNsK ZSBgbY3FpKxcEwaW/SJrKTJcLFA8byuaf2uyXlLAHjCgBJycfgFEyvurnFAJ4UIxT4nx00cW1yQA kXQiiVh3IlpTa8RzqSCYHqrq+1N/sqsSN+topSh+2FS3bfYxCK7TfJ22yBryrLjFrogHyBecLQVs Ko/FYyFT/CxlnqOVn+HVMJaj+qkxDwxkWy3c5EsX/yx6qtNS7A5O5mN5cTP8zaKLlH4nX0JqNRZF /263qUbEoF6S3TOpTKAzPg+ZLFKMnfOA4SJTlDN6/DP1cwtsR1dHuyp+8WqtRbQTHHDbeK5JXjnU 18n2RxG6DJ9luiZsWtTe8QB+3l7KK/rJGKuhNFP3Qmu1oM90hR6zI04xfc0TVgje7/GQmtXS99qm kMMvDDNh5bOKOrDtX1OT7XiEYjjvM6SXWbyUFgAPoCyIrU/Z/ZN8iGE1VckQGWWjjrP7jAmURyzo BQt+5L8LWrB233e6H1QmChEZCfTT0ApEJ8i4iWm3OgBAHF9Mcd8Eih7DtUxdEOAFE4Aa5aQigwqx cxW2IrmLd2PpsRKSMlJqnzf7CIKiZssLRa/BwA4l3Sv/fUqaCVt3cw75aviYOat5FaX4vMtHWxrr oaSZ+Kf5FWjXhzMZ8W10sM07u5Sry5iVc36IXYXXWUJkmeMSl6Ej4jNWDsh/crklJRqz6/myRAKS JG38L2HldpnS1Z93tqkXLVBLxaViQDWIqGtVSjrafrJBiDclknCS1jyMkdcVwVKo6efdWS9NaHKM p0dqhFu8rkz8xn0Dyvyu8jwVlVZNslaMYe21xMjRDEIABBolR1pHXV3YK/2ZAaLxyeehOkhAqYsp 6houm10YMo901+Xf8DDHL7BPfCqrk4GxfJF7gv6+OnCKvHRBc49h+oHb5FbcQc28gBRFvuLdYcve YKKesDU/syGAKDDEAgwLV5N3n57eUP8MikmBlEVPgTo4y9lG+n1fEydMZkiuuFnSzd5t/UMsFjVz TDEQd2lgWAE4nmr1k3KSnphLVseaBFZiMWB1hVs414HSEj3WEVS/EBjam5WpZz65sjXAbNv4elXE 5y5mGu0nfmeOGh8/8eg8F6SARtCWl0MbMddHibKwrnZ1duuYHRSU2j7B/RueVHF6kR9cbW9WJAOE 4hgeIJepdnlBYoNz5cT7m+3foifBx/pA1ICbJym5jTTQXKVMK0Foji6HMxlzXOXASsnZtYRs/HX7 1tl6NrJy75HtPOJAW0Kee/C2/tMF4Lwdo5QCsAYMbUiuqYKmK/omDidesO4k2FFLxfac4A+AKtOE yeBxaw0KCKeBcSvUrQwhw7GPxewvetkfvl8gga9NkzCPnCjxmVSyuflHalAl65JlQKMvSJPWuza9 yqUCiAUEK1DCq9wwZMip6R3nQMpZIIGyV+XJ4irkg/l3eOGjSq51UneGKoXFC8edeBXKLGLcwOi/ +7WtbROMfqQIjQsLLxn0IXYf/hz66uVKWpvMFNnZZf5JALNCL0gwRWBnkGOlSTx+sM63LFh69cWF 1DcuLXLNAJtXZHjxiiug/zCUV7x/3Z2VcWC8GKd25wWInUb0H6WdazjJWvCDvjAMFwFUtyiwvOa6 Sz/c7Iu2ZYd2iFqbAtaPyV+WfA9+jINzFpLlyoFhXmw0VclcTibRVaa/yTQjlhMUdC0DT2d8WQch iFzXduoamDmSxENeMJ2Mp+dn03qoUvurVrzVP5SxyE8HeIxlbtBsz14DKIxB+Xcs+S0pyCUnOVt7 Gg7LTHrpJ0YtVi/Hs0IBhnSYqExDnhbQRqouoIBNGL5v6M1k4pEXt0lE0+IP4/6+WUq6r/tiywON RQ8fimO//RS0NHICV9A3rDf7nbcW0NhmgodwITxCQbHKr2h8juwTOGakNwza0ZO1McSXrxxRmBZ3 QFqRhYRRxQ1mUkeGaN9gG/oLbmdyaiSh9z8qScfEBkYkDj7dpez+CfdoxOALv5k0Wksi0+3p5uxU FdCwVpjb8noOmhefbPCTfnEeJ/xcCSVVfDWw8OBMKsCPSwM0lpn7T/Ug4paQZTh0rvdPlhHq+pKU RvH0M0+9Lm37vKkxiyCAejztSVj/2RtH9hYDGJVuiUu0mHBg9awcEyxc0/UhwWInF7XVpNr/b6Pt T184hgigQRd0Nv1UeYb74auT9pz4639gi/ueACKyQQ7CeTd83YWAi3VDKcAjgg+l+PIjnDdy26JY xZ4Dx6d+3KofKIzKC1sasQj+dGtdItX9GDVuGHIpI8zMSvaORkHPt9U77cVg+SZQzUGARm8Vmkp+ fx+RCb62vHM0jOmhQEr4FY7XLZAgghOjiazQgCo4h4OWhIOzp/qS7QZhOqPwUpVNLdZvmCB2/nBD 3VVi0PUMrr6iiHbWsScuXBUU3Vl3usVKI5JEWFA+RcRIaVUE9Rf5c4YoC7sj3zk+9doJMbiMm5Ir j64UwBkLJmu4BlokyHu1fd1m4sYfsDscgPJEU7D/tfaQCsLNi8s+bRHHZqhDfnRYTftxD2s7Ii/T KPbCjV40ZY/eBl5vzR9Q1J1BUYi0njOhaBiBxkErDdDRj3ETS5ehG3cj/Pz6rgWG+76mcR81oE5P G061boRBMWUid1a0nwBnUvBTUusfY4VOw6uyVph/1oBm9pM7QAh/WNOnipYl9096sOePgdKfViwu RoAyEVhmtRWh1zy9nKN60ubl/+BeIi2p1B3Gi1kx/n+fcTJbaULxeeU8GrgCtns5lrGe9m2TW9Af Jd6HYM9iiSZ4od7Og4cLjAWVc/E/7Te/kdc2tLT3jssarMnTCeOSQwqA9wyTQwKj1Lc1cQytDLcT ojVuSoR5X/SXUV+fFLZ/n0s5tCM/KWr9WhdWEiBe7BI0D6DVWeGmE8DSVsjf/7Twtv0b9GhfDJzR KeoOihia3ogbpmJFw1PJSSNBM04vI8Y2lUGPbOWEhdT/2evnQo8RlV/RcVNgiPjjXsZCCcfluz0y d7TX01xDkjQSDt0qkrfljUA6vhPSwLt1PNlIcKdhv2DNEgd5H22O+jhdkQX8JAPqyEK0qsXhDkDE g8aPNX+RrvoGmSFFjnUR8d1luYbhYGf/GeooWgMMHcp503xL0xzqVTzZsNJcyMLG2lmHoW3w9sfU 4iGHKFX8jF6XX7X6O0EkoZEc7QCXU2kmMjEusXzdHdUcDvc+Tt+zNXLzNMNmmKEfjswv9u6JH7Es qwED90ScuVuojlyIqIy8bbv5Q8mBP3lqumYTgyz4HdFqMjIvJH/I/OrMehfg5myp1UvDICqsnVgf QFjgPYdrl038CoE0sYKX9mlf1H21yRU3A4lXjwAaV3lT6OxonTj0PoIEptues/DGFKdOWt+3xBhB Kl5ULCgs6ceoOKB3O8WIanBP5f0JCbsZTswolKfWnEuWurtkHhMgY1ofKln3rTYAT9G47t62zNee i71DXaR3VJZc3EuQQQKUC263yiShAJtVCHbtjQXjRKxT5kSokZhBDkQzVdwsoTyMCcr/SQ2/aUmP Mnp7wW/wWlXhm65HFx0v6Nhr2Xg1rnT7TWvXyE0hlJ5wsolx5+Xl6sWIXgUDI6IT/20Q3umJylnk D6p7FSCR3XA5LVNJ4gPn57Z0EvGycOYK2R3l2n1JQN7zHk/MnGDRGZNpj5+mNWCQ989y2OPfki1m HkDEtMgjH4WMi/jev6NnVJLX1QmTIhJLl5ZcFLVyMCmyA2tm/3ZH6reX7LyqC60y8THN3oxDKZFt TDwB+1iG9+W8ZMq/jayI4s2/2FAsDHnSe6MJ71dzxqwBnnTDOS0i7dhLAIVm9TP7JI9MHoPEKQxb eusDCkiLj5tgaAzcngLbVcQ1awnHoUkjYWYIoDpsKb3cXjL3ofPtHEZqY5FVLjqLudD+I41rVgDI Sfp8sIj4xGUv8ViCLUjM3cV+JFjseGHcZg3TkggC3VLcHb3heOfJ8Hs9K+1bgDwcQL4L5ATRPJg8 qguvIj61Md6g4d3O5PvNICZlmNVwxv/odd1Ds4FIoMUdjMcACfgtkMIsvJSFDEyJZzuqHTvaaiHI Tw6+2wTVbXfVkA6SzCYBPIIauDKLQ4CLAkkeG3Uz9nSQltdEsBwzuc87eitsU+VprcN4+5E6WIMF /DBIzMapA2mXDRkL79mUZahIaKKW07xAcaEVRIiKBC/MboDAYUufHUOMvzNAeexO3MTh+HeYE0vH PX/CxQyUDR3XTMtOFuNed9zrvzB5SKWgQYEQ8Q5ciDA3Hd0IhZtC5rt68uhVxO0YE8MPcl9eBaNw FOgq5jGhY14qvNScWktZxAgszphtgKhJjnNwNWrkRw8K3MJ8UN+QTHYnZfPTq6WBGw7La9F5651/ dAbZtWSxox51xeVoLHf6qHZlgLmkDVappM0LxC82shlHNdyiKA7BswhhW+TXmXDh/VP+uFsuJ58f WZfYt3l5nJbkZv3EvfAo3hJ0ovOwkQvcHu1UlXm9fkIKCR9FDKRGndJopWrhB9Z7Yb1U6oiQRQox IDCwJ3NE+HOcfhv8yw/gD25EsNKjdj6EWTyrHsnk8SS7OEu5cQ+P/kmnkQD0PzdyNm3DLU4liFdv EQhzdTdD8CXrqj/7z6WlAVJIqbg+41AxKIWQ7i+tw/E3APwiHkcwq5nGPuyFDc8ZFLqmgC1+Osnc YW4tnrp5sn4/4UPoTz6ScTLzM8xW7cGdtaNjDK9Zqc4FZz6+OP8F+KaJg9Z0/A5vSN91+JePmXBY C7qe2CIipXwYIIuTgxRmxoJx6Bfp8NWRDlRNGWfBbELpTFtTheDx6vCPHttjuJDGE4bDUEEllwSE UlI3GP0apD+QeTMlpAVCNGJwupXgc94p7j6NocMDIlEc9moR7e+imbNUryUEOh0ezU+OZ8bIDVHe IkGE3fT+xqg4rDYcUXEhukMXWYhesJK3RLZg7PuPMOd3Z56SjmvkXAjaO3NIkzQeedpBnf9o1e66 LMpQExqk7loqtg+oAM5W5r9SAKUot43U+5N33yEYeZDWCZfX1WW4/jUPZEVVjYzQ1B840KEttXKG PdB71uHiTyCJrJpYkEp9vAjPlrZohaTO5uLFyVYINL/hdVGuCj8OMsMuhw0JqmbjpKZZ/2AVfHKZ O5+A+SZa35dLpL92dHaPLSXwX72rMAlbwrtooDjttWHa1Ssvf+Vqs2BlQrY9q5IovWlzQ8O0dnkR gGe2NxsxGyMFpxY1uOQgd9VT2t5YsptZzxwNyEzz4zoIwz/nBFW7c/YPQpPO2cvPyzLOXMvwZGLj dxMD1AFaaHfoxUmzobVMPxnTA4CLC/iXUGeUdOWaNXEgoAi7Ryn+kL8ClBysir9roYbgmrJT3vO2 BBH1idDRM6DhPJs7fozPRmjx6EmLnNg1gbJ6xajXe9AxwFHWtresxfeMs0bP4zJ5rvr82c1meQMl Cc60Y+Bx2p70QwxBhNTqWk8s3Hp0yuwqHt5NBd/G/8QrDFoNDeXWAZU3QIXpREctTh1NW41KXjdv 4/so/MdjwUOsXZrZMssAqiZt/xLpDfx59KGXusBNHtXs8Y38MCg+LZ9GnBz9uXmQbNbCOX3lNA4T 1ECibCkNVWmermRhHupgxibjRIKNzLyiIyjIw9XjN+ymmepcmOigZ3GNCD8zHQiEGemYpQEhHMlK ZMoUa+HHwgvcp9saZOwSpocWf2JECRrFjYLuF3S8CnfRjl7uyE/bMCciNDbddWRPZZOemDgVQCPO 2TNF/XpydJ1nSyLzVwrYU137+bFXykUgWJfC8TMy8aQoDgK+g6y8HF0tUtHe0mLsE7q4sRo/47/1 w0KV8QH52zPPKoGlp9JGErLX1tZg2bOl9gmpRvbWcfalQONkayuMuSubG8fl5pV6F9S1s0XW1m4h Zt+FhQ1zI+Er9gEuzmi7wxv6ICT1XNTo1LUsS7WtNGtbal/BGhGCah5CKZsuxJxj6+BnOG6PnmiN vmcz162FojSdope8CvWai1O1fSFuhkfeuE5q/eFWbi4OgwdnKl45ZAfVwaH4FZQPtmf9I19hfCWu JHAq9u8/cX4DvT3ihxcUDoxmYGNaVELYjbYIlfMZdpSEMQj1RGaeDwWdOmHy5glY8qqhVupVZESF p0ve6kwS/Qq0IfIkwnUeQQOJNlflzxc8cVRvbQHiDtqT+6mMIcZDXgOGqrBFbx4rUuYBzh1fLYSH O5lhidwwhMle6bOSLVDyqun9DfHCwmXXGLJcsiF1O874Kr+w09q50aBeV7MUp+b36pGj1rUw2VnN Lg+7v4f2E9x4OC3IFUgIcXfi5u+ojPvuOrRrIXpPYK5lOQdud4lTMJcaq1lWxsSFMw8jZtsyCa3r GrGYDMmGxjHmOyT5bZa90zpBS5HUr41aNQtUXGic6wiPaXZgZuZ7YGsFoZy9FyfNB+dExRWHLBr3 F39A6xm0HPfKwyio0y3J/0helsov3D370Elko1uPlRiqQrFjJPoSynEtHGw1Bt6RHQyx1DWfqASj rRL57rTgVYDlcceSxdYs6h4S+vuBvE1c2i2Jv3WGk7LG/dk2BpALRFUJ8fRRH3d1sv6AcY4IIsCV r856e+HDt6OSjOvOCwoufFu+kOosg4laHHQhvGOKwkiu4wuDWnUrjNkkoj8fkn00RNefUpE27sok QDcsVgnCU1+zwBGok01A3vNvRPIlZfeWIpx88k4Ody1XNl++WlcCRa1tZAamDHtuegqXWV6CH2Gl /j9RNR7L6pO3nAtPMLyhDuuDR4QYWT5Pyj0GYsiu/3vNYWGJA+A3fpFcKNQjLXs43DwwPAC/w8mB 6Sul/u/rhQu5Z4jACG0hY+sYjTGJkVPI5wK4AKAAEnkEmmJmF7DGWQyCyEFk8mr4mKEwhhDc4euJ a6ijSmoPTHHIUQe9nuF2hwwZu8Q1NBuq8jC8XsP7/NZbk059uCEh3UeOYnB15A9Wtcy9l81Wsu4E ArFoylEPp8dNWd5bcQtWWbvjKmLe4Q+mL1bdudVV4aOwnzD2v7I8tcjzDcEqLbtu7kf4zc60JTE8 39zIVVm6XeftpYn1hBeNCIuazaJw1Wq3injAN+R7HgOeR+U+eFFJNYGwbf6FNk0/e3MDSDHPeZpK qZEJGxWVFvYWAoYiqlVPAmURVCT24Ure3Y8BMxPb8U9TjmROpcsR3cG85ILKUZR5tp0Ewm6KHZ0b 5uIvv+kQXTcagAo+5u2lOJZLNk7zJngFBRtZ1rdJ4MbJrPFMwkMznLLrP3dUljkciV5GpjtVQOKu jibW5HMQxzjP4jramDgjVUsJMQ1DJtY8CjOHq2WyeEnQ9jo6/vrjo4V4/ZWK8aoFQWSChSQek4tY J/VUW8/hpNv2WgDJQGosx+x8sD1ZHh7FPOQ6QThAUtFxy4HRqiMjbDr8VS4MU7THoskdyxoSsO1+ wWlN/ANg6+qbxBTKfUXAzZ6dL848bnfZmpg2LM7G7bgrSandKC6oCLiYNBpz5h4h4vHr3CBzuYAb IXvzMnobKZCZiVYwmSz5v4hn5ii6wWjwPsqdpGXkq2OZorzV1MBqCEaS6DyxBtXxX2EjEM9s22t6 QVzhb98+f/8nb9f2w8GSdH6fgdwrXJoR9rcAS1X0ia2GVOTiWcHNfNDqnLg2PCBLJnDJN/4jCLaI YZ2AeYC7SMPv6n4dKyqNf2pHxx86OnYE+uGGfiHqFtl09OD4J4dzuZA2aNQfMmkQM1PTy4LWizV7 QZtwcSRvmNRbrkcsA9SjcgJtoOjE9Pa4Mz55QsgieBh5y1DjJ9BxVmMLAN/0R8WQ6crptUFG0hkG 6SLTWUyiQtHQelOWjFAqKNyX55io//Zk4MDxbVw3bpi+JPN3Vtk9b2jz1z/rIobilsCuBfPgF20j B0Jq0qEqGd2SYme8Z4lN+HRCj27LFbt4csDy/B94htnVTPYQ8Ns7d8MJyWKdiZhmM45+gp3TFzMr 4VndHMTaRN6aBXSDBhO2rDt7tqvc3U9hB6NkCcwIzySmlTcEv6jt2DRSPXSwHEVwRzGFOvn6avSy p9bYJa5NxklGWMkEwakiRibVTP3EG3nAwIgK0VsyotD4Q8bccrbMtHohNTwV64pTbABazoTc351E RKVNDDXcT9CHvf1ecoX99RBGjTz6XcPzad5hRA4tYuBV0vr9/7ONLNZVYLqkB0Ky2xwrg6XYqrk2 3MNnYhDwX9fbknyEx2K/RP2fI1CqX6evpUPfd7+6+HEU8jwvK1K6+iOHeS2GOqlqPgr1Z77WKu2I bnVk7RmHfpzWX1gSiTqz7O7i3+VmgbxoGalB2NHQ9tBlisxVESJpIT9euiMbr6VLoM2v+GT0n2Nu 1dFiSNd6E+LC/KzdNsBa6TYHLnHF1uCi9PX/H/kE0mtvRKsvOjqW/DWv9AIkfJCObKsDHirPrMFn c+LbUpvA4iJqdqidcbOVKkjK1JLJeQBI1So0HHtdemQIS+6Qz9qEGfwq/JBlvbSRmBswdm+4x9uj tv0CD6UQwGvnf7pjpiJY5g54hyJkbYahGUI3qP6tZeMAaCilgeLNTai/h5GRPjgdQ0L3YSv5Q794 Ta8l6ruzesAEq2JGti9Vn+/oSNCsaDYK/EOCGBQVl90NKV5KNAN02Odwt6BxPvV9hWxPTbSHMxwZ lICVa1OeIleu/eyiNg9yuwq3GT2aXpReHq2ip4vB9Q5QWOZNZnN2PLUxCOqvpVL/x1ngxMp8bWY0 iC5sXCAcblK8Tj3g1n8h3T2yXW798OkCAHmxKwIzvP42sVQomG5TvKUo9tIOWhuGkyPNM+dxRBZ3 wU54YLX4xiq/wiPljcGUIIhAAOuOwY/gzuA9P4ZK9EYj4/3vyLjhBkHWaBLDdXlhay8gBvqyk8E6 0mpT5NHZymwezejaX/9pvdWCQJwtGgJRmseRbFY3goq2uH0JkcbQTr7xleFDk/lcgkG6OSGlN9em BKLsAPgFsiLqC0pvtpi7iu2N69J1iRdcmWtf5FzXfW6TTgkhQwe7PiCSJEkkXSQ4bQFniJlHOGV7 Fql8UuiZzwoFkD69IBmUFneOm3Q2L+8ckyM9vdHJ3A7gMEt6AqMY/Nh2sHOdx2HDEV/FDl+uZu7N QU6y5NEu3IvGpXZ6GsGyR21mazV9xLhttkf7s14fF04eoHcOYK82pTLnYV5BYeVuCdeCY8s4XSEk AIz9CF2yYc0OWJLAir48cyPNEcvExFpVBDUF3bMp7oLGTjnZblLPSk42DclU57175xSuoizg1hMe oxHaRSwGxWAk+E69NFSmzzVPjgx3m4ZU+v9kB1cn17jtrzGCxGxJtfewcB1vBA4t6U/Mu3muFVXV Uol4Eg7AphNr9ME+L8ZK4sNZN1EKf67zTSZwJk/W5o8H9Q1HB/TCQp/UMeg83ziylW8+6PrZjLCw CC7GBt2apcvC7E8KtvBz0Exr8tWcnXrpL489XJIYA8ToQM1ONpvDjJbAdsOpqRxA4ekvfdaFjew8 LOuht6YE7cTJlFd4d0bd9gviylg4IGZVdA5aUZzHFSzTAJuns0pCzT6jJYbQFueW2Zw6GfEO+clB XinGxmdacVCMPbBcElDF82SZx3aTk9U4k/ar4X7XNZCQtgVq4KMKWUDU6N8OOUZMATISjgH4GQfo mu7kL1DNLWyc+FIJ6QwurYgfsip1pkyTRi6h30mXxWGvA2wr5icHuLDgYCSWN09vEliuGilYtDan whks3mXrr5MZ99qrrO5lLlihJS/14kvYDpvVr1aMgeB3u91Hy/TfkGJBCJVu0AIfuRFmCdLPAV0+ zeS3cH4fYvxtoBGCpq5oWW0OL/gdmqQs9RcEQc7WU2fQyfJCLc3ywyc1GHjTg9QQgJUBc3aeuhNV HlYgWRuWT5nP9WbdNRnpnDfX5+hOxUzanc4LnX1fnWsQWiEj+YPTcUNSr4MFiv5vh+0XZxOJDwb6 KFgyMDLKgRWZGMpbNSzqUhHg9B/2LMJMkgt88jWq0pq0GtKICwdYREHMM3leFdBrEMwvLSCheHL9 WwyHBpLeVsDxqvV641pHqYKKcFLUprM3H7E37K+2XNSa02Biq9K52I+Y2VLRTeeBW7H/TNE1niK2 dTat53kFe57BouA6TLcXbuOYZH1/0b3kdNyYo19LiYMQ/T+/dU2d775fypMlgHhblv2JP/JFwbT9 DVLYSgRiNTBK4LBp2BnwOWe57iLUOrKSEMn88GhwiwM01bjjPTXrNArlSd8Ao8pKLW4oTK34RkhB IGSv8f58XVQqsp1EmPqlfRYlPIxTNMy1Wb4pJoX3lWKIJDmuURb7oXWeZgv0lun3Auy6+yeHmEQ0 9Yb4Ut7FKt1L4hcD5J6Ye3ASM03sTGPNEKNPuErTpzcOwdbKEl2KKEkHqGZUmjcJpQ2LZJpWwrae CWfy+NdWyCTflVdfRZmb2V0M9fuNGpRt8ig31MBtVByMhU2ToHtk48sNfMjOokVHZGOx/W0KWVHC agQAAgrkF6czJ94FLhJCYGkTsSsKMg6O+9KITspUwYqrDHhMzG6+cyi0+b9+WbQSjsgMoJvlCkYL uDzYzFGaxJzW6PnveD0TgzIzvLRQCsMv/MWERYS1NpshP+kirTjP4irxCHzfLez+TwPFXypzTN4H HLd+xdF5+N9ChxgfFksdZXbrimIYwNu9F86/9m2B7EOGyImFDqYornJjP289693jYbFstbgRaEcD +k3Hmk0PumbV5eMIklWJPDUjsZbr49eD8gPZJ860pUr/cC/v5ukUJ1megYDciMQ4SvOVJPUdwiw8 pjoCwOLxLuySOFh9KffbSJiJusDPmdLinRyCnf5O5GuW4jyyshYblB5Z62exRCFIUmWR+AYHGqwh 4Vi147fSWQ8N+teXiioVaUT6M3TrAoYuEn0ujhS85U11ohBKTJYbaVv9kfOXdb3hasCtI55O/Lve bzRvqhWDuBsEy9Ts2aPtpHtwW3Pz6I/2ex6bb7N1O9GlS1GyCMaVwgShH5T8dTUxtjcrvY4tUHFv 24L9k78jJPGnY1QL5tcSR8bQcdxB9dYSEHQtxPyoy53wgy+hesPl+g2ENn0kL8Dy0US0Z5GVue/+ /EP/sllPm4Mo8XI5Vdgo4+x/5nxNhVSzqP+c+0psP8vBc/p4uwgIy0WfTSzrm5OaivkplHX0qXZB D8IGL7ZeUMFAP1L6uXOryFPx+f7H8uSV4HlP0JvqFYYF32mnPDR1gtIbDYdriJnDOcMStOIQG3XO FpRYEfJlmMRbEukkMd2cBbEEJZ0X2u9y1rXvQx3EMSP5JTLTWPQIYEyuRQrTG2+fvl96yvtI3mJa DISxZTXevVtBXLvRsAyXK1JstfSrW2uKggpq68zODRwc/hIJzdGquLmEjud5E98YKqw8IQRmANP4 1mtQVB7JOsjoLmD+A3RZKZ8OduYrtLiLeGCcIFYCruR1fqU8ijNFq9Rb2zX3kzPPILbd3MIbmh68 yh0ETTotSeDtu7MlLlRY6jZSotqkNti88z4Kfn/AdK0Dspbk/5u7+TEhjV51Qmp980icHRjgtn/r +OD9kWbB2pBLqHY8kgBXxJRAT1IIN4bspGOMGDGO8SsFoETGsFxjtxc3zgDV8BHXSmqTzqh83aqa njPErRsaALvOOGyWq8mgL8dTxKSQ65ieb1Wxlc5bRmmCUjJVNloMo5yYqsKj+OFlIaADX1d+NVUs 3B6eK8DiEjHSaz0VXtAqVFx+45amfhLKxwEfNUMXUnofAGa+zQjexvTZK1utHRh/+4CYL5W5pRFR WBrhi0dE1qdAeGIon8pzyR8nO5lcs7zGUf5IU+Tpw2M+v6iFKwIbPxq0F6f+QNirLL+vb7T1giho 7cx6lBpvGA94xoZ+D49UDiCR+LJKLKCjOqssY11KYyoFnJVqg/PkBrk3K6PV/BqgvCpfBOfY7qQQ Js1w7xC+oaNFJuC8PFDPuuiULE+eKyBjDGADPXRjhUMt64kDXnRKe0Qs9SRu/5TRfR5555Pytfq7 knWObyB6gDHVEaQgZEpywo7D16HQvrJtAR/P44JOFtgewguYVcewA71yM0q3b9Uwh1sXzOSkaWS4 2LSHA3GZIb/+xUe/N2QxM1MQHE1CL0oYX6P/5i0OvDEUYSZsowbXLDn1vKxmGXaBL2Mr6ii0zh2H 5tLVxrZpLfDkqtJBiGnVqIOcaQsANc9rnnAfEJ3yITKX7Jm1qe5V74nLiRKQz8bwOwotPFcVzR2J YPUqNhwFgWmvQ4pt2nS6NZtn6LLIhX0++hC8yINRvKcFs6uS2LlL45cOB/PAiK5luYJvoMBG0nhy MRKx5Wt4+3hTMsn5eYBs1HggSE8nlr7ERKEC/igPLaBDOilvx79tY1EhcgTImUTWBNqYxAbCf63g DhLEmkFdBOVp+BRb1436WGmePykSIMG/8JqoO5rHYe9KvAUT62g2HJDBC6NzWkz8jlEV7F4dzMyc oh1GAiN0ob5Ue3hI4d8lPAAPOBmMJdfxWpA+aquDkZdPveSyLjBIFqHzVXCFv6Hq0Yfb5EyP5AuL dWOCjG9lQUr0SJ9pYXritRveLznef027krnS4dAw1DVjhdaoWxLOoGLfXlCQmgIatwVNxMMYPj38 V/i6WYnp32uPt0y/IA/6lfiBbVBiTL5BMBP9rYt9RwbJ2ZSwmspTJWtHniTxVEQ6I2EfuEwfKQqb 7Z3JpOmkkiKM6riZaHh96GT27ZOLgd/XsXDgk6RQm2hr+r8fE53SDPZeQ2pFgcOnN4zrfzlSTxmf cpmfTH19ZGeDfUs/qeTeZAkKluoIjTKoFhx35p0T3HLWidInxLazJCynCYWf9G/GOrb6uNF9Da4c cMZuJfmti7ebxgMAGDBTn/BF1aMb29q8dzUxEGH+FFdarw9Kdhpcxv4aSGReZS2/UzzLX3ys9SCJ SrixYvFuCIsKN569628IsEHFYBsrZSHVY4UJID3PoLgSFRn1BMN+8h4VQ+yYLZlhn3IO2sN2kEyu Oekb9wvEeIGdcEqsjv68lKzEq0qVmL3/dXFmJmJ6xiuXmn7rZ2GcwvhLtBR+R1USKmuG7gN3IlYQ Oh1KPvDGZcfGDn6fxOEDFybngZ9jOzpcwOuQv80YGIGXK1485WvlgIWfU0ifN8n/vYUQVkq8SmHb gMP/EX4P3R4Sw/EenArvG4RFKAyZn1eDadABao3zV0KMOrwjFthzzlLndbjvcjMxdYuihpNx4AV7 mxRihIZG1agjurUwqOaTpJ+/h4+N478LPUyyKUDzsGMIWA/eysBLTiKPfuxl1GSGfCjQPNola4xS g694H5iyEDOYJjUdWGEAnkDZaY7ucr25yo2c3hJhdeNxCnW0QOalXiQfM8GP2YgdTSHbSnPcZN10 AsWj5FP+3btRU5/22wLLYt8i7YZ9v4gzC8mahWuHWYHCeqROedQT8eRoPeoaMBUJZo3hZ/BUTuK3 dNRP5eZ885CXL1aQ5gSKeeYvaIqI5V7FRCMePjLtcZwxYJNIYDTxhxB7rKzeLtWI3cRYukhLAZf4 NWYR5Jr4OWyEzvUEH9viCHgCBkpA3kMNead0MVCQr+ybjxYZSZr+VB/OIiJF1X9L3L2ntGeSrwTM /xFt9CYgMHbD49rQxL+RGHKalSZLRqW8mXOu5XQu7zOyiT0tiU250yFlRkZ+sfaq9GNJd8SdRt4X b7VPTATyA2xZUguWxYNwyjtfAmugjZBmpR4KkLqW1nSPrncODU6wRxnVKdYh2CC+r5InLw0eG6Vu SzdpIspySVlNal4ue1526EGT8NstOamw6i5KKPXDvjJBAUaTvmlAxNz+WgqlWY0YWnNvBFCwDBC8 jxsIrNlTGxJEKN9IzwQoBMgkMDTuQqTUWSkV8QLnTregArGF7at221nKFWfxRK+dNuBBjd9djsBy PvFkLDF5hVfuoyTseNwyeqExhH9Fbqph74a8ptzSyzKdCbiFr/R/8/bUCIn394lGinZbmXzEzArZ 3M28miF1czDrvPhJi8x1zNBde/lHKFRdiUud8fSqf1y/nZCcA9AwNveik5Mf04RF1w4qBiGNd4yi Shtf46n9yfTBAV6rYCu1jfvhbkStpTc7Wll9Vup6aVXOH9BuzJUcG35ScKbra9xjyxotVQtjnudQ dccVdFSFVtIyzmKySGDh5CPOcEdzfCPfxNCGoOAmvBHMjLf3xZNXcgqAYURjGPzsVyGoRxfA6/7V XlPEWm8yfFq8xpUO44EbmEGkad3+vkp+RafByvfH7EJ1oIwx0gf9x/CN31LRd1ZGLUlhCSK+KN5J B//mSAqw90o5sVFubw65TcHm9hnZWrOLPyzYDJ3C13KhLJb2mFpGZOWpLjPdxpzgunWweWirvCY/ buNKhoSMr7TJZB04TQKkEh/EnZX2SBNAfaNJGftUfj2uJ0aVkN28jj0npDsWfHPjixGfNMIj+bh1 atJXOkBSluisTvCRvBWE8elvTHTSAZMlEAFhLGyoTTm+o2WaLVNC8RC9M7iqy8O/YMjKcpaIrbY7 EKxkTrTiOTuZu8nq236MhZI3wwvGY61zjas9n11FWrlQkKIqbdFZf6RLTSH+cn2IifcCJbdEZAYo Dln4ivjS8zlupx3BxB65HYVS3Ca2QdJATrnxlW+f6tktVLNpbnpPyeWHkojDfODgDzBHMUBF7XAg jcyVrPmGbgRIM6A00EZr/DdAqDA7NoI/mH1EwQa0StRiAdLmcg2OH4sxA1a9MQfeEDg8rp1ELlNO YB1nnIfJ4JE6hfqM9wtioY8Esqm6hwIwOJVWOGujKwTLxaBIxFWAD/2yg1P3yNjXAH628Gi/en9r kEJ7F0wZq/PlOI8CgOO9SN1KzyapuIgOyOl0oNiEh6ydfSPwSW9wO5dqg9Eu0zl2RZRekUbVbZlI hwY+A/fkkQSy5nQwHsMG+IxsenynN+QSHjp/o4Z/GZOjQYSgHf6CuNmU8Zzey5YTg5XmGQWHpmAM aguaqdd9VmK7w6toDnGxoXCzMJqd823EMZRrVI+ki3PvbDu2mVOH8fPjBcbYRFsQqAkCVMAWZw50 6VNK3ks4fZv9fKrhR5kH47xa5vKy1XlgBEa/NSXeH5mR4fyxnI8cu8HP8ntLJwXyuXywn2GZRN0I x84Mx/eF9CelnzZJMWJagbS3Spa3Hm3LrsqNyZt6Mt3le228tCWAG75WE8aWAKNCLEzpoN4RhrYe PGujZI2CXGjSz7vKE2FVktmdcFOvYNgVEWMyB6HoqQKbJy9snBopXkzcJ4lGPWy7EA737pRawPRm j2mnEur/CNldIVVPa28K0cV+bFp5rSrqOv5SjhNAo2cUs0CoZ9oIG7Las82HzA4nHQ+e/p3Dvmnv E0B9qSTrQJAZvh0C5PzzFZysUg7wr89eYIeR0LQzD9UdXpOH13nbxwsMm753KjKNC6C2izcsQUM2 yA1+MVwyeYtuvsCVvjSETmUy8xMeysv1IAokOe9hy9ocD80M2HAvwOwLakLHzNIK/lSc+q+rn0Se FjjJDTDW0Y7kNlSjLcuOJANLzKm50oOApV3M7z6JiB82/kMvslIF+pvmIRS1Xxp9fYxpV7V1hclB xRg64o9dWSHzmK3ZkFOsOVMKcxCg5zqLFqL5Qga2gJCuG64RUTisDsIyvfvIhkfRUtFTtjtkBkdD zrWS0UGZCMXkyT1GIG19ZzYZAA9AE2X6B7pFoLkUPASxPJofxcalLmxSNkuJ3wlQLyiGS7LrdUO/ +TxAkJmnF0TezWJOLDiQiodxqataqXavXmkYXb+vkSile7/Yc/QlEGzIKDnTb/4ArPNx4IiFcfUk CoN2cdiee+KEmgOD2uvCWZkz+1IIq6yiqmbnLEbNPG9MsX9SVphZ254tctH2cPpsG2m/oKQ305v7 O9QyjQcQ3UaIG/tHCVCXJ5FLbYZeQw8US0Htlmc5Lt346L194T6UPNorheKMXiTJnlGh+IX8jFhx UsKmyU7IuoTGr00eHJHWm1gNxSm2mSf4XKLBaFluuqdlVJcjGSyqpO97T//ixgCkkcgSn+P50yAZ tVtmtTThCVncmGV1PQGsc6vzBgbthFbgB1w+Xxa5hfDuSo/fFqvraydYS24TNkFd6ceWZolCv3cL tYMW3t+5EyExJJZ2mHTVePAlAWtahBWCyRcksJrSfdoZNjza/vorVo7AMij6atulOOdYMiUFWW22 P+Zio3aNdk7EvQbIRAZAtjqTIQSATW2VpFidFNY3qSlmcfrvwxfFd17y3v5+i+cXyL8ZTq4J3aTz oAO7Ob074n0HvXf+U6Eiu6SGsyXJW4PtM4dHMQmTMnaNYkxG3cgD2oQP0AAN348mCRFDzN3wC92C D4KP8mSIo00ePI9GUQQnsTW43RVIliPRLtWOwV8j09aevQrx1dj66UbNtlSDafjl7kgQlRI43xNL TQpkhoCpZQr0zZ9fUJJGYJ8xKmKcz5v73XblRlp3Azo22aV5JiZjQSbfNY6uqsHTo5dMWzrI/xjU mLox6JKVa0lXGfNm07E7so41AypBCGS7ytM5CdhfkpBh1yjHtiPxBQyenQum+lejyKhCvPELbAqe ZoL06JVPRuxKn1G/gjchCrUeHGLwHcbIVYAC5rOZipQsoRn5L22Jn+8WJmSRL+91gUx/O8E9hNKD Wk5sLf+FMEKki811Qtxak/zCmoZa+zll8XrVd5zvcu6LPZwqqsEUBfEHfR2mRpEbCOzR8CZqsRLp jDxLXK5Yrbo4FahdJy35GCZMpV2Q1Y32M7Ry5fZPzgFSyCxR8CYx1XELfryM4ZcWkKNRZWqvcjHd yNVf8EGa9tuN7CQA/NxO8VuKGlJypsLfxMKvCOEYe/uVrRRoeab5e5UxsT84Yzbc1pqNNiF6YZdD KjpZZP/Iz5GbpuoRVlgRaoDU4jrXwx/IAO6S7fKgcalt6hJckpSHQkwDWeDwUa8gupEsSV5RVR0k yTqNuHWK/Z+E1eBBhkt1i3xOhU+2+gb57nMnKAirRpjr0u/OFckINGWjGPlczfZdMRxQY+Uzd401 xA6GEe6nkg+4bA1unxMmDw4/+jIdH/+xXiPc+mNszJJ2cc4FLMj63cGBaO3KPesxA+SiIaGl3ssF LerefFozoHss6sJz7BGh2bhVXMUs/cx71dsJ/9B7EgXwC7aVnIs6UM8uhHkrVJgF8EV5NPRnwKrp b79c4kE1j8vmB3O0+Km6wpei+eaJJF9zmpUbfn6uKzfIZeSeOPHwKpK+bryeFPo8ONWlOA6QdGgN fA3aiwOrhjbz2GY/jMMsiVDqs4Tdx9Glx2/xUjbBmbBt0YeM0tkgWSHxJRBxd7ty0yyO7RWgzQSY 2vKRj8dN6kIXg6qkB6fsHDMoXknrUwuQFlkgo+U770EsGAqWVRLXMVSuKGPi29RaNY7sghBcTd8C pq9P1rMpsDQPWbmXFe9yUKTzYU4xKEMyF8ucVT0RaVBUQwfw6MdtzQ/bc4HSBhLEKf92E1cuZUI1 yGz37b2m96pFkF8W6H2jju3i8vS7RCLIVD4kTlMWNTYBHaBaqJVXSOnZcy7meiDxD+9vlbTWV18a v4Y2XSxQ1ZJEAeLgSBvS2JtkXbAI1pos6pc2t2Tst//GETlFlY8l3K+L6h3lnwQlPEPUUOmn4RP7 Rg3LO6CF26Iu0SWJ1KNQiXyZl6HIIUX010J9PmPFRqzZdHZQgMt/bq5HFDhyWH/YeRO8fI8DnM8q axtH7fd7fM4ZzJtCPTkGfUfZ+xMeM5sLVTGSDYW/oyNrFNPvVWiDKe1XsOFS3uNRSCa7/47Ju9rm jH9y6q+KB/XQCgnlOf6eQ30+0ZP31sRmoYeUqxG18sIbLfaVKt6L8f8bLeHyEm15/q9AcaUNQU+i 9sPBqGmFUWBgipJ7XIWo7/zU6lGlRdrNyAmn3IHENT17ADN+Z4taQccjLQf5PtxhBO98vUP28Flh 2BYuQ6AGFDlofhccvGcJeLaY8zhWb6nXMOGAP4Cuch/KJ5wvw2VDJAeOVtPd3HJT4/3cDhwn0+OK pgjhh9SpNmQEIW41a+5ACd+tLzT66wRshRCDsyEoA125OlhOl6Jd1sgSkgNG9Kqy91oxAb0nzoxs 50qkYTW9o9cpsADm5nY+bGoVs6osAYWmFb01qWOfI4FfsUW59VogeA5MTCmCHcSkuEIrSoZabXbV 1qn3CQyQbI1olnS2A3Ebplz68COafso8n/L0otbhEDkDJS4IneoteFLcxreL0Ibdygih7LhFXyeu R5Q5gk4lqw267XO4IgrbwymGuTc/SbIYshD1pLOXmZs30RNSLR44C1g6UDzI++NaqkacYws2DUQS y4qUn297BCkZWgqC0e6tU7yLSm6y1RNlvSSy8TUQFlEtDnHbY/PaaM4vV9hLsPamdX/LQWLqaVXf mRwtCOeZiDpJZAOPY9pzbHoVFwli0hRi0IWI7JLVTIMQTS+ApspmYyJcM3xGIKI0UH6bGZri5pGi We1Wd+3MoDbgYP6pq7sv5vowJedgm3UHVrMRieM4vAzaPuoYP2E2plGbLzFi7GT3eMzr8M5kgti3 Y45C/M+dv3NGegDKQRQko5eGWGee1uc4ClS/TbrEx7rOHQ/g99Kpfo8Lx3jJ1jjgRKlguP4z3OFz U32/pk8L9Gb3URdiWWwHhxaE8bFPYmRguTGUn1tuUOgjMgoutcrYG8sAQdRSUW+yXClsKru/EkYG OzxEJJok80KiLCO4GrCaQucFN7drCIcLmTyRAEbgVLD3U5NBAhA8lvn7TiY0N2YLZh6Iyk9gK9bo M1Y2yImwcQ2g6eXw+a+kzGfM/Tpp9l5p51bP7HIj5tIKH1Er0k97mCOpPvf/Zt1Hujo77W3sfIiJ RinNZuXQHUELjZ0qB4k2rFlOxPKs7R2orp4T8vHoYEHg/OXolUYkw7mRXiGVFl4BH4WgY7EtQc2i jP/LKl9Awfqh1QFhHmVVQMsi57GT2m7xYuJxhQKPTIDenYp/QDPCrZD/Uc9JmU0wPGvak9kDKRcB JUBZKdf6kaZLwWd3xLcTlNHTI5KqfZqkVQhmXxONgcUXeJil2czMl8kYQqSVkVoDHGjTNao3Sr1H AixiMFBpBmobu1tM5AESYVdIkigmhfmUVZk3qkSIMffVUYdUP7ubcBZn5wVJg+grIDA7nniFDmo5 vf3QMLXGILk95mxB4QyLzh5cB57In5epwxjpGtGl/2F0kFfzfMP4EfCM78BWijIGYPe6qu5tQyPf Af2p4HfSvPmpWL1JmsfCnYwvb84411bSSARM2wJ6LTlugT5MQt/3WfCurTA7Fhyt+Iu0hpD3wjPG SOdlvrhc1ICzL8RBprN21EPi/SwWQUNDj97SDvnMXCgkAB6C9vFVk//j7Toavd6RGAVR9EuX7ZhN d9YZnMR+oE1St/yTI/AfJt+r9B0aoOP+uKEoXSxXn6+mwsqF3ekZIgQAs/jbQBa+ZKjYQtBeP1+I P+PUR/yUrFDCfvzPTRFtI90a7Ae4ul3RUeU7O78EWX8RFWYj1mXSVWkBhgqKDSfG0A9k7l1XLpYv ALdFHPA7dtipSywOETn6Q9RJLTeLtjRpSKKNPW3Z4xyZCUlgADbvnzcOao/aqOGExEH0tikVxL/y tDh5hsXah9C6m4UUcjkJ/JRC5RwC+ndpCs3HJfQG9OvG5ak+b/9T8ZWu5VwbYsCd6ql2HgB6veNq 3/3stJXwxUAQTGPC7G0vB3D7p4yie9+BJlrgt/FP0vSK0uZKsPQdX6JBJnDfhcQUkNNN3HHO1vuG ZTKTy9oGL2DJ3oRX+QqHx0V7Czceh00rcKec0t/0XhSGNuN8sYYDpHPHOwmEaCffRKKndkgC3O+M 6Xng0lemE7nveguJKMkiF8a30h+B801Bc98c6hVFngtNFTzRlGOlIjpRG6S6SXgezqYg9f/sQKEU YgQL9ZQeyQ2JB+HtgPg5eii97utMI52y7kEXmK19x+P56f8qxUCOQ3B5KMldrXfizSA/Ozuk1Vbj Qy38uBc35YVcL9bGE0OgpOO2Pzpxa6UXzcPbJ6X/4MqfcLQy/OTBIfLksfCVlMOcg8j67H3ccZDv XEstsI8bFDx2p2j38/f5UWz1/Nxrs6gqnwliaj+EDCPJDga5dKQmB08o9iGpi3MU3/b5viIl1cBb MAN022gT6WuO7f1Zsx9zdMwMWHUGDaU+v2htEMf2PU0iqOO8q4mBy0e31ARUrVPXaqPqwiF6Xkcu K2q5cQkNqmHp8X7y3ANyXP9xUIRsLe5BWlvt4Lv2t0LkkfE/a2VlPHMcLlRpE6GOjTHVmgEBWt9c NHrEXYDWwnBbHB4lIPcp9TMbVPCIRMyPdodHOYvLOPRAf1kGL+qLuQyOWDV9/owrW2Io7hFaVPNC +pw/yKcOfTMpm3fzQFguXn72JTNUrk2SMyfT2Rh57pn01MGxY5RaQBNVvjtKxSz3+LnzLd3Tr1jQ N6SvV7KtBAs7WHpUo7+w70wWYjVKcbCTotYYsvgG2tovJDmePG7OZQQUC8DHwWYh5XqzCeVOcIQV 0X6G3RN7swJRD2c+kX0Lfhbgul0k7TJg2vifmUPVRd1gazkpsp/YVabRZMEpbkQJ8Ayr7SZYdr/w VUD3EgBMHbvqqcQvPlUYdU+t0LU77lLap5vy/ySZz4N0TX7uz0cfBJvyA5cG6/kEXrkfDLQCf46p RWL9AhNrcwiSVSbJYWC0mIKbZH8DjU/ytetEz1g1um/+08VnxyR0BouQPg53VWx2K6DUBiWGsRUR YenflW7hPgsjHcMIc9B/Amd++R65owQpwb9PbU+CCXWoTbey65/r1UJXUwOkN4tGaQyfEerWGz9M 7tkspk1zfyIjyuK2UJ5UfKur8z0wNqmywgaPb2kqeF7loge8WpF2XVhDYRcdrwKluwWPxi/9diFz xSRuW6AGJovzKkJkZmM7me0cOViqbnGLNO61O8M5FGUO5sKwP0J50+99+E+Nxgvw1VohwioOTBui wRzk4j6ay42U3fNLI2x3DKTzmO48GKN3Lrsl4s+jPoNYSMbxzMiuZuc3xX/EzmJbVNN9hXadTPGs 8faEF0gDc39/pLygeEc+K+9VWfRzsKawn9i75zM7Nvs6TxOoO/4JZ4Wr9fjXy/dYe+BWuAz8upHd A7ynf95/S2w3B6jjdTiMUAsJidfoUVhyCZv0iEc+13yJpI8dR9sUtIIfp7yXrgryjr0ykWIJZ5Ed FrMU0PYlKcjpPwqnYxejLflmcXKYp9fda8woBINnNr+KDvcXLEpBlXcH1BQS4X99gaiUDXlmUocg BkRYfV8AY0jq3gNAp8hDtINIY3WH7JR+jZZHGcOs9019o4p1JGlhoKG/15DOA+LvX3eMFwe/kB6W 3X9sEXUcHzdyCngLf+t00Y0tx+vrMacQ49X7zClY5jr6aNBm2IgfZQumEUhSL/xzekN+iEZ4Ovsl uLk/kUdVfpKxR2bvpSPA7cgEyOlYD8B8dRXk9XeY2u9uYSN8n6tuumqOCTMtYS+I5T5KEUoAnP/t 7d/1Cd+dcYP2N81yNmQSRbrNkgYu54f08smAWF7wyQzuxgz3fhnpzG8f1yxljWE1T2wDUdffmWta wB/UvUK4ePqKldeagA4PR+ZnNMquB6xO8WvpbRMSbr+729ogaEe6Le/r3Tvw7tZazL1TBC1LCia2 H9+tJ9Xsdvhf9zV4v0otE7zKo+mjkbCm77eN0k3dBiI7FObqfv9evo4Xp9BP+JPtssPv3+Gq/eQs nuZx9tdDjk5zM1cZJU22ujngzkkX+/VgdBdo4Yu+CQUb2LRNG+3PdH5fIdG4HvfsQFisCrMBN0d5 jz9A8KuulSmE/tPzA9oVIYmZsMTFgcltGnt88QUwWGeRf65Pibq2ifcEV0a7Uu40iZFG7Uw0Dv6F 4MO6mM44uAPbqylK6SI6cZ5MZTqoHE7UZpNMXGfNyRgUA+Kq0BQ/b913LrYObbIy13Kdqf+fH9oJ FAUb19k6CRnDS35ZhT8+hhWTEjwpOCnF3mbBYN9h1s4wFNAxxOu4cbSC7KSY1HkGZ7gvosZ9EKfo F7ZqnH3RQLCQMVth1U3Mv2jkUQdIEwYfgCqK6XqLkNQUguXdrOppEBD4nrWkDwXXXyLLoNDreqh1 Fr2sO6XkvIgLVv5FVdmO5XwDeuc4d2ygU1yyNODquAVKUkueNpyWeGi36VTNBARDtujO30OI/rrk BXsbTwlqR2C2Df2FDcKjl2JmV0vzWzCrbQAqNpBCmWV2GShuoWbA8lBk9ijhxTANlh89b142bu9D x0ELq0LX26B5gKPk3ru0KI+rjF2Ft6KiepBmmTSvXhh0mn365wIPU9AiHfsWvOlG//7rAjoVSpk0 YsgcZGz4oQ29usvaYQcyrlzUJmntVrXJY8x4fsdzPAP+6HkEfYfSmo1vtAF5q8JN9ZBJFjrRU3zx Ou2zeVbzhccyQAfLlWSUrbP3rSQqs2/StwXNe13jX3NY3Aay1tHcuXEhkajo0Rojlx2dzSQhO3bi vWq8JFZD9EH9OVi8eeY8I/pvTPqtpPn1OQiEJo1h6lmh0u8n+zrPV3MneGxxb+MYKMiBOqZFXjXv xUvDFjHyezGanPbT1eycwPrhHJmopCdx5XH2yMNqt+E2y51d5mAAP2RBpsJqeqYsyBugIN5A3DTq /2ATqntKS8N24s/RopNJFdGdrrhvgjXGyueVpWs8Db4526O3OC4cGOyKGtLLv5IVPWGmkL1+lDVT lVOAjN4SiB+xS/APCFYiQeTrSZ88bRdlkf0B/SuGnQ2XgHydtfPct7Cp9mUqktuauhmtuMOMkk09 Aa8H+Nxv3dg5sL8zmGrKQoNm78+/TPA8kY4MHiZ7+qWlDLGn/VlRApsQSd3KxGPamDB2f6ecXfzj BohaBeAP3Ptnqa0tUg23/aOVXKaJ6oeKzRiHw6iHx5SPB4BjEREwfFN54JIDCxp/V8J7/wJ9ZOyi y2tGOguvmM0uR1zOCwBd7chYT/cJHjnUdvuJKlFdC4Xe0URoz0flC1IIjtLHx2OozSlcD94Oi1gY H4OlVq91jAz41s6YxHGg5ZayXRUCJ8FTWZ1C3UqtnxDZ4ooUVwbI1pYog6fW6BXLGeCzacOZw7pC qyDe50oyhv/5/c/9a7m0k3xY24e9GC74LL7kcwC20Vl8D2MaGZ7ffFyT6XHoeGZyyqM478/Lms1F b86tdtO9I40Rmz+GJJUuFGeDt2stMHse9ifYLRUH7G9AUAtuMd6tJKtXFUbVDUZKzZPApUviPbKq X/toCBnuE+54KH/gwIDC+S0Lbc9428FsVnmxkNcjb+WZdW1lv9/PfnJiL4k6p54NnVtgC8amWI7/ S37HoZH6lTJ7oROM8IU3CQAkyiFjQoflFZ/v7lQky0Cx4RAiOh8s3Sk0CfqmqBqILbQcalMufzNt Yn+RetEBfiI13cViJ9xV6Db2guLuS0VGkDc49GK9eJbjA3c5pyD7s1j2P27INozjW5ViHtwXaJLg qnbTLE+U6Jux3bei61RrswTsgiCBiRWKWyzwp24r089MddxnErTlqM+PLz5EFXbtvFZr9PzSi0JR ENl23uPCPBYIoNkWm2o1TY8KVlgo1nZkAfxtmq1DMx/wj5ox7kc6tS6nObjEkcAOxj86USNxOnTW jhzcsMAx0xFx9F+7giUgB1zAnQhnPMMY/4QZvAhNaosRXir+yA1FP3zMwy5FxVeyuU30WNkTxjdi xIPbAZ4hKnzc8aJw25cDZv6nEAPGAfKa/3/zNwf1LW1gb5oix180YIRhPlGuyIg6Xz61MLpFwLjB g2C+iRvbZuUxQK5PcO3/hFZd06KpZIu4OdWZsuKyCKjIFSUgi9VS+8GfGQ+eOGJqgsT6HfM/dXGC 7dayUVc1pEWUxAShuzdWE2SDPeH0Um1SfZC0vPny6Y2mBpLSfy4jtUxKFwxyaxDoC4wTl4q24q73 gq+iCfp2G4qxFZCoqOwBT4AgX1UgKA8XdIwIA+xrJdxWoVHv1rvzGnbz/vmqjSzQg/o2kGTjWqKs nft3wQjzHlhJwDsRAayDj4O1fOJO2GM9AYpIb6iPESlPXVf8B+MivkFvqfUHJ1gW1evtUYLHG/8P htbDl3kuXyzxOGYrpcAQkQdI3UvEIlkQGYK3H4j2VEpbp/Um1v2ggK8tIS9CvoYYmWpcLKTaNGEh aTBJDEXzcM/8WWlzuFVVRHvxQQEv0jZAuoC1s/nrnTerfM3i1UkSE4f+6l8fHiuZmXquFksA3KRP YDqKswG4DBt8oHkidnOh1WG/dpyot7icA1vueF3ia43V4aWJ5YbWxSmLxN7csupH8A3Zvpf08yH8 5+c/IBr/ptzjiE7d2PRWf+S9qf+Sk0bA3Dlz4WskQEfuL6l7HtY7xy3DaMU8vHzHpL3ZN75n8Xea waAesn04A1uVTtENEnkGh3oHLQbiOCy8+3cLOLOTirpvGv2Na0eVZY7bvT2+bxaItUHH1rYmtg+t 7BgfggnM5cEiSMX9nAo+c+H/SrgmMQEV+vZAaTo10O2AJMtXO8zhd1rlpV2J+Gn81FoeB974izqi JTebQmcmGY4u7ZxwX97XaJPGHWZCAjBC3pr0j8mFL+rs9n/h70Zizzo7v6sb2BXwblIjqSllniU0 Fy6nYVLrFPZyD5t2oMhIoL0v4P36rkKOyt/9z5FJCH4ECxS8I1xcQrscLLtZZYEb1YMrPm7efpvo brz109T9mH1TrG/l4CCOzt08Jnq/1x30VM2tZPJnNXKRxcT1qXehxrafYwelqyHWqPijPf53q1K5 0E2AJqEX3arvL9hsS/d+urY/McTbyQIy0WM9fPmaY63rwokW/DzEDc1mjj/Jo8KdSWio1vo4Gf9T nuScgjtafu3pGeolfu5tLrpoW4vvY0yKVKCP/owHvcigy3o21xz9Q2sLeLzycBgheuX4DRe4Q5J3 p1uc9InQJRjSxrT/hXS0Cjc/Ru+3YezVWnN7oWYAFXFmHV2fxPKNQC46m4Ld9eoaHkGekwChB+rR 5NOlenQ1ZcyxO2M+Kd6AIHWq8Yr45B/poJIfSowudzgD/7UGRrthpyrij/mQP/UGC0kdEWq3dgWh BViZxvBT1iiD5A5LsS6MYCg4+qO9X+tJjLoayGDAOAdKSkb34Fx7ERCo1fzmdDga0I8D1pVsLaO+ u0K3tK9pxe1dgs5EGpQ6NjYvPurjCvW/u3e85RNLt6swBYFXfFJyvgtJyKx3omvthnPNjZlPiMjA ZEJwm250xMZxttC36RP4XGxEETVfcMv+z3IzrZwaEHQZ8zfX6X0POIcHNnk4tqQI3X5jyHwVK8Te HNXXjl1J5xWqqcPxhaj0QlhuMYI0Bhtid9wGdqPu2raktAHqHHCbNjIrpF7Ca+uoWcGyzx3Y5d2F dnXwdAKwOMh1nJM3jDnRMvmIf4Y8muV0zAIF3BeFlPQWownh9nthi1RttV5HByjbh7uDKgwK4Ue3 jKCn/Q6EAOEvBmo1qZcMn7qbhd4D37uYddSgcmgeYS6ZjtAcNzOgFIx25Z27jzIsRh0Vad4tp41v gcPFXcpTwsqBBEwlM43oVTf99gCgp9SP78Cgl0Za1Q24tr5bO+x/s5Rl6TmwoxMSS5gRBda4DIdf 5Hj9dE3n1HkKxt87baRv+ftqFipRBOUka3LC9DsyPncR3/jg7tk0iEgpCXkMlwrPSiaza5w0rN6Z 9P3XpQ3xQJlcTO/Kjo9fz+v4sCIQXwItgp8RcPka+Q0jIBAxGg1ADMM8x++HrzXXtQuFiWiXtN4/ RV4lLOEzcxiSf9J7ZH56LvmY15liRVcm5CasY12GfHARi1WCdWdkubWy3COr5WNMkMjpw/PsdkT6 fTH+c+HgfJojqGO0PmuO4WjQcGdV7N2BVJ8p0qhOZvwwGmLaTIIlBh4r14oSXLrrGVr+SQqW/wuX jx3jCJ74amIDJeJx8HXTvfwnT4BTcozA7ih6Ctw+Dynti6finciRA0Utcew+4rFqc3TUiuMcOk9C D53j69uumvF0j1nnylVCuP75sB6uVm2Irn1OM3b+zKeCySZi4TF1fUlDiVBnkjIXkKXCNYHLsSh8 YWn1J5Z6/E8D9p0BBMXnk7okSgn+6BWRovhcJkYym+KidMET+HQC8kIfxM84ceMNZ5z9UkWndRwx l8ovP8ZuzjptRG2GootSLKlels62BSP0h4NCCoJhbNEnWfiYcAiVD+hUWdP6mCYb0MtTD4nJtgsi ZHXbQXQkhv8SRH0YnqGy+gj2yz0tQVIrV8LsFQzJ8V8gqY64vbsNFOEApl5yrqoHLCnRvpoSYS1K eTJeySNVxlvM4YUIoQpshfTuLxzzogLL8JDx9CZtg45LEcSER1VPR+7oDV342VJCsqkdqbDdzPAD 75Dbw+IqQ433O6UuQRYXTz3UETiLBQ7KPZtkZ3iELoaVFwxXWyFwd1MZ/CECGWb3UmK6N6QDuCSl /WnvfDCKA/xM/U9yHmzXL1MRD7Yce3Pt/qV0Ss3B2Ter7yQ/QtrSgA85ufZB/rlxXsvxRBNJ6BXS DiUV/CYU13OdzopYRDRdEUcMRqgfLmBk+h/LPNjAlc7WO0ogR4l3pUZnKCJxmwzJpf8oeVfCER/u xncsY8N8QjZUjCmsJqOgBki8DS+NZCHhbcfmb4OooVFf/0b2ZZv7z1JnAykfic6Lx4MfwFald/ok +S5WGdqRC56fHu/+dvAJ5xbQ/hpa9JX6BZG5iHixGIW9WWCOL+aP02ud4xpmzVSK0SDidWWYVJWX 50WwKzDlPpSFFfQM5CEKb6ffVqnTvcyx84anXLDdAtUEm/gGzq6sqCV3Rzn9hoRBkcPzIwXYBGSR ++yzsZ72tVX7hQDqOCJwHkq8yWYVYNCUrTueTDW2DyOsx/+F7s+78Tw4dp3ERZZrVNSWN6NPyXy1 XKwvIjCIuAhpFh8ADDzkO0DajBWdD4DnLYPQAYh0dUlwMrnQxcF5BBx5X4acdiadEkLpGsmlQZxF 7uVZ9VSrSRnB0DoNGLjtJw9YL2ioEoZP49djioeXCLk3Ac8YntMYlKC5Z8iSB+EmFjIQTFKxVm4Y Kq+aRBxkEIAT2MK0H29RWYgYewAaIJxqyjHf2eQe/Y4mug3sTOM1rLiCvsLnEiINbRafDIQwfSEt E0/rMp6Yc0PvzQ8VabI4DW4bWW72UpROe/ktL32LeU0c/8EaTWJlRniAvg9Y8WAJ0u83UFN3nVo2 HvPvQ9wOq+w90mLsxinnNRuuO7hC3sDAnpTiBtoB0hdcJCwYFy9YVMvyPaE05YXi+94z4OPYwiNZ 2EzLSuII80XjNaOOBskzlJRWlyaweJrhleYpydsCyEvQV+4mAyjqR6doGsanaHqVUMinKE7L7Of3 8/EXeH5eb13kn7hVNsnxmtpuck1oufPBMP0k3HeGrPpv228FKOfdC5JGKnPUMMGM89w1mpg0yXLa l0ynXMlWoWVyQVBsFEy/WvCTJZi/LemCGxlvsTNZKSryF3pCqx9A+7ATiBppqIIC9yWy2NVmZfHC ZaBniRRKGMHINEZxunYcnONqj9D5ILDPeIE0QqllRHkCA8+7PIhldDZjj90JyxAFuLvlYBaxPd89 80YVq3pb2thhnctRVKKsavQMvSSA3dWuEPlfAUxC3oogDvYeTsmnIpW+96y6/wbyUHRHf/C3+KvN ecMX4HX/Z2qWXM4yZf1xfo82EFF2qyNSD24ASHdnmmpm9LMgK/HFxrBkwKneZgUrqyHVEZ079AeX xEGfdxnOdxAAzPZdbtUkb21EtHmzC3dAmE+J2AwCj4Nqn0CiFPosZETBa8kImgFdYevPkJ7fiBKs A2QqgTRTIv2EL2i2WbvuznNYGJUqSAj0Udw9LIniXK9SJbs8E3j111GzpD5L1buU8rZzMtFL/V3K SJmYWrkD8MR6eZ7NV7v68sIrjKsmM89CPO9xjtzI0GDE3skt1TQWCJ62RFzWqJKWD6GsYUC4UvAj gEon06HK6MhyISxIlWpmtCRzqN/XyChx3a5MgKAbJOdbmnY0Y34d3NhCgdQ8yIkGTpZ0ZS09a0aq n2MojbazkgKl+5e0CJM1RnFvAVH71Xaj7J9FB6ulZTOJz+cpHMFZ0dwoeCXAvBfPKoz7ng0I+OoW OAfLEs4B9xbWlvfmII+g+FNd9nqg2z+nd22cGCqeONgWeI6YhP0l1Hzp1merIdAwiPGn6ekKkxRy H0Ulxk9Ummph9Npg/SWiIvwuMizR3BFItS5RE8n4C/5ZZEVGd1ktJg0M9XDeWdWVsyFzEeswMmSK 3AYYbpOxALUfPcHQXuZ/+Z0bF7JZ+qgljBiLdoE/drQznlbbNKMVG6bKL73rEZ4qoglFtWa8vCsm BSaT2M9x29E4+sN5iUqCWJHBwV6ARtOXpe2lvsYt0ov4kFvLRDPVuKAayccTGsyUNFlsPdz0OSOD SuCz7bVlcLw3fL6Z9UDFztWMHC2beOkorH6DuVjMOaLcapXk9HVCbw8lAXhHm8hZ4WD+X6NFDZ7m /4JFTXPJL1Hy95AF/3o2ONmG8mVLoYsM0d//DJzliQp3WdeW6OjUT1og89N9DK4umYKdfqC5G6Bj fpXqmXaiYzTE8YL+Q/juy6ODtmkppB15uIR2nvCpd4dJXxYkzOPSyPELwD4aOYZlWE+HRF8HDElP OlWYus81AkythAYt0cRV1f34zMS4DgJiqg8l+Umj72LPWIMmT5XnvGoDnZT5g0YaE1WM3pRV41s6 GQbHrRKhL/3ECJiy3t1ufaDSZMgduwxwc1dTI4u1Eakts0rj8XEU+o54doqxiGJPAlqElKaN45jD /upglzlrgTODhhQlJyZsfr2WMfNNhoMcZanZQSH3Y7MwUOO9s487N3wyEafe3MvtLJlhHnhDkg3s trOgkAQZX3d+C+rIwtjpkeV2OrKfUvJJ7m2nyhaZkDnLIblAfTK0LHtT6Rwzzhp+Utgy4egqOdKB 2lYWTLmVn3gLHI9pkylmj4UUwzLLhWDI9FLY6tsRqQkTMgbiLfmQNzcABL1SNWGgNp8GB18BMhYJ r/D59HUCfrcPvsddpwC/yHnAgqaOpHwFUmDHwWyUSf3vH4F1nUL3Y3tXXvaRgU3BYgJArqeYzpAj Z34dIjmSxWtPJVxBLVmK1jABhE/fLRvWUKgojogPD2Ph8IIxtMyiPg+eaJwfZP77r/boYneBDFX5 mE4CsY5NcCv613GmEzDNyxbpxZljv5z35xW5baKndqVSJ6YP/DDW7pWfFS4isBF5dwn1hcVUp/fm P4oHrBvua7fVTQc43WL2KrwYWVcCTI/v9bJbt1SNOeIqbD9knbcLEIW4O9shWaMkLeWbVFuTMpdi 4kBnv7ZmdvxWXzIqOWGe7G90+p3EtQf2DZHeeN7U9f4Z33WDNhMvH4lK/J756KnTCG3vLGzg80av T/+jX+T8iGOIto6I1PFrbCAjF6LGH68dYLc3UgOpHejAWDv6fYTJ/FBFjRkuwqb9XGcvFD4QlbYG TSsy4NN8S60musg2FWOc/MNnEFZtJhKFDFx34Yj9xSo9sEEkcNKPpUCAf3QuE13mAqjWQ9zyWwQE xeWhTpVbVfN71WbHjx7UgZ0kECyiieop9FpA+azgkXSt/520JkPkaTgB1P4Tp3dKbhSt9BUJAsXo 1y79ciOcdVcm+xkQYfnWmSc/yedDqRzIlsbXm0tkqqFCGkStXQVSJr+1WNf20k/+JgCWKPx7998I dlDItfXjQ/aWIDLlPdAiCQYL44A+2IzYMNr8FTfOwRm+mWPjE6pzK+BcLotSWD3v4kwVvi7D09B+ 67l/bSADr4/NYlZwIoytHmUS2R3P07zgBdEKI81AcGAH9PNpOFDhmzdwyfFYKiIPh3QhaqMo8tu1 FtlFQi1RXx1W/EaUnCbrTvHvirvAIai+25d8f6U6M5HwDtH2wdAZvbjhtywTkAG5POWg4o1nnihv 1SC/53rb1bPOAl3Dg0gJ2I89rNf8EUIEpXNhbn4WiphXBF3ct3jNpF/gQCthrLfKlF+9+tfbBD61 /MD+M4FvHNpTWbPpWXjQQWCOLZH9Do3OsthqxWy7+IDJhxLSQaYkSQ/Rb7JYMZWaOGOJU4WD/iFG rj99LU12VZV0S6lsIgnTlzjPyV3DhrxxInDP+lF4T+u8UhbhzUcFfbBG0RFDKsvWGxIkCXQhF+Rc xU8kNXQ10xTUZyo2LZEe2dYM2fKLU/6EVWbIzL4I6NdERh3T78LXgNjs95onfMyatZLsoQGJJbDA BrInmJaQPRzpoQCY37BTPRwNf7qUj0qtV4KERVwZrmVK1Px+MAKcadOmazndWOoPfFUzLvZMwfx7 hvlV5PGKmSpCeCE2x49qlnxteA2T/le3hq6jp4cr3OFFf62rAo4+16sg+zHiVEWCxnU24Wknpi49 lbsyzuWc0axSsnxfV78dryzmNnz4HZtZRHH6uF+s30TwZDPpSaYUQdVD3XHqxgzp4rzSQ7h+0kvs WnUKFT7HTFObo7l+Jx0wVHjHL33rUvGRlusWmBuqUtpbFo6d4Q99uqkP31xbruO2dGrWBpqCsxNc f5MYUuvRUDH4qfwFPefvqQFW0lnKaE3OrNamgzewyPz2jR4UA9umvIHUAg2Z0fLgFVlgernJo2A5 yjaz0uyRpKTvJwXI3MwG59NW6eYN5h4VnU3b/C+/bMlhzQfjR3fIgLJu+ggtXkk+a0EO4tzFDiRO clrrTzF2xoNV7IecEFGuLXes8nI5pHCQV3fSI90Hj0HnPg20rDNCI0YC5W+i7UlfNoqgJGZ3h6vK 90fPDfWj62n6nNYjyt/jVaOy2LSEEM64EyohiB0h2fo66D3iSXpqNzw/mVmuGS2BBIOWIXa5GKWC phAhH8iivwEEr+wmCy8Dayolapu86D1v77CZAfb4YSR7l3pSwcsH3DCdD+d1D2eFWEuzoTgPPqce UjVMxJlopVMMXb1BqLVko6/qtPX2U5Bw9j1b/qjD9ZIvQxG7D3DJKYJrVIQZqg16g8I8gANSXgX8 akTh9ZA3xzk4su3IqkWbylpqF6pjiXl09ZiwmS9ZujbApOHDna/ojBHtxDVul/M5iy5eBDTMVitq qIzd5DHx1gw8EcbK8LswBg8T11B7Hs4UQ/ByJzC7SIas+6MpspU8Rtsigm+Ixsz1rVfFwz9t5VTQ kJsEQ5IZrGtfrJQIE8sbohJFwtXpjHLPfZWeWNh0cq/w6ya6L6Bvcp0JfPFlXLxP0Eu6vcbPSngP hixr59TUQJD7om6Rb55PCwO4HrXYf014E/G8I/8lGI5q0DbPl2MVe48I4nWAMkqJotqcyL8WAU+u PZS1+w3mgpoWkPHHehveAkycJZu0kuXDsRlbZH+MK3uyt5f2XU9gaFWuSfyhYSuumATMFoW7dqZt mMZkRyC3u5VIhQwpVO2I2wuMrr+RY4TLyd98t5SQo8YMXYnsNRS4ClSQRDd8BOSfnRcR83Dc/iWv lSZGpTvounbVjG6D9fqYEry0a2RpfADaXgjW54sR9oIGvgbRwHR8syj2RzcE1xXNCjingcbTdCEB Y3HeONRR737pprBWz52106sgA3894Q+xJ1VQgGqVsQTgYIrp/B4KJaPeSYGL9lWtS38BDDzMMDTi O/N1wD681fUXCL+bs03OE+ArzdMrjB0rzDyoo2RDv78gXtPQJYka9na+Qi0tYzWWUhDofcMvZ7Bb GgvoQ5BJ+jVo4LNBcik+FZd2Cy1xqdhElOeADAYgfUBNpxPEnQDYubEIeXq400VKn49ql/XgUx1R 4O3UrRO4DpxWts2Utr0VUNKSJRVFcoajrCI2xtYvPRlFEN56k2lEWUEigFXgt1RDdSxfPcr2j/VL Jh/2vxPZfk7zjOdEMfIPWTcMcpO5rVf1DszI/ngZ0Dios+0oqs3NASrbVjXJWVRCaUC1IT/2Z2Vs qQp7yhwzYCUNU2NfR50DclhBcITyFedA4E6zYalzDiDp477yhNUm33f8Drw+RADTl+Msgt8BzOve dKYQ+xxAF9BJvomFLtm2mjR1lFiLW4FoAQmyzMLNoMw3biJL9a7WgtRrbkNWxrYMMIKy9JncRyGX VsfpvuiV/YZ9g8bpqM7+HNH/dXWTgN58NMTU6dGLIqvywbMfGtQMg+uA4vMFwBVdWh1xWU6eAwIQ sIiVwlzzgm1gGwPZKU8IHriWf4+O/z6AxZ3XZTJZGkiOol+YoY3S7y9WvoS3INHIxJidjejigAn2 Nvq3sUYfHvZzEjEKEsyiAtVuix1ILAVINOgT1VZqgjgoDNz6hplPM2IEBiryWhdXYXTINpGfWudX lwJpIk61fBpo72GGLOQA2TRiLEmRRAEQDiAUtXWUyx3Tw1m5wjy+A0yy2ikBxNE+QKKAg25fiVwj bD8yjp9h6MOB7fMireIl+NWTAzFclrOA0jXu80soPYLyCz7LSptwrjSRPFpB7aMpp4GD1HgZIN01 WS31DBTw6h0PkjsGXzAaI9OKp17vNk9opGp+JAH6EKMADxcmxMfrhV2PGOUsVg25KOUlHrjgaPiQ 2KILjkoqP+ba0ecq8Yn55Iim4iEgTjFIWtR5Iva9W6y55pJWu7grwefnw+n83e2tiu08aD1j34d7 cSD8kozAzjf9sf6SneUGLlH8SbynLKGUBF/9+NJ+DejprPlbUmYN9ip75FCXShm6/5GF4r+4F23g SRxRsy7byKkmuOUc6lI3sur2snCxzapmgBm0lDXRWDB71WBda7j7WDO4j6KkYgHX7qjfQQ5YISn8 XT07a4cMmvarHb6ZYI1f8NhmsYCBxeopvtKvLl98q8PK3kVsuw3eHEUKjFSB0OtUwEDr79vRODgN LgyqDlS60lELyp8XXgihzY+f+V8j9XSkR5P7agk7PkTiVDV4BD8mSRlnm/b2g4om8S8qhX1bVIOK 6Lkra6DocGgyq0N1lRDDgJRkke/VvEMapqtlpGkKW0ZwXOJmt9g/mpV3OnHhhuLZC/m5TgVwMAJ0 IfE7jOZpJnYCqqBSLtiTzu3Aeuq/L2Jl6EoIUCuRcr1VKUwQbJa+K4YJetX46zI5GsstxcViCVRu vQlyKiszHhmXYY0AcNSQDozv1oiTdRhuaK/unGH1xtEVQKO0eSHMtDiJXlKmvZZpAsbd0ZLh584H 3YLCEgRT239/JjQ/xayd9sqqBvFe0GKKs1dKKFS9B8qqmaY3Alq1gEvwv/kg3xw1RZKYBl1Xw/ea neezqM6vIKRJVYAPB96jY3y/sCdW6NuQoVeP8VsTVWzmzmOMwLveccux2EocdkU6sUe0aB6V7FNN m1bQncePXZPz79LvKQ52HuFOBjQSG4JbetqpIGe0L1iH4PIJnVrFPR2vOIpirKgSfcTvsfieWFIq 8sbtNOAd4cD3SKjc4R7LRZf1oatNti0DmAu1Wb+5FNZwRvOBkUnbFGAhZ8WzvOWE5TAt2MnLTdQq dzJzx4RLdpY9W3toXagJGO5wEUxuogz66aaJ7QF0i4ukBqI55zvFbBmfAS0+Ss7A75M5W531rDwc MDkZHrjERtFExuP7Jqef1qVQXKWZkM2jCVtaK8AMxYZNti+3mDeH5iF3tzM+FUxcKRqsV5xS9Ao9 vMUsG/egWsVwZ7yBOFihFjesg0B3t9p1JdlLcm7cfQLF/0WG0Q8vYrQVwmyze11vyJDFxc4i8Kum U+y9folALr5aTnfq0SYdq0X3vSAofk//+34/yvmX6VFEgbGg2/UKx1SWfMxLujA6F7JKHCD6sRri tUEd72t5GTrVVXZSB/W/cJ81INkVCQSBUuu1npzB338S+kqvaSpTYaL6tylX7Xm5rKmMjw4BCajV zUTfCjyhJ3gHSmJS/ZRp8kQO0P9vE9XcVfh9jP4bpDbHhOPc+sD9EYWqcucedKPrOQm3aO136xL1 l+xqu3mVjB5EbuO9bwfdZymycxlwZrf5LFoXvI4r94DfJGFiUT20HlbDOdeQq5bU6qRUyBmdBJ4y x76F1SND1eyGUFbUegtC4dCCohj0TMFvCH6iWmZHE3njO8ce8UMS//2I+PLZPBErVLri2lQ7K8bZ Nhp0HU5QDug1OcMHgtZrDB1Wy9jRQVZgLn0q2g9gQUGR28LX5k2B5i5CeqWrWDsOyp//zllm3ocK P15R6Wl0wQTMN+zdrCKsley0DZi7ebPhwBX4wB+Xqsiz97335QR2gtZUuoBQPuCS95hnoAGc+meX +sTidqdLncXXzVnxnj3LwJAi2GmqlizZhQuoaW6evrtuDFRnJ9+VzAI7LAmfPsWcv0gQ4xLjT0J0 QfRCknrxEAczPp39jvT7CDU8bagHJ7Sx4yzArBTdUlwR6sCE2/7FalNwpLLXlBHgjbv/58FSjDZL QDDVmONC7CZMwZ066SgWKltncYSF1uP0L4SCPkZm/vfqoMY3mpDyqrKdXrBVHI3aNTEBDHW/WnuX mGyMcuW+qq32IQkgbfwMY56OXo9KfwaoWP7bh1glwC5APoQZYDMu1SlELteYEa7V45lEE1/C2Zzv kZOWYHN3OM1IzcjxnqniC9iLR9tW1liWov7/N6EHJHAQi//Zea8WfYsjJy+FkDy2MS9Lm3Tg4mWn HaXBS4D5kUwCVMJGPKQi8+Fr7IdZZ8ObC6ND3MHTImOHZIgyjpfEz3GmmdNwsQFnCdzzEDpkjwX7 paSy8Hbycfy9UaOiAyjowgXpB61VXHZxNuX3Tegg9s7qGeO4q2djVN5TQnYzPJ1grkasBq8vE0LR 3S4QEDl6YXfJVgoBO+Ehdh4wgdvSwlttpxiAwuP0yLgd8ODTDjQoY2BwJbzz4Wnc4Mak7NVHltX3 etbUJQDsU+1YYw4G98GXkxZWWYOH33PaGikfN2HgWzAUycKsRQCQbBYbp/fcIdq2+827opYGEYRw xsT2MBdmEJjRkPjcDkIz8qXufTRBfiwFJsd6v4D6mOhQJPCpvafR4ta3waHOpIgeOusUb4M1Ap+L HqZC/49T8fUKcARlp+C6+4HEgRkS/k2wfAfgov4TO0OJdIiORh0Y2QeCJw+k+qSRh9toHonl4ZzX 9VPFvxRH34R3C8K+0U+yziu5wiphH2l8c/WuiZeKhHiaA8slfhbPmepYKrEEbBjC5DYXknhcnba2 TbaBSde+NTziIq5vLhEgV52qtEuGv/TrRnmPiy2V6e4jHH78wZSvhgrAPsBblTReZtzNPybPlhy5 R4oUk7PxbnNtyjg4DDY7zm17is7zuKH/5J8NHcDvNrAauveVRMw1V39t7JPdrxE/IqTFBOIo5wJD slPFasp6j093DVxgIWxRxDUiHm2KUMYm2/e6eB3IylWsHB6Xn18lcgvxETbzYnRym42AoQfl8m6Y ulqIARTYqI7PYc7DmPk/hjIZxBKiT6Xg6WiX6GO/ibSP+ftKCohceCXKlTyKQuI17BxVKZUINYUB dXxtm68O8e3S92/RrIqWTopRzlFRiYdRDUFdYSxT2wO0y+hha6ZgLL8SasQRaXnuY/guN3V1baiX +BCP86Cf1aLqffTHjjpQ8ECKxJT++MreGlQ+33bd20ax22xI3siPTPTckAFFRitiGtl9cS79cFBe H+RO+bTIiHfDVg8zSX6ROVplwi9xp/J9PEqW1I4XCYhiLiOK454spjpBpbCD5xjJx/ZcwWmwGWDE r9+eJiIvKgGfih0BIUMBmjwjN7xyD6SRAoZRp8AD+66Rjzfyleb9B6uOXjcz8dMfBGCnpVfzcaiK Ni2rem1acASgXfq2zDdMTrmEM1VqwVIvGGFTABhU2sX0DIN2cSac0HU7Z5SWoSEHjT+1ekX+OlqC j4jRNS3jFus5wKrcPRaVZ70HSkNeCfjJYDDR94DIj19kQFp7eu+pLwld4OaTlon8sQZ6Wqo29Ej/ H2aqzmsAWvsjHw9SmmIC0zfo01k5nWfY9SVsu8AFeXhoY/zybFHeumBKH6IHpTZXj6rPlf+i1Pl9 Lv5L/Jp0laQxu+2g/wxM/J04VmRCNLXJHOWtI6tJ0u11kjqcyQu4E6+Lm8FzRXMmtTMC+tQdnUsg 7kyk2ycl+3BNlp9K320i+WN2kua73+ns5gZ1jlLuCuswBvBwG24WLwkzxiM2oVSNsfHtAUk6ekch 0jw2zNmMX5VJUkuwkK6jS4zkymjSDv+nGZJPwWjgBzdpyxt3FeXb1rSBhnvmL3EVr98l0jerNcVI gQRI1o1I4YXc//lNwr1WtbEVms3PLhxSTBFFrsLAwmS61ML3jRzfvWOZCKsmN7Y0+Q0RurDXyNzh /mx7ebFczy4tIPUTzsq/sbiWwMcU5Z/e4l8ESqMSmOXwhNGt9mnGkygGIGVdPwTKNAk7xGoZpAqi RpATmbxlmhHjHrCz5B2eoIBxYI83U/+fcNZV5WpJ2JV37gDPgRUGGPkYt4AIFjF7aXERzmAP0ES6 k0pOyZ9kulvGNd7IW5yPrwf0XbW0FCF7kKsK70F8zLnj+O0J1nkbvwPPRzvxNEqEX1Hj/10OpfYA r7t/euZefhh0aVdsVrzK9SCqGEt7XVJ9JAM6qgkyKVDs5hbsX9hQjWsLFnlfLyrF5xrE+oapIhJT rb7fcahn7QefA8wLfW+yfVTFtFE4ju8Ejwvr7dTlQvqCKe5+dZk70dDb0F1D1RVssI1spp8XfOGg FEx+SSVb0GVwcdTZksyvJvNrszpDaKO/ahlYXiY4JR6nlr8qdtoD78NEWp5P3r8NGMc0WlZ9FEqB 9Gc8UF3EPG+5tMn7MTTg/f1GCiOvnQ/eEl09Z31EXarAlY46iJfDgC8xszIcB8gkHFMKlBf4AELO UOD2vsWO8plVZiReHFNgUiRGUDPMb1za2WZNSQArIe8YLFMTKxHkiGdd5Yx+kmuhtDz4Ki11C7Fb TzP7wtJv9CZY8aG1fL8V9uzrQAujE0yt5yWFVjn8s80CEUOP09rHFxQXG9SFat8etY7s1MeJJPbY x3YUJufDQfzU3TkrMymz1F+C6yi8EUk6i0P7rW9B5HpSH7amaVXzAXr86yg61kaZBBLl85To1UpA 1lNK7Rmc1PJmvtBZwXPmYlhFBbSFwmRPfkcWbp2+awbJhf/r84h8jtRb6LhDzBat4QBHQryseJT4 J7DnC5+0i+LBjoJWabQZElHkuDZuwix3I3gG1KEmi5OzEm+5jhWf/avVQGTJ3yhTZGuoIUhKm1JS 9f0N/m0vpxckml21Px2wF1oGH/AYMC4I/GAO1ZCFN7tOPqbMGpUbfn/NY5ufpJCLDmLWv57h42En KfYtZqZB31a8tXHZkkfP5vJ7sSVPHJGf3h8J5g+U/iM6jglQNlVBI0NYh3tYZni4DQcYdCPi76iR qwxNxd6qjyKsvWyZ43V3ESJAP6l+a6cgqoJDxj7dN75TCA8pCchYL1epGOTgxrxBJnjgstixi9Ic 1hMk/DZtnTfo0slLUveKGSlg9jxFLCFFv6KnCaVFdXea1XZLRTeOVOK5r4c6SA8XUjDDefclKRSz jFo4oE5rezDHRtZ/jGkOn0KEvFrSn/knrE0ObWjM/jzY89naCulkqdjiYKTW19pnY4NX0YIZFEgD tJcjOzXWwNI/YPNuu8ei5PxpNo9Awaznj8+0lbFiH0VkIflLfPLi3tm0K1zurrzRL/wjyuBCQPAI EKe59YhIAxDwIE4IOT8gl7PzzBRUVi/nhDKWx6p6p4yXyOIWDGcPvQUJVPfUrKwzzZwzeDh0fN/C q9p52elerz1zFI/kdEXM43RBqLyuq1vT/Ilj6OVlGZjUxESKKJQ0m13HfJwcEq06EUOXlQ/e/CdY 1icDswqePTSwu3fBfO7G3uFGsZDNCgKfnuKzpF0bgpVeOKoCKdS8bRROKW7uOj0xIOwzzfgsKTLs gO/paOunGmRkal3HMabJRbnNd0iumZMgf+YzS9WuPGowDlpn8yVxrqFBou/oRb+PBl6csFkvl81q RG4p3jWHF9HfHKWDZX6qgYOJloVERbnStMZ2+m1hVR3RZlQfPLzNhSjOpPASsZcJ+agnQH92eKTB Zyo1KQmlQIGclzSNjligg03SFkpbJ/ziXXv3FFDyBHzEv+MaBZbrwUzFPbLP8Em6FhKRKG3s1Nns NIuEUGbT8CkZE2hWMMDIylXkluH/AlUU1wPH6Nw7zamUePM5graqxH3YGwxQJ2zqxxiZETGD3fI1 ILobkZjyE3dzF8s2b5VEaSLJTV6qbvTtom0Mog2VMcQgYq8ZvQp1+q90Aw0eZFx6SPgXU8v0UkJ1 LoNUVc3fpwcXJ6sxxJ7a5Pyr3BtZbcM6+BHO0JTLb+EDmADSrU6qb0Cpr8gIXTNFBzW3CVb69qds GTbsZOif+PTyM4NBwIXa8xeB600DhaNaTeodBkg3X8HAomep83Uf8ysyZzYiovgKqmRTiCZf3+zi pP4uPQIVIM0tz3gYjrA0f3iVSAbFxOKF8HEJWk5Hjx7rffD6Jlk+xhIe4g9oH1ZXFi1+7nCKuzWi YkguLqEWn1SCJOd/BVJlhisJEWD71m+rtRoZ1am+8ZlwMA5zLsS3DggWTcUmwp5i5NxiVzPYDPIL mkZTmdKBoY99+FaSc+AuuqNcMoJ15IbHjpoMslLJF3GYqBK0o2+6NOouwXPVm5/oZPavt4htmHpB kT8kVuw9hOfsoicYCWA5zrum6RNoEXIE5/ugFFZJYjPjcpEsYnMIUorEinliPGSGPkJ9PyE2dqbn 5hmGPNswb1RTOhhpUhDDy/JhWFisrhBfWxl3ts+9hL7vKhTdW0Mfz+vHY87rXnjAjeVeUOipu8nv 7CT3CTN3WwpAZ2zMJBEo0WLNxXR5Zh149R6YFkI23gEEiR0se4F3KRQ+Pu+SkK05BehNzNkl052y RHiRn9v/cQq4CzN76QsG1hDehOWGjAWwdECiUu6jMun6IO3jRL/rdkNxz+B7GMI/1WM37KLjln1I nygmQ4OPFQABh5G4pxMSBeGM6HV839kPwz4DyszYdEqFmmx7gtqf4cheOaoBzH3WwdPY6lrkSKEu FuenPoQ+HPmuwFNTitVoYG98SwZZo5CUTQLOcfanL8Pebsw9Zx6q8V2s9sS2jHLaOOXxHhXMG0xo zYL5pjkEGQCYgi56wvWN0KnY1M2gdYvLXN2u5xgFE9CM7O+dtfy+X+BCTSgpDGlhL5ebmkRzApIL dBF128GXKsFhFBNY8G9Asdom3gTp4XccYUwknjALBax1xF9eYG4QixJzjPb4GcGgUKSjUHZa2KCb 0d3soNQRuLTvnnhJjxJKZ0SRuA5FSkqUSv/KYNaohcwJkXXbaa75zCx/6S1OKpqcdmCJcE+H3zgA PPon2jpsh55jeIBM+Ck5D6+et5pe0Qi55x+JoNtXwCWIPqw4cKsdFbrjKv6MAU5RHN35Gsrr0ciY DvyKGLVueJZa42bEig8QQZWWdd64ThckBUxpPMIysydsU3EN64AWAvUKDfh890kAEDV4MmL1tzS2 vZ/oCwiOTfZxpOD4FgUXvZh4X93grgjOaHZMNrEvtSmBz/1h3O0fuANo1lkAoQfhb32uOlla9yy/ KnFQkhrDyR9QLga3v9V+hN9fAjtJ4lI6U1Nn/vRpQs8ziEC1ieNyfR59m9H11w1Bi0mDPxcco+wh Yt6gNcS/G7lwqmxBdtNxiMPayTryH9AA6VuEUWeJdeSfCOCjncwrH+kDI08g12huFQJpJhlMBkmd FfXnmAEhlL7E7gVJcAiHTJnuq94X6FhRV9jXpXD9w7/Fk2fnG2OoW+xN3hNmMKjamLPyEVU7+DHu YBaXP2ngmSVyW9t9U58oxRT4x6FNDSJ+4tFk7QV/dvL01/6zSlpKuy/m4TI4/z8tWixJX4ERrp4R T9kJQHf0d1VDkadeKeE0Gdos0AYVuR9rkxdAw+zIPwkL8rkCCHLeycaDKNUVFZo3rCQaC3mXKpIv /DX3Z/tf28F2qM7/qNpAV6Pb7MScMrN684V5jATapSw448hIwVk2DPaaDMvyYiWWoj8oHm4RGYdj q+i4pASPpj7rija6XxXF/OSqpq/rZa2TpuGHA+xnxI+6z9mb4eNz+ZZLEi0DB9FU+hHSgRDCcxh/ Cor4UsE6+h5C+dglbY/nQZRMT6q7tNODLB55FNvXckcHp+wRCp6Ko0MkI13cwPKiUEfebFUhD4NN yYuIUBKaU2zb8686X3gWwUX9zOOK/5EhqRy6AIwlIkyvC3xwcy0jIAyZWLyygfyeoC1asHQUITVP oqm/kQZwkM7QuZhqPfb+dtTZBBLMOPXeyY7C4WIxVh29iwEvbb9szbSTIduhOC7oLlm1Nwvdr38O eimaCtnKCfa8pXLkyOeKMQJegdfUTb3HDCki52IdDMFjafr5uNyWdtvIddDgwblCKrJclVG1tZ9V TLwdFe9qMO+PNk/jEkQ35ZWGPifQLtUUugszwr7pKKvxHgcLpjou7scDPy6A4dKbMFXxHRmuCmjY nIyKlcUAoUly1WKNOZdAFYcC7Oy59VTVyPtUZjjLILCeYWFyK/c1Zj63rkQkiQ3ljaoRodRT6U3O Quv1v6Tit+gvWqb38ChDJpFwG/rxfRGpqeGvBZMF7h00yzrS7VK8i9bHoRiIsbI6WtR9kZLyshEJ ZR7HT1TN1LYZrce13NiuS3bIQgN4g2VefTNS5HRrL7yZ2Pe17xTyqC5MJravyOIp94O6/Y2/2Pc4 pdFE2ufPey9dQLrivy3++nKqy6Gs4xBHnCOpieP7xEGhj6874rGfI0nGAYr65k3aVMSPoHpVx4z+ /BLFp1C7AIQHtnJgUGlVOgk7Vp77GU0LOmzILPgWORDHU2OLbQabKXPTRukbkzS8RuvfviYCNC9S lj/EPOdgwKzQ9Bbib2LrIlKAHhCoP+i41qQXoV/iWxWJ3A8l5F/befnjyCNjmmWbBjyguVPZgPl/ 3VQ/UlLhpX9krDLxnYj3sLN8OrIOINtdesrGvap1R8puLlNI4THJOB++NoGL/k8Jukm1S1TEhYf5 Jg6rsUodw4fiB/3YNS4kN8xxjtyqjQns7ZllBe8umiPHaUdOWsP/bSpXwXGZm3EliD9E6nmTc5DT tdrmnp4Dn8eyFiKk1qRGzqeLWopUO57ZBMvNoCucNpU4jlNvUk/y2J11+FI/+HGRuBDiHMgvSSyb xUOOfTk5gsH+YKYIra3kBioy0MOuksHsDFWQDAsCtkHLpm1zhRGebdD32D+2ge0najbf7Gq1uRsB qCTxFkgrpeoBfLryRHnu1mfOqngI/0ytP57uFAKo69LOw2fH5+WYLJw1Ry2eCiLwvlbexiRQKA9e LvO8jfIxr9sMPL9bJxGh4wbJHc3asKy3dfsZmwFN5ZalOWbSN1yH4AbYG9dmzQuG300Zq3Yv3anR jBf6qC9UNJlGVj8O5i9k3fUdfUcm6O0xCEsw0rn6pqtRTsHud8Z/tY+aMbhbex2IsUBtsDyGgSvM SYfCbyDJrlKb5uXhFu19Pr2TQRC3gFeNjhastUZYVj/l/4cOQSZWtZBc0qLWvXqnI5Ixty4ggyMT A7Vh2BORRCv5FM2dZwZF4+eoruWMoJAv+5ZnwVGwTZIS/3Bg9EEUhEuiZY1PG0JRBtgdSQSH3Ujy l6bnww3+84HaeOoHNypXsLoROC+QulI/6f2SiQtT2FDDPtQxXEfUrD4Kx3lrvBUv9AGsFQk3v7Pw H+VKpgdAOUZCqnTpOxs56VsZQgRCWJVtXm0LYHYimM/2J1HJxK9hkzPPZ9zJdj7yigSfGcPxwBTW YW6xZCvf48SPHT39ZRY22LYssDMJRHsxnmdesikJhSddT9ps0kyQqvA3qVWuEuLuYt2auT8bBV3N 5aC1V2Po3gHdERU6RXzmxi231JyYkZkd20Kvds0EB4fo27OUu7nycmPGrYekH8xbVF9VUVUO3izX zwY1Hh0O/1UVAWabIxhcGPvRHkorDfuP62IvmKQ65VAtCWnGBUolQ8rpILaSWV9sumPb2fDqmrHr +zOhFSxv9Sds6PTOPNDdDCU8sw62VYjTs5pV5a7DZ9mwE+DUgQ09fDByqhCZQ7eEZ/lpfq7P0iRW AgNn0uzUWlY+oYzo8Z9mCwQK+ckY5Oux9l6pZJhfCYbVqZ9NKSQLttVZD5I8GnGJ9JH0K942fjaE qJZ9rMx9rDkYp/m4xgeP6ywjsWAK97DeFxuzJVSMjvlRPuv2YckFnReoforujP8M8s4W86AKum0I OMWU3FEYlfEjhccDePETxBJOQU1wljJuOQB9yXQw5e2tAaYJLQFJAdvfkwF2g2VLjW3vmTbx8e0B yXaBB5Do3i7bxB3vmCrMesuBVZeuXKtRu6dtGIQP9Wzd/kaVJYLOgB/ruNGhitymh7jf/GOxENMT vIiOVLlMmB36hkyhL2LhcZZayQl6UW+edJsR2jOzmc5eRk1e91oTuANYD9o3jo4beAnAMOdoXALn aRTizOlsEb2B/h+n3JxfXs6mS7tWhDSL/7n60DEbo2gsTa72WR6X3MUN5dzAW09vvlFE3hyE2qvT 0gv9CfTC1Ilp+j/c3GJX90zSD7V4VHM3VwijyLXYj5bkEV1Fmb8MTwFB6Mdk5V1nQJHRMH7GDzv6 8NMu6+6s9Es/fnN0SNw9UDMppnYmy+fao7B8kMeAtLF5fN8ppFFRYxoiz81FVliJjb/Ltlp2Knbu /w5elLKBi1bkZnlAForadjXSYaHcp4cIfLuwy4NRWzjf7fCsWWVvn6gsSBCpDzDXC+EHNjHp0D54 8ZHkMJVOpRXuQEUc1nMZgC83ENn+U0oYNPOoprnkzFY/Q+HQMmN4AJFCpPH/mLhkHRgTYm9KrReB +STfi6HIUFuCfWo0jNcGnZt0bn56JoWIBX6jVLYEvjMd+a2qK2awA2W9VafiwSPOb2i4SCb4KCI9 +X/dJTYH/el0d2RMxpTOkBcI62J8rzusqWprNJJoUvzbDftyTNU0/R0Vr9UY320OU4P1k4tHFPHo 2xZHsB/fUEdwV0sjHooZXYXeW0syLghDDVbhVrKUmCHTNCXaw27zphPyBLWwRvDESHdvpVY/orUM G0lIv8l1QRk0V2/CY5omAqT9u1OiDb6HyqPQ14enIr82yL3tQEaG2qmpc/qOD09DvTxU0B7uUpIK PfseiAG0K0Vtpn9OIlKfr5USFamniSA4lLDePcDnmor2ub+nxGOZZSRzIyRdVE2xBx03C4/hLNMM +9g14TJDgmAus9wvNeryT74I2jUIpubhN0vkG11L0DoLmHmx2n0spUX1yQV2I4SEPKUPRILHYjv1 nq/OyL5LEjEDGvl8ExHN+YGKFmiRCve+xlq6EZAz2FAUmCNv7eYi5GgN9o6e8uEhpXHEORt6atWl Cio//3A1QQB9sSAarEA3pkNmI7TLLIcO2f3jVKpUeAglVHvfSN8Ios8r2sLfBtzaC8n9CqdRUnwr +yQFLisJE1SBXlmkxIrKJ4+J3bpz6ZKELXsVQZDjG039RjkGO1Ji11lMFhn9T2tI/tX7mSbLQtYS SyiAV1eCNHWKl+Z6bDcCihXlQjCHqojz7BlS9xfTiIzzFPdNCKvsMyWwdwSzb72rUuMJdgMiarWg rbII/dZyG3qx/63cCwsmkp/5F+mx1foyk1tTjGHSCxnBYsWuIof9FgEj1ipWOGZJ6QGnQwkJLG89 DODW4/PvwJOMVlvcg0wdokEN0L1IekePoSFJSHs5xym5Gxv5C5EYzDxEHSSqWGppcmGZZ/jb7pmj 7CMIFoQxB83uXFiGR/GFrsuETU5B8QuB/5OSUEajA/oiVxHjSN7DSwHgW3TpiDhnIDOAEsY4x8Vi jXX387rZ/iXyr4bnQnZq7fTO4DwBOfrEz8CI4BbDCGI0qV1IohRPEDRhXEhB9drWJMHaL6Qvrsyv LoXvrkDwFGsg/iuccrB5VkONA9X4jV06Zs7Gcv0ILYGlYdjybrxAWHZPqHyEot+TOXhUbrZSFEqj g+sZ4qofolPLFRfwmnPUHCyXCi4jnfWP20vraDiR9/DiuTyAAC/awIO+0y1F4y/N0TX4Y3DQm3Mk k55rOmG4MWTyYy09k3ededgeCryT05ahLqAR2Y4FWRqMnUfpiGn8eo7izFO1KZebznvxbmRnucUc GZQgFW+t2B8Mmjqbz/9dLh0QiMzv6Vl9JY8K2X9CKnFEtiPu7vgGQW7xayK87Dc7aNlXCRt5PYJZ 5uIoEFxoPTAIJ+8YBTwU3t+DePBeMmXxQQ46F804ZaY2ERyLWeuqabNLdedqtkGIq1A8St3GNZui NrbRhg7IivRiw9PMONHY3Y6EtaA+HvlPOXJ5UP0exqxvpArpJQMb3JgLKQPvGPiecp9j4GE3btas 73pB5iYY+crQnC8wYpOyjTOw/aJRqXNEvWUX0cwKFc+zU6Ysf2tU45qinbWsde//iUHxVrv6rrFj TH6qYGZ0hyboBMWny+Q/1dHr0nhf1knjDcDJ+1Glrpi+ti24YD7naqqEEdSO4w47Czhag4cDArza /6bi1YL5INmRd8L3FJO2LkmR9mz3JqmG8EvicW4oUh2gTe0HpEAD2PwrXbLpGPTJ+o/DYs7CRboY NM6SJKEJ0m1UmttpvWaOCmug1DWpVJlHP5N0YELGFNp+fUu7eQRmQWDuOwI3+q7ReM7XGAqwXqdl gnoKbQ84V51KOWw2CKi5GizZy0vLsQEVpKBl73LtPsm/XWGE3k8wVu024maSJDbWFNHZDnpZWg5D w2DJ712NJn3cc7N+PCPDbymb4u8tiXY1vd1EeqhMjBign2e0svGvsSdbLeRx+6zzioEB3jciSSwJ pi1GqlFyP2RdLpZVdS34CMhHfDrngGIBP3zDpQdYP+43PMpi6UIVKfTcqArLe6T4dwmP9YRa6YB7 /+Q1O24VUcnghDYjQuDlaArCCinX9el71HdWcwTuKyNRSlAfgKQHimuxaBsuh5mPzl85zjYySj88 jHkTpW4+XyFn5FYwnR5odcoGAg+Iydw7Zj78cqYG5oLlMCpXmc1mpAxxRMLCjpV64N/IksCKAh+Q BQkbhBQWHb7D+v8sZeGqqw43CipFCrGbvd1RERZCU1rAdFZliCKB1c/JrvgmR6yNe0aun0lKplLf 2rNNhamaZ07wbdsr75Hourh/pCGiD9k11Ij9aOd6tErhj3ZoCRWB5Yu2RNfTGm8fFlRxMWYVdr7e AGmu+YNX7Cane+JivDi3Ax1xtnrbc1lOpw8Tp2MNEGaaAmcAjEk6r9MMbx1h+5h9qefNkas2lnuM S2IT0Q853peMrrdNPTPbXki153X3iYqP1rmGNN1YJnY5XQ0X0zEXGqYswkCGYc/Jy8RkFy+Rxppe VEglTLOXtElrvikXE4TM+VOK373ojRGrWFBhrNln3UZvy6pKJ+UHRVZWpWKQdZppy8rKv3IvP+CM 0mzbC5AtqDcvgO/ggPOji0328Hsr30pOM5r86HRM+cJSH/BLRt2C1gxlJuHrFODLnaxQObM/tZQb xrkv4Gl14/8xdgw3sNZJ+89nw7SfVnV82+ELvMaxF3x4AzUW5jGyoee1DjL3KKk+x8sKCtCgpdnO xibObp0zKzm+JRiHA2YMN8hfevxUi021pJx+d3Mp25GDWfVJmID9WgtLqZ6koXDuGEbH7ivIXWVg PvtBBU82YruyK11xWxG3ITTn5sYDrakfjXnEHJd12A0TRCepVmN7oXNEG5LA60hmBJNTd70T+U0B saFUFTU9JXVei2xlf6E9MnkyGYxXTblkfl0yBEHzB4s529SZRYbcejNmbSB8slWyi5FgdgOsYco3 QhqxRnSkvZADejMD5JdpE0fWZiQ90uhrsdJLb2eM+p03VSopbaBfoBBExsIeMuuVlQNOTWDTCZFU mdzpDrIFOHxzgM73vhkUm2pl7kDHneZFNwTtkEk5bHEJQU+mcEj57UWl+DsL30bo68jfK7lcb1Oc HdDc9+0A3yYUW90XiteGyQlKjGLQ68/Jc3vUuqzC1fX3jA/jrNSjQf/yn/g8OrcX2Nlsno3fZi+n WM8Q4G/V57oalLlqX3GO7pg4HOOWqmww6Yeq/yQ6N9Gs4wfnBsKYHWv96XWzsm03lY9E9nQRlUaO v6WYb5e+CRS5cAO983H3itLyfmL34uJpSJuS0VHezi1712mSHYvNn6t2ij6auZ8C9D3sUu8WrQeX lYI/73IkbRaQ/qOkiuL8IygetQG8vilRdeBIi9J2J6OXLcoaq8cKOELWh9NOHzBGjgNod/vIYuxQ SM1+hgqAngw2z+yDn9BGK2UeVuYuGkhajD/x5ISWZbBXN+PAhLVksbINndhQtQTdrW/N//9f0EnN LtH7nO170sVLG45Prfx8ZwtzI+oq1XP/6YMxN9Qk8byqCVtwDV+9R0dlm8LpCstZqtO2i/QRBFrA M0vofpe4FjIBEzxKJItuUX3MxAu4ZRfDCZDfP4exExGpFwvCldlpT2PJchve2I/zFxFejpLF4ttp 32XsRlVuOW2fNhBQ+5/iaW/xXXsjEn+80voNRWNH7gmjVJHdo+w7mZoJsIigMmKwvkdHjEAQPiYO izGkoIgzLqxsRgW/HmOzzb+FHo0aG/xdX5gCaF25mT7G9uk72KMUTv3Y2NpTOSXAdJTBsn8QM6IT VT0QvcH7kahnNw6BHIJ0mUzXAhVtjwhZRLCVTelIlJvfPZzbw76T+t+J/VKep6b/sALy2hwzuC8+ mBpxv7otlz1AoMddkkQVelK3/ysOR0Y/LjGd0gSa71VaTX30S+ydghEsrmaYPhsXFd5ygSav9BCq yWzhDPr50Ud1Ze0C/iPW++N28ZnlVHf0IAoEd6hNX28SEIzg56IlQiPKcnUCOQ2QXOT4hJeyBLkR Crbn0M2N3i1mbT4gdnKLnQ+yOQZqbRgScwzgpPJVQhJEdozdICDL0pEBZTq0ZRfWBWKLZooooFgk 77rtVGueLeZeqk1W7Ucj0tPOGNZ5TK75eiG7Z0I6fcJ2bL6tC4+NcE6sbwv+Gl6fIIt0vK4DqQ+E z2g2wZYsdv1bnDP9shw8EAS+ejrDeOFrEV8GNFCDRDgfmo8ALm0KoBFdk8S3UV2Rw+IZ672ZxUuV p9Ga8m5o22v38QmgR2ZGcO2qGX93WaqjyiRmTUDRLcIQI8bRzOWzWZ8fBmjs9wce1UtW0vgIus2y Io7v9k5iqNox1+1yjxPcl21rMa8Ol5Qxtw3AsOivaMJE/aTL/z+wyFFgB7g00jkUEGUXYUlvvep+ BX8PfBMPmVsJODglH7S1RM0B4AFInuFcdY/XxgRTGehKEblkGIUKQRtXanjQ6v6ilB/lNylB9jEH gdOfi41T4jPxNH3x0kfFDDqeCZA1/o0Gb5cA1PM8h1T3IzCvT2Czawd9qRY+fVNcST4ilmRNJbdg PxIhJp2CC+Ubtv27oNd3bl/f+HjSSzpgu1QTRHK0jh9r74Xv3JqLluU9zth+D/7FbvsiGdAjj4F5 zbdE2rtij0F4O7PPaNCo4V2jW3KTZ/tVNNbDeV/gjibwlWvxe6DMt+DHf2h7XcxEq9c1WhYM+rWR fJtqeeWeCqQdNQZHzWCEgAUoo86Vg0vaqEkzqvZ8CJOiFZ06ZZcFthnho+JaLwZxPUEyeKdHWOuX +3aqc8RfB0DgbnYY235mnLIDsX/YAn0dOldv6Zybg3cKVOBuQqCwL8EztwFZFZY7j0W9jjIrjObc KmL6/xQeOMJxuh6wuNRj/c8zrmxr3JKi37CjPYKGpvNqj4yr3ebrgB3BXkE5xp0iOaPrG2JEOelh 7IxQwxaWybccKr7L+wYSqA2p3+pr/5JrIohdE2Iy7s2krw4yJnHIufRD/KTtPF5nk4tVutofvoR0 ZSfWsgWke1pzyWmgxII4EmukDE7XhSk7k0cHCZOBQ6a8dgge4IfiLzC1TXMlV+F7O0zBRj77mvMm Rvg0/bqPCsxY1SWfLVeqmf8W6/0fT2yrUfWtR/ZQcFwv0brM/ScW9px4XNI45ecFrgrfbzcy6BM5 ve7vcyIdVeUrtAyAPERuXlp37sCt0V+Fj9Scuw472ISiLR6miTojfNj+s1xIvQb6t4SkFeRCLqLL 4A50r9CDwr2nT9pbSd4ksSxxl9fZGvq3iDj6Ij6RJz3mBrc7KJKcMRbKokg/V4/9lgCcKmP7Z+eX ba1qQ5CafeZ1v4jQ9x3Qk/OlXmE93Y0GsBdDSL/h/8S/gen9JRycW8rV1861d8hwRDxpTQD3tmEF NSUVytYEr7g1IEOt+/of2xuzP7Zr/4pJW2Ig7+8LJcYoiZZpojfNj4wwExC87hRYY1Uws2YBQt+d ZaHa4Gc1Yj/cW3mlL4LM0GQjA/8joEAdHOVN2vM1knCwCQJG4OS4a4EI3fAKLrV3epdpe88Dlt7Y WbYQU+p4MSTxH2qqYamT72u1a5LcPcnOVUDsqJEp8UwCOEq6LX8WXOWV4SOGiMY+BkLQb9kVLAjE E5fHO8/77edSkRa0SJmyCXpiLwUNmGa3IjN3HyYnt9uZF7j2rhr5PKSM7AZTUK7J9efKc//1ds/u NKLDCx29gJR6o+EeQa/lV/2Qxgbfc7ZZUAxhsRa9VA+J1MZ5EyP4a5RyVJ+fJ4f6tDvM5D92kWHB DKVyFSaxSiDxJIOlK/YMJjHyVJAXBviLbtxFFiKdKU84t0nsGU8YUwUjiFZIfw05OkjLYh5DcR3Z mt/959EmJ4/XfRFJwo9l8B7OPz+zpdwm9kGkn12nK3c0J9m64DygPShMzGsQ4OGWLQM/AROV2o2z Qx17O6eykc0Lo6UGEL4y8YDSu0iZl9eUq0k3kuzhxMINpl/JJ6cjiAkx9asFNum5+3091TKRkFad Q8miyk9UydnCzcZfsqQQQQriGjyQfyPW5bVbv3iDcO/cE8ipmOv6mt4ihEwp0op8MiCRuB2nUAkL cTr3SdrYFXBxWeQZ08wJrC1ab0B+penLtzE4RH3ojzsbYmae6NKmqnpovo3EBsr3h4oWy1C+Wk6I HDvN1ccouy3X/AqemPtZTrVh1kFy2QDYNWqRbWb4gudLAA32T0cl7iFnw/Dsqz9wQ1XisTa9koCJ Sjobuz7BIsIKTzFIbD2Q9UzkJt9zZQbnLk4ALJDLrHlXc3KQtfV+yI/ZRJMhx+ZUhM6HDEkXq8B6 d6kEsN8sNl1dhiE0LdGlqVoefoOIe0veCN/LebO2uLhu5Akryerkh1tscKb5m//kOeyYjCNJVLzy F0rT7DDNeyvo1176jVXggyLbfEoARyW1zVi20sebP7/hlpopdSuCpD65aRsJpbfL1viEieToiueo k3MChwkAT003bDq/5fxGnn6ldHAEZ/7EX/cVRXaeuqPTz2w1QWS3vHEXmMPs2kKR98qfKa1pWixD lwHUh/tumQETd5zQnNAvK2ld62ov0N9sLRO5lHiCxSXJnrJuI3QKgN/0uW/Oh9dNAFklJFXXgQHw Dg7xmqC7hmeHy+ySee0KOyLBGykasABMxesUKZEl+xdXMvykrD2p2VDTc9c3N/PbfqNWFe7PzCV0 2VAcOdrUT/156uiB1FKVVWmBx6e5v2sAibuz6Zi9M5ZlUpC/zyzezynlw68SeTncsUbuEdvWI/+l cXPQp5XC1Zbs15ITwkaN5yHFXvu0qUuF0c5ZcZUt30Y/AuUYRhIOl7yUb0VryCTNgxCjCl2uT1UO 3yVjKnE3vKuGbankXcg2b7s7+tB/KYxFFxxp/GBGARD4ymOD/lQDYX5t+6wThm4C0j5OYCUHoZPT pEyprauw7MU1yrEJPgewI9vhGt9iFQszSxmIjkL2lOjDXGc0jhB7MRCVmFFscc3/Kxi0prqw7OKn oz5hcEwn2OoFzgIaP6PTCQuTU3oYAt0y4R1XJhBg92OJXF//XFbRjGKzi4mef4rGUCOazsRWTDyJ 32iMWwV483Gh6SfPI1uGQU9OCOqVxIVlvvyE0yu6CBfyzT4pEcYLGyR6ez1sZu1DrpBzaKdMPjbs tGVIpJDw+8/2aV9LfA6yJFOs2u4HFcU0/muauUhu/w4fxXE+6DUahfms8/xARjq4UxaMTBnzh2T5 h2YDVvHR7ib46FDGyKN2MVAlXQyfWtE1H9OSR8wyz2det9gzztqG32TU7Y9wk3zKNUTOer84fVQN 7v9ImocqVKfJp/WAsAcCyR7p8ND4dVPnCP+cJxVPXxHF1IrYzS4vlRfpkJGvfg45uvlGGsCFUNQe wy57QsxwrOeD7zJ2prNdepoAX7I3KsgwB3RC3Cv5svmzd08k7tt7YwlHIa503Kg2RQh0RXg7kO/e wBEXyCQtKHx8FwArD9n/N/0/rIBEm0xcp85o8a1iBM2PqJRtT9TUl0p3fyX82sp/m/sOiYFx+h67 n4kkKuzOZfxClb3l3RsKCVNlwzl7gBAcTRTk2g5GqSJP6hErayDqrhHQJ7i0E8l2ULE3wg3QfAoQ klzq8MuCR42HNJkPI6TA9xrxwa21GgMJRKrXIa8thNKy+FABMCWOUizVZdPQTSeGWTL2RohYRZtI 6DlJFNncgbQctNv+kVolbgG2TYeaMrKm49QabPcib14XR4Oz3Sc02cCS+MzV05OdjRE3XqKez+b2 I0Fa1+3IpaNjIORQ/5enKg1ip7YCcUyR5SBylgiAx5u+7ByZkgTjGzuSYXpmzrGGEo7DwUnpWd5s DihpVdFNOOy5gYsCvwiQAWX0RzPmQqYlcCOsNf5Xx8OJN2V3yHxexuJsQu+4xD2g3sNImiE/L2eS AOPOUYL/ERcAHpoze3eRSh1lsYaX+AIIxk3gbfa06pMqDRsD41i5CYPmMgSvevH+d2YUaksYiPFF oB0JeZmSAC5RUMAGlB/5t0R70cw6W/7vuDA59TZ3oFQQPRR+p7rjfaSVu2p5RJAAKcKmx0xvFgv3 9nb74wgCV3E9LNdDjhGXmTYSvpUsteZChv1Z9LZakKbE1PnFcKW3qPvqYY2qhM5ESTUpSPCtdfOl Jz2JV54pw7V42yEQdIAMU8mY0qONabdSIAQnYtrgQucUuQvbHjN7WP1GmxSuDHSfwalMkpumsABu 5B/S/WcZ5GyP6LHylfCH3XJfnOrx2TBxRF9knqBSLxwpnizKx7ppKVM14UTO2X+Dr7b+/CXOneTi I/dM98xelNKgaRT6DrNuzc8yc+hviG3gyzFZOkHpmN3om4SXMWOnJhufAHkoJsLsTeDhI5/AmBMN 0GONSuxtchpys03l+omsz1eKJq5wuaeoWka4Xvz2v4koIHI6gQNRMOp6/kKtshzEujzIYOhp8hGV J/XsDpaDwp+hp4m2qYe/HJxne76foNmNQzLsZ3aJMJXhYymh7AesH+2xa42fyTeILkzf5q6SO6+w gE4VXN7P2+BI0nyd1rt/a5alLoYWolED2ztZXArwifJ5WoiFhRw2QvwdTPh6LbMC+KmKLyD4Fcyc SQxEiq3Ca17y7Ea5G9zK/xfERMjfEPfNRzrR4cMvQI4s7dYk5NrWVPCDaRytjMLC9MG1WIOqeHWz 8iUOzlZs014mOts9yL2bZY5HQEIEql2SGJwQPEDoyuB3IHY1L7yQSbGzdiYpkbc/rmPlbsHrr3CG j+Is2M1unptJSSVUFfOeQWxuNr8leJz+GrQJVXgM50E2ZEvK8sxnVIdKEW1VrQnwxiYHkKYNXzEV qc7FDbcwUKe89sgjV3rQ8qZdfH2GlvRbVNi/IvYwnbWt3GlCyA1QsLKlqbyPeu44+cBmbh/1OMfJ sq5uYj5C8SbJPlsP5JCMHLrK8BJOdqF/IWPXVLqaq0Gsfrv9qeVTJQp6bPX2kduy3Po0jhKT1U6Y J4i2XQ3X0nH6D3AA4FQ9AuSzJc/b5Rp1UtwD3nQRo21dCb5Sv7bzWTPPbEROGXshVxdW70zFN4lm aDMUhP8X8Ynf6kzUAhCqqoqXSuJyc2ja5pVHIY04yCSdcpotsetm90v9YPVqhI/X4qVDk0b67au6 3uXI2ogCN04mhaJEIhQrJlt+/Q+h8gMvQ5wesLI2cEmvqHSzHuWNSSwB68kJ0so+1fPwxdhdYuTO ZlQfhZVE6di0EEonVRR4v5Ar0FluKLEaO4ty2WKNGau1kmsa5aPhif8MbSfAwN9yAmbRhJTesdhq Pb3oMMlIWI9ltQvioqIPMPbRQvzwNoPgQMm8tx0iEN3TZdP28JPZy1UqvFnl88qGpwmCFVxLYGTl iT2cZ52jIoEi1fxt37RhGjdWZSxG5yxpsN+pz0Mn3uE5taJVeiuDmY4tU4Yh9LiuTzZdQJxL7sUb v6+FxKGIUL5b3mpuZmpGvEkQYWMhi7fcR8AffmIEGFlZ6GkWFa60JfuiLDLXYDKW3HD7U0tRXldQ v4A3rbZ3IEGwrj606wyec8TfZzGS7gCME706GAhgUL9z+1hUxATNjYHnuoMIwS3G4Nzm1Oz1LUWt /xcI+G58kILNz2jkXngYQVm+epn2PX0JE2nNrlFI8mOqBIGDxIwS4lwU8oi8xfW4Fw7tQmVA1bmM b8N+GSxYhQdUNzlYuy9W6VQAzChxaMdBJNJKNORY7UOYXE/X1JttPwn9JbdxmdQB19HJ4Kjbn+w0 AI5B51Ow9OeJh6imnIW9yoLl/TAR5Kl5B+2d1CVIkWv1yx6lcCHXWoFh4v+agXCRNk7pAvw+NBNR RGnNCd8zyUt3+2U/5/Py5yBMZJbGBz281EuSI6qjv/LdwhyQ8tCoqCT4Y0TTUMQgmzY6vh8f0o/H oxhlqHR2iE9xuwg/mawaSLblntwUMEBLWrVegI47OWgopT651QfKzNX1i6HqJi49XGH456lNz40k iPsRNtTYBCJWsEXwnDiaAPwq6agtDK6WKLTZ6IblPv8VgGRXq2+WGiXOe5CoZ46JPV0pbByQIieo 9FU5QlyOtWm31ZVDZJzMKu5ZQIxZhIsj9j2XwhA+4fjUW4oIH50WPbzxU8hmQl9NJp4ZtOzKAtC8 OSQIKJ4wYt5gPypaap+J9KFV60fNfLp8UU7CEr60Cl3DaXBP8Jl2dR+nT3EzdvU+fOJpi0QlRkPh e+AbFmuzR3Z492um1pBPEa52oeKCcGe6xO+Y5t8uOSfiIvvmi+oqU2/+cRJVaJc8wkUK2+v4Tg5Z 6mRQeut3iYoESp7o3aBxo3o4gryATE+dWMvVeZ/5OMgm1M3W7c+0nvVdMSzn1spwvhbXPbC67z5d UanHEicQe/6wbXqsYyTCtmV3VGOQT/Jvpvvz2TMaB42NaKmTh0hVwje4bGoUDE30n7IxvGDCB4O5 vniITZhjVqSdPBm3M+3VLDnlnU5NY4WB2oXGjGT3WQF5KF9vDHJ5SvG3GsLj/PxAX+jvKKm9DsLt A77MgcHe060iH+qIW4IaSPxRpiaSHuEDiMgaNBg/i2nj2ZdBcZYFZDfmLBVBcdSkI0GJ0nRjV346 CKQj6gWFD99OmfTZtU9jmjZsXpp6NJX08J/sXnmmySHeEDE4dAskeg1oFolz8sxX4bpNerCcXNnL 3ggzcOEaCeg+7mHaLVUZvWi8RKScOLOU/sncPNZz8om8i7oX2eBcGotTB0oyPNdkQF/1shyWrE4Z dLca1zefQNkBjF2EFQCv1Joze6A7bHc5jJO8t1OxjnYSDeScq7K5YjOgHW7ccQmmvkEwpFchw2JN QcRXzWsVWXI7SLln9W8uDXXhcU4DaO1BmURq4FmSNP2dXCZ6+MXyMfiR6MH6JFtGK1CKCypBBkHU vfeatKA4Wny375T46pITxnSe34W2yzRHwbfKD9NxrGp0htdu3/Vu/MsgmL5hzUDRVAkoHQdDchOJ MtzjOtC5mw+4MYXonnbkNMfZMDxsaqX4jeajsNneyQS4qx0d2DdHvs6vtyVNarx6EnkbcrNcqHji Ex+APcHqjHoSHdVDnngiUIdNNKIWIsAhZMoDPSYMa73y6hzcQyParquX4r4nbr1Tij9w2fTGBcFR QUKjLwASCnoFo5Qf/iWrYjlRk4mn7qpepYQc2mf8HHnQOJ9lNVjGPd8x+2X37E+yR4Jj/hwB5DLh STNrB2kg9Xwaq1vh9f2Nzy7w4BuuFVRUdFoRCGIucTp5TXQmspU8cN85kQWjZk7z+jH6OH48/nb9 hMQta04j/ft1rtWahtU3M29L3tzgVjyCFfI/P06wGNwv41STf22AOClTlZRbD5TkKBb+vmkxtixS xDsCKyfzbuft+rmz+eOSc85lEvYMQXF7bAaG99cm0ecZ/n0LG+7GYGRfvmEL5sZy2EEc5zZj/x1o WpEwkZR+nnuv9fKmz0/Rn7cQvtXJCwh0nXETPeTStP1AMSEzaSiYT9AeZejVRANhzbRPVZsMbxZZ PrEDySt+3M1gx6twEaNvn6LPcSJsS6bsfCEOSMSoCsD222EFF/tf22UeosaRe2QYDpIofXcOSkey t2O2V3fGrZkryCS8ni3hKxggGZeptHcCQKtlIet7Jx3/LsdKfTPv9PSkxSkfRS+K241WFUMy5PtE 5arEag6f7kOI6GuCvygjBAPYFbLrZqk9yujkm+gtLjTD8RpUyu9Sn3ef/ctrKBqEOcDX5Nc41Woy 8ikujYXYgddYVtuIXpIhu3fhslE2CozTlKuT9btsAj0vPq/2C0ePuUqTfYxXG5PL8QXTS67aWV0E D4iNj+x5oH0mZlGiPhoiBIyWS6IdK8eUwpd3nnFbTvIaW1+prF+I7RltqEcvSNvDTV1jaQgeD6rd thXYfF3Rc3tDCMkl8jb3u3XGw9OT486xjyzeAILMHE9y0ucnjWWVasZ4r/a93fNY0cJmbkx4oKKJ qRJZXTNbSQGSrAAwPAWSH46/Z3wMVJ9HTDR7H3vHTfon4Zwu9h04gqHNl8F2etHO03u11P1aMuoT YugLEfSUyBmdKTJ+1O5VKwdyUB/yy+C3idv8J/APNWBxjq/IXRAhnDG/DuaHJ82luhXsB6h8P2CF M76oU9sOpVbJMEQxi1ILfPb6jv673ACW/gf4FKXbwluUw4geQQAW7hzj1qWC2HgtyyvjJYFTzrTI L8E4Zh1GAff+MWH0zh2qcbn64z0b3gvhhF1DlC+EHUTyffMOLoE4aKW7xWfUkfhbsBCmxpfQtMnD 3d+8zpWkaSOfEALRRLMGpyU311Hypud+wgV4egZcSSAjueT85ZAIJOmadLKIFNMJIW+Haq3aGQ4S X+ZIxc8cEmC0JARAwtFUS+DzOVjM9agUYUlzltZxtZAMJqr5fMXeEf0ktWUUwqmWGRE2yIwASdOQ eiFqeaHeaonAmITq2DNMJAVhDCgOiffcvSDDvVSv2RyIPhY9hypya9L2Fj5t7dxc74+8aO/eT0K4 y6ksn3ehTPXSU9FPBqM2KP6xKpw1mN+c9t6Gh4AC++DS+1J/CDoEHDJKuz15ztOAM2NpeKASMMB7 KLkXuXNU27n1ekBAKjZvHTUhlgGiosAPVvPQBymI5stGRaQbl1WgYvHYzqcRdjuVq6FZokEvgYHy 44RFahAbjj73eZnhUU6qxF62zLN3B3hfRe7zej6prKmEOAtpy6nE+ZNbSQqrLyxEJzXExBpSYRwd 5siOBw41+fykh4938tVVUPP7lkq/iHcbAoY46US/6xvM7+Cu0BlVdqew7PJsQzcWkHu7xnQL9Bdp xvTJsNBOEA2Z8C6gBcXONkLmCXvQAwqFqJtzvoozHVVZ8GPiUxOXAYWs/uiSH0V1+I6HA5pB8eAi LYeUJR5q2KaZ3CdSDU6XwCczFn1XD7DMTf5/mZ6pAyXsFMK3O0r4RvGhV2WJQ3SG0YbIfa2kIEPa vdoyaX+Z4WyT6deu2bm2d5+Bo4CUuv1EQr4pDTxbN8o314yjFQQsgr25AecWaLkRuz3ibzu1B4tN wqaSfrI2wyvT9jta0jrx7WS1Xo2VUks7FEGwJ/cueml9CDM5CrRVEVuL61KlfdDpje0mW/NHFCi9 L56UchZaVix+mHKCogGx4l911x8hnblRKeKKgHh4J/m4ulUMHC0vM28Q/TOo9Qp4XEDFDr6tLwPF lRLEvp3uz+uFIkMt+wv6Zn86Po060xPcioLeJSKNtp05Zqye3xzyEg34oHGDIj9bG3Uia95XuQ1C yEIgEMiPgijcIVAYFvG00zEP5q4w9epDi05SyLCnpRYYBWdBafn/UgSQvk7YAzPCEDZqqSiVswXB /m5ZGzyw67h9qx4ep5GtwXRadP7gvWm7CKWCpQNVMaYc+I8/xB4dKhBPyPKhM/QYPhXGtk9GTaPQ 8BSJXYKWAM85aigbkWDbjT790MkS6CR2tGrNejecPGmPrnnRH1Vx2CHen2u5pAGVo+Er0gOKl0Yt 4RmhSVh215jtWSQiIpOiT6+HA37FGcDh4tzBgrLlG/2hWPGjk83FFn0wLT6EbYZ28QZxNvGp6eVE /Jo5KkcVgl5iM/yVZIOgfinBerao/JdvZvhtOSZEmvG4v183ERHgxcs4tj/1Wy4MeZ/UgyYCvgJt OqE3Iq0vR6NpKH13Jw42JrB8egcA23lmz7CxfeZF84JMSQ0s86Ph5i8C5hWJu1rLX0nymFBOV+n6 3UYo08IbUHooUKOa6z7ZNV6+qMUbfO+UqDaSgbrLeQUcpvKzCOXW+u0xBSCpcgi2CmD9TYC323iJ JnAplGhHwENXLB2QOR7Z9kJzd3gh0A3FEXve5R2jSn1vEmuAKpIdnnKUbEvwDWoqL+z+iO6DmMU0 1cQELrxXgYEI9gyD2MosJxMrjN2QzgcwLei0h7M1IUgfpXaoFqZGY/uZ/R5SQDaYl1NOcIijoCbY PW3ZMAQhyXlWNH1Bw+YFMO/UYB20Qan02GzLUMSV2iG3BXHMPiNPnvTwBF15mKN9rYX0QxrnOZm7 xMaf2QkCpE+tBC0MJrYCMixJhbsJm25faTfhHt/j0uXzBpNHkpJV6JmEjnlpA7yKXpyaoFw4snmg Ms1RpsdGC9SSSSPVs/cQr8Pwh8hKtplFKb3qi2r2BAP0UQNxwoR1nbh4jZ4H96Jd3Rg0pBaBOy3M AmkismoZpzNK4JksEEUHVB4lyqTMvLT5SoU8ah+Nw5qi0DOKpHp61o6d/wbcphPQpoi1LNC/npOX wdoRjcGgAldmQuN1VsescZphtjkoQelbISHuqaGxQDaadsSuhJloBwRu/gFJa8MJna1uoEUsoAjk 7uUi5wH4B/LdOIdyRR23GT1NmJiIwyUU3rY7yLgXW9BnOaD/vl9SoouZVshjETP591RuE1UMeIE7 EGmtychz7EosKHJfff+A9MLN1l9UB2Mpg3CQd16cecb0mNxv7mNulFVN5H0+9zkUTWxuDjG96vmT qJwmQsk7sJlZQxzV97aMzIDun8dk8CnRbgCbBUUbbj0J143TviXY3MkBs39gXIwNeIVoIpThBiKb 25+Y8yKY2ZXgONzNf7x3GHivnkqKgvdXfjXv0EBZl8Yl4enChlZNuV7Gqco7ANkjTaINqFca3yX7 bNgacLfqq0rR/CEnBQoCOybJuNXMnCG9kuGgqXeqS93lYwQDY1kGedzPfolgsrij4ysFQ5sUT9wb m2BmRrw9w6QkHhe/xj3W62qFfxnTnC4JaQYWzvQVzJiqaO4SZmNKinwGHpdlECFFH+A0exoDVY4E xLJZKoJR0oCP/91IUguGN7sn0NHd4rBiNKiJqZIo5tRE1lRFvtj/eBUvsgKaQiZqIr9LkWzrlavB Tac5cmOIFss83bObGGr0EeiC0KyXKJm3cw47GlWEAj4tvnJuX2h1g6WozDZiMt21l8eRlkFbsKJz rIP4n3XtxrfoR9gj1E82YUSfzUBXTQGBJYAPQ/erwp7vK3DsiXq6rnIJsjrrq8iw0X1EfzvBUadD 5jY0kAgXnwzlQ+ozrukzhiwq32+PIAgOlVGDkLTQEpMoBbySM6AGvITWmpGqQcY3rMIPpe1s7JzE AQboGV33HyQmCVVRCda5lerQHyuNSd+LlcTIXuQSJdTLVz++ttgyxzuf2kz2E6XXrIQOv/aahfdU Baub2PKbqKgch+aEcToPKh2h/EEA+x4NCHCKmIAZ3qqmEfvTzbUPReYnB5u0pfQxTufFppZzE0qE XI6A9ZBN3kW5Y3kBm7c51iMowbueJydFYuARBk0ZkBlXZhKg/IU7Agf4UvY0rvIxpnl61lFDcyzb +6tIf26Ck5lGAbI01B/GLG8nbty+Mj2g74lnBbuhqdJm4Gl9+agJqfPCx8TOYM3W5G9eUcGF2jOQ C4/OIjFqIpZoNjjxJmzxjhPaGSszLID87zUYcTa/rg4L10Q2sDQsDO0ZovvIP52ZXBlFP5eFZMWT GZV+cafbT6wXMGSMtQPfnYhTnRNV6cQxXGRSR0JJTGKVESromRsQfdB0bYp9A/JFYWxLSH2cRzvQ WmhxivHhMoM14HYORx/c5m3W+gh8EKyQA2mFCJSXymhL/bcOAJ0qoTEmnViQAcOjEtexEcf41yDt dG4XVA9fUKWOzql5ep3gzxLIIG4RewqzBTKK+uOIuANK3SeMeTJx2Hh7AtQ1mICg9b+IV3b5YT+a YljiLkrSBUdUIwJAWkcrHeesbW59EK4FijE6btRUNUE8dx/Q5K7KZ0EJLcLKnqObNYpb5WYXIj6y LL4Cjyo1SUX7v2eV3JOKl4+ko9NENtTGzTlhv1QvXlnmsPLo+b7xc+ieFoy57xD2VWBIfxxAyr2t vrrw1GN2C54S3kqLLzmO+oemqnzxAt2drqNaBNFH+ao8J/fOmxXNNlJF7Ew7srxFAdz1+vMeUuvv 1+uZUpkt2oFnqg4kZDs0k7mt3gVTZLdjpkhLCo48DzduOOsm+aZciaq8xW8m1FBIbIRC3RcyfukY ENVZjnYfjs+Q2d97HfECuIAawYScLk639ilfOvcsXCRZ9XGUvDrWASVuvdH4wSYb3+BxndLhsWze jExG+MFuS//NHG++60rCQ61cVPiuVdzycZxnQOU7FuvcV4aS1abdqNX5kbS5nUJ9SqPffvOCY3lu Fe5oWMPXPDBEUTCjLqJrQNKIA/t5hFXfvxPWTYFd5CPuQlUKZaC5jdwdu3dS7riiBAdfCx9kYeII CTYwoMoJzE7XO2Nt711yAGMj9xl5puyDk3zjYTew2/+9+USs3kHeEMlFRgMS6xd4F59mblDLWBC6 ck1QVeSGKsfa58l9yxmIAn1aeKT8MnGfLprsKdJOIqO4BzF+VjqvFwrOryJdwAWIV8eurVqqRkEK a7YiyCRKvXNu4QuYflf2bezUw2V7L9NxKfJM4yL98DikXFF3HYl0Tbrz35l6/t1r2DGNil6zF+yt y/YgK4kKJxIWx0gbd5yUDJzto7fQtvlmc7xKOo7b6yjfQiJgLhO6QgCkaUSMJYliLf4fNDGgMege UL2QFTtnJ6GOL6gXyKHhmJY/uu/NJREztrzrp1Wuj5anqlgI20cNKEQkMvw3NBccwMDT/BEsehZY Z+FnLp7Vq4Jm7GkxPj8NKnaexjxQYN2B1ZPruGHo9qF4H2m38IbeFwFg2IlGRbXwk3e3Mb1z3w0D fQCbmxj0KqYmB+7cmErYosZwyQg/BQQAQdGfGXtPLrDOkKvAf4+ZUlM38fSztLFQpCG5JDOR8EAS 4g+3JoZ/JHul9ecSQ2bHSvvyjCQyMMZmcyZfq/+HnaZZP5FBBhI7olTlSB2WSrnM78381KzCF/Dl 2PlZU17EmKh8/KfCs/PjMNmzVN1jNDsf5ykoOzo6LvhTMxGYPOWgzgOjd4Mgz9BuaoReLbAWSCJQ nrffmrvsrbRhOcXPcyT7STBFsVB0/YHtKogM18IjniUB8vS9Gi7u5Dw6l1Y2AJaK8rkQ0mxhpzy9 rIb3rccNM5ZNrOK8OU9LSn9hn0gYwOiQYLceiWk5QMa9QEaG+zA2xqk2TjNMwGpGoLP/fWcjd+mZ PJ4T0QbTZJYzgl5YbONdX7Cp0O0w6Fwf4XW8Y+a9Ih/YfhqiVZiBIIliS90jdX8Oe2E5iG5O34bq YHFdlGfEAZDnwAejsxnQEwXle7QRjrg/c3uZbPr7hpIPD52zcLpJwU13ACQQvaId4eA+EyoThFry r5NQryJjUqf2u/eFRdXHohfTQ0PzofkbPrL4gb6SC01mvDDK2ImC35LRz5IttiRyep8Zv84ynlOj HMKBliu0qY9JjMumZDWObhpI6PCJc0VBtK6Od9bLfdUCk1yvT2+yRf3ilsY9S+g6d4G6ByUxj8iu mHD7XSqZceYMYZgV6cG6fcdUCYwyRevKOdEJNvg922Mt7RQKgX17FxBl9dq8uArzgMpI6H5fXnyt kxkgq/BSitaIq4m/QNLqbO21OT5ReBd5kuHGUvQNcDCtczCERBTBVByyk9vohn2tLoEUFKIe7B4n 40A7Ud6AzPki7exjbsuYHZnU6DPZSKg131wjdOSjT7z7XnAAEdjdmL8RsDkQ92DSJSyrfujYKGjN WMT71u5kAzfpHOOr0ILHqt1wXoJMBJBCw66u4yirtXG/H50mhcGB+2A00IT+4e95+hA+9Lc4Bsen GE9+kVa+YTyqylvsAN+c9qu3vzwZ5/W4h2oSqHsUQpB53NOo1RTYQE3aixMNn3IKvQBcvKL8Jo4r EHQ+NzMEehqiLNSFqV36GDV9ELf+tAM7Bo1+9ZVRkIYrdl9bEX1iy44uK0ReiUOhj98hi6yBlqpJ 0um6cHVgSWX7dqffYpFIaq3EQYY8OusyWaA954JAosamMjhqkSvxBVEt0fPA+fhOHOAF42GXGy6A 8qgYvSYw77UPZxsX7F9mY5W9bQoDJkdcp4pOHtRrT/Wc8WvieNpk8ZYY1xLPv9CkXd/li2mcRRYO LWvQT7HUisRD1xXotYE7eBq18/P4Srxs57sF7+2jAEY/O8LjQFFaoxP5ZVwlLToU/uFA5gDyxDgU oDMuperkKrgHxiRNSEsWGRxJuRucDxe2gM66SPR8Fhbf15U/nbosKcK3itPHH9d9RwRoOuKncW9f R3ooilwHSgP2lpJDKJnXXOl8qPUca/WW917k2zZbV89sspDbhp8DTsQqiBSv/TC1ia7FxpQXVswr 8+hWWpWFsHpCmKlrwOo3ElFqb+8hUvyVDNuF3ho2EFCJBKONCtG8eKbVuuBdAHLIVemqodbQeDl/ zum6dYTrsvwj4GiQjHSX6ZOe+liD8mW/hCTvZAlRak3b18huVaL6xuhJHRjRdh5RBUlT0cuG58l+ 9yYX2v8UPxC/DW7E/9ArGUaqd5MhzMYrcl2uQ6HjlrBAwYoasuDgoxK1/o/31MP+ryxdukfUbljp WQ50yWG3aOTnfivBI5z4YE0KP8WFPtSBo9+bgA/28IIcQDUqxd6nWO7iIAngAsu/VPNooEDyA48B EG0it+0rkNUca+irAIthIkXYBhxYfatJkwDqDmHj5r9qJivFpNBEswVmhVqFsvDZ0GlZ8fUKH8yO W/UrxESIhrPxLOvE3urAa419Rqzs3nfgijeIKcQ7tI52/HVJb8R9fuD6PF/LdZOEFm9mMP17uF9V blbKYsRDTvip3bwHb8CCiucmJg+vvVwNEnka704wbyho/5SqhP0F5j7apA4VNZGEpOxpWkyQ4iJ+ xBefrq5TwKeRz9TIsTgqT/kt6Y05xrLcXuiybQ6Ek2/PqC1NufxAZ6LLlzVcuGFuak8rBfcjKPu0 z0Dkx/JyDYAnKkbNIISuW6pJaNKSwcc24jr9UxZjF0dBfXSEoDwvB7/YN5nf0X3LGc+SxYBrOHr8 Pv0HMl2o5GvKy8hgESQwCAPUPHhUH7XF/uzels7u59c6OHBPI4U5YE010nsEPSNZir21cTWlQbd3 0jtFO5Hzm1ORWRQyCXbFb6YB9w3waFiFWDhCQlXAyu72hPbZcrpAqiINIodgWojtQAhyGtCm38dH 62iQa1sLVgvdKe0UXyl/A47tZMpmv+WgKCCSXY7VQiWxxrGQBWrT9g+YaMjVpB1pPRqb/WgSFL/Y C3CUS8Dky3OTnbxIYPIgDrjNdmiA9kjb9f42UOqFsYOs4pI5qMKZBxzvD2qYEaPnyLtBSJ8nDKN/ 8d8QYAwTn+wLDEZ6KGDMZeyuMKTF7ZHAyRGu8IwhM0zkduUCi4H1u8t9o2BiyaRWI/vkYZIMIIRB kUtmg6WMzWi8YbguqoKTqLWye2EMS83ZBu0Ft5y0Ee6dTMHOzaCudJQd9MzDZUxtV68evB9ADZi2 0uVGuRbYveKjvWkVnxBhWM/ivnmm9JRQS5dLamrGxzRSiFtCMk1UAnu+NIFLB53EcYoqDJASIL8V zXqEt2rXfakP0O/ayt5YMFk9d34P9soVEqHpLjVKFBXtocdym1XCHzAPaxdZicYYqZpKajZNgui9 Zt7FVEibK2BvtDxVsjLbmnUDvCwtl+nsb/R3V5ZwD34pKCRIuN8JIv/yGwmnfTkzhTSpn4DYGfPj 8t97iINVC5rYVdr9TpXDs7Iemt+QeZ8WN9M/9OWA8bs5w2mI3r0zCYLx23OUfIXLeq3sZJ4nRUMv okoHcKugzWBH9rz/hcmlehhRv/XFRamtRBW1zGdJersH2uNhqyK+BfvnhAyri+0KiYB+ZbePpd8n J/V+vUQb6edwK3Sp8W/Pbq3ML87W0yvB+ACeNnTPTu/NNleltZPn+zl8i/pSjXWdlyqMwWst0m16 i248LkN0ZrQcZ35k0iZDqTQgX3hfCkwI8HVphuC9G2VXUEm918UyDXfly+mDudLl1m882elF55aJ putm8ND8YzgatfmmiejsO5jmPjPvjzh5W29aS+G4X3DwsrFItr58sAfGm9T+pSeIuTJPbjXJf8LF D2zju3UJldofQLouBXvf9JFrNwcjXHxdv9q+iNlChigCn39nXyAeQ7lb0QkrMlznlLqmef88OuVk VxMNq/zrRLe2FZ5HmAwq5oIqJGpQhfYJyoGyqvY3n0OpEuYzO+UyIO+s4w+hBPJtI893hspVYpnj pJltb+HoH6G2ZD5SthRm8/4M7athGv5VBwQaRvWed4Uj2eGS1x2Vm2bdprkAkPdUZ0DJ7d2JONiH pmDiEFCYUs5/6SYCoC14Dw1Np7Ob7wRopTbWU/51yhH10+9JTsnFcR4qYvbKMTaRAzWhhSdIxSTH rNnuqTQkFpp1CUHaWVaO8ydY+P2E8XjOlrWsfLkCvFOrDeWWnd5Ezbwdu3lyGVC1jGvTNwAjueRn 7QEr16V0DaSl+L4RTF1CG0M/MnKNDhQ6zlSIS5jFOZnPP/yZhhckEO/LVbD/zFqKSY5vuJiJw/Gg 8CuLq6wy4bwGZOSj4Plk2qVs5joMVrYovUK5A1ki9Jhh3uDJWYT6zgzxikAS2/vDxJvk3UvhtMrq fdjnp69LeyZxWDOaF+JXePvCC0GrXKFiN1J2Vw47EEsEaTCQRISCti3+OemVCTZxz65W0TIQceUt e5TDZljAcZSMHuBPViZNc4+N/yntqaPGBEwEcqYkZDJvXykJ8+BCHKvVDb8VFqVFxzetQmfbknLu qpRI6iiFL9G71nMl1M0w9ferXCe+FFzClUVhj66OvTuvy3IcGxoX975ml3CIzQS0FhJUgzdQp8xw IE/kC44tVaoRuv1MoV5GeOVGARaSYncsJNzlz+gbAz0Pzl+8SNNqnS4Oty1VsZNxVEKHgEkhFxEw EH4c1amp2LEXMJB96kMoOK7JRfkfIsYwaowr4lrrNPEJgW2OQGuVCcuPzmDjAiYDOexrLwqVvyDJ Iv6ttwTYsECoMHQzN5cYY5nI/BCWRemcrF47jwMOC4fwK3Nx7WIIX3ms1ZNCWUkl+If3DZGkWG57 cgh19dk/+dSfq6a36K6LMQ25WrXLv99Uf+IIhHMu0pBe5Wh2vRojCTc7fH9x9+KWMno/MPJjZsxg Noot85dY9aHNBHbuLToNYe8TxHMilvbqVmrlAQJuJg4n/mXKahfW5gRsTerQYHKSWn9KF1ciq182 rcKY1t+y47WsTkZ4G3DBACAQMx0/ULGNi/hssTU93J3L0sPokCFjLCaLgg/l+qqvCsvB6CWHP7bl XAeQ23dOqugf/hUXhaf1Ao4TzoqovVO5fNR/P1TG5ukX51eiCRd4jhhp/mzTuPUrNc/efL1kIyKC qte8SHh77B2Hdk0P6az6vGHbMwc+0/Wx76RKXOF7vjLcy147kFmEXBECsvV0x2KiOnPzPuLMljVm FTb3RwQeen6PWLZkXqb4jEmz9aDIL2T8mjOzXNieI8Wk+8aJIjjq/iqbUcGnJIbJASJPjHtRLZag p6E7H18OczuuQba0rmZE/+IwxxSdtl0JyR1IH6OsQ4ib32t3d3Ku+SKsjRvCRLalIlbXmyLYdN5r cGbEAt4ylUOBSjw4KsLDtLzkyeUj/n6ezuzDMNdvm0BBxmq+77BkTO5Drl2TRE25iuMv/s9URYCv Es1NlWACPrW4xcQw8uiJqWJYrnYF21vaVgVydvZb7zGeN/O7df4URT6Ieocp+hzpxxEatG0+ROw/ 0EScp4zLr5Vbj6L9EPZJ58Uj7bydcaBTXOZXAyCGD4Gx8M/rmtmcJ/NI65SuuUVm4piCyzVcDt+8 qWfkb7zGFQDuBqhURpFtc7DuXFe1sTbnsF1hlGdPL3ncq0Y2/X+VsCwuYkgPklbTskLYlJNr1J31 TKQRa+TvuX+ZI7yDJfWKSxSeJdtqP9vf49Xt64s0/gbKTKPtEeX5Bx70fd88m2QduOKRykzX7n/c GIjcYJFfm++DCYGnjRTS6H2anBZDY0WKTG1XQhWE2Nvuo7hgDmBp4pn7RLRQ51qOkDaPDsX+p2U6 8geYUV99DwR4iMK4ZJF0sHIBcWANkjv4nMHW7maC4hRu/IyO2ZswkOkMQgkw7MOIc6gH5Lcin9lG W4E7HjaLD20CmHphmXRE0jUYFDxcxT79d0fTbR6hljvkZtyoqZTCaHuIMN2/YM1XHTdBt5aJDsNc hriyo34r8welHJRfAVZyJoPad4aLfKEvJ+JRVUvJRUY2FRfOuqD4b6zq2SHAwTChgSk9c+v9kmn4 DUNwDMfeww5p/th/verCtpLXjR8ncYUs7nabeRPcJDXA7+sBHBBvH9xqdvVKOtd/Tv2nKKvPawpH atUGPOZuxUbibyxeRDd5TMD1xwvXnoeihu+VN9Hdx4zAH2fuG5/HVY0q6/FLgElblVOfinfJ+qO9 f+RN/PiQjAdZgKMAgGrGQPmerg6Pc5/bTCJ7cIrVvFLxRwmGV0fwotC2oagv183/6zRGAezrzK5o DHAReZdlF63RUqVxUadYPm2riedcOOOft8p9CZptdgxIQC5n/oxbDGQNY58397SbJeg9D0rNZKp/ pdIp6lSRMzfyeI7CqgJzw6EB6UMQ/x5HcXq/hcLCX6E/YL8W2Caq5KGGd8QawARvZ6QDoGVvPkBi lc8/A/EP7jbJ672xbRFxA6kHZAWsIJTL34CPH6wsA7D+zAe29/ie6hnSMkJYOVbEtZifKMr8VuAa N3ZEukTK02POiWLY2Mtk9x7aOkL/QDz/pmNG/WGMm5kJWi/gKbsSEUcD0pB0In50QntD6xjw34We 7GMTXnVQnAOLI909YxJ53Gk6L8aAhRcXAfdVXADt+NpKktK7nehfMd8chyeKwQtUj3YmxL5fOHVs 9M5+xjH+RZRySCT4nHhfHKbMTB8ZwW3zn2oox+dlOtR+/SAXCurusxKsXnKO+f9DBvhzsHPzW1tO zfCedjfcCqBaApRXtuITLEIB3oDFuyQYrQoRPwFn+I0PvYvJ+OP+as/mbdaaNTDHsBIa00SO+Ew2 86VxlyfBMbNy5OoKDP6xr1c+ovIKOhITlSDMpJ3ZDVuKmMF9HXInbZwCty8TBcMp9AVgBiRIJitD A4oCVkVy/pN6fWjcbfQqmowLkPQOcqFKoF0zP8u74QbaUYCgaNw1HCciiOHNyru11+ojVHqL/T9z uAmOTwpvm+2pzQY4KfA7o0d6HIcZD9jEKuyUJ2leJbh+ZCwcPDNSS9XJ2UlkRwu95w/veDA2f63s dtSg1KK+i2rSGAv8lc9bvkQhajdMquyiIGRw1gsb8rPSyXgVpSpuVvY/iiL610mQYTQ4OJjeS82H jIH+os9h48Ss4C0f0hz9KZ//R4t9UeRbkfK+FD88afCRnFQpqWXB5rOk+bEt8+wV5uAz9TdKCNGD Ce4bDReu+HXv+CcAulorpSpuSdX77epTFSf2CY8TZ7WkmRZsuLQbU3xx6sejK+PDEy9m7pSCsZlZ 1fMyVy4vxXWPT4YbTofTLvv93yzW2goUylj7XIiBbfwSQmDW9UltVZ0MhBV1dMVlzV3pmHLwFTTZ N2BXQ8XkRLh4OMRQKsadu2LflTdlS4nQ6kqb70MeTtstx1AD6VBnrUsZAIG+DndACjzPUSqEXCRz mCCY9VEpCwZY9CK9zwW32s9EWtSmsh594YFNoEkyPRjMZFjQ1gqxEhDMPEoiqK96r6QL540rx9r7 Tn1NfyGVW81NPaYcD+COrvHelfPJnUCrl+22MzjGle3/ngDa7+7UMKZSDbQmCkggDT/QcNbgvrYY dzQqKbgAn9XfA9+N91GzzHMrcyTvc4kMcA0b0ZR+6B0oH3B3TuKa2vD/cdwpz9vR8xzb+b7L05eS O/I90oSLgqegxmbCBP9nscrWXooB5+7C+GEBTT7l6bV0nsEmcmBqhyRDXW8emTS00ghpKBOsa0tb Bd/Fkg7w7KTy3MxxLCQbtIAGXq/YwRelW8HXjeXhymdoM4A0l/OsYTDJSgWY4+0YS7x355UgrYiM TvLj5KGI+CxbrVGD2eWadWjeQZKpytr3XO2+YpsUAFZEy4B7hPMQ4euWbhTodjpInKs2BJtTjULA dgcLDlC5GXM44GBc6891z2UQ0ZIKx2h1pr3BSf9YAgbLQulKCnrLL8BYTn8QopeXnmvN/Y4YN2JK ec7tJdjdqqbCxhs0E7Vs9RfR+sT+Ikt141JExQLBhbjklfdq7IhOqza1alJz1WlCzWTriK/JZP87 MzJKn+pzuZCVIYLv91VjQk+Q4+TLaxQ/cRemjs4/vvtqx3uJLKb1xKR4y9HKqttkcbGt9fkHDQrq 8ttF15iPysL+9fOglvcmwj7YOU66zeq7To6mqig1v5ZMF+L5Y/e8MUsypIGQkkerumEBesF2AKkr bgTOnCWU3oDBq8A+96BqTJO6Q5NHlciI/SBbImsqQqmf5ZKukrsdUSYE1uVYN9Rej6ZxEUZsMvyb ViXoaokm531k5WaUUGwtRoyJAZrw68FtRLabU3ijJlU7W6HDzN/1k+gG0vvinvQCwt0wP+qaonJ4 m38e2R5nzpIHNGZ2roveDyYiAaPq6+EOVLrZf+j/SROWP0miEJGMTcewW5PSPqr1JbeaKIimF2rX EuiZ/7bIRbMEIyjaQygOA3b3dpN/5axB3B2tmk2d9olBtVMHm0SLkO8kGasizoxgNDQYA7M7C8oC +p+4QkfWmKdOuqRiEaZkSbU4uFM0JRW+H1OLCcPh/78vTExZIOq5QYuOI3Z/wfniYfIljv3L4cun pKL/ooP1AL0uZxYtP6+xHXc0oT2jvTW4HtlUxH92Me94/RdJ2p27+t25/RH3UhMWnaTHeKIXCfMD sUMBFuaKZFHMPaWiH2KLT+mnz3kHLZoMCDpuSU0ghFTSexuxEmAz4LXaFuhe+SlPDzJb08glYz2x nH5KM61XWxVOYNRhqa6bv73iNbgloD+TQpw1vadSVvR4Bze4BzjY1RMP3Rq1zrw861a/gBP8Hffo 2picoAghbIhjQ+Xj/WTOqwf7/U2sNHfzzgiG6YBjX3VjHWfUDGYqQLoXr+8vv72MeWTlo4cNWum1 KJHQxh/R6br010Pm6Rh4g7xzMY2gUPmaQSuCHV0jKO7hN7lWf5TLcZUCcsP7mWzJSRpK2OyFjGZX 70U6MRSpGp++K4hLWnnslpx1phkmYJLlNcud/Qu4KQsOW4l/ILcurjWIMmaUQYGKZ9+iPhBuSevt cVXzw0jMBP8DekDWw80zAtnpDDI/wHgwx0z/dUgqocw4OfikWnKRiYmmiuIzgZbjozYPn8uob3td cqMzdVtuvVrrjEPnVp6+Qv/MzE6KzXBH+MUljRdGjBnV9R7P6JJX1JERJCupwbJTDQnoHFWNEkVA PZS7WiVVYMOLqwET2uVVfRrDWcMZV1qQF8DXxIkJq0L0xNJor60+TIn2WejCERrj60orIv3nTQEG pSm4IxQLkCsUD629aQDIauczjlN+ydS2PYCv4o6Pbe+uARCiAYlWqk0UqjdrYFSpMFmyjD2hR+Eg gp3jIoaFvXZOYsn4OuGA8ifncECnnQZbsLxpizvCWZbu5qGB/rRB3LtlNFjh0Ek7D1qDpEuZFY9K h41CD3HBtNIzkXfSY65dYPQmQyB4PGwDJjmOstufbckYoQJ5vxXLDXp+/hNkXg4Owk0gCLwTYpeQ M3cSnuaGVw0FMZyyEuSeoX7Hj1+yQlui4i0+MO//peilghYcAlyiA+D5Y4uI3saJQx91xGAsF2nz 0ZHgkcvEDPEG4dU4R9LvDQ2W1ecSqlujhLwumU/nmjj3Cqi7eNAEFFYFg7ow0dH6g1tN9lmh7brn 9mUJTwRy6TumDTkFtiM3egBg82wsKffVnTeyAnECNm/G38NjgG5sHOs9oaLte1+3VeKjE0ox8N0V ryXWhlBRodxnJW5Mom1xHSsZqsojTLhSLq69f6YcZhE1FA2H7iRVSfqbgV3yM2Hzox/dYdMoxRTx zkXmsRTayOvavOe+ieMBI/+KEnvBdxNlmxVKzxTteRG456ki9fRV5z35eNqkgUPAwcb5OHkktyZY tkr4jMl22eBJJEMSa9naUK9G6VtWlxg79bS6UrG3KUhMAV/g9Ao35OAO+LfUZBMooXeKgVOs8w3u bQhhNgFex0zYAHOVo4TaF0VtVkVfSQxOFmKUFnwLj+gHbYya+6BHkH6L63E1FzK7ZMVHrxD1KWF8 cq3A81DjvR2bC6FghNufPfhtGPbcO2VwPspMHf7G1pNZBXH7Ncf8LeJ2pvlI8DKQTj0VPhuvvmJu Qjkmu6T5PEpiuNIx/IsyVU3MBy6DI7eOSnNGQvNGtLeNrT+yHaXzOKAF2gNwpSeZHA6I9SiKI+7P rKeXtAkyBLn41DEHw4EP0syz4QJctJ1eIqQ1Z3g24V1uXkcUO7nf8CEEG/4uP4StH76TMyc+uMd4 24dXU4qVBDdoEWnfSZHMVDVEMGpW/B9U7OHqVLoULAypd0W9id0XfNO1k0qFWPqMWYtCGHIUZOXr J0rZ9pc6ZaVzI92Bv2cFuoMD3eP4GvpjHDYSu5x489PmezuoduwB78yO2zjfJnCDW+1M80sbamr1 m59bOGVCFw0+Z707EPS44vbu7xEMlTONdr3o03rRnszIBKeaAcGTw5pyaN3R3Tmoa89wKJx2z5XC h8hunFhHRV4NVT8FWPM015NSqXHZ5Q6mn+8ue5yQ9Oq0UsgS/Z8GQ8jC844MHTX6CftQFkXWoZ+r ee0Y0EbvN2fEWbEcMYlO18g516wN3tVwFWq+TmHud/xeAjlyjp0KWHJY58ccMYLxD429p2xTbG7h nFeU0x3DBuLRG5eRhMzp9zSdT/NNPM9E2Rcf9wgNco1L1RvpU7Yq9GBO/TRNIPOneulG3rOhVOrQ xUUIt3GObFAd684CmkqjtqW+HN5ZePp8tehk7+7q+LqbxJw3LyUQtSv1uQLW/N9ksvB/exlN4vH6 GajetzszfG4DszN+ADhyeC3CllwfFALFyds8En4JPrfGy3YZcAG6HJ/9HZIcshvtEge52PNKzFW9 H0HXPslnC/rZetFGxdWKnCk3F3t0PH5Mn8v+/em5dkO69D+iO4cJtIni7cjmoGkTrAvb7xYT9MaG CaAFQQ2+sefkaXXCFGqeviIBFWl4OFy57dC6C8EEVKmJkjnQDq9JwuqVvPnX3Nqeo/uxFMo0uKwu lh+nQJ3fcI3tRdnm9fwrxdKrBD/lEcJuYBy6RDTEr8CFAQew5hCb+YPE6hXqgbG4hVl1mpaO/+B7 FMDc5ZvUrU03UxQiZaVTzBO131Zxx/ATZMgWf4mMaIDH0MWswZmUdn5XgcGd1eUSlG8QqrSEzlV9 aGdlONC5+ojfXCLBk8xj8+VxPp5MRxdR2p29oT8GARRHHASIs1/mea7RkTxYrAJqnlLz5IAFVV3u pewapfjUoysdPBm7B4LH3I66PTPaTEYFfdtElgWw9C53tuezVRfNKW53Da6c7BcDxPckbd8JI84v Y433Mkq32uzxh87hcE7MaBS2U70GyAQRYvfXqr9ae3kyf/ITCT+W3h8IvUpreCW9Fd/C5/1o8TK9 PtiGwJBY52anb/RwW2RLdeYYPa9pt1MXMfoHbP+uoOUWnF+qlmuYTmgvJritByXQqAwDRTyyCpDN 47At33D6UJZkHwWQIij3uarM4+HcYsueh+ap/BU2ulj9yxQ9obzIab15HJg/QieTfp+9BWfIp2Hr 0FSKozakSIR8AB4Tzg1dL3s8xq0rg3juSbZmBTZLXPW66dEI3/w6AFahodRThZ8R5kVdAQ3RLpiv b2AlK2cPcJUy4un0YR1i3r2/aK9E1/FzLrSpuIO6aSwx65DTWcBGbqijxkGlE/1A1gyzN80w6ub3 lYZDIi/Hd2FqDONmXqGM9WA5hgw5s7dTB07IBXIk2OtAOApEWkeKzqKsRvCiV7Ypi1j5PdumZrt3 4XpM9n92uD5IbtY5YjUG1Mg9FW0ziG10jsneiVBNciKhqePdwM6w6StoiAMUaeSGieT20hOVfkLZ yShoTU3ZYy19O0rGdh9MZQeJ+EuSG+f+q2zUYS00ep961/PBaZalrbbKq+HpxqXEOOsRhI+QiU6g zkwwTPblVnDLgF8q2TqxrRbtbsr1ytcbVOBcNeAVmlgXxie+Bmc9NdobURkxbWe8MjQEcr6fYTrP mHjcG82nafWgyZf6k0d16IdFm621zD7w88zfL+sJAr6BbQxmeAUYOZKwFvVK/YFlZY4X73ElkGsG dxlr7CRK1hlcVW9X8qHUfe+h9SPbWxS2UecYhucz7LMX99Il50QLBcBMOM5/ZfSlWODkHxfhLCWE /ptQG7fwo/t6mYulCQWnilAIPP2Spw3baEvJ26xrx79bNS5e2aoSpd3T6tozqKrp08H63CVPrSgG fmi04C/YsEUP6dBuGDkQXdsLT7qfSIf8cbXFHrW7/vDe4odBJ6ktUcWkZu2lzNHH6jTgenJLzPCn R5Dm/PfyYE6+KlYx+mj14jXIX6Es0bKgOvBOeecV9ODosbrGx7/WdgeOpcjhw/GeHC1je9ZGTlgU GxidND6APvNyOAUhCQb1NeTAoNFWmNjLoDF586ntrK2MS1G3YV267Weeot3fS5ZWrSkHWBkuTvi/ 836TEBUlrmnVURK8H/LwQTbxrlm5kC93vuMj/m35/ESbgZnERC5pmxfmYtmwV3+Vu1lgUz7C6/gt gMzwnUVC+ykQNc7TTM6kaO8sWwwMNETCPlmKs25pUOC/eSRx9nHY8PIWLkr1WrTBBFGyzf+NB1+O j3bwh8vnvsRVpnyKM0vIq7XYNvyYDIRO1FVfdgGBoINed7ny9r2fK6Ug1Ou3PTijCqorVjZ96frO f21ylBb/osKS8AuF3mCWswowVbC+BCdAdH82+ckhw/4vWTOWzJ2vHZ3o6IAaWvUI8Onc9DMPHHkB /rS4RBusfcwFJ5RDAf4MzOEisBbdkdQgymOl+dQVVDMFwOEXus/5i+qTa0tJ72elQ9zEujS99H/U WuvqSEHa1i3+ThdRG7Sk/6qsM/K6hWhKcr2E2Yy8KFq0fE7q5k67VwzqDNVlZgUKfOwppmFqYdr1 DIhDhQeKcTv3z2zVnaBGa3IIA9Aoxw18O+eBy/Ik0Vcgns/ycyynlin0VmIFu6ogPb+4fmpe2SB8 D43CpAWvpxgvL7cl3ewvCajKwUqK1aE+Pw07HBPEhQE3+MeALhd+UfZDEc1MXKZh7tZLCNJ5carI ZnG0BrEtdsM9n3rVr7+pVq/uKrASmCl4epvXFLTktgcFwByUBt9+ZKleHR9CLXuaPxMPKluJ3lMU 83HJDJzSmoN8T4vDNBvW+wNCLsVoZ+i/CqJXlhvs3TP//9UCvGWGcbSQs4zkaQnWf2W1Kq3leIDb aAWoGdBJYhonqQDZ1Q3/jYEBi0Fz7pYal+KPSuKspf/qUt1jAHaLO8CXSgKCTCGEKzvKlJaNqiFy ERcHGyjkjLflc0GASbMUnlsoMyIuAtWe0C+LuHhyVU1AGaerDmfewjUtj40mRHpWZG4setTVAV0b iS809dO/cU9xp2dcRw4h7AMyoEGQdZpmjPTUbwZN5kgXiTb9yK7m5dtw3NY9XEtsuNIlkqfAf9vb 8kBoSYbY4hs2teqZOcV7QtVUFRvZyWoVxYkcCa9Naw1VhIkOW2ZOF23xVz6PULrBeJ5CKYkf0Et8 CW42kO8RegO5HzrIwaCam6qLkFlDISNhh/jLn0aCb6n652zBW44ATy7jckPB4n/w9HfV9ybGK0pt jxcqb/yM4e20UMY0Gk+LcH4Za8QORQB3n1wXW5msJRBHqUwhSAtK7i2cllL8e07VrUG5VXMnMSCi 5tB9zlitBidDGp+LyAhie0UcNBP6sAwh4hV+9/hLodP5kp9T330TgGB3E/Ydk+RPcwMeT7ixLRI8 D7vMjqQvpFKh5+z0ccTM3MGw+d00ucYTjMOdzjQozyomj1JiImEdZ2CQsaCoAbG/sKPUGtAs5tTb S3I2+11z4z+zfE3q5Id+eaMcY9nyb9VNWHwSqNbMAiQaAOs7CoYfQw0iXdhXxfV3QM9oj5FFbxb3 iXfHNdPf049bnOGu+CwUzUIb+xhZgOgUj4SrtIy6/Kscd35wOTTyORkyrBXI7tNn5Z9BVJnimpeD t6804EtR/zBQB0YTZhCqdtgKmAoQllaWsOYqF04RI8hkCNXsNGrixn1jGKxFq9ogdnBrWlojZBf6 kncl8K4UqjRL3xse4ZuFp190AAxRe00RKjxHPwCa3yNr9TiV/QADTQgUI4Rgkn0cSUr7+NZfBf/s yrkJFFpOx1j/X9X+/JZ6kwIK+6sEqaSkcqvso7YMMIUR2J4Js1rUiHI7KO6+LGkt2thZnaSaFuXZ G8cstbiLUk9sQSFJP3km/GRCIcX5ltuGT30WjL6ZcufnUKjQkwvWtLTHrb3z/563OngdGfq3rJ+o NDFeGo0+MbVMOHbIYdbv9Z4F0bv5HFO3gX7/hKC9PpmVZnU0YC3MjT0EaZ8Qb0Df3FHkrKygHcrW pL8+WKSqfbyce+i56Namtn+UCQVuGezd8D7+HJzA/rFzCzAvRPRshf+TLeeCzce3u3bFwD8mg1F/ G2aL8piDOhMHjASVJmkg6EYPQuy0ZQVtF42cdCOHjtUrWkFihc7k3I4XbrbAS+CCzWBtVhVT4+d+ n5hY3+VV6d8sRLcl0iwFPZE/VIPB8un/SRDl8cj/epp4QgKHmL5GPVYLKzHBAsVr/le3TUw2feKS PCePxzTSY1AnWlC6VTdCWUjtA4t6H2mopF3y0w4DKW7xRcQrmBv+P4Iw/lUsnQY2a/pHsEkRYmRv 8O+lwInc92CFSgQM6rMx9p/ak477J9OFLPyMzZOD0xcyvXEvi20sa53vB/0INT2X0TWNKyfy4mox 9Ltcnr9g5pAMOAuP7nDnhXmJDYE3Hm2pQZbTrkJq5uTHWXCNl+9EB3VTgQbPa6QXnLNZ3QmKqOi6 ghgXebor38VUNfIdD+pMAk3eCF+ddPJ6iZfcddT8E8fQTRh8sPW/54U38+64oxJF0Kvb28dDBYQB JrUIixl+qGDA7WrxkRSVAGQdWPXNli4HQuOdXtr+Lg1ZK8STpoIQfZGmSfD7Ov8t8w4vllbfI55w NlwkpEGrGjMC/02Om5ppFHrsl6QMiA3XAuPFcB3jrNJY3dLvpe07xz7DJ3dSz6fUIBKNhdC/LWGD nC5oj4rVGfnhVAkXKoc5ZphhbmayXlMk8L8DI5aSlHq3EMFwZNyTLMvGtiW8wyGxlGGnXCDxf0/e RTxhVAhoN/O3TxSSnkKBr2vM+QuM9ZRcABPWsQfwGkL+ES/lajdUoeMwM/pHLPkTK/pCCiDoafhq DWUV7Me70inCVZMahiC9QUrHEY3cMqdCPCWAxGrJ7EwFGZr0pCAvETAU0C1kogNWvAfp0xFx/eBo b36dhEHaC5ySWE3PA/1zpvVD/xKIHoH1iBP1rc6Ao8Gj6g2SSBs+oT5ggOy+c+b061jTjk26adkY VXnrb+us8nVbknrq0ZJwYCgwRkYD3XkvdgrTykaOiXvpcIY+zzVNmRYmI5s3Mo691FwaJRBw0o3m DtyDBzUsd0vBm0tZpmAUKq8Z6lBFsHT4EhIgMu4okNLfU3R5ybn0/qQ4LasEAAyR7+jMbnBwmeGw zkI5/aK/hWwXODhDzwilldiGCbUrKqwbpFMWt5Af60UhUrQMl+OcQTIWD5o00wZsExSKmWy2GSWp iI8OLJu9JT4x5eXRuSPlHE7pex7Q2hAxfix00tHqsAvgGMEGbAFsC/uGVQHM6HFSF4XCDJFEECaB /qexEyXqZKArtJnQ8bdQDk2t9h3Slxm9Mn04BNa84TzELhqgE02QxrY2c/PA500XyzB4J1/1Upkg 4AR+kAebt5tsQvaSz7X62PwuF4aptIRBVC66G5xpzJvuDm71yJB5FClAaQhoOk3RK0FcPKtrSMon RRKQs38F4yBsPy2t+bXzWeEBGJxZNF6t5Fe/y2dcJXPtt2H+IRxa3j79Yeg1wPCIt51NGxFzE8BA SleRcofcQTP06tU8upyOexwtykJ6Sj1M1xMlEPl5fjENBwNOBiADCq5lOCPfugYbG9LTCJ8piHVt WZauUx1EZoRuqUQKZynHVeyXbftk5yf9SGRlabneAutwn1W+I9Qf7gZL9nwsSiW9xfJnKWoRIW2T JRdYsMJ55oPsgPIclNZMupJASIHimi3/jqmSmoxQSVS1J8pX0U7f0NNNQjq1cyFuvp4CjN1IXyLM ujWSfh52erqvGkkK9bVwNiiIjFYxc1l1qldNwGavfkzaSeYgwxrL6xBxAQIgFKzlacuKJUOrwBcP ekrOtSvEYceDLRqFgy69qUAruqeCEBYQgwaVMlPETTLiahKjmwLsVbLi3w15CLQI8XwL5jnKZvSj ryREl87N2GLOpPNkod9iundtB3IgfCAgxT0zuZj8EV9ri7i9XaatK5ZICX5eaOVgrvPnlm84svu+ wg6zV0lDD5zmGLSZKw1jCR2JHVrLe/vCnwJKLKV3Wx/LTvc9j0I3tkcA595ygWw9WSaBqEpy3GFX inZ7U8C1b/3lfYiaXdLyTIEEZbZ0B1UP1Go3bk/GmK4+19Gkvt9OmVEZcOPd3kGQPg5uUgcJgJqr IEZ+BgpRLpUQNswaiDqtvr3ZYJpad4nIkfWNmniIvrnhzGEomQDTCBf9lLFpOkw5+dd8/1ZFjLsO PyP529piyGN0UgUYggBgzkTmCY9jFFcagg8y9+GjNf10EPq0RNsxOED8eOQvrada12HZA+7heyUU DTPDHRXnu5KwAra8JbrJ5LZFoyyxw+iy9S2Pi//MEbBn4a832oFU8JslZGmlFfSXaRxo4tIhG73W pWcSA+S2JiARsCBYadCaUYNmAYOvqLVqxFWU1Rj44qdsd16UdqG1mgyech9poTSCniq7jfQ7FUCi 5vY/4Jcyn+ZqVGV1mJuJi2miZAWQCEkXdPDDKZmpPii5lAoFqQdA20cHogkocDd+6mmuGceA2byL l/PDbCrvkYuWH1iX8zuiY5+Iei63LUANxGi01bm9xnPWzhZ60cpO+WWuEm7VuEKRYhkjVAZod9xt L0PvrlKxdVJ4dwf+Dh0EC6MEh7vlh8qX9dN/khIvwHYnDAmdvI8qDPm0A1K4jS/X16XGPUq8M62V zFFLCrHkpEqVtqlkHH+V4bI2Nn5aRW+K4vYc0557SuoM3CtCWzAsjdQun9UZTHz9+0QuQJjFe9k+ /4/of21KmAlEA8Fl/kdtCb5uDLzbmcrYCW1zXX0sLzozC9Me/cSPbvseC7Tq6zRV4CmKCIpTIryX HZZkK8mnSw1ny583uQxbLw/16DfbzRupNCMynF/CKOD2S+CFgxp/Xb5aslSRqs9ZMvj/P+tynSe5 fOsPYg67iNA8F66xZRfzeRF/Pr7X8JrAxS4NOzenFBZvmd7INBMx6V62R9cPcqwVtuM+8TpWZzph G/7MSpyGzszrTo0ShaourIzgqpmDSQWnU+U5v03cZNa8yHMnvMp3xZCd6s5nMmSeOM3tr60qsubN /W5ffpVWCP5ndbfzwhNap4Ne6gnPHNtTpkdJUSo9UN/4Gm4c6ATb9A05UmVxnjZj892cW6RHu7Zx 6ptZg2ucJznGXaRJXO/A/ajYbhR88MheL+V1hrN796Q8PjRoUzbjnJUykMbc0J0sVY6t/PxT4moF bc6NtWUCUnEg86J2LVZfJWrAg660PR2OiazcJeoFb0g1Xoa6RQUSFV5ztSXxgAGfrEpOwC0aIMDJ WPvTcPgCAeONZFPWm3VEUBZ4xHGSoTQ98rJXXw59vrD4gndMrLumq5PSqJFomDFnMshNdlGQ0zcl tUCy8Byh88Vik6/s6Loe16azX2ux30jG/Ojk5yn9xqxDx9oG+myuNYpNKTlndofryC6ur6QOW5f/ 5xRV6jeag0tplIYgcaf3wO7xnV/uFUHtU/tF7xYiGeuLhHY5XQS/aboq3GeVSJ9vWroPBiF2EPak 1iN3pzIeU37dAXVw9Kl207/kiR2XWgwPpITnSDesRxQvHO4QNj7CN2M1rotC13YNg6C9InYEOTN3 b5mW1vlwGURrrMjeeSMwDvB7sneBvdEqmTV4fV2pMW1wx3KZsj9XAqN7TchLGJFPH8v8Acimp6pi 345qQ+knEPqH/HEophN/9a6vEMB/3wPXGAN/29DVC+sEZg7mn/dwQDDaEV+F07H1P3WNNuq5dkc7 JVq9sxVSOC6YsFrc+k8MmwWfkomd6llbmFlX6V/BCnaqRC/c1GnCh1ItWU4q1R+TpTiX3/wECWPF +2ZmWT4WtnjQ6fGsOkrpYkHDXTLjxzlWr3ZWmYiqq3TdiFZr9M42d2GWdnWiRCF4f5apTqPEYMQJ yLjWhVgAqwe5H+1hqOCfeqgZBazVDRWF7tvnWJmLIbR5aycta0MxqcE7KmExufdih6haiz5AWoyd +IWPtXVedyLMXwYOtz0JbkiScB1dQbGXQCqIRlRST9GZdoEqxk9dMicIHtVeLaawUu+bEN+AMHwB +71mR8prJYLy9xzKG4/oE3V4bAu1EtcBjf6f0ZyZ+Y6yr5LNCWbL9W6CnlRf+k987nu7pxtBvLvP b5TEWRTXE5HuSTNSFKRo5j3HJi13it9s8PJ9Umxo/b1Jy9VL/COWoudX0Wllr4KbBB3bP8+bZAKj B5EMtH2ntcqC6dDkwv/0T2cYmk7OUCH2XhlPkNqfoRTZb/07dj/GwJrAzL7OVnIORgkhwySOU1lc 0yGHwmJ0Ff04e+l6vnXGsAaxL598yExG8/9/BzLFWZnOQeTsrAdxoehi0AdKcC8/Edz33rcLf8of zK3Tgk4FXf+E/D5tfHD3Ws5xpe5zGADigJUEYYu483eF5PIL+j9JQxu0DSWUXGLa+0jKBVexKn5Q QllVWjwcNeZfAx+F35n2JqWrQzS+SNgMQ5XglZB/gCjKXE6u3PMGXaZe9sUWnkCDcfeEQogursNw u8SOrAkwGY6gNCCMrtd54bUp8Omremf2P1Kx1Kuy6E67Zi9k2xLcirm+xQ6FcZU9Yb0wSEai4ZfB VwmAON6xxkUyaI8/k5+phN+dYDlhjuC1FY47XrBcX1B09c1lrnTVCBfPI/BLV21XTffZaBxwEPEv AvtH/OO2fS7MSzXu5HjpSVF/9sHF2KTGID9vp80/S/dsAVYvFqJ7d+dSwPYij6Hag9ATbctmaF81 cC9qDFWx9jRpfA+wDIQZ4tfLkSA094hKwWAk/FNXNlBOV89G3zmTIf7YWdnYKf1e9FU+JiCPpDWc x1zAxlZ5B7L2vTFGCVo2CfjDjuJnnj1oif028G9bXWLg1ey3SrLHusOVLTKJG18h2Kpxi/FdM3AB V1z/2+q6Xkg3LI4uEQMj6mdRgXdjt/S8zqfxLXeDgJloGJfwmoSafKPsYKDYl8MGj+IZkPFRBHAc jCwzDlpQ1TdxJLq00HcuohiaA55BSQ6D/9YWDmuDBj5Rees82J95Fqa7GHIe8gLNmOyWFo2/Ruzy cG/SFyj4TUyp0jNzujquDlV8WsKYKCy71Q9zymMiYOxErfGEf6VXINs9Nj6grilCtqiOxQPiiNVD 6A/a/b4Wn8+S5lf6Ffg5Dpe7E6TUiaGe5+NhS7fLZ9h5YAuiu0rFoxukZjbNEJNixz7ab/MaRjaP Z466gvP/Gptae1JK1GU65L91wSQ8pbU6r3Xztt1p0qFZyWLAJRWAerhVVMk1iD/3gSc63vgWxtCa T8E+f6WAQanC9qtCtI2a8OYLIo372E6/nfsGSH6yRxrFhs1y795sCYd5lvRn0uABDoN9Tv22MgMS 1rk9+rGH1W4u8u7ag4Aa+9sQHP+EjHcVY4bn2FyMOzJsBzo22ZbLFOsG1MBCf8bIPFhG7GE1vbKV 8At5kBpNssiBTltFb0OiN2OVolKs6n3uqHlldrYB/ZUduR7MXB88qt7IOsVaF4m6F9daVIjb+Py7 IUSORtSazcoF9nEIxahKs6F1MtkgZXPn5bCsi/uXBTWN+PxePZWjbMl4GzWzd5dyTdTNBrls2o6b x1ZfugYI6qTPoWLBHMdVii0WfaWg/N0H8CHNdAFLVqyVJ6FYF/RBfgLy89v/GPvoU5X3eqGocnFA L7IEztELo5BYVIOBUzBUs8gogE440OMtEwL/b+DSglkYenaJghfufm15Fn1AzuaFgulrdDehUUFF j/GfmC0FpefLv1iKxSIp7Jk6YdVjMOFVPCin/qQBuFRUMHGR/o1nMxtieazR59BsxDRzChnzVgRE YfyvnhdpxSAZf3OSdWBT+KuADV/2SwvE3tJmcOm7gi75VrRlOZQBiIXOvGIS9u1/4UzjE4FHI5MC r89+sk1ZGmqjAuszSS5EvBFF0dZPtiTCD3DWaGLoFOFzzxmNKVyTlY4FUhmjGst0zWfpQ8dNR9N5 pG1eOBhd/ih9LFcTZ9du/YospBm+OvC9v+bboJOossuBbL1GAzp0+8vy69hUJ2GGh7mzCv8rYrae jOEsYhvvyqIsRs3iwzdflL+r8Fkzm+rO1A0bosk00y0/pEL0zL4eFEPiN506YaNkKGPRxJYGIUwp Vv7S5zj7Y79/MVx7DOVEK0Tq3uFg2nqiIHt/TcoKHRBC/Oczs5rswOPJbBnfutylCBYFxSTb6BGa yKREtlCp0nOWqcT27oBYMszn4AMtospSn61A4ktln8f2S6sjW60u5ofceb/C7Gs2OLCF+IlSZhNS IPud9J7bbwZU2Y6MSPcsImVoP/4JVFLOt7KN5ZTuvZqk1EhG3Aq7D8rX+9CsnRVRLhWntlKNOOby /Vv1xbxhVAJNyCta09TkzEo1nmmd58GMuyT7WcPuRe1Krsgxjzhkvmp/4oCo7DktqbpiRhTTRbbX r+N8qaQIhh/aO2PTwX2Z9fDHmOUSLOVE7lGxSNbNM2Tbn1E3JyqlNdqMhjNAJ+of0bz5AKPC8Sgh d9WA9U5TZIpVKHJVqR26OMlibwMq3rVAFk3nBILtO0Qw6RhhlzgdIt06l1H1WQvsRDCyszUh9UR6 /Nb0XEm2yC2J6rHfXf7rQT7+dJQy94yQVw00Q79FcZVNwWyD0ZtaWwh4LKR6GvgBB1HuE6v/BcoL KKGqCSKJXaER5O0KDO/UF53KWh0p1JF68Wvz/Qq2BC5xmUiD6KoOMFbAITE1bTIGZLSZ5O3zROSW 931gXS/p70HMdbs5vUOh7Ikt1ezvdKLE4Z6fkHEWGf+oxVDBGSXT2QWeMFSim9Lu4aYa+18ECGsL E69qXdullk5wcgFOlGAdbAuHGuXpIgE+WwQ7yPiXSLzdQ+Ao3I1i+y81zZZ8UaxxhSTPDtv3ECAQ ozOuHvnbHS7byMMPMcnVyFo4u31BUIkLA0haPjGr9BJTPBPlDnn/95vhShhNm33dom87Czy2jDh9 c3yn8guEkT9gKoNU/Dxw72WaT+ouB3BK4sQrkoSi/4bQIN2ukTPIwGKtMXjWQScayqI3OqwCSD5e E1EkukKXtl1O8x703WigElFFfY3bWsWHafcZERKTKZXq6/Mk/5MlrBPQ6bS7oCmk4G/4gfrgCt9R gIWG0Vmk3MhlQuQCx7ttczjxQzFrt+gI14sBcixLV7sQ61gw5CiGdI/sHLU9APD/LZgfenGY5Faw QEuhNOBWkbHVrfHRg6sxo/FpMooFOZ/TdFruZrA5FL0bgVIN1D1roeYoBnegbi48Lw+YhVcc2xIc SuCMqrUeW7Va1n4+A4180qZd4GBYujZJ4UpEEexcmxvx4O2WAumoQRQiQdt0KTskGfTd/eQVf2Cx WNNKygmTwILYYKdRxBGUWq1Pr+sfNawMIIZVTl7/rWTCq78GoDoXFhQGWUx/K1FJ3tOnOb0rzy+f bYJqqC3GnIafgmoalTHlN4JqZ0MaqiVgt2+cugBwp1b+APA2kKf6mqHJIsaOdm+r+YRoL+sNENNz 5/Bn/VDuIvI4hl1BGKiysBkxoFtxEP2DvFsL3fE+dUx86o6hHIECnyDVl5BAzVjgMP46eiHk0EtJ xIW4q7dJoE8tXEU9KSH6jEGSPiVbM7hVfwVMghGie8c8s7gyebxYUQ0Otg9Vnr9EE0n0Y3+zFIyN V8obzDydi9ru2eMc2xJvJBY6MAvqdgcN8btDBdnEf5ntrCYedUeW8jlCgChyzWcC8yAXz2Yq/DfF OZ3sLpIHt1f/OVeDhceecrBZA/60Ds4JxL6pT/3ovXePbegyt8UOKQRlZdLZjVC8y2/MJ1nw2mCu C7GqfJrzCwf05s0XCd5guazUGHm5nfVNehb6z4SfykWFiktc6fbNP+KbNcDQatmjlLmmRsN8oQqy C/OSCf59Whzlqygl+pLioO95eVYDvSKJnwflqlRemzzADRSxBWhZlTMtOw2Jjc8tj4lmbQk+ygVf UAt1w7US5tqGz2W9BvAcInktMiguuAiCWv9EKbYbDzIDUsSpL3Zcpf8dk8lZ8ahcBC55u3zgq7qM np/bJ9OANw3jSjDwKun38fBLVRjAbRkusXuOHkEx43vpan2X5OCPNyFn+NkpSbdH91AcwJf9fFiC orIjEcqBBroxTezxElPbDSDvN1HxmpNw3hnFLwHpu3OsWoW0dW+ckgQOb7yespcTx3h2nkv/SAWa 36MYuGEbfswz6ewRsQ72erIfQZ2yTzIla7LyWINgqTRLX9Z6zli+MeH1kufR2fZae/CGnYTx5ncn kRT4LM3kR9ILq83Q6fpvBAwj97tVwM/gNjy1smOEExsHPcnekET5FoucBcr/0O6HBEfkEEdWjECy guVuyxJfVJ+Dmij4zBqKad/nkFzvlxz4Q4582m8mEiDy0kSyOhqluAEZI1wP6dqqOxdYXDtH6Vij a7aczZqW0F3LVDW5ASFHGZTEQwr6m3/x+YbxMFVn1qAxK/xZsUK4ABkdsgqup7TghRcTNJEeEMFx Q3egHa9FsGUuI9ZldrqKxh6+VyYdLYYpTrCD9JKzwoTx4F/pLEq8jdrULShj5aVIPgFrn0hfJ6Mb 7CwvTi2rh8pBpuPBcBsUqo36waSD50Ap9mlngIL2BzoyNvVqjy4aCXKNdjzPm2u/UcZQzkFRU5Yh UwxT3ps4rRAf24yiEfw69RZrPfNpuJdfG/Sc0rQ9AG5w58DphGK6cDlTrtQQlGHLcKZNQZMEe521 vvdvFnEs/L8QRBB/mnkQD/sR0UM0x9UQYb9KbtCoelc2M751H3XUJ2t53KWSUxykliEp6izqAvIf WIkDN8VASBq8vt64JQRTqyOHlAg7p65Eaj8DcTlcI61yc7YXAj4UTADFva1J5YN+nZSkOVPBhfnB /cAIab+WapZEtlq00paMJkxxbYAFSSlgCnJRiPDTYnLmPtw4c//jOQ3EoZkbWGDNTDYOZ6Qneeon W/+9m3ZHYZponNZE43+06W8uFXJ5bGHlRLfP3wxIuJ4KWVnGckeCqVhYVUZPwU3ERFs7C87s4RSy tZjDjUX+CBIBg1YUIT7KZPk61Y0cXRrLD4vTqRFyy/MBUla1O73W3c19sVpkQkMZBYASIy1/ZY3S q0T2FWS3D9/PHCpg1HDG0J+aw87z3WCbZ9KE7J9074YviYgT2ADll12UN+0JyMgAxEP96HKVvzLI SLmx8MddGRJKirEiLPTHFbf0JgJKF85VXatHTbVpwWY3yWVtfByA6BdIANN0HYPdKy+jjUNmhhId 5ociYrxrL4NNxjh37uHZOtgAfyE2ZXwdYiGJRd5vjeVrYAE19SxozyiGgkfT6vGzx6sx3QjH0UNi 8nsv/msRDsWSb3X8RRA2947kx9ANDsA9c3z3b0s9l3KQKZoEOirKngPc90bvg/vU6x7PaaHBFnie lUCb+0Dd8eyM4jzTrw7YgctCQWG2xHW1AamW64FnFK6lprodpnsugU9+I00rtsMqCVk2whFWaS6D nMn1zNEJgN/HhP/AbSGk8wLF8pTGkZ0qGn5c8jdD1lc93uFGtfYf/+zI6djl34mOEWJ+ygn4opaZ qKS7+UmjUAXp37JLGTzPU5Bu9Xw/VbHCZAUSwegR0qsvns82PiKpZaVMJnTmK0HoVALJpPDAm2qr ElYWzvSYg+Cs0ihC6y00qsOFwKeagBu/ctHKbU17rLd9b+mZZ4/Q8ImZFxAtJvYTc5A19VDTQ+TS dRSF4Xw3cgpP3ripDEPCPn5y4JAYjH+dt4l1vUbWTG0cKygvkG9La2x/hlBXGN+kDCEikPTXfgDF X5gkjS8WKUlX1kxgO0wfCPkBmamrzjY0eRXtrJ4CQVn1g++HbEE2gOCtDK41J/PbgBOlRjpPWd3G Hr6i2aYdE3wblgMbIKKlOWqpRINrFu/3yr8UUeLqbzcU8Cfh2fLrlams1SjR5kmJDMScDiDMVj3z ACYcarvgrdkEYOYItzGMFxBBbAZZefwGmxpJhXaCS4NPeH+B1md32U0vSkmpDcQa30C5GZYv85Gb djumKUgwBhMBceSSr7bFI3l6dyhtkPSr9IL9ipGG48fwz+ZXhjrV/T9HShxXesKhSnqx05Yv7tZd T1OGH30/2VWEiLPf/o/oXnO7Z/4ARloVhtg1QrOAvX9oPQHPepZmtFhduIjXqglGLclcH5TV/Wl2 nLf/Kk56YQPRCYitgrPm3BpQ2kUqwbYerePSYZWhr4SNI1AthX8pkYVaLyDLSYby0Jav1xcuQ3ol BnYXD7Bp9/ZXZYu87Nrny33JN2tJY6e9IPLczCUjIvtx8+UG5DtIoBkvtIhF7YBaS0bzX08LIuTs l3gh9Jm8NaAxS4NXnCy+7J2r2B9wLEG9bItF153icPL3/SYdiRHBhNwncFPZ3/QAQHADWnwLI1i3 9TqyKC0XkO4f2H99bsSpw4TT52v9AXzS9eGqdw5a7rAq+Zs7uJ/A/LPw8F+b43RinaOYD3K+o8Dw 3WccuoWDNvwoePVnWN9jWKXPprFsv9jTLPSUPtFuW4tYEQ/6Hs8ukZJW75YOiFCccUyL3G1ApZgd Fg1JhjZtql5FJeS5UqflGkIdjyDCK/4LtY/3CTAN8K5AOq80TPHJnhbtKETyzzQfYQ+aGDcswZqV /Q3bjVzkT7A7KI4K1Cd+Oc4+F+dIjet+zcNZ5YDZBoB78xut+4tC3L5FhxewD8R93VBbY9RyqaDW 70f7w9ecKzXzJphQS4O2Pz9bUpKacQ8xhJY433L+8KYsI81Dfhb5WRfzi1z99p2VNzmZgEsJqgW/ +1OFRhNlLMNZsi8ccbAywuoCap6nASREH+TyXouYArvlNRQIG8D1hJBfBaWgC1SIxSKMXQIyOZZA HcCBn/QYP1lNKUFkrwoWQdsAd2WcJKmCtpk/zf4lapzsNP8/epoqAyIIl48f9yZdIPlCvXIBCQH0 Wt2lxemtX9pEoruOBs7g0Pvi8JKDuj6uv1eHQlVflibqzZpUOmYDWsE/VITv3b3ycwcSvlm0tz3Q 8bUvMnHM1u3BIQfKRO0GvzH04XUf8cFhxh15BfiuaRxr2aDx0xWVog6E/RsR3qTCDtJ2HBjUUTEI pGdjNym94n8ClvQbWwaiMP7Ziq0eXsMwiQlg0ly6m41pq/aS23mEV7GfUm+3vwpE1jL0b82o4yLQ 3TpNh2r7iAR/biqDR7QPEqbi1HPn74hhdlFrpICEqmQMJqTZetvPKNkRI4FT7XayJ+NHgQYNitEq CrwJvnOZGgwwK84rmsuFBAtvX1E5rYbuRI224z5q5G3u9KoiM0zlRskRKZ1gdkvCRshoiUdULilD Gjl2VH8uPjzTQJZVoirv8uDYE0YuRbJwpptXhlgPaSmSmCcG0yUGRT6QEDwUo2wDYEMxzzuFWHgu Yb1qZrBw0eXUCgHNU5nzq73blWPk5p/zCHbLKIqJZ1DCkFb+fUDSEbl+LYrkiqB8P1ym5c6Jh93q VnD/mxAd7FxH4cOaChP8d7BKmjers9VAsNoAcuUkNOHmlkx4IpTp+jBRu0FgJ0A8LL2/UI5tAOZ5 uMhE2stMi7RH1D7xZZDjCz/w1j1Tz20Ql6zOtZdOjtKYrpr4ufKNMI8WVmBci78ZwDYD7f30YGWP Br0yjiCIZeBk+gSWRsdfmPG7NSwUaAy+Cue1jtumRamHINQ1LmL9BHV20/Q8+awqQsw3DJ9YxULm WGdMmZwSi6VmnSl6jiOhCl5xySXzjDzpAIK4hE2WDo72vOTYvBkJMfiriGEsahVZdtXJOm87Ng6s eP5w0+rwiV99LbUd1ZoI1Rbk6O3TM6ZBw912iWKsr+qfDP5nqPpJveqTbnkWp++QtGUq4iuULWhy A5q1n156ccwdkjF1aR1GS7iQqQtmefAuVY5WDP4iF7dQO2xZ8pj8hC9tKenArv8vCSodHbeE326c WIStSw5dVnqpdczlkXsdBPEV2HQDAEDQKhq81nBz0GmFn5AMLdACV0+UTPFm3x/7nN93OfAqYawL iBnsqXcvURSxKI1maVQfCE512ZyclIkV/djyFejt+gBsloMbw8JFeJOiQ8YQryVeOJOEx0Dj6ptI 6GcQ3EbLnvBW1vZMfisZefSHFOmbtv+AaqyOGdpmrerS3xBXhvG6fyQzEDO5uhpiHMQhbJxjunFU lzJ1789InVmK9d8yC0fcs/QUPl0jyMiJpZHWlSglVhqDU/vAZL1dEPIJr2OLFbUHNO68WG6yysFS eDXk6dMxGxfRB+v+uHYN0azJIGAcfbz9nYKv759pV3v7GD9veEYQJAryL5j94u+YB2+IPaRPkMKq rtHFFCaaOMfwifA3zp/sJ9j1wIB86KKjA1lXOqThOyPn8bi1VlAq8JmlnJTO+t6CoadgfzNYPyFX 1QynxifpYmluxJgJloVYlrFazAxCBQfa3IuPNct4Mol/ePe6341YSDyBD74y8uzsqYccs+xnDTmC 08tFOkvU0SexB4YwFEl3/++SCBOT1dKfpXUJwjNEy5pbaKf9zq2L+ibjGgQVsP3p4mVvxxXGNeJj ouod/NeB6OcKxochmfgK+cC2taT8RwheaXvXLyDGtUb+e0qGA1FQR2sV0lflnzEJoScCqTrGvDPz dKt56OrOU+FfumJ0AmymTgRmrBrO/ED3u9+v76A90LExWYG7aUnnOCZWfeyoxdP1/d8WpQHlGcqH ZTILaRo8dxGE5xASho8CmbYLjQd2nbTApkP9/+TVdvEbuvRHPhvv2RDYrRB+lFmq60+Ks6W0smPT sqNic2hQLNDIC+cOtgABkywgz4vWoHFN2VEUzOBhHmnSdMqinh6PlflOWkn4JShF0ivJL8khA097 wZjujspfMQ0doNs6SO1wyqKmh9nm0BQWgXUpl3UeBQ+KKkUUFZ6R1RozUqcnt7fTKiP+3tXYHgCo Ma0keIMYODjBqbkDt3kOo2MBlntvZkXfbOUiEfpQ08KkKnndUVFBREO2VP0SgWSxVtoEPeSajeBq 6TFhFIHMFynDK/QRMUCD3IJTRRq7FBO1SNCDxachWGTvEaqOeK4hqEhAqVIzI0XmKgNIeSfxNgUJ 6b+hrKpUkF5Fk/l5mV+bpf4fW9ai5mX6owr7OOyTFrzsi9A2UagTvHdEfxuHlgUMmRGsjEQ2/RQy mvcLunwmYzKyKNQgrkAzxAt/9U6cDEkLq455LACP3i7NYfhjrbkykxdYf1kd7tvHac1fL8Uh9nmi oYfHa1iQC5OmKmqcstNWrK71YclJ2xOnF9HLw9YzO5SQWhVoo5c3Bf/qAgLA2glPu9ZJzbMDlrr0 RlCzid2CjPvaBBXDxYR/4Zw3j0vXQ17jo+tA5dlPb7DUZd1vmrN3SQxJoNrcEaWnixUVBQPQK/HI Dwz3wuID9mYTiNtx3u2Bvo2qA+kJw720hcXz+8wfthPnD5EXLYHlBqQ9+xnn4ZjF5852fLaSUUPz az6XHClI63nDftuETTsZAQVbvTmohqkhZLre7GC9CXb7YbElJh6Fs/D+lNutGVlAn6deNBlfd47b DzRHN4H7vKBHkXV8UopRyaomuoXphXgxGhox6yY1h90+e530fN92lMN/eqPWjUCobF9LFpYnNfLe NOU9A6ey1QDqmZTqRp2h6Vmp7J+dRo52LTFnkAIQrzJappSKPIZGCo4yraoFoy/R/prDcyafQ8i7 uka+fY0f2/y45L+0rICZiaE/cDEMIzGqOfbeRnoBfUUAw3L8cfbp2yjitgHzdhgH3nW62ebSj+G3 QF4VDrITwRJ2WcPIU3k3G8yjrYKW0z5pDizDQZ+cJzOXBBxcEgqKisEjfppYNcVJEnldFkkBwJM7 TxWCr++d1Rgwn6ChNBH49f3SWVFuOIbMcK2s6Nu9tXG1eaS6ELo84rQ3L4OBs6c1CkbsTgR42nuj tRqmcW37aDxxwmGdvGMs6TqXwHdiZvRULiZb2eLFq2Q6xkkO+rc2hqB5pgZwlVgNf9foVVh2tQEn JWTuA04Naad9mp0cxNar08490z/IuBr889tI0u2SOcHjESibBt+SvHfukn9MH4knxdsWtHe0+wYr ZsDqs0xepvqOX+0cMxtkS7yR+UPOPGNrMauYu+6/8i9Qmie6nzSEFAgWqF/wuqW+FnKZWVIzQEF0 K4swVnn4JxlGxXO9ECT0CvH2O9285KUZ7vcHzVmoddjx9/onX8qPrdVPVQ7WjgYbzHqdcuhbztxO Z2m7HLGSKbTMWzomooYQzOQwJItniOGxUmifyfDB2WCMQN4nvvleUvvG6xJznsOzGOujQBJ3sOOp RCDU3RBGYsGpHUsRWnkyJodWw1CGQxPWkC0ON3TmpvWEqjB1DYmQTYFo7R1ayvPCJoEWCYK3zLhb /93nMo5YXNyzZp3J1Zxr62Q6udtx69V+GTsx3GgTJggPw8QkkjS7G7qKxFB7OvrtAdOQ+baAIAn1 etsEskSsbS5D/KkkdVZiiXnNPgyD37DgigWLGdIM8U7SIupqArGrq2KC7J1aSYe8GHiogf3UhyMq VlJc4AmPkRJocyLoEj6nJTEMI1kR8McmrA7cmPTi9dRFKSXLP17Nrlk07Lchr7fxH6wvJEDvvdj+ JHBWICgZUS/u9JkIJ0JEkbEnlPPMU8ZStbwMFLnbrXiYouD8CUjA40hkm5OhHYRd9m/bt1ocs2ld FQpWXW/R6LluiLVh+3KkxyU7G0HiP9DcZJnXBytA07zICnioiN2Y3ppyluSuaLLt8Y+umratjA3j zcQDj1k8jL4x3/NaSZJupOctIeTWjSUkQHs9F/FTIiu9I7W+A1BD1bvxBxPOCwEiv9n9OjxWreJl vQJrZKvv5pq7ADUZ4PEcPWJTd1LjtT+eQVuHseuPQHM/Iubo852myp8zt8mz/9qXqdQu4TFbN+7M RGAnx0E5S6avd2J8+6cBVJ32dCrn8YadSSCded7D7+eR6YKQMhBlO4U+cJSZOmIN8icUqfJg/DZX 8g5YD5vbCTf9RK55N9NdD0JYLeJGi+cydYkoSB6A3LW3HpqnwdEyA3HA5Zdoxs/BMeeZVGY4/PGS SxXjzCN1OhzOC2dM2t2o+cWHDkNH2D3HOuxjuVrdoqCq+dfvhuYpIeV7zviyWC5iSqGlbux75zjD qny/1RvahMaiYtBgMOSWaQ6jY8kusjbnjFad/2T+6tb0SUdYjPOQnaty7q0C8R8Qg3lIpJqUhDVW 90FoR2ikJXzdzOMncienAdDT2Kj7KTeY6BuL7760G0yxfINbhl9PbmOmIsY/7dz2WJt8/rlHIE5u 9CCNFVuJawhlb4b6IQJsYJGCKMQyBt5ku84pVNG14dnd89p8+uhlWHRUZ+SwQsjXhuE6zKCnxEEf QrwkF6dg7keR5IyjkLPFyJjOk6tnPH8mcFkbKxj+wShpn/kvkpa+v/D4CNFswO7+IQFmhDRVfxEP N8SJ0B5JOrCdAfnGU9RIQw3+ZWUjTO5BE1ixUqs7NMFiL3TaM6LDwKtPYYluuHYKHRQ8SmZwfc7S T/isIyERCpGRXincg3ISQaMv37xPZA+OLtr68srZti/ycEDx+Vo4lFPtKOKzcgrQcyLTA3GnMIGb fjdsVse30YOTY5dVcktdkzLeWRHKSa0i01/i7+s2pV83ftGGIKvVYqeJEpG5+PWyb/1rrCGf0dZQ iRL9C4qPWOfcRwOx6xJgJTdldk+p2gqq5AUit9NxMmYCT4roOb+sNfwtSFid6LvBQe/jfJVgSv9u l8O6OV7u0BqwSAejFjYuO9OR4luhTxqST+U1RZVwkiWPh9VaH/T3b+9bbqr56opimnAqiRf4JDqx xvYzdiCQa7fjnepOEEmEviU2HUfAYaK60kSqbQIZDg2L2mibqtMH+s6CJ83KMPn7lkNo4H/jcLak RSXtBZcDUTnOBOGUsjJDMmrXoOZeGe5CkWFVGIoKpJFbWyi7sgeitSCSBKXUHL5i90PYzjAbu0Rv YsaKdnadVJ9q6lTKt4hBD2vB7cp1gUrzplXaMJNQsx6VlrXaMsEGuInb6LmtmdLVrEZwpMi8T77a 4qneh5pOfFCdrkhwlQLGlBTZqVexO1p0WKg+THNDS3Xr7xSOVWiWQI3SJ97OcVtytQqBz73JhXo8 dxMm/QrAdRC/aaXLAKYbqMxjK1nDvHb983TAQnnTX63IgQ+AU2DaMP0c1Eb8sobU2wZAOdJLhTyZ Vr5TOt/oyR82zP1LyyPI0bXmZDu4UdCs+5Ev2EkM1ORTPCY/bSgTwSvH9di/0pKADlieO+RvuOBR 6Wvcx4fGnVW7N+rvw3rWcwCz/ueVy2fJczimHkgj8FdA6yL742QnRClr1ks7yyzBeIslGVLGikFl boqHtZLVqhH9Oo9WTe29t+j9mNak2t7PutbxDuYozfNvLWLoEt+sH+DXgnw615FhtZbMpBWTu8G3 ZIJqVImaenp1vF0POH/JZTbptILTbnHsCPmeddL6oMlTSQSROeEn7ABYlD0Sx40g6v9eStm/Z6W2 MDVPmK4xzSl9XrLlZgD2hxznjjpmxs6fO+KUwaGUYm0KdYXLpklD6k/J00sYI8E6Ov+0Tk2jlE3J tqDXQYruA/O3sRvXh8qS1UX9iCN3DMlEPKhdkCGgUHTYecFLNbElW+23tkaKd5HYw7ncrvfBnZw1 UPCIFW4G0Nd8Bcjv1Y8y2gHA1Qbye2aRH4GZ3goQ2yI62pP/SU7Y/C7s+ztZ8a/2FhthttCvozlx 7lXXTvE1HQG6fNDKvddB2vHL9owREZrTJH50TqTjkEmTcG0YtecLjZ4vtNsNL5uDbBWAaDtrz5kz 19woURVKntQSgz3t6hpzDUaUvRL0bOgrvS8Gsuah+xF1biUvoGz4QHdTGC6FAQJgD6Y+qJEkTyBt aM9pzStyjN9R/i14wA0Pu/NkjKLfN9SnYOt80vM2V58h6XZuw+q1Bb4GL6OR+n711SKd3UxLZm59 PSbDAwAIat1hYo/B5qWK9yleFofGiBpw0mMgjizadWSozoOjlmbj1x3FqgIkMTqXbCYbtDjvYQHT WHzwgg/8CmfRjLJCqIcwLJA9/Xpr4dv62VgTqyZy7ROM2I9FM/3CLFnpUqy0NwPZM+muLg5COro1 LYlM7T0MGsaBTdM/bT1Vgs8PxLPYvSfdXZKOMR8tCLwP00rl16UKypvpkMAnQOBfVZqYBjKYQxtv TyfAGjffjkM1KKTDCCTQ0kUrebEqTg0EMTT61uKroLnjp2FQdnYh6ES8UV2yZK3PY4fWa/non174 j58HBXntabhTrlqJAEalsyqmPHOW4QbRN3bnMuuSGYPx0KcraGG0vloGADih5vqNebLD+G0Mb48h e2/Fv4faupxdsHh9oryQFkyHxB1QYpTb8my23ff1DPSwd/YHHpHag2yJsgD6Qr+wlIQU5YYuKL1P hVeUqWYZiZ0M5GDMiLoQxiZJWmQsY2lpVGMuNLqZCTwNOHQbmHLQFbTVG/ZMa86U6Kw2nbSkWDUf PggCUZns3/Gdoa/av0tJHaUFAdWyfvzLRqP//TfkA6lR4AMmxAtJ76sOBPfqS7IwX/1vOA4+l7Ou DtVDgkBDXvnkbI0cSMh8VRr6ry0oOU4cloMfV7ljc2t/aJwC4gmoMMqnsJrW+NxPEAf9uE4TZrkd SuyHdDtkm7rUCwMieEKFWQ5KEhk7Ylo/Q0CnImn3nv/wdAD8wHV92S3rLBlRyakBabneBxtQvOfj 7LXqno4EbV644INyoPotPd72wwhecjUtk1RzTD5nTVAKP7S4vWk3jEHYjr9cndZa8D96IyBuDzpx CdO+TPpsYUrBwRWxG0uRzN3N/HoXZRAiQhEkBuImrbGyMtKTmaXRSWypyzB/v8lIBO9KZDy9nsxz tgMaIqCbKfDMDSVKvvk8AwF2sNJxbqbdT9iwFSwcNK8NXbI3+sKYTvayR94vSHF8JsxEg3trw48C QcNV6ka4eufzsBX/N82HFfJ9Q+SGqU65kS9unwiQd7+tsYAcxq8YIsG47bVw+3CFZGe2Sevr4YEL qYp1Vh29Z/DeJDE8Za1SV/Am/nX80osKXfYRHhoDEW5yhEGv0kSWWreliOHbLYat4fdIipiDGpeJ njVThA3q7GJBt25+zCO+A8wv2tg6gWjbhwodvpQhvdAa19/tkXWEMwKKXCwFDIrM8LsuV+TtNIwh 1upFjtgC0iLnRVMH2t4nvD/iECNyRyb/vgeNfsV1G4ohsj7Egb3mUnHrhWJ0DFodSNB71omhRHiq qlwS/k7pFnfkDeBLmn8n66VkPPSIoUq0sDpK3tYFqK6tg7QKhPdvECZbzyy9pVjdkTIq7tfTOYNB /GymqdqSWIspaEyqhmLKJ7kmmKRcXcqgI0GoOvcElR5+/8I+shstaZ4QxMvtVcW3kK6yntG36mes RXqqHOuRCCfMpnKpcVN5K3Qfd7Fg7gOEi1s92V4XVTrT6b4LmJBWBipchF1w4K2ay49eokew9uHM UFow331G1reCtS4LdtnZnmwsQn8JInmNCmPpGYo6cn8Quqq0gcTKR75T18KN0x9ugRTBFQlJ5KoB +TNHhim6bR1dhG1Zg89a7DMlP6jsie3fE2Jt6uFJYi8ZGdqtjN4GnSfPNqd7fw8gn2dAWWedqSLm dLX5pzUbdqzMTg6kgm/9fca5Tqg9rXmykV7uWkeFfHCBT4NJyKWScIiP4RixIFEe0ZUh+j13AK4u VyV+OtjUOKxNbd7ZPFV1QkjphlM8MERuqWoKlUATgWsOaxDgnCoA8FKXzXQq+5J5Gd4V8zzf7uNy 555lT3hgLeP1W0Ff3BRnnzXEAi9pCw9XG73kawkCXTkVaP0b+bmHgT4OApcWttyCktQXavpq1Tmc koQY01xJ7erBZVActRfVWwQ8GhQsNom6AlUg0a2w9l9wATbCWU1v+KF5OGJOCH/CUHUu/xa7y6x7 TGEcphvyiT0sVXhgLOH34gglWTQ3ajt8SKucaovw6BtB3CbIhXvv3aUY+23QwmYsHCR52sPmCUff TMbTmA7Qr09KAWWPiBYbPmBNZZbZllHY4WAWrIcyJSf8y2n+6caNYt8TDZEE51HU5/uHkxoGc5s3 fkvIb5myax9nSmZ19Wt/+C7+a9+BukjkqxGCFN1Lo6f7csdgtHIZmKq3UmKGtzwcO+dmEwf4ee7D jPIpSzUbP3fjtbjcB/fJNBzLoHBX5DEPhug0TrdDCATv/RT+w8LlJ13wFyW8j5UP3YsVXwTucHpD RN01E4dM+15RJB34Zvw4HGJ4gVy6GMDFF/y7jWg0AW8eX2EjngGBQaCSL4n1xrWSBo9H308YCDma rtP2WCpKSi1JPJx7kOOwySiwlTIOnBfcabrVa33YYHDTrVwJHefxHpt5cMM4eIf4qw5mMIBXQjAM cSojTzYzTNWU7Cf6Mdr9he5wfTUVEQAqjVMglLOrskB/AmQin8Br6kD17JHOJ1lqdJ1X9rWt8Tnp ARkcoMG/E17TeOvtjJzuZRh7btmUKmb1bKkhKJMX1ZNkpxtdhcRs3SZ3pplr+QR+d6W8OVM6u/ca K/n+g19/EVONwlX3hV1fU9U9RBtrd1fYcUgTGf/D2a6Ro08v1B4njc9eC2VeHbDYFInAwkwHu7Xo JkgaADTcpSgZYcXG3NSAN0XAz+nqt8vthqhovDnjb2Whz56rNb5lXpvpOeLExbfgzGM5VcR6LL/C Vc1AZ7zUvTA6jYnOVJ7Jfb0j1nesFPhwpL06jhSRA/QaJ3KPPIey4nQ/HUjZAyK5GrGQ9XlFfZfa VUh31OKTZXkpznzmRM47p782lDII9cvGjJvL9WcMlJmaTgoZ48+TQ9IqZnj1sfLBskCn8EBX6IDQ ChCImlmregjSotSFL33iHd9ADmmQ3mIyBtgTSb25JFY7YP1C9YrzmEeZVmq8/IV2T0OqoOkLbMD5 /it27ypjF3/9/e5cy8UFBxXYQyIxJ07LVm65MhvaltxdYhnSysn532QTCyly3TZZcXD0B4VhsSxK V44HzfFIdPHVEJs48wqsuTVKn/l9JtiP9QX9Zcs8zWJUD4EbpGQqdof5pvkBBxJlIVPvFcpOZTT/ EyV/fDYc9lQbjApL3hOrCmxuJn3o80yA2W90C1ZyIrQ7YTh7zjckbCve5n8vMjT706Dqj0apo+ug xabVOP5sO9x/2RrRYSrNzyPJfJ7cYinaBSm3pr03GbNYt4EQtyUt/byg6Duq8JuoSlcY2C0BusUW 4kxSTVIHUcuOcH3NQQWoyECKaMNUttFjljyz6QRWwFO8d1eAhEh96jbNuvKQLudd5DqWDws7VAwQ ef7m+w0g7ot0Ny1nEQlbewIwznDrJXLIUZJ6YvC8MIC5M5BmURl6c5bhye79Hf8sdQY8PT6xjGVC pVvnqEN+T/I9fufz53rb02/7HkacOYC6ME920whaF3+5hyTHnFPq9QXobleZQrAr0h9XVTSjP+O6 vumkwpNFwgKvILPB6VnUFiVQLmAq3XNAfjsDmgpIvKDM5Js8qthJ1fUP7BkZUHSc5VNuRr0LOfig kUG34m6Z9ul6ktlAQ69NSBYYjeP1QmPI0XscVbS3EJsFE9qy4vG36n4sWtENqv5pzpUgpO2j5bnL M28Le3fILbvkdDLh78/Fsf9Uv+VEZlsE+4Aap9NjSDWR35090od6Z8KLCj47CFl2DZWG3sGgfo+J ozsSvyvu4xEc97cYDSEtDC2cDmaMxiSJ2/Xs99AnvYP1vjZQ3xP9zpFIRcV+aARM4VhVXz11iuJy GkGGmQS395XCckOK8XID1FD92M61JZU+kd/vBn3uS9WGh+B67fRSeJt0OQruvv/2Jj/Lef6Uhxi1 p4oDUN7TmWi0dn9K8FLx/jq0YGULG9xc8D0kvR77sqI26uds+9H4wQ+s6c7xOFrBGeaLeIV2HIsu 42TQu/nEe5zLTwWFA1qAmb6enmejAFzSEmJAnUmTpmAFwMovx5IaxIq2T6CiQEomQxOuBaTBFh7e M38Jvr9zdaZ7aUSFN+C+ErtEbKK6L4LcTw4UcGK64n9z2JFZ9qsa5fgXB3oupM5ZSoIqKBS/CZbA WjNdQtlh2/FYLkKxvrHVz3932qzmvLIR4P6gaZOFk7ghkK9MgA6sijccbqwxbRZMlW3Q+/X/KHES Xa1lzgyz3G5kgLXzayF/UQJ5OZ12Qk9GOOBXwwWNkj4dAwI0lQVi1RCR8JTLrywLNBEP9ioyATh6 za1DjL62eLSOtdaQBmXUwmPDIyMTQpKT6CU2cSyz1DQ+7KFt9HsowvuauDjSOjBn8O87SPGXGcJ2 RKNdf3cJHw+LI0xoOpdxUE1VxDxpdI5DgLN2DBsmnLxWvyA20Z6Dxtbcz///MbTANXxn45gZxTwF DrBVbTTnfxoWiD28ANCCFpxFfL1d5DJNuhKMXHfn5f7B7SXY1aU6nHeYhl5eWfK4AwZQQdQCWiaJ x0UUEHNS1KO9nBlAfT3wmTAvKcUtXGroxlL0lDETfGtz2Zpr5E1cXnkkXqYx2mRTthfebZ83n+Au 1FAWpWnm18XGORPYOFDCVtOtk0/y4UxPBY+RINWGMBY7Ixh4qtOsLbf8+mQNYsftfWtEtfkMHCi4 AxRDBnLi6FP6yQ/Ox/s3Y8gzfBRA7zymPMY6sPINfPIg1WECHYZICWYdQ8P2A5dN/M1Sx01kXbjM jlgXd0zQHJWK8OlieOdj68ZPWQPqR4z1zaFoUyrEBXIWWDHlRVdc8wWlmNu0VU5qjzzeEftabaZr FFMBj7BitR0or3+KbsfXl9lLreLrlZpeMvrkevA6hKEPorkIrP6PazfeZR32ilt0vALsrtwxDzzA VRlPkZxd7VlP7CuCXnx7X9WDy/jUPP1p15SQuC/Ui3mPjVOkfe4mmU4+ExCO+oQs00axxAeY/ov2 7CIvG6SFc131ApO4GUYtRl3MrRobM1fQ6HFFU6vYL9VifU037g48CIky2sNGwAdbnDAd87Nk01y2 RU3/CvAQZhH18AcpKqDjeQ0TSOZJodKoEZBbF56QXt+qsM9ijgDTcAWYIethU1rJKLIOwhxmCIIw O05xzdrgK6TuSnBzISmT0c/M3ix3T7lB1Uqq7exvFyLrtsJf2cp9X/8Wr7yKfsORN8fRKmxch5dd gLuGhEQH5bH3fGpcd4u3QIhptsPmgKwrdmyJ+NZY2HrZqfOEDDIejjsGY14vRoVNREuAquSMDcu/ dTcSIx+OoD3kGWicJ1jpr0E11ZrP4lvua4dmHntyACkjn+onhT77RUuk6e7Wy7STOMaIOx4vro3e nNyN7t5x/RkQXUKnPQRdu2sWjBtOPe0sMdyy+CRxVUkihUWFB9rQ1tGs0O9KCVw0gJ2Xs1nU9LSv LZEkY+qN84gW9giklwVVZEKNNihYx595fFvg32nCeKizsE8hoSohAeqmP3TMBzNYR2gw+D6c99de 0Im2oA7Wez90N1eWq/7RH90JgZLFm2kBAQGEeBcvOA/yXzgTen6bkRihs69rN5m2NVxLE3tSV1D0 InV5hPf8LmiRc0EJ6MhL6gSdIIVTHQFKGSxtUz2a95mU/G+j07JnKVdNPolgCwY4j/vv5wGSwTN9 xR/SvFX4+6UMHhUMd53gockf4ECV3YCJR5SGCx4uuWzSJlb9PGauL4vVuvTaeyiBu4MttNHCQqnL vNC5ayxIpxEsFEExJdiXvf3b2Y/GHqo+GXyCLOOH6xgF58Wepn5RSiEkBAUwbMfXunwgFU/Uo/Nk jCVEfzAKTUyXlRGImRxH45gsD1ElkCkym+rVL7lnoyXc39ZA/4zfn5kHLPpU9h4jcbr/h9G8zw6X KTxO0laLKwiU4gQadQSjYOgG7xRB3GV0BGuYjU9jjfPmoLMVu+1MJyfw/fWDcTDXHwybkGmvDhz7 pNLYDOTGl3ZUhDUSsZ30NgOVizENE5yVms+aJzyt5fIkIsoeFz9xXnzGP/DErXMmcjTU+U2kTzBE OcssimrPlbb4fbivwAefZ9Or/+kwMuXOkzaQyLLQPibpAcySkpq9Z0WpqCu91hOsmhAWg0NECzOE y6VFSoieWpFmckqAjlJaxqHx0krHt4BVksrP5zDJ94e0gC25bYfMlMhAGHVBMRgWU2FDXgEg04uq c8YWFhsCEUaIUIQwYCdaDcZJ8DwV9uvXDMnx0ekrIYuJJr7JfCHyjRMgFeqANPhC4ML6zVnQAcXM 5vOaEk2w1BFp2miAQ1kOLeD7RWNv+wr7ltjE24UEibAD9szrf4OIJw7Y/wrvLTzm74ZGHYzeiqc4 HnXU5XmuLSxfMruT6iRTbeBY1jFjftHvKWI5FaTL50q+P7kY8Go3hISNDTaLf8pdNliIg+D36r1Q fBWq0WYFkPE9v+su7gWbVKMFPTGWxMVqcQoSS4KIItq186baf52eJG4V+wx/M2pyekMQhGciXNmB dYEK3sNGiEMd+x0kfSKoG1wSSd3hOtF7NBx0T62Y6E/+ipXgzM3avRIlINa1w/TD3+6mrG5zITYh U3FYWCNT+v/IC8DH3l0FSfJPGLm3N7synf+6V+akqxJuYvseFpCd3VwWe1N0iAzr5SeGnxsph1+z OaweSVD20kV66A5kwRXK9ptTYqexvXkHu5BcDMmYaPaGtMoJ/znh0tUaspJ54bab02J8Dg6Cr3wK ysFh3lFkM5TcJMhMLiSsqP6oCNeOwnBjF79xSrWeezdtqvV1hnopE/fgSmmRwKABwNlwA9A0TBAy sEM3b3fnIIuzgbTm5Zbru97aiY+ZmnWtgHmQw5MeRyQG9/VWA9sKeAqLDMpVEr2hyuQ4IaO5FKXJ TxjsOAQTzUoS9rA2KhZsCGMf9nDL/QZbyzfl1RliSw/PkBGDbrsVBnxWh63HDrz1Whhl29n8waLt obo51nW0uycb8DqMYN3IbQb7m/40rXcrC3hPv0gEjntnkuXleR11iwnKv47ZrxLOosofvMnQS0Uw mEk+Tur0+Z6wD2Vug4xHPrCYgKs0tF0I0YVBNl+zetKdj5JAd8pHSEBYSVA8xMsxtm3cF1R/eVSn yV4zs9JlixKrxbsH+/rUQOVjBqgzBZIPD1QDNeW1GflyuzrxV8JtyyfaKmXx/XFGw/FgULz90ysp huL+SMX3/BwTJAKzSfm6MEBf5Yvk71CqV6WM+0s/ZiQicybAKpZWUce7lOJqsxBFemp7pREu+Sbo wXgSRwEq144O++Cszc5ggJQymYHY2wY+K1JNQM0JWt+ibXL/fNPhOdfANyNhtg4JpeG2LLUXSTJu mhFjfzEl67nXjreBsg3JgKkXlYjsWQDaaTqr27HcXa0DYXURA30fHumL4i/He7EHWtJRPvKrzDqj OPe35aKvaoKQZqgVng+++0O02LN3JuYcE+nTgeVJiCxLDmEKJgIt4kKMGc2oXv5aSsejRDWJbaN/ BskOJ0X1CYZmrA/eagz9WHxBIugdVcoRPXQa+3HNOjCQ3ozySHfBXNeKghLmP4RU+HiHlfoxKYfH xOvMN5VPwOwb3NXyc7OVX3Ag/rx/yCkFeS7XTWa1RNgUwc86H6ITJmuaGqJiIcgkh6nWi6aySZEu kv/Kx6IDx/0Q8BcjbSaezaCMS16ZxHdAtpYFsjjGabpi4m+URMImBYGn6rpyaOg+4tZZoYFLGh6W Sm8wPiijSNNrD/a2bea35mhtS+nheK3GfXnmivy1T9o7ZkVj9qyB4F1E93+psh0Q1uq8qfl6JwYd uYHNlu65aAYc+Lcv3YtdnI+9r78YGmnm+SwO13DxLB8/OQnHRcpyf/nGwwhl4Tyv8ypd6agNIqfb Lo4W+nKCDVoQ+WdsUtluDSq5d352nxZoU1u95lHORpsZw5SlpPAzmT9LOPrDd7QZPpVjlk0GU+RJ QB0oV6prsvs0lGRoJECuXBkOBKn3AFKHrjCmvqbzjVpDMagrxhA6qBe4v23FVX2O1jgSUL/zkqMp ovYSo9aeqGUtVFdthwT5OmtghjBiiRNimrDnhyVijInhBKXmcA2e9/mPemk1MuKl8boOYwS9NFRf UDhwwt2kOg+MYhVdhtDAgcB0umqDnkM0Pf3CTTJynMrDEBYAVtp4fK40hAuZdXhfKsFEXuTj7nbv VHlENiyVNuRR6GS5VU4taA4VtxLIDWU1xnJRhsIRaCngooVB7+nC48eUUoIj3jFcGPnp32f80JyL JO9eW5MEWxPbjM5MmuOcGKAd9p5agGulULy0yT867QYrTB2v5WgCPKQLuNGV/BH/pN1D6tC0qd30 /SHVPodMIsMTWrZa0I6FLr8OJ4NwTz1m5vBb0PBZBB6TkWOjd0SqDrKpAuvYEW8Nkf1mmcnTCFVj oigQaFJpTSHIOJz1VRIPqvoFJXbVS1TPhmu70db4cvHOyc7vfDpv6/0JgL/OqZHBtEBYNUECcTqi eBUFGf6KK4QB35SX9kfNdyjT1b4t4Ka5paHq2KMmjnVBSBw+A6pFfR2Il9DPTyqQQwlcUXtWFQH1 QKyLhvXt5ydtrYmMJuZzF6cZHTigDk4CmvN84Mf2xS+lMtH1hKVxRwIsxfMn2sue9ugsyjvDLh1k HaKVxcsmZjuaDyu085ICplv1WPH+tE3yLiqM5Qy+N6vRwMxi2CXTNPsLZangect9l+cHEFo8C62S tZrviT6QWNWWdbcs25tepIq6WqOuWM2q4pAnIZeUMowOIWSdQe6T/HFHBLH/psKC4ORC7AFrax/o JyvuKk9fI91kf0tXmaAHtbGeaupa3w2N4XjfhNg2tSwXxgPBcndFwmhsqVjE7MOuAmnRpO0ASih8 RBTv/+EvHwIfgrO1l2rB+ulKE3fH/Nxy4QqaliLgc0rL4c3ts5JqioK1fVGJdEdQb9J1BNF81R+K SYmP/2RF7jZu8LTvfx4hZbsbdJZrekd7Q1UXU41sCx5zLavd/cV5nPBdIM+9tBuyrx82WLPUhT6y 1aWdWwCdH5tedCe+mTgk+dRsaGTh+wOk8hi2MccyQJY1AWebpmrWx6z4RAyUqL9FZ6QHUoDzIznQ CgO+CEyQ1TPQSFShqr2n+u5wTxdK9vIwb8c8bizjMwZFlyHjYqTAA9gKgQa7tbOZrZYm6oN7CkGD OvlCt4q1Tpjhp8/qdzBWnwiaEDuwoWMgp7AWRGXfZFLgpXOT7akJiPHOCH9tjCuRcecEq8c9wH4a rdYsk0xjUSPCs6akwLpXyo7mTCoJoily+U8ryoyP7eh8ciy3e2nRoZOWvPE7WDC2mwq79DL2qDLE KgYduAlyACocMbcAwIk+e+Mjgx3lWZk4IazaNsRrDust5sg6t31EinXX0YUDL0NDSNb75YgSar4e MEbIMLgWhnGaHWQT2dFR9VGmhuVaCKIKpO339g9ZxF1lERKYS2CDQvvBWHJOaNtD3nh0/b6k0PFn 67gbvZhjTr6qUp+5zxKFtZlviK30G1O4Sc2SwmUQkzoj01PIs2DKIIUup92nOrX22jIbCopIFMgz 8NntvuRddYDEhzsMVZ1HTCSo9hrfPqJQbIfkARyDtJyQI0IcWHgUnrgomQt4y2YvomlxQIxa0nIh 7uLMofNW5uIsJ0SxjYx5UH4BpN+fPJ8bFwrZy6QNqanA6K4YJUcKIn8u9wj44V0SJNXHizNUXJQz rrNpt+4EfwD11+61hpgg5ul3902ZI4+clJNcYMa9fot5A0eHhNnq+0daLMLlbn305ACi4KHfr1Jt MU5jRjfDsXj2udr2p1s8ElduuLLQ2GVMqtJpIFgDzgxke/3EOZ+nqwpzmUhDMEG7QYClK0R5s4/R FLsITGGpvDq0N1IB3OeQnCyXd/iMG9MecrmhAlNez6pPvJqXsa6Asp1pUmfJ06/nSaXOStLgaeQE dX4tOOfE40K/p+3mi3na6Rn7qPhRCnl+mkevCiZZM7tb6tORhvJ7ZLPFzDpT5kDh96bsZKeG46bz tbftIvylnw87g3vLqc0b3AbpIqUBhTfFoPH7uoL0n4xg2IJ6NODdgOK6lek4IMzIQbuM8R3+LPSZ NV2Tn88gvNouEwgSbi8UkD1Za5AlUqGlqBDS1uS7VcrFfJhG8xiSrcYGXMvORSB+2JTr9CgXf5WQ to80Evh88F34mBknVmSl/7Z6znI6UI8Cd9W67IeSQaDcy58gUDwhI3MJi0ZRV8dvKFXo7Ty9Wqp/ vXDdyLj3z8QhV9en1mmI5PSTUhEmrr07Svo2VNwEBCci7WwhsggwtsHssQNi6Fn9Ove7dHN2G4s1 Vlbd2uLtkCy0RnPR9shVfhZk0yuJn0EJ25psXfX8KKF9jyh6FOxR2yI7kMN92o/nHSGeqBYFgcm0 pNaFaBiSJ6hn8PDgWkuf+YPhxgpvvFImRbjvAzNQYQvxC/wAooiDTr3LcQMvVWmuxvy9yjwJWDGf 9dfTQBsm1J6tSxxqc9Uk2/eXqRpFdCmNrsoFvAKK8ToZDbc8ccnaizRe0dEq6P209LVsoHn8wBLW FfueUM/TvjAdJTXtykQuyOtaOxE1F5C/dDDFymRGAsuiHDLGQdsPC/NwsDsy4WzLZt8b9L6hZBmF izTHc0lHCNg9uoo45jhEuF5qgV7U0rd073gH/Fti21zJ0ICTTOMAcmOGQATXaFNNoaF9ONnN1PJQ OSngcmTyfSHnafXiGr0k0iyy7SJs/W0eZYrK5L/HT+v7s+OztZHtG058cbcHVQvZVLINFewR8KM/ XxED+sYAME5ICBPUuRcfcmKXtqFA6WSLWew2eqRRgQaKnpw1t1e4gHCMTs1zEBbk0PkO1mGa/kjX emGLY4oTuPehVmBITR/NMqaZF6SAwNLMHyuD4rR0J87bUX1jQRaeaw/34Lmo8yiDJYC7SO2sdjER wL0QdwDVbHI8/d4xc63QmV8d/uurr9d81SIWfAfB9cYxNllDgJouYthfvbvSTTMWo8yvAiHOrUry haCWlS03YQC3evIvNcbXdMHUbBP5aRvbXPN5KugAlxdvxFHIhDctGOHvhQUK/yUA2Jf2Q+EIcHs4 m4ktd3xVzIPNPqbNlPXavw9CwUcN61a9W5tz/U9+/+Ajf4wGJo0l5hu7S1oI+2MOiHPPSfaNAfhv MFOfPyxhHJYdKQtJQHDaUwmOnSRogC2xLAzjYPanMyUQ5ATITfOEjs0LUOQ8Fi1EAb0mIgUWPuUu Nw6aRnU9e9mhvWMwerhd3lCTSCms3kJBjVwWVoKyvM8Fayy8Hjf+3QuN5vmyofrCeVHwzfksvoEO PvEWxfwQCgUTmXCgo77DxI68zmNsOMvv7vBtSnYqltPrJprXc1uVzNGPiQ+zDKLF1xawfv1r+MlZ KPGHMIDghqpRNJpvTlxayfP4TM4kqIPn9bPEPNt7bbWW76gkG+nH7oOUZFlu1AEo3sh3eNmEqKDk xwuuJAgOkSjVm6dozYb06rNbzyfRRvVAcghmrYTuyubXU8kpDt52AzY4ZbkkRWEx0O2J/GqvsKw2 8qsQkrwz19TEHUS0YfzaExGJrEv0rISDC3kJohfbpsfIkMCN8svX9sBNDH9S1jat/XoLMcmfnMM0 xO3t0ZHqgg904H5G4W6Jw1uTBc8mO0dlA1a0zrf12NkH4oCfJbgeAZgbe0NQaGwj4ouweQrPISKW ph5pydCQxFR2/BqcTyKIM2PBX1PddfmpyqmFA/v/fP0vLK3iSfQgp6qi0EtNpRUGpJPrZL0ev2un d9S/hGaX9pXkJZ5L60+3U1GaBxdDV3wPuHoM/SJ1h6FNV7zDTYGamCQ1yKiQb52rXvqq4I/4OrOK usD9ROBpp4u+vUH85BVNoP4im0VmeDEzJde89fdbZ7KxEcfL8IRfxESfzDnOGgVtJul5Ti4qfjXc l/WkxrDJQ3Qg3+bD3kZhNxG/1UuAGKj/gci28V1X3KLsv75MBF8J1S0pgBVQ0na8WQhbhELk1ApY ccoXpscG2W8X7xRsSncMc0k7M2dj2NeIAvf7Lslulfqlpta/8i7TxAAY+vMgwhxen3noqC4EPjD2 dPrD00rAxLxQCiCMhjC+aY9/NqXFVeN9dVRLKVuZP0Ia8kfgrbdVlGcpJ/zbJ0MAmQK+a85cf9Ic 8nR1ywrKAUHyVjO1/NBx/xfdyzIr6wJwQnt4kZQtifML5yjh51ttj1RU+xIbe8Prz8DtdMbkEegp 09LXKUL5BSO9qdx14Pzki2Hm4xR86gBXpdnAtEYQGdoxCBPJQHJCJ4rp53s+PDlkWNZFKxfkYvmC eV6Xkcrph9AGy/Hk77ozm1njPjiku6GcQOgYRhnZ8hsC8L96k/bcrO8M+raZFyhbJvFOtiuJE+YE xreuwWGGr7V3vvLRjhds9ZsKdCAnIiKf0D03i9IcbEKp5551RH0VPGy5VyUX5v8Nzo5H3EpILmKe LOPYC57avF47eHjr35gUKW9ZpVoVyelKHGjeGnT6dnbMomkASM8hj6Zbzm9csOSnmUBXEaW1fvAW jS1mhMluzYF6HIC4sjR9n6up85g6wfWZQuoiuOwllsyS3FdkuDuuuEb9BrrGDWNRSi7UmKHeEAOk 9wCWKtHB/+vQfJGgl8pJ5330oQwG3s68NcGZlQSPxeX3NG7Dh3JMs3upLM2HeNqIpVfnRBwDGyup 8iGPPhuaF3OSTPuJt0sZU2P5ZnqMnGiy67sWkDVJoVzhrs+e0WDGhFQ5OpEdr63J6m5BiOK/dlTH jlRTxAUYnsW6SlhK1d8/wQXNdSUecoRih50aOYsebk/CwddKtOgYgy5E6dyDZdteOMOQHpT8RqQT eI2a0Ls68MgTzfbj/92WYNgS2NIo6fb6yTyC53ChH0+oL9+pNdqjzflVknhYtIMrV1TYZ7ZMVl/7 frwbMwx2ARlpB/x0cGm/Joe+ZA3jgMKpYTHEv3aP0npfrGFr0U5TD/fX5YoWpQx2fDO17n1SuQON uosIB6a42+K3WWX9K2QlS7VKpc7F9nCB07cluQfqefX13tQzeXoo/0J2aL8UWsD+mn96oiIpEl97 BJ1iZKNmFti+NjPPNjZ2vLN+wAHJ7PRbuxOgUDnf41zv6ugj9R8ZKiXkN1ba10AzkmHkkVXjc+EC lLyPXo4AKPf8snvaj0/2HfEUBhhhv6CkPlUlWzi6+S9pxOVNYtROlgmOt46FznJevnuNksfECgc/ fTD0pE1SW+8j8Ui8+FDZaOrH9/ONUGdSnG5KXNx0ghbcKU9MDB6Xu8HrT1qK0B9SFJJclUcCfb9N j7iqcseDrQnJSUOU/r91FxyCnqUzOQgqrEJGpwbtTH1f/x6z7h5st4WWiMU7xjrdzK+5I/U+yE1U RJgCQATSWjvVt4sKYV85uLFjhj8cHDPAvAWj2YQL2Ga8qgUTGp27Dy688Rk5T+5YP1sl/sPyk7Uj I0dvU6Xnp0WfVA7DRNKREyNItPs1AjXMu7dvuKVF4gYnRlQRo496Yat79vFMMYPGk9CB7lSA1qTW BladkOEC3ythW1wlqOWfBKXQ/RJAnGyQ4zU5Hk0+jl4kI2yEc0Wb+/T8n7yE2pnriAmWlze1jrPU h7Il8D57Y/S4Xx1lOMr3pa0Y6a1RZxg43FQMHSCMbz9h67ZrQta2wFgQmF0a+Na8HE4x3Y7uqF6c WHnunUlw0NX74xhTTH14BZlarRpJh5sT1iqiCOv1h3xD2PZOP4W+j4C7KYEJNQWkp7hzfTe/Bc2w UXvtvsTrqYEezHRXfCgbhLW7nyMmWNeOY/Efw2V3n2k0EIDJAf+rdNdceQIv1/vj5wjrOqX/05ed zMV2zUgDeOZf5v3AcJD+iR6b/NIrSlI5hHqGt9AjCXgrpTtzDGIei18qB66fIFgex6dJB33NzAlq ngfO413wx5+jgMw541YECTQH1zO07cdTe9S0ecJ8z0NaZD0k3E4kqIHdOE6b50Fdk4JPlyxBQ7RH nUCohByKQoolj44YCFsN1Q8cEZOYr9CAHcb9Yqk+nnjAOMPR8JR/u1Z5Dby10jY7VoyCj4KoNQqf skPWnMbfFqtsAQ2EPKb2ZWIQTfuE/jw3fjBSvVQTDO45GZ0zD5IGwOScIxgJYwZF0pY5lI26ALUN BHVFrGy2oUBxgBUDUJHdZbHBUUNh+dgCZk8ZRUkvU9j9y81/5e4DIvwg9th5nJNg6I3cLXX1Hfjb TSAxzSJFVAuDGT+WtxY4NpIM6450FnT0EnQEKOTTGQqvmxfSfExBr2sDKhvbnY9hoUNN+sIOU0Dv Ub/l+SmQNX9AI/Hy81WJiIE4E0gL8ObSaCznEYaOviorrccfMIoWGg4SsDvQ/IGgL2pdREOe+Uny wVcRfWW9ogKuFWkQn4tt+tqu/2Sgb9xu5ABvoy4XPqWK5YBktdSir0TNLI5EwqXFeMrsADRLBZ3d 6cnNbiDTBebalbBDmPT4Bnogxus2OwV96LoXne1oV7jBBQHZzYo1404aVl9oXnWyMC4Q37lu8qRv 5YslZj/4o3UQMwkza7iy612b7lwWm7KMVq7AYVjJ0sJZncDMnT3A/TUOE4gfK8Oqmi8+9Ig2PHnp 3OwSsU0iq2+zj2mwfBmWwqnVpcPQRAUvGqlevXI4l4ZaYdQ0/UXO0PbQEjendNZvZKoW9VXjwNfQ e7u37rN2+N4CwnJ8UgyBq3vC16wkybFoJ4LT6i7lBPVQUnAn5LfbZjvOq2Nq04WvvX/PtDJT9cRk z6FTmdrxaSechsEa2kgpS4JZgI7624N74ghM4kCR71yTZ3XXH51HNzehg1i96QRzMzvAwaKAwb+o y0Jb2AARmjgLKdeiyQUXnONqhfr++IX48s0b1WqKRpdXiEJxMOgya1XydSV6wbn5AngvnuT2jbPG 2EUculwJ/xAnd2SUGentA6e4/QxwFRQK8r9FSjVyw4b7xdHkKPy5+vNPVLwjxnFKSdQ8ghBqTgAW frRXyw1/bgRgIsWD9tllQmDjwGd0peAz11Xp+pWa77T16wfvB2ubwqBodnWfNU8OTnkZBN14Hgqf ivA0OZc1ACc3f8m6sUXFiyHwi4xwW2W+Y+sW1ScUzop102jMQo/yZxPZyxTH8Tr3j3EWhJl0J8/s KiBj1ALWGSZ44e+2g2KP9yQQ3fta5VV3ulxZQi85CblGWJR+cgkKQZ/uovzlLY8bCtPz+RtucCVO O0PXT6S8+aa+K0CKB/3w+bY7s/E52BWjMVdFFcnx/veR8TZS+XpgY9gFVghvxrQSfja9c2hXr7Oi Tkq4qLPQDHa/CKF2f6pJ1IX4qI5ZchE3Ey8/gNj6nbDmrP0fPnw1dMng6/chTFGxZs4LGzfCu5Cf yXTg0UnCNSfRSws7v3YcCu8Fl5s88HqkWGZQvgaJTRBpin04eCwMGOxPLxitaHVNwR+mCJxxo+rg tUe3kr2Xbu10ncguuUWDZxiuXB7C1idpiss32hkjn2KJrWWT0xAm6eVf3QeywGMNQeJC/N1yJN+p KJmPw/HWULfv/VNwjF0Udm6ZhoFoudliCDr/N73smhNDPhrA1zDJ0Ky6UJHA0Bhk+CdAgfCtvbyC qgcecEultf/XyuvZuepGERl43jBrThlXG7QYvHZ6g58Ez6UVsFGZskfFQSaRJ19oiut8z4MfwUK7 FofarsKm8IlW/iva6t6oJOAaVLmUHugT75A1FSIBjEjntGnq2tM5/3CCpPVWraPNOyn5gO9N8iJd ltmmiVBH5diy6mIVYvAY0ZJNk3g1jH+Kp/gFuqJXh5icx3bCFxwVTS4qytbY3RhpcyS16X+3AAU5 0rceFVhE8F2Kc17aB3k/5ySc2884cYZocPWMiJfcJ35y95bzYc1akdBYRhubNxrZ4Wb1KCN6dpIG 6MeB/rziw1+X6gR6f0Tv+2q7NwT2iFVI8XTENA0Y/upo+LFgPIaYUoyjvhhz34EALpztOU3vZ8IZ 759XLj2yzXaINJAHh0ER2H1qnBuaUhRInAQcvnmRzuRYDSnIpWM3VK5Nxww7ip1k/QozrZUhKn3Y 3jivZUZB86lWh8Ekp+HbbnOinyogn7MroSTH/3VlhR/xwovFtyadorJ1SITykZpcBhiHwHOzAVq4 j4tnLw1W/VWAj4pR7rxBtL7BoYqC7NNwnuym/q+I0pvLerw+pIrdhVgFd28zxQ2eMYU+eqZsgEtl 5QX/lznVvmhb76IDVESSnI4ZDzmVryKHP+jVadc7WjlaQfOqrzZaCs4vpdL2XtzpqYXlS0PcYmEL CNUtEEwpIV4ON7xTTOQUvMz9TZ6LyDaLgyGiaprqRg9b6h8e/EzGTe3/maLxt9Kx1vg1F3Me7CoE 9y6Geat9yUgjWA63XbBKpmGSJD40ax3FSUqB3bIZqWt9K6xiRvbb4C9cQVU/YtCUURjHH8dBbp5A BlbWn+aX4C2RrrXVhoxT1RJq9oKgBf3WLl0ISawntWcYDRuIHholfvLNAb37igYpEbOd0B/z98Yp 1NfvbLMfXPmTUUpkPaITEoKMnxLGo0kX/f5kCRIIWmIeayDS+03pRttC/Y3qHBOtWLkMO2mBQUyc A4SAu41OI5PzYXoEyWEN38/N+x4hNvme03UMxAQM8w1c1R+lVmGEc96CxH8JfwQNYS3mcNuLc9Qr Cm18CNTmGPmNAyxEiNFih2nAPIpS7bZwekp5deaI5lUOJGf+PPREJjQH3oIntja/LbwKx953W4sU rtai5aaiXPn8t645iFoBXetRvNJP7cByzQ5zHcw+YsfHKblQmw7QmRIAXbkhbZimhmv9RH+ZccVS AH2OLiDtVzfWSsObUiecB/N0RJD/fnrKDTKdADq2+J3Srknw7SFRRU3Sh591XZtAuWF2v2wL1FEu 8vkh8BJnFYXNjAPx7WCrZoWcpDq56PFBFSIzEoU5tBvgHJHabWVXA2nv6GKmM22OJCKF+Y/2fpbH hJRuVK7WmWoYGbtuGcP+4KquHX02+wHnEl2m0yrcQoDxenNCj3nFyfv/JjohSwq4yRjChwvJIGOu lasZk07Ba7fwx/Oo4w0iQS/+oEWxyTAblUz87BojdfEdhSJYGU8JjwocteVhHl//s36EHj0cFw9c I2F6Sju2geQoMo3e0f5wMHO/rzrttmpeBCVwqA3rgYd62spO4cG1OgZQisq+8dNKm7aj+Vu5WssF ZzszoGWurjRSuL34Mv2e0mjgUFBNm1Xn8OihMbWC0YYjTUR+dKGsBDV1CDJgbn7XG8yugOxCPP1s 4lEWYyoh7MI5YvldJzSkAp7pBCNl/blGOd1ToTLW1u5hHCQn+MtjaUX/0iRLs1JaGw1o2mNGQawG Nfw5DmquALX7gQulUJS8wA5RlIZ/WAlTKQSUxspdlV7dhEErFGoL+hFRczqQEfHxRkaX1AgivAsX yqs0zMfqpQFSZjfABjqCdkdsGySdyFsDG7m8iNOlFZgm/oGUSm4v0UbyTe4/VVe/LlzC/Axl0lXW Re6Ka8TanpAcH26VsClpuv6mnDx6Rlp3ywrxyrngRgFYdEBb4VBySVza49iEWQK5xDICfeCpt+lq YOSPD8dVC/alcKPZtEC3PQdvQYI4PpiDZBZfz+rKUR1U7xfW+bWzIxzNJ4uvMNp4F+dBGJo7eyn5 1rBKf/Peq5vpgajxObqViSVuprKzhRPtxJMUsV9KWws0Ai0egkAOrPva1qN+0CWpd72Lx/DzJRKT bMwBfXgl/PyqYJ1uaTC4dKxQqwFy7FBAjSz8vNo14/LvHYlOvO8fmGcNK9Hal9GrFVC0i/6Hv8nz gi2mbs7grXXgeZ7bDcm4WLwewzDXf5yFnYqF07dQPjVc6nkMpRCKK7TdeLJRW9NDKTgtMcWQcXyz b78qdHl4Fy5oTDkbUvf3/SkD/DDdUgUd1T1SFAc6M+X7kDamtY7wNl1XdryrCSxJmBEc5oLaT8PI dnYQA/dEiDqFlWL6Uwpi94MF+bvm/9U1CIoPE1CaA9bye5S2omvSmlrBaeTcb39HYhkJlsZSYrRo ZHjr1PSs/wyuR102TyqpQWnKaO8dxAaBBqVCFO6urJj4U1i25HolL7H/qhS4tfGL3OR+xDV0m0KM mqjMjs/7GakTNj5LT8YBKxbpdot6vCHIPOjf+BalpPira1xlUaLbtVe+LOF4/QyX74OkN7rUtNNq T7z+fCXig0HfJuVZ7K8RFzchVHh0Im2isAYaiG3eYhtRr4/GDPgg+Q9hYrR4V6Zj+jMQkUJL6+Ia qzqiHelxAhHSQys16h2YMRRIRoxL9XHRrmNSXEzKJH5uP4d4Er3vOm/TbpxyIdMiGy021xLmNLv+ qtADOU5okHOx5g2v28rly3qpVUHzCyCNTO1uXoz0SKkYxJE1NTI83/+vXcIsLdzvEMNtJ+MczkC/ PNFqjvm0GlKAVgOknHHXU3Ukj4Qp2xK82l/UUlMRj/8BoJcxpTNMufCyhInQUHXa43jMmRIr8NCc CibrY1YRMa52236nGu3i3aGkxo8ZRH4LAIb3GYFN4G6MYq4AGcDCWjoA7Oep4uEDcCI4a5jMHz1C qW8Hqy2XkgtzVvsD+gGgP+OKQP9VtbOE77oyPPNbfjHkF4qcGhxWRKTtI2Ibv2L7Lfsg9/ZgHxcx OLfo58Mw/kIzTeNb4sBJY0KDBKioy60zj6qmXKXYILa4TjeFgPFS+nk5AywB0+bNiffeQQjKoSIL hGH5D+3XkViJMbgShVr4lg5fEn+eQLy9gdhcDYsQRpTmNqt52MnkKUfmXirPLhQiIB0pjUiF89r0 2ONbe8gr/PdGu72V153PiRNP8DvAf21qs2J0uTHTDmfFEfQx55yz+QjEzyWGNzYtraHC+eu7g6z9 OnlQtTTaYLkfGWyilGGsdwkA/ggfNqrqVq1sxYVfwcQo03oanxx3XxAZwjNfNG/WoJT00iTRJOug v5kOKk7dSTyhQbbXVudxdOhLK8Sztw1Tj8+O04rKAnJB5kJFYE5DH2KT++mGHL1MAIO3NQk2nfsE Cybn2ZhD3qIooVxtL2iSjOsw70KaCVw5+ei9LBkcvWAkldyU/nQLcBDBveS5y0CfDhjEvcgT9Gkg dwgKOxvkTz9zzVQG8u6M66tOAGDxlwsL7kqyRnNuOCjSIa5h+1ctpNl87OaYd+Uo6g5smUXn+XoE kYo1dVj+DbBYEju9gnrSfW/i3IX0M56iC69Jedb4dUaH+iSKYuI925wd3oT07skRvY/uHWaAUfIB LMUSYDTAhrso2jQGg0MbdHWZzHbJZNDAm0EHr435tDH4UhVX30YNKWZnleY0tfW3oXFLUjxbGDdW XK6Na2LOvBRS4+03ypI8XYQAqaU35izbso4btC4WukKYfJd8yka7yZ9a8IlXUgiAVrhwicEOCVAz gJyQuSYc+Zq9fYM1QSQBGRjB4aon9OSfFLy1nnVtSlR3+G9NK9UqUcCUa2L12roEl1dhNHqPRL+t x1DDFFZLDx86LEP0EuvOo7B84iLU0WJV7jGikauWvp+Plbmkjw9ZPOClgVM17UR4LKG6aRCmQzwO 4favnCyHGarZeSXTG4QUHsK1mm0+zymdTi3FzWYhS0orVOFes5Xl9OdVOjEiev9a09xPbDeBDnDv g/GfiARwqiRxayENnV4BToq1PWgj4bi3sXYqyjoT7HE1X+DbUDrP9tIprtq4f1vU/mDqQkfpKM5w 4n8HKRv4lnYue8TIgiLTPwE1z18F6Ln3s+mnf+yFnxL1KNXIHsQJT9zirHX/Bf+V2CbsRQ3jmEEy lWHDSyRdvfLNJDwlAFgQt9VZBSlbOOESnw+RX3OvQHFOWIHvyIZZLOoLtyk/tVjFeFe5QlMC8Fnf 5KOrlfYd55lmKinIg0qrgTYuLYdGhKHD41K7bBVXn2b5T3WUEfHE5xPdru4mzwpRBHZgN+PrkypX 8trn8b+MuQ4zhPnte4BDwTFVIOyxXO4tTSquH/teQSuV5K17SwGrXUlXySonFBqYNJXYy+zvapPg G36t2jHoNUGQJUXfccTanJ1nHOLhP+Jy1lI5unqdVkCIfTz0HtfbBTSKgNFPyN8Mbx+nU4lVsvrP 2yVdlxMnm7xWTvIiUoQp9ytDIQFoMb6dDe4eh+TbYEIsv9/SEFz1UbmHpl1xKXB05cuA/kDbcbsN I6kSmDW/CXEasZgJbZiGp2BR1RJ9gnhhI1F0UFwIqcwNjR76qjcRGF8XBy0+dZ6g29sHJ57n/JIS 5zyf1EuT8QIB/6JRrqHEVczx3DAs9juxh6BR3s4HlGBsGHsOviTyQGVqd938uYpz8RvlppWh9v/9 pxJDzXjMWlY9wCUbogSQ8t5rsaH44WSTbUF3myL8MmaZr7/9AY1p157DncgmDlN8l5ouloPMRTwN Wez+t1d8OCGy5WTQ66CXI5rdXDPJcBUWdw06zj/1jJvf5ZKsOn8uIgGkCgGaNAY2EBTcvhV/myLk E6VIM5OUnidKkCM0rnUwDUd/X+SVivQWfwTAeTPxvgUtzENhvOz4as/TYQAWigmZ4VchbT4PvzON B/p99g9nQ++3opvMj2i5EE7873ACjOl2MTQQs2ypDT8AicHXWzHfX66tUZqSXB7tNkZRhswqBhbt 5VN/62xUfaskRHG/QPn5TzzmCWcSY4dreJDgcA8bI1WJJB+qZyvp6dNVkQttFx/5RNtXK8UTrJJt q+xY/UDjK25gTqdSXPkiiwMGh3WrIL4oa+b1ZeayIpqA8cLhz3EIOIg0kqdTZiu9N0yRv9EoP4Jg GZ9FRmNOisNm8C82J2vX7xP17hr7LBijiusSU5gLwMiM1yFSVW4AZ72z68lvExu+6NnZSCnKc0gT sQFRz5hTRcOccsv4V7za3ud/ioQI1oEygUucNwmsKEpRD69RcyQuEw8fOjqn+GOBJAbXK7W12BIa jsjU/nAU4/QKPZybFNdL4PPT7kZnPgV74ENAsOsk3/i/FvOi6Vu+9I1y1G/+hsCseXZzf0w+FuO1 mJhtMs6PdGzN4y3cszxv8JGbjTsMunsQaLGl0TK0qnb+cdngi/QhY9uE5eCbeg1uUJlj+hhPoi1+ RLIVk5wKSkbUaKPP5XsbJq4QyMyUcpFVWpm0SSwJfDYUoXB2J277tl//2WeIKMl23j282yN7ovXX D1tJThjo/YZi2x8G3FPnyDjxpsDx57DAjfAi21JnpzVTcfPXKcCApD9EG/lmEM0GpnL7rgMxy3at +0/MIJBULfAjMHV5xXQGbF0LZlYg/N4GvJZiUC7jaoWcGt5Wgh8loHNrWUI2IlbwOzeGBMHmvBs4 z2Albs9bzF7AefzQtamz1N6ftCNBzknBmmmzFo4pT6wiHW3AMqPqeEhZZnDYtmo/76WF1ac8iPeK ZJsjgXUFOhXCkeYFuQ1+ciovVBNOJjdd6FdZOFUYWoOB0RrRVj7L6AtGQLtVVlS8gtX3Hiq3/Rjk wtPL0bMOLaPoVfOCGkMKNdWkPFUNUTshdvJ+v8Ggo/VllEbB8DuZeDIqcndrZrMsyFEhThqfamY0 gKlAjWcBIyYVddNMv6f2pySWyNHlOoXS3xlDR9eNbMeP32OO8EcfnYSgsEyJASbE9bju3xJ2Os9n Gun4+JfnYitznMxlZ4jbjNSQqFzo2jIiL86SUBtRmI06423fzS/nBPucQDVNZqPHGp1Ev8PC7rWY 5zhSMrwsf7zABzKUjajfJYN8BM9yH/LmzOwltHCOS8w/XlmjZMUHVwtZJvvZ8ASEGUZQ2z58QYP3 NJgLMHnGbo0ASMRJF9TbIW+5aw/1g+DGvs9uUigaDAyf+UTZAUvYpdlFnG5Cw02UI+1VrucKGPpF wFG44PRVb44MsGnlRGGxOk17ngutH6ZSg1fCaE0hdsxIEhUhxeqJwsu4x57F+bi3ZkXE5pkmYLOF sbUHaqKivfTs4QgfSKxYbJc9PAd2ojMmPUj3AueDd7AsG96ErcoOkoJIi/Woe63O4KOrLP9h8oKA jj45dsV8xVeAxanN+xBGcxh8Jtvlk2L0WcKyXyHOZyRdBA3qyIJmgaq3SPffDiP7EmpGxAKwWvWl /V1rJ1Cy71SYWwtgYP2SWLPYO6fsYZh4wIgmjzSjxw93EQicEiSe31uJ2PfAsBExHZofH71+LK2p p2wgf1i4UqVSWBkhNCYz1V0nnHTbBu2DGwnoOCGwCFpxiFSdRkvAXExixfFqrkC6b5SMSZAe7nd1 wq8gAGSjoZRQoq95BEORnJAgR+FQq20oF9ktxvKok3WtKs9q+kKaNgIqPlOqNMV23xWUktn1f5zU rFjp3y/6RxL1OhjvczXjgatmWxchFODjilOIOGzqyETeroDJa1PGuLpncqKMOcIq8hKJUsYf+bv7 X9yME/ZW1if4230j0yp82ESAxX4JnzbIrOmrLqD5/tWVKnTM4y93jVgV7rDVAzOdv24nauE+YxRl sAP658dMa1fdq1XiLgIXazlRjo8hOfNdVFMBJij3okWuhLB0CX7TU9OaN+l0maIvawOItOB8uTJ7 cGkhsfs8HappPjARDqjT3K1YPdZBNYDmUpDz8FmpKKjAggAc65QPMvM2HSNF4dZadmYVHBXxkfx1 2pkDGLrBz8EhZXRi9qg9sy4Zyl/M04U4Q36A5+SSFQwvH1gFzNakQg6OWF9KjA3k3LIpCIY7q4ni B0TBH1rhg/h2gf7ACC/eZDE5Ae0n9qpOJxKDw6vKtBUrl6FzpidVIikr7HSTjCV+KQd9aHl2ZD0N fpcIW2bPp9mBUM+7nTGavDyU5W7IU/NYAbCggdmkyv8X3PrHNFSulV6JzFjy3bD82unmiS3VqPBL p6I81jj/O2oYT3dzlkJDT+6IcsttxURYF6m/n+PJ3CY4d9vy/w4IOP6WMhX1WixW4oOIgk9zpDJ0 9kSJv3WDDmlW5DgkYt4kfj4kmU6U8cvW726qJdUbTd4oQyDc8xmGzmTDgq95qlNPZDrduBih7tgw mpXAuNMhWDZSbqguTsz1uWOGWIWBRrUd5/vMAI6feCH74I6e7GMz3dgulz6gdV6teftvZZn6mQkB WDREgJDCnP440f21mec2bGZbdjBO3iwmjkmmG+b/nM0YIrMg65PeD//w+uoZZa3y+2/ROLzUSPW3 m86TCnkYGUm+bAU48RItUqNV5YOTQUCu54c/vxr6lN0NMWULm29wcWtWf5jc3q9ZhOkczAWpNYXT zMHlKlSYRWGGpRBqSQhFz0wrC6bOBt7AkekwoK0A/xHGdclwfs9IK3kXnUHVeUhUDgArSdNf1E3E UeGDGjmAPUd/AkJAkkAE0EE8PhzieVxANnqH2FdtVNwZeYK4Odrle+GwHXs9Iivdpudl0Ct4dc2G 1ub2hwo3AJPM9UztV8YHHGRNHYmzdSiPpNdBtxNxvQlZ12cT1aqLPehSrIHuK/rhtXo90RB0zaXO p6qtlU/ZwejSQYU1l7XS/9nHAH7MjQdmP5IfslAeeMNg8VWYaGaW0jfexnUL2A3hAGL3dXUIR5B5 id19iv9EkuoOM75GYJKfZsfTglW25X7KwiDJlMuQFDZ6Xq0OSo1PC9eP4IxGQWs96i76sjNh3Mo1 i/fUB+YN9IqASKYIwhIWWDN9xbZdFWod5qxPD125Z3ApT33BmcMuP3BgjMDBlTNrwh90/hx5IKfE zIF72NBvo7CiJ/8He0+vyniAKib5eiAMvK4HbjScUvl5uNWne2JYaOuoCBW/hlCAbRz18DlgaX5z Ow3Qp1puHvQGPqprys369ATVxpCm7mhIS9EFJIFG183XWJGb9T6t9xlsvevNQ/d1sXpki2+dAaPJ NZpjHQxjbg6cXh3rK40n0TcmYLTZawmnWQGPy3Nho3dQ5nDyK54lsP1hbSfbuSMNlJj0fyODz4DG HYk4AYFr1YFiapksh5psFQRoKQv2UI83ONWpz0+kBMnZdoJRnQsiVsDhLITjq/T/woLfDKtrDxfW Y7ryr7c+0tAujgmTPrHR3ICMrmlnIuk8TykPrFk5ce/CYMzwVIv7SlheE1uJdKBxKFSUhQYkONsa Vprp1PI0VT4WbRNh4ERFUqnRX7RBGleqOk++hcqXEPfclmdn3fzmlrioWijbNMp5XMI/KBYxMb27 89OtDIMbjSMkopUYFAWeT++l1yL4U3HFOHxQe7DyvYdBsxuOAXSecy2pzmX3g2vTT1lfOgqG52WL vYs0EELuUgDJ/O5CPqk9dRnZzj7Kg95Sh8uDZhdGJuZbZBL2ygCEVhiZjrV0WbR2wwCjElpRu6Sm DTGvKawifaKjBY4UtjSmo9YEAndOT4dvih0unOF0BcQrpp8Flr5H3aAQODqhEjZOw6kljXZBxRFx YXgBgwdgkwCrxTEB8ENi1u67gIQfKdmRj14hP5oDV8N+YlgRksQaSlub79bD2uuvfw/4OtMfnysT Hp1viQwsfz9AMLZgC/C1OqTj2M84lwjhA3/Vsy3BoB7THEcj6eSQ5XnQnYNvRFNscn2bRliWr05U Atyt3R1uEHxwAC6ME3sssWltkVRCPn8V+yYEWrTBiRHx65HcyYxUBwgijYmL2GTCHTkuEIqnDSIo PeiUgYJ+fcPj0duxiL41UJ3azVteifl86IiAJNp2oBgIXN2CV0VEPobXguT16FyyXwwkPqfjAVvw QF6TMsEl+T9gz/dBiW1Ow9pY1ZYImnacaDvtClwWuV28vWSEuAkW70K5pgIvrne+OCTvt5p3WSZj Be6iXLFMWPyOhNegIdRM8F0QINbksK+yxs54rqb/G2GV3n6ot973nKT92vIdEqWZPNSGqC6f8295 IVpmbCt+58SGO0wRibRZ22mbBX6m2u0PHy8ByOYktrNYpXb7365M6jlVADZW5HSTEJhlcGXJ9K5m YQu8WZDwKlxMKSTxznexv/7ho1muhvplVkThLq0/wvIviCUqBQbkZ9RygDQSTm61Wm8O45ubybYU X6JC5FE8pUXs9owOJgiI6UoqXgmrpObdbUkyoeR9eazr6SJbOOdK7cLFrwkZtPLJie4q1sTBTTAG NKWQCisnAgvdd2WMKsiD/35rJviE5HQ+n3GPvno+dL1TSICyNLXty+pwpr9UiMdeN8gGpL9YVB14 x45C7ZByMreRE8wEiv5mb6923XW8qxgAkct/ehjJHobGGmv1TfkSGcCpG3LpNTmlcx6DpCHT7bV8 3oByHx7w/6o7PHyplQ9N4W4F9uPW7RwbZl8/smKK0uCoV+JKORK3u/TLqfzH7oUHMonuY0JQLOaR dlJycPdKcuEIq/itiGY8zbZcJA0KgT4ooqf2/i0c2Mp2zkRgK77baCpbaG+Cr4Sy6jGZcP6Ntrpw lpKDFxlTP6hNQdUfb/ckC3Nxtapx9k3E8aqKyXHkMJdrP25tZGN2pWoGoTJecmgII2vTrUrdJ/r+ IO4ZiwSfbVSVB+bfH638x8XYJYfWu+j8VvW64dEzrpBvJDwSVAQkcjNGKyvz4sa7Cwr8/VVRR9ZI JUCrYx/LGUmyi9zCNUYrBKIwE48NS2J4QS69GE0aGdRO5gYLeg4QHFDCD6tAgh+6veEgzq6rX/aa Ioa8E8LXFBQyJRka1OQ3rToSFdzZcmErA6tFEdYPoQo0xJ4bfq+sHKDOr1VY6OjVVNrW2IHZgWFE J/PRvaqrIsj/QRr3+/pCglrA8wKfGQUg3uDyqBvmsb6gI2d0s1qXQ8YhXIqXjcEBaiPjWy7IBYx0 HT9wZn7+KopKxMfn1pY6nrTvs2290y8PVFVkfuFnAxaRFMwDzTvUBwGb0Mtnei0LkFX9t6jJuICb w0pd/PabFG054t2nD4PMkjFVE5DE/RS10kgsv2ZTreZGQmBvTpGmh0w4Rsrvjgj25MBjHgItornr wKhHaiTsFavt9BDvlod+nhq+tPxtBM9q04aRRkXUor9MeC5/kEkWuZJGLawHddfRxykXDOqft25F ZD4o79TjslB6LzwHN96auQAmIlt6eOn9kMTsUmvpj9G46M/W5p1RYYFgNfJV/1/s6HgtPSHIQeOI +ox3ikdQqt51wI7n328v4pT769S2fZC1PCIqUJRiLTT9tmmiNgo/c8rKNXlZtEYu2Izu6vJKdpJ+ URfjN8oQKRISwq+joq/3d6L/rWJGMEpicyb8MgiC7PVVL7B1z0ymTmF2EAV1lLd+wUjb3N8+aUTX QFRNvAo02QEHcl5wXvNPkbkCIhncHPoclTYHkgyaKLP+faWBFEu7bLJbOOnc6ICIbch+nVYRs/88 YOI6Cec6rMVk9Ju45sXTTmIlaBkQHOg5FAUXpLGLlWliwcmZzl3svsUeZU02kPfsd+wda4RxA4S9 qJSoMBVPj/JPLYuvvWM6hLZ3nEGPaXBbJRUhYJpEtHTHhJYyGE1cJi4qsHF/WkzDU3GIQ2yms5cg OS0rDBW5Nsh9nPbJ4MazQ7oE+Q7oAzcBmoVqXEcwVbuLfyKgOt1pFiDw6yvnNBFKSBbNCqesUFAz /x0KGiNhjs2UiqYSaOzBiFY3SJPQrfT6hQcwxOe/nQDwMXYH+5Nh02ip5bax0ciwlvaYxYDNnIHs JVdcxKy3Pxl46GvrLMSGspwjJIFbwUw21aDFA2j/X7bSHjYtw6UJfFNznY90UwfRHNCazGboITE0 uFNg10OAOrwGziaJ/SpeZeDm1ABLgClpUjOFg2SpunfN2Cf+5xM0RnR3rOKQ9eMJBs/owVDDlVpm wiO9PIXGLbhn6QcH11kvKiuVqE5Ni8H/SfDHyTiQi7VRpGG+ALofDx3a2rSpXxwXquLoz6iWjos+ ogd+gvpfZtD1iU+NkWeHvPTse9T1YY4QqoC0SwxDeeS+sHqXtBWolmaNWrgJEpb+TL+zJu/sipRv wIEjTYSEmkNeqxx9U1JB4PacA2BZrSpR9+zN9zZaNlO51ls2KXG5WQpBYQ8yX+uYAg7JSbIoPYnc jd6GBLdkXseDHopf1XEBOaqucKZe6BHW/q2LgdmM0ce6wgWAVOt6vdRZ/b+b8GLAu7OAtJzjce24 SBBjkDHck6TakQHHHeWrlMwo7yNeH5Ck9G23FppRZdUe7Qm3AdpGptubZjEsDM1DYpjxHXiNdX38 ocu4Pg5NnROyZYr5q/6Eg/uRBC4uaNWjJlbIzGa59Ex6l9d0ghauvAR0P7+N2DD+267jNN3/IVm4 A/BqEqWY/2LmlWZ6WnmxAhnwvxf0SwTy8SU1fbZyKY99a+uZMru1olD2Tq0U33awt06FzM7CVhBH DmAahb3gjBnsCplx3FpLQx1IKKSEn+waKomVFFuO80L7fticLdPm2gTiKj3K2wjNdv8a9Pn6O64S F2/EXZu4VejXWloNr9OSMTV6Gu5BXC0Ds8CoZ6P1g8d6uGoz8GntJTBt8DcUNdsr7SsIokmU40I9 HD5IHnSthfv3Xt5vcF503H1BqQQHlZFz7dwXUZoQgBsVfn6XW+gGl9dAwEa0Nt2eR2tVqkO9POop gsoINwlTXxGPOt6c+DTcGf5eKPq8ej4JJ/Ms4BaOPNmY6GeeY9V1DDb0TGnmS4TNYfBdYhpKwP5P MgQg1fXXU2h0g7Y94iMJyTHtONht060M71ptQ6vOuchWFM6gu9Z/W9DWkAQ15LEQUokhIr88PCp0 BSc+7xMuYkJytvu5OQ7o6ua5FBbGjU575lU6A+xkc4NQJyzL9PNKSlpYi9s/YSnw1Dwy9dqXQpeQ YZVbj7VjLFq+vbwu+WT+px0O79zwFm711XmmjBN7LfcpGJfhCd5yOQFT0NEbDGholYq+2y4+WJQf ApNd2c9eDIKRpQ156Dr5vnELNVfL/lj9+l4pAnjY/xTzsFOzyMwkKIn5oMHYzgv0akdUD/SG+Bx6 bHazDgamUVe3r/ut0SPNzTpmPAPWCgRuf+JLrAG3bet0+IqU9++uhvfEocQyUZyKPJdvCJC+nXhI OmRVr60LxF2MoPEby3TGK0OKqiVrb1W7titee4FlLNGz9uZxJCgq95hxqX/zJSb+DvFLuyKRX+Ux x7deZHtEv4tl5WTsIVTS0iE9YqRE9F9TkWbhohaybv+oKNXoYkSfyxrzfZ2vLlsX43ODdqjGZJZC XMDe8cAUu3lKg1AtazocXevJChE4uHyvfUKjRGBQusrkYjUginVKbt+ky5ukKGAil8cHU703uYwV A945e8nINz5yP8AWzTc46am3Id4WxZtGI54Qa91RjXlWEG5Q35vJL9P8+rUY0uQYZAjVKvYtBa1c T/IXL6vLo1kD7+vWqezhdnj0Dwsx4fBCrDKeGmJLPww6/qNCHhdGgon+pxZbtDj6Y6tv1m0bst6M F/snE7LLFhdq7SDJvEN7vH6nAFkg9+WEXvLFukrbSJj5nDg2RrlMmK95wuK8Ao0exlL/RewDChQj X86saM/CWbDMcD8Nqye6nFfEGmfZQsnwfNWTGyklUfhV6znRbnFuXvgRSz4WdCnwyLNJiT2Ic3SR huZ8+jCQXnFOzlxnXgKD8W16RLrX/G1XhDJt+7/6ukeutjexX1cwXI8Ohq9/2u7tpL+Ebb7pMTPQ XifBheCoamh0HlISc1WTeUGRCou4NIbEvUwueTU3lsznGgKQFdKzUg2x1Bfj8QCG3MLWmPpbFeQE yYw4RRAfozxGwGLxR9ns+Rn/ZpapHW6vqYCUWHdqbpMBd2IHkpoyEY/QK2BiUf7EjiWYKk1YODDr ZEnPEUouEehu+A6uA3q183/6Dn5FnsfZtshaXKpW8C0eQ5Tx9Vdkrnzy+5bfDdyaF7cm/K7b3U3F eJtiwy4bjpY9WM3I+SEpUxoQOLWPt5woDx5pp3RQVyU2k3NUxOOy1nAtPz6h7Uiu51HA4FVUM3O/ I3ZX6W+DNVTEkjTZJDNf67uJ+Ler2M59+tk4hirhVcop9Xf87qjLkq/XSQoY6e3eYC5pZ9PE4HOj +eJNe1OmuSpI909p/Rtqi6d9aTewvTZyuFqEWV8pJrIPcxrSbZwEpGBYQGGgGGpwyyFg00IQZTTQ JCb6/N+MFfIXjZy9nQRiZYmvfAqh3mknLfZ3Ds1tlWYBt6PounPsV/8qAHOkwYpLLUX9llqJcapO yO3ej8nCDW4LD5uGo6YZYelYtapk+8mIxquMEMiNAbz472UWx1w3VhgnmJMXoA5UTSDM8v8v87IE fGPY459hUnuVqsngE620agMEAuIWy3EIiIImhc4fjvjednhEKu9Q9szFqXVi0iuE8nJ5YyvcfVTe Z1rpPpBG5KrFb1UK1RAtT1L8O8YN13NCDRjCgjKwpnQG11/ReB/yynBJkoqCeZMrTvBzx6wHuHs+ +LytJEjq093fmMWfJsfKx//bmckjTWPFiXTejcq3k9kAwuCqA0v9/dLvJO30xhHuytUM1xX3iVUl KW2J5nz0Sfm9VMdfN2C876LV6lW2mzGeYWc79+dH9tD1DRMKtLBH82+32+uJcpWHTViwkQ7zu9Cn bE4sGXydCwaoC0P2QQvoGiPhInWRnj77z//nCsMABwiWO3JhWS1p80EH0LlmSd5cxTM36Jw3tt8v yChARKfUSpESt+H/XGCljZu0NJwz1TjCft5EgOb7TAhHqz7JSz6gZQb1Q2Wn1lagc9urub0BTJLo 91cmSuz9Tz6w38Mk9okARTEbCxCqeBs3Nt0tZdNxv+ujr+N589PgFoxc9ep7kdQESAwYeu9lRhbJ S6ta/8fM7dK0swrZCQjjJQafqyFxkX/2aDumxNt1SqCaZWWLLIs2tS02sO9B1oWLt6mnJv3FeckG l/86zwFDnGbT34/92CSPUwdvJPzlcEYGCZJCLPsLIYol4xRIkb+C3VeSSem8ulRUCDMtZFlZMu+n xG5k6IaCORQwC+rGmcUyzHK1gHpD7pB9vjAAy0CNaaYjRedX/iN0QrpJ0BML4/REw4cDnIryJYB4 jQJLyn5xzL5vFoh3G2BVsGp5S8jVGVPQolxiKRuISgIa4cleVtLI/7ysY0F27k2LAVx2oSsMAq/W kNc/wfBhvWBPV6a5k/jKkuHVyGInQx2v6pMQbjBn/L5w/vtEQ/ZicCUWmdFxKHV6QP5uiCxmPHml qOKyLGFUNEKDAAhAkrbdVOn0vglQSCPtV16ITAEl0xCj62lcJZierTeEQ6j7A0YZN8qf7qXTwD6z jPcZne9oD6Wc4wFW8o052IzN1ORThWMYpU74cF6CAXsyqeDz/PHYWqgSfRpONHP3O/OQpWCwwd7I 9Ex4llQixZKbAKG4PlAY8+63AOhyutzacAUhufnUzAXALMuqPBUjjTaHXulVhxwtYYhE5h/1GkoE 37/+O+NzAvDssMf/bvalkJcY22lBKIiZpLrWwW6SHPTeHwo84UGH/7HdIz8xLQVYF9TUQNAHezWI l1IGWJvzVHEyOsDHK5kHNnKgcQKEgpN22Ti4/Ofx6WcPEbB/OhBjLcIvBC72IxFio+poPJcG3m7L A5QOar+OnqL6SLtzhz+jrnM2nSerCngN295EMfB5CVCfF7rVgPkbjAU871EXyQ3eLusX+L/l9JR8 qokRfmUbVUDEF4cVio92LHal1S8ug8YtwBVQiJGdbNhEqgvuIR19Nv51rU/dHymd/ZN6ReDAOI3J Ty9+TFiM+mOeVCm1BqFqt1RTwW6gJseydwd2L1XY0iiSEUz17ozO5Yjc0NfxAuLIZZNrp1fX7vrX IMuWuPalRZvc8mNmdOzzURnx/YIbciOXrV01U9gsx5uA25ignAxnV1wAGEnWRAQx2+dHk9Evo5i/ X5DgBdJjga+qQE7d/sGKQH/XkNgvs120gZjAiXYC7G+9OvDUy3O+9WQc5jE2jAVAKz27yquxv3jR 9+AAVLGh5vDCBwlQJiBVebZYkbe47m1D+SPHyFjJ8VShSLPPcIQDmySpwPvZdGiatIh7omWJ9xiC sFWudNIx516RiWFztFW+8R9tZU9dF840xXMvpp68JkD7oxXh+tqLeCSXfv6nxLcKspPlgaLzAcB3 qlb11eT5qZwO9sdfd/NnLLIQ2kKSpItuLh8iOam6eib9DMXFQRHKsJLmP04p2StD5WpObiFQcHQ1 23AtbatjrCCzs5ApS45C6L1M/satTb6Bbgmzx1ffX2P+WXLCNGXgeJiSqUYvwrFO57C2eXWL4O2P pKeiy6BLWtRDxlCfURTAGJpQeVvtOoXILF9gZxzrUeE6ys3nQHmOZdw1e7Y15vFXikPT9gDZlfjt RP1/wYrLu5YGD6koA3wQQgGchAlLi6vCQnk4iGkKiGHeChmqGVVBfQox7A5CvX2/whrMP/pwMYDG sEM7mvZ+vUU1gXPvhpaWiuFbhx9It0smBio/kKMctGEYE6xBOyogCMPtYIhN6s26CFrRxaF90ODu CT4mUQxVqIHuwpbA/aloSL8z2wXkG/OCz87ABAJjcslEQOmjE4xucx1hU/c1WxOyz3dwjoc9ffnZ bP8nbRlSyYpCzDoQF8ZvqXhOgZUGFCerTcNqOue3Pqua0hUWuzYZu8NjpE/pA2SF1Z2tSktvTsa1 LlvCc/UYlPGwikXvm8WKqBuJCQMR0YLkLbHxHqrs1z+ev54Bvz61s+vRFMK6NKg/2erF1AdeREhF mIbdGcxbNpKc83u1Caspa6fumQZX+Qb82tHAoJmMoNBsw5qBMamr8AMWAxHyJnbkWfPHsDKCoXqT EqztFnUPVtgrF68W0+Xz4YK9hHE2qVpDXY8SSkmD7ctoK32kB8YBMuLtCHUvCn2qetWrXMddk4dT S/LPDQ8nR9+Zv1MetRTzdcEYa1hX07t+/t3zZ0188pjIheHmVeUHyP9Rf8dYh3a9Tr03uaNsW2Ya 2rHONRT/+8MBgqkZZQbhx0yOfncTU8TnZn5vV4++TiP5pMX7tsKV1g/WWYwWQhGJ5294e73HvYxu QweryVtROiyNMppw3INNGNZc51a59cLVgXN94+eOqo2pVeNd2jXjYLW3PUc3JXySuWCTm6gYv0kt 9PhGSNiaPLphDUjfFqOLJgzyv9vjZtlimhAmoHgyAE0YxgPBeZ4CihxMKReo9WnRXRkGtukDJG8m wEm5N6xwdEDgo4wFR1kSnZxu5HF/Za403d4BGOIRevw8MbOqgCFttSoBh5GPrjS7kpy/e+wRI7TK VDrANM+PBiYO0i3czz1cpivz5j5K9XTr0w6JuiNrcVfW2SR3taf61BdTy+f30Ir5ve+XZ2m0bD4h OdDZnndL5RatyDPBTJKUaWwCkxOYtxiB4ANFZkb2E7HasKoo7Aio423f+31CV5eyjV64btZHyDAl R5JeR62w5idiM92atmKOzJaDrdeqGotNqv72RYLiFV5aL691wLM2ykvCkK40mp8sCKt8dK2FLvZX RnEMByMvnq/8cMKYZjcDi6BfryWG1ouKgD3x8ebUi/4txHOM8xQUXrJJ7o7zgKSmJwnIcVAs+e5Q a7WooWG/NUuEozp3nNgojvdIBCMUo5Pv0p4s/UvU9yrtIs67ar4zd+HObEXZPZ0QahC7Uo02ulxt VVAQu+YVbqDv65oatRY6npKJiTmOBDaxoGhY/CUsGOPOpiZH6c1atLM0Z5qY11FRatrvVaqjqjgO y1+TANuiUcWtfKpI2MJT4l7MWZj8VtpcaxqOCBgwWtWfXpURh67h7OAmzNiP0WCig0AIPBDpnOM5 vog0ooyc4yFugzad7h6CVjV8fWD4V/bERgaegyVld2xqjkOn4fMa7Gpp2tGkq8WHGViuJMtRS0hy SKO+baTj1/9mnq9Xb9KZmyz8WCXDlBkjE8bUQmwBPpCgUrpJdLgaMZaP7gg8cNZHr6YKry2MF1TP OYZvgKm0E13+LrzZNs1iF001f/47XIbRIx5vBynJZkDzHZeJcoav8Jbb1njoyOb4TXe+XbnKvIkZ K6qTlCInHY8/3T9hoi3k4plAS5uG6wH5X/MstC5qKveMIUmm8DAuydfO0nAEXHF55HlSpIMp5Uib 8AfA7PBzlxV8y4qAeFS9DwfXOij0+XCnUvII7K5iueQdRYxXTJTdn/u2t/D1LUErbZjQeRcrCMYD vfyMyXHaZhDpNcExPaFzZYezZoO0fzTcyrSm4Zy96jK4MHF0wRm5OW8czhAZfcTbGI4NjKT3AbJI 2NPNs0gbrVHw4hfIiRNKyEcCi2WiHfa1/85WbCOF/hSKW3cZ2NhXGca+qto2or1te5dY2nUQ7rU0 3Gae600jWbZ4E9kNGg/uR7kcI5zPhM/68ryLAdHz6soBuOuOzxYGkLzW40LZFbyw3p/8GCnlvUYO 0y3riyLkClSudBZg7DHu2lPC+LRTg85PEIs9KDAQyZKv5FAkzhMwJi3FPgDp2quACPYqhYCq4iP1 lBoRFZrNJ9RzvRU8qG0yTvM42B/tEpLIKUNfk7EIKmCnUvjRqdsVylpXje4PONtaPGLBggdxTrKr 0BKvFTk1P7f7E6ru78B47d6F21HyTh9u+2n3uVVF9QwdjxbD7nUlCUP86bR8fDkpJfj4DkzNI+92 RmkaaTyc1wT3ddVX5Aq+Bm3LXOdPTeYrztx4p+VU8+DAMJSqBW64z4pH9nIK/rkAHCjwRlPHiA/p 8iM7Nd3VeIfuNhumPCIYgK8uYLXDIiIlWopbROuwa++micdu07nts4DxfvnfQjo3pLCSyzhEyD7E iiVHa+zWMGVyxwbGbX+BLjyI5v/9mGvRNSkR9CQZiGz0IOo+38OFT/hfp3fY1v4ycH5k2S/GmMQ6 fd0VZduhkvt6/q69Mau4Jyj4VBpzo8bO1uEmRMeDwXZoLjhJ/HLZezocor8gDkFIAciSP/QfiE1j 74qutJ7GQMGJhGtiNCzuhH43LTkn1AjCKbDYyaMzZUuWz2ShLMgxboMRtxFFd2v3pECP2yhJg8WY bffLsjMqEMts13ClbmJbyDve/IdStgY0bL2kIviAdMnj+O2NGtAXrebGAbVw/vJUqkNxkAF5CRPA m9Gb3iRmfqL38Nl53clIHPz4PAdpFGVG8uT+/YN0zPlqPRAYTFu1KVGkpEBft1roXyw203g1wSh2 99LpORFGhlFdyqgObLESRdV+bru7IgGJ6sr9p5LZU1IR8LwTrWkWixc3u4ar2h78HTMyCwRnDCIN 0MEr7WtNryoRhD+cCgyuKdRUJL7Y7YagO61TY3X/+h0zArnbjiaVh00WfXPxrKfBA9z7HyP1wpvy e/e1XMfybvcnAiGqI60EhaDjSCNYAovXn1rpajpGnr9DDy0sS63rU58TA+Ftt5J+319SJQis3P7O ivdY5lR1UniFnBkl2YXbYjJ7lQFeLXFcnFNk8a9bCT0GIRImyJ034Z0nLw/tetQjjOz1z9K1Ld6o 9+5VU741uReDYuRJz4QG2oROoWDO1/LV9HKdOPHrgh2G76NUvsoNx4aCCP0pfj3cf6zsM68WBeUv 1+/ItbVMdWqCxIPzlKNQZi9vgph9XecCB0G59HBhcrFr5mETITGsnq7gmIoom/EHRmyCX77ehUEN 08/ihkRZBsFMQ6McACZCtqpfCr9p04Un5uoyyiDZ+QwCv+IP1vtgiEi1K+yNsIKECUHWZ6Pvc0kv kDc3ViKLjKt56JV3fTahwVqlFJdznds2Xpy2ZCr94utkZu8D3+0Rp8OFrOvWZWQhz34+E7Ci1qh5 2bRDz+BuD9g4Y3YAfAxLF9KJcxWxdh8pog2hRvwyLxkKmU6mf6kobHnk9weqHbegstqX/cAp4kl2 CYd5cXI/JQFouNrbVSnFQtEAFb2NnJrOAhxnw9KbedK9L98PtBSahipTU9GU2nibOv6VQhUqPgOv 2o2oxumVhjc93gnU44/TYfXmBbE6eHw3JD0ickTd1oAI5/m23kzpKFdmzcY7oR3PWIb8MxopMjW6 5VIv3z8ARC29P+Bqh+iH5EkOJpLn09DgQ0jt5GFnkv6F2GAEtijqGyUtFBMLAJE2glQf/LYPtOk+ HjEtoXOlIraWFQIw/oAWe6NW7ENtfz7Lx4ZhCt4sQJzX3sSINg0G3aSKDbDdWkQg59/vlhBjTtKy fNvABuVl0nwxbw6k5rjdI7CbxEsoFW1FCIBRjurnvjeO87vDl1Vs/Nh5hrEsGRjxJM05wjUb9iGr p08SRpMwIyobi0IBFxACLfTyxCkQE4GUFct12uvffS433eCzFkdZXILrrUTj6iGEB4SwXuwae+lk TaSPEU3h5HIh88LC/R/Sc9cZ0VCFV72lW9sN7xm5L03i0hNrNEAosV2o0qzPGQmN5+XM3VHf3d+Z x6w2M1Sx1G3o62Juo9eXwT2URJnDLQSGqImh+zuHI/fbo0uYrg5rUulTEKngbv6CFVYcFT0LEffp WouRojE8j9wE0HdAQMVi8YP623M6isd1RMcIVk9J36dY4uD54XyeQ8AVSBX1gXNM2BmgQhw2pWaU eely329AICSTKCLHmuPbRV2xU6sVJv6Ij+aHmde3nXnA3NIVSr6wPwjyWMoG2Lz8uxl86lKOLHsi xOWKBtD/CCirh0L364lYdi9zjg0uvVelW98mWeKtXIhMPIhBF2c1DuTajcfWgARXPr6GG8oufcet 2FJCl1GWwEOtLJzdz9XLqKfLiEDpaCWa1R3tqGNVQbZk3yPvRpEDhjAW0eRF+TGw1rG1hj2uPbLK akAXI3svyM/XksqRv45EPwwGxhAJZzE36gyC/Rh01VZqhIC9tfyH6AlHRf31TkwV8nTmYo7MzoL7 8drxYTn9hwWnN/f+ICEI9FsFZWUxR7ldg1YVSHMPcMTcxk06YW+OUjyB04q1eXCDSiH6Axt7y73j iuIoeiQFhS+zNvUK4CVR28I4ZpivFEuCu8ecfUZzx7sLRU6jHtiGugN+304yxGWj5bQ2H9YMHV5+ eSLwecn58HFxjAQSp8mZppfK3mlsZ46I9WdCxOP1PmpMsw4KTDuN0Hw0qWbgRlyaZR053KAxaUKB UHw8H/Ax0iiGllmq2OGiyxizfwiZrOaVmnGixFcNhpfn2sSOs9vbLuzOqsagvkcaR9sm1Ehikpcr +Po1rxbeEts9HwdPwLR7HNp5upYNaW4T/YMlQVys7lkRx6FROXa87kam1w9IYk8o5PSFmtfIr80O 53KU47tn6QBjBdCvQtSYypjhsEzQcq4owIK7r+2tgK7MvS5/rIc1Xw6T0rhEzIUT8YMSBVfgN/gP xPgdLnDSEVQCikDGNeCZ+zITvz4EZ3KoxmSyUIYGH3qukxf6w/xinAz9/SnP1w/82QXDxtT9GGHx yLdd5KPvaNpVYmpf8RSQe8Pq4GV1pHxA8xsDJcVGycooTN74DWeq6XXmHex/eiG7j6Ww75FBxXQL U/ZXxLygCxwCxsEzX3z43//ofNZkhPpfsEcEkFNpYzvnpEbqmFGsa4bMUhXCABtDu5wNRytVt23C zBNnpZQjsyoqybFUhFtLaxfNhwClac12TIt2H67XeZw2ngModCrDwGze+Qx6FQqwVI6maDV/aFjg ChrHiUg0wcyV8EA8XUxjrWf5qSkcbtDukPpgH2R2oXf8fQfdM53RzUFaiEdelgpcuKtQ3kpLcq8A qGWPtCZLssgJUx3HlZ9O++EwO/Teu0tOcoWx4Ft3popc7YejnDUZX7LUBuBJ6iXfzkhbKcdEjaHy 3bNSaTIu/ju2rM+08emfwxVlVC3V7Nxe2tri6J/66tjNrOpnzIbvxrH5yIIU3pyTzWCqDGt7n1ZR IDRA9qEvGsZFbmoDBjFeKW8A0+mDXBxqxNJ7joEMa56Eh0SRr78gUkUErvm3tLGpwxKfW/21roFb k8NiWl3OpZB1mXMN1d8PRem8ucm9kx6lQp/aG4Yv2Av5KP93CJWxQkpkqFz8wAh0+73xsg8k14Da V4FCfZHOCGQjaIJO1Yl+PEHdTFTuHN7QEsNmdbWhOOBTw4I2zevtiqubDyNk7mFvexOMHTZFQfCf vCHvmBxLjaNB0hTl89vx0dun4kIODJzbLFybjbaP8SDUojMqxkhO5u0cb7gaiE3Hau/Kz3Pw44aY XKH7YnW7BzCnTLZ2NYGYBp1DlaMjxYEN3rZ9wee66fxoHQTodLH8WBJdaC8EnMiB1st4kwFY7M3y IgWRRaJycLwVS0hAztkqDxSgum2RSe9TRAmcNkIbjHKI5rKdTAMseLSMW5bDmnyBtzP1FeaFyHWn 0qZdGX0x+8z39szWhkTPzJB5Z3nwDV0lAS8ADWZn82n5qYCvx5+Fw/DAIuocVp+SgUIAr/O2Cy2m i7651eS2WdzcP+x9Ll0YXymsnz1WW4QsNPc4chQgKT6q8A87Gvup0lF6Sf1isdL1FOyIvD6kMbak pm2qM/xCjdd0wTgV3j2ETVJMYyiKXv+8f9cLeB7zuv5KEXiBYKDfFof6xyKfdRK506sQ+2gmcDJR y+1TErbZCGAfW8yclmkgLZxfdRoVBvey69exosdmwwoK1JJSNgKGuULbKhHYCEKR6swI9TI2FrXp 29N+9lRB0Hs9ePW9QHI2HXdCWoueuNB04OnIv4sdz3qVHToq0oPgNvI6day56ueyNbLKThWE7kqf M4w+G1kPCTbgEYQ+xToJa74amP5I787aMkuNpjxDQPhpy1v5oXQpm4TsB920JgyaQ3tm3RDEIiKl CWns5Bx0NrZyi/+GzAzZLX9nO7MB1ApCDdSLfrPyeO0RgP34j4mcrDjt9iMEcdR8uRFNtRJbcyl7 XoGqmS4lY9i+DXKkhtCydqsWj2e3GVOGTp01/Pe8INsaHgsJmZLGC8b4XvY84ovHQstCMhTBzFhC OsjthXqyytRLwopXcGFOaZlHzBhyncVh00WTRf8SFivgfs50KKc61cIKjF3J1DvMaKigKgV6Ak/K /e4+Xp8/dhQk8X/l4QhbsQR08Em4sDGrxXnxcAM5dDvIY7O7TJglD0ZqSJaOKxpMAdwmY9Qsggx5 JThe+BuV1IoqUVr/E+ud2IjslHfjipu8e4d/pkcnQWMRJLK9jq+q3QAoUZf5YdHfyGz35B0pxnMQ FtoQi0096VNrRMlA5JhM7dHPDs2qKUqnt0tMVlbvjXVK9jRDRpldGiSxrOisPvvWESdS8LqM+H3s IJISwcQYZMzVysVTTAD3SSLupQKOr52LNKSnHIueVjEVtguGEUe5IMfXSZV3YXpATbO6bRVrCSi+ 5GJUHm7Z1Ijtaz+6zfJrMBhuJSW7kjj4KoGT5WxhcOQoOoCb2yzX7ikV1gQHLStPvzPIMJUx+9Ud wmdgnPQomTShfgPWDKmbjTVWorJeLycTw92SuCjSaAZIh4EXltoVNwABunq0SZrAkLVC3MZLvmf4 nfdMjmOg8NQdNgEDUA3T5fV9LEYBSzNSIa9DHPyNCL+wHhViZ/nzS5ARAZ4TqhunC1oTjJ2Fa35i yRFMUOYFxpV0uJ9Hgy/4AZCDNgZjKMVaknEYvwOkqZygsVZIIAanHSmiRjyiPuOe4415sT3ZNTKO bbXmdPmdFAX89o7g23+SOdD+uxq4z6SMi9VkB5CIEEUoeqiYyBy9Z6tNI7znZITPIJDZyH1KmhvI L3O6/yQcTpalk0MyaYqdqfzqfJ7kGLp1G+IvWmlnETx8uyGBD/z6V7iqlMWB3pu4BvorzAuKUDK3 FpP//SSRf8xO42Y0z7KJPuXihKL/J6EHR2fhj4Pl1PY/v6jiiDWXJXmRZIsmiHSXlbQKrZSeo7g2 OTU8k34DaRGjh+AELuSzsFOpF1ZjMe00bjRuM/K2+zhBbDbieNGOXukyGCkWbrBul49Utu9nVVZU 0RHh0nmElWIIcSj1pwdijI7d0+m0N6lkEEzv8AA4yG5KtkfUeRvMCKeF7RUp6eZmyyJFjkH9xpss IQtTqlgHZ+kU/I12EQzRMhGe6Y4MfSYXUWBggwxyuKKPlBV4mFwGffR2JLWbZpIP+vdJAgWksm8P y6nCwafz8+TlQjtGXKN17hVg2jjyacpouZvkGN1WgKwEazVrpQBqOQRt0mhvj1rP6nJTan2f+arh aZfEeimp8jhVecaKjQ2aX/cxFWXtHPN5qOxZ+KWTIMX5bwB/ECnq0G0vJjwL7f+jpRrZOAT1y+Ku 1eGlMbmfHVCPLUs7tPjdZOzunCk1wBjOOqFyNbGWPEVGj5X62+E4bTuCOnKtE01w5+mY+fETKugh WCRMZsZ+WiAa/gw32S4ySfAggWgGk598UmMyAwRzcTf928gZNhq9UdLS2JlVR4MBysTAHNW5CsOT R9HWRKx17kPh9WP9jsASFx0E0W8kl4HrTz3XPkdTrtlU0/rM1ca2zwnR5KyKLHFCgas9+bKWNGBv 3djJYJ8mUlj798SZDMWSSpzWmDnW4w3DRmeh9a44Cv2pzhzjs+/6kAhog3E6sJySFddpnasTcF2h JfjPXi+MSGR9s/KEZM2U0Se58dmHI8t3ba13/p5vuw3bcdXH7ULDrGrcJvmfYJ0B5s3g7KmlTLKc 6OTyaTLQdSH6PeevdjXpqGujWbLP1tbqdyGWXF+BEVpAUPVT+D3SWsAPIGxH4p+m9LeNDLgXlIWM VyFxViooKp/Kfji3rcpEABKe17zqSWFuNSeh2ev/S3twX1JQ9bz0CsAgowcyZX6vhOhUO38MpwHu pmwDJysKHkfuqVqhz4VoVVwmbHJ2kiKMoJivlB00ma/BusckkBY8oml02EreCKwYYhA8CNPHFMwm gvfeE7F8Dukuh2fVvOnvuiKfUVncPvxPuyRfhPmHGQ6j0bj2U8nHhpmQSVyde92KVEwKVi3NYECl bXhgj58aHoO8SlL9b4CpJHZIT1xOPCEWqSLR5ouH58wcEc/egpXGW29G70ZrS+Yy+cMuEF6QdjSt 7IZnTRj4YdZKUIbriEokJbDlTMLjRi6YcuD29sMTi71NgKRgMx9z2QV73ILGSljOGqAml1UqA1Bj NvoT4kTf52AfyuurrtK2/5kMUa2YgUf/e3pIrT4nAaXvG+2XPrNH92zFgDaMlnDBNkdRzMPGsT13 WqxLDoQFbXO1T4FviGqgCAUSygAeAkKn+w0bJAerNszYZcMoU8wk/DIDJ3LVda7H5Arx6r9GRy3D ICwDh2QMRGzWgaTMutXew2JTVhN4AygYWVR1Gtm/aKm5FRP6WAn1w8Ig6SAvf5vN7LI2eRQJ3k9w F1cjCiB0UxDiRVnOgU7kRE0WYR1YT8M3EbiZDFy9rfIiSYaJ6p5ZdIvC5wulo0oD6U12/Jy+XMFX FFMRqe2nRP46tHpo0lNjua6M/dyp1Jc+zQAQdZdVTpwlDy7I329qYzd179sRJ2LUGNtyYpSHq1i8 7LTPQWTCrXJG6DW1V8Enzi+WwQ3jqL1R484ihzeKuOUXwhZV1aPAn/9sV0WyN5q2rphABI+UbQXP qh5/mhcifo48DNsQlSR9MXMjdt0kw62nqTCAeTO2Aka9cHLYJ97epEmvT5U8tQP2Wj2goe451E0C VML+d2urLBRYDhmeaKTBZ4kZ0Ku4Z7lTscrJJBDXDa5AtdK9vax02N5FTlhc5ABj4LC4B+olgRQK xCmL+JrAwZ2HmC1uxWXk/lrFTXTx7jXokyZhjjFrYt5CZtWn8/LfxT4Ii0YBHhRUarB7mB/DS3F4 xSpGPK88f2/bvm0YvR+LLweq0S5uqnOkss696F7CoRvqXkqmCFtqF88RV/0rh/70LkMqo1C6yxq3 8Va8eZf0hsxi00hGINyez+bXwSzSe+LFxStsyKtKwjK03tyaOwG6IAyyeFFgsTjUnaQwpmlneZ9O indDYAYT/b6Thy6tX3JDOVOJ8/HSJExJSQim3wGStafmry1gVwixDwe+tzkjE3Gfw2XxjuMyEU9w tbsj8ljDf8ofEf/umgiVS6bqSTW06ogvc8dNR4E7W7UtlaH50Na2e78SkluZ1sjZqEnzTvRa5pJT oy/vA3tZ6Uj6y9pc+1w8Er8dfcGaPls/NCWQ2QM1TrJZeaDHsKFarAMi6VXZhJcvNlnGz07syKiH eCvwOJGXucPbp8U4HONVhHY/YTvnbe1i46AhOeRkKBkql3dEitlHPeQ8oKq5GE6IZFt8pqMyzCz+ hVD9cPml0oD2tBiJO9UHHYnXsABkxTS7LYD0q1jUx2FYjWD+sEmN9ee2Yy+Ols3bgtNn/U6dQR+m FMY2x4EroafKFvTxOaXMFFkujFZ3tDBvuCxsbV0+l1oct36theqJ0Sx8EqSL9erJ76L6ALps/p4F +CTt84fmWwQtr54sWLxfvIDYMGSQKOsRaYDgKpvqGWkbKz6NhkExEU2iTnJuyXFTJHG0v//dhg5i jLaetAK4dxsjBYaMgQJDd+Q0q6vKNPbF1zlk7wad0pXnYA/OA8dapA2Nwm3BxHCC0+p3nUqxf/3c QaWCLcc1j8T0B6JnBj6UIk41HS1g10L1x55TYGBPNR5TDqyKyn++PPnGKKS+xGiCe2o8vI62FqE/ /VUbYAg5M7cXXwObwmRVadfSxfG7Xly6Q5dIM5//q3ljmQVgU/YT5fQsw85t7MpPcT6MkwkcbJzN TzDYDxNnc3HufaO1DMm/nHmwmDsmRkpNK17iz1upQ2OaDgnUZwkgzp359TC6E7XjndmK/0t+GWbX 1KHsrnnXe5m3s6V1r+tSzWrOWQZpNz6nJGgP4pNTKlnuzfwHp/RB3fHR+35xsETfU5IVRksYYhoN 2doClI9vhULc0BvSx4sg5VfpK+PMfMdQFPJeG/skmn9ep2CrckHWdxVxoGkPYhpdR14ZBgn2zl0C 2taFw2azf/UtEiftPfSeltehVVT0TA0j5GboCNbvrTg5qjVct6izMcthtTTo63/YVreufrgT3rD0 4w1lgPmTlA3HqkWdTa05OpU/MzFZ7sj3jCYH2LHsFSTMc0/ZF4ydVKE7gRoQ4pMBh+Xu9MNfUkrJ +kGEYW1CWGP0B3qYpW7uEZE+5zdXsMyGLBY7HcTiJ6K0C7GleupQXXp+g7mmr+/HfkZGXHiTuJew 4VFvFinU/AY0i0OzdB7HvaRhic+tVpgzrFzE4p+Ko5DnLS+lWNieNLMlc/JZsDw9aZcaKXkdIWbq nAisrq9Eh1YaJ8Tfy3Pl6iOu8K9p9HOXYHkpTBUv6KE1HRZcuGYFJwWlow33SfUoILPVuKvfmTBj 3YGuIJhHZNvAZstkH51Hngoh9PB7fberw0rbTrnVxxwij4Zik4d6E9n7UmVU4l4h2DO2K6IvtnbB 9W9ESz9t4PwpmWxcI8GYYV0cEjO4JbNRuLRszLVCpnKfQxV/MJHgLfC8zJhv1DwGO0/iersPAP6i dYEurha6szHBeC6LyKl7MqrwCpNz/k0n9Nko91gVmjz4WWakcWhEt7S+Y0LK9cnH6eG5LbCF+Z7n yoJoqaF3wHFMdZUGG+UQAqJxsDlCIrfJtbXYAQUKQsaxwHN0U16ZMRkWlVZo1xAjqYYgjJ6ceUd+ WpAkvcxgvY9GDuBo3OISCDs1zCtTNm6onvSwvfatIx7znkoxPVCcQFSqne5bCErXIb/A4GzBNmJI /LWCVxgcP/4HxXw3eq3qUOlcNDf5Ek7PiywzsNix7lJV4Y7lpQ1Uv3boJOsS02wdON+TfsziKHm0 VgErCah2sm/JKA7Z2gKpTtQwwsZSCh36AmRb1hm3/TUkVrIrsStVW3Y5cIWbGukJYgJPiOimM4/g kWEydflfHzQbqMQArFN/1h22GSRXYn03BAulQVwp8eRyhTYGlyTpC+g1+rdR/F4frGLqOV4oG1SE BVyqxu53biJ8H1zGPcILr7XMVgM2nqP9PIY+0i70ny+3pSbyZd9DSlElNz2M0qpsCu2ZLAUzijFo eSEUpQkwqDSSYGdBzfg4FRf7gZRjeepwa8z73Vlb0dM4wBLbSdAVi0lBVaT+e7Zj0f6T+Z9Isdcb okITAPaLdSENeMQvYEvFuvaouPc8ho4u0DtG+kgvtWihyvQK55FDxpC/4fXWIJYR5yWvz8qU2E6I zfmdAfLk7wH1WDV1Du5xJs5ouqh4mk556WfBlMGZ6JCom0GYmb0L/SVAE/Uklp5/M9mq2T0gtjmf /QICOeKTZ0j68nEqspf3KFHbdKI+bcGt/DPkceubRHhF10/wH1+n0hRmawwtjgApVVHQ6dOa0x5t PHcMDp5kmJjuUSZsOuZjCGqtCY12Z4xcKHyFyYebMXW04SigAUrpMSmWzR8cnI97/tNCdGLdgvWR e0hOY1izknJLwuBCNBcZKJCB0ZvpOgFMqHemh27h/aSBa+cI/Cf2i7Obnkw0RymAe4A9aPnbpAoE N8QD75SsILpJl9t/jvluCVmlT7jbMEaXq1M0+OA7UVLhRg59WxSlBB3bepvslxdTYXJ6Vs+ywREA i4YlP0V+7jjhB4LcNn90kNdJOzxqbt1x+iS3oI0O62fRFcZNTFm9sDJGg99VI0q0ARTXHYHMBXv/ XAvXfENFCutyqn4c8pMnQC5E+oSqC0OpRq/OMg+eUbCVvrwMG/gN+xUXfuugAl3TAO6fv+tCb9S2 L3dT3hNaB932O54DBKXhBvSckddG6hQ/OuEtKC9EHuM35RPHD/9Kc/CzKLnVCbWSW6583hDv/6KH zmtOkgpsOZjCE1TjdpjBAqxtd2m+s4UR4U6PsWX/K/rRGQqIN4XGNvvCb9yCR1CZAN0WfHYCNtrG 2k/iQgZuut2muHexkko/J7hwyUzc5ttHql6usC5+tZnk0u3hIjYGM6HtZxNYRzv2tL1gaf6IWFLp Sg1eFiB/27deZ4xpwUcaw9Xrj8e14URnjAW+WnmAmRHDprTHZqT0ihqGJqCKRlZWHg6Xu6aWZWQL kunOxJWa6kpyGUj4VuZ5UUitdMXZ+ornEvycASqqc8qJtXsOmoMRSZPGdc3z489WRbl41lFlGejo w3UA1m4WxQo99/55yKfmdPdZKpqhqBoPHpvUWG2sEhf+z6vmS7JMHnjV6PP/d3Xb4ljsSP76VFKr r8eo3wH70nbUM0CG4kQNRiA+ma9/RqEENkrHAY1+9/7hTZRBf5yNrLfEX3ki84SKHG1NpAlSoHhL XCloobxXuBJT/ai0pTrnsFr4CUA5CE8elYKjKbSGU4YgOmYB5kO6TRbIuRuP12xs8Iqikt/vwNkN KuVOQLfsTd7oEqxxOs1tOs/GTqbNjyxVBilVs6qEaih0n+m1gpDF1okQUpZABRdlwleLUcfOQLId p4IWOE00EBNQnGRbaMZWGPUW5taWrC5urSKuu8tLzJWmx24K+QJV/t/Jdzn2t4hoIezVM0cZD7d1 4iAvxMM1PQuW3jk57eYVYoew40LJk/f09u1TgTYSHAkRoID8ZwfldGL01Dz/2RJRV28QAjvXPboT GL02nXhP363MRi9ogRlrAC40UVgw41TMvpGm4XVVx1wcH6ypfElmHOkmJgidaF+8fDLyt2CjmwfB +sPHkHHrOACqOircKDdgbgdMRelRlyCTJaJ/24bu/qj9P1A3y67ofn8Xqzqk8uTZ4pcw+v4O22WV FB0Ms9lhEWRj6lYkZQ5Mb6po3/ISvwDORgzEBaI80qH9/I/7sxl43z0wQJie7GtKKHgdhWU2M6YC gqTerTc8J4p+O6T0CUCDRiIuRYg+LRVZO9oc0Nx5US5e6/EHAyk8x3VhTrPDuuLooq+oUZWi6OHG A0uD1xjNjzS0ajXFv7jC/CEFgAnmT1p5+FYwo0VR7xE7l7Iy2xlZBLhrghVykoqKHBXFWTZLzpQi FP2XGXo1NgfSodK91iFxKiat5zLHVVtCL4sNJtuUXaH54/zM3dhusM+k+0mmJRKbtpt2pwmyXP04 H1xPLYJRDrxeaHd37HKTPTqtvQgdliko+P3QAXjNry6s7Weew9M/NdENU+4POJchhbVqCkfDTwHU CVnRURP9RSewwtqvWKUbK7JKQ7gGNnmkYGNXwzeCVUBPKiZIXa4jF6zl23Q3HqeW7/6j0N+yHRe1 rVS9J9u2dNNXlf0Rlg+aHJ6ZdWy/tiIP6JFsMD2Z/wA3hJlyXew/5qeGnLFJC8yC2T2Jwf3y2aDU 15ivDwk6p7B3eF7uPHB0TAk4lTIof5ackFqEYquGGf4IJaIBxAyK3ilUA5ctCvonFPuKab1GVKif Z/jSM6hT90TcgB+0rhh3UJXkXGiYk5+GYCmwLzSrf3xZFUXj4qj7SA11Hj1ieNPOCkfkZGHTu2Na zvGfGuGc7UTDjSQavKhwzVCcxVRSmjBwkfZuQY51DHXGXFzXL7PSyGmaUbMibfKomxeaeZvCiACq 8b67PfhHkajTnzAmHjXGAriGH6+pnn7mUnbYMp5lp/bBE6mUc/Pk6+wUyEHTVmD5nS4gRG5aXNyQ A9dKjdhjUt2z+hUywob3B3moMeqH1vVjrp3l4zFiALB3RIxpg659duAQBzAbyzRElvognBplzWjC dOHvR8VQHCncVitQyykU8YWKfOtDkpyOa2qMm9PAmRbp+lEtH+Ujd73wwEIxtYyMActFJEvSyXqj Jf12zrfgE9JmrLMLaKxkfNSkrwQeR26WndlShv9qdSk4rLawCpl1Drq0xeoqMIpmUL14AyKknpxA Uw+x0ddoptE356XD2kgUsytkNuY4Ksfvkj4wA17cysdb/d7DnrNyPLfID+kKi8PZ+oy8LS8Zkv8S G3wdYUligIzzQEHz2SibCFe1KBFKN8aNrXjcKVWLanaphzCHPwFFidpCqUcYJPF0t0Uf6zFIbai0 DEFqOqylmRG+WLBHtT+DDFsqmW/GabohIas6FbhKM2RLqfX4/9DJqNLCmT/kWRnZgfazeUQKtmWt NDKlyviDo24rhBmnYOinHwLSqiS0XQklIO8Xi3y1eSiytpVbWa86LPNiePTrP1h9P/l7/qtangUp eNpojIx3RjmgXY1M7evAiKB5rvNnKrgcTwu6ZsCyVt8p8WsiaqMox3QCgqfkFtC3QEJmc2i3wHof +MU4PrvywYdAhNTS/K6z85eA4Wsb3eZP2905EVWeTd4SrA27DxOI5MAXIKVbAtyfJotQsIII6JYe kl3CtEDfK21SOdhUWVdu1oSpIpgwJIuTVqehubQzl21+y+OifBMH7PamkLB0+rN+rw3xilW0g+Bl zQPfzA9ooXG+5UVpDa2aJ1zhAyp2dCFt3OXz9FdDw9jtfJ5P2BEvO3++UUWmATYnq6T0rAajnUkm vfHploKDVghX1u6h09mXGBa73JivLpeiSN04iEAYRa59W/dzcZvICtwQAUR0Zzg1M0taXuYw31pR h8GUaR28Mhm857x4oTwomZ6s1EDg5s1prpj2e/2KqXFinkleZmjO7sWyN2ApyEoatiUrlypm4AQ9 btZCpPcNCs1+g4k9TV2ZOzlG5iEzXGq6mHAoBPHiAYij3iZa2TZQtkksqQjHCGd6XE8I95gXjeLx /mz2EVSrP4rjCSp4jpuyRCc4JbN4a97F8cSV91yo7hhFpuqqptNcwPSxVGuzVjtZUtwKXcrYvqSD qZIdIzUQME7RcWFF9THQbJOWB3fC53NcjIAJEpXiGQDJi2tFFWPhdBpubaq7DMM30paurfuRxSv6 MNrWM2H2HV6dtjHQVXAeb8BldJOjKETa9q6Reh1ZTn/COaL51KlRuQmFALS7keDWqk8Sv7WTN/H4 Jjv66Xb6JoUhn2+CnTbovXSjysmRk2ebJkjvzXFcBB/zjuSnqfT0y3fKT4DnZFoCADfbgG/il7h0 h5zT3NL5xKdGFE3wSssoIdEb2uIXEhNvEFfHyV/bihQ1d89u9oTg0dXeJfn0jv1HcocjBScjTcE1 DU17eYYVBxHRKu4MfwgmcaRQ1+/ohF75rnTUbXtABHmImBYSYB7SQIkGF1PjkMUy0cw5qW+u3tjx 4ZKPAam2mqS+e3r4ICgyXImub0gOstyMwKZ3srg2J06bg6LyJq86a7VHoUlCqT/W89H3FZ6JzFfl jQtG+FcyIaUDDH/TDKkeK4c8xG4afTdZqp8+KHkyob1C9xWlD834dgDvnf6yIinS9Bh4cI2/zK5Q +P5gaXHtANZH2Q1k+0kHMmGT5cAgD0rxQr3WeUWyNyinl4ccyQT1Dc6dV2ZafhkkTgeQkjCenR6L eYlabCAcnGFi3gOI81UUfno2byMhjR1BKubcmk4jkd41h5oQDlZPv/3FqqDqeFcG6OqQ+gpQ2Sfw OjSjLjPG6Sv2zuJ8Tkl6q3mx6w9/A5hFvumgJJVIfq3QsgxhKWAmLTaozGyZZ9XvbBSF+AxyJtwv spjgwRCPU0FP5VEG6N3UCL1KkBnxZBoS7FycwUhPadbxov88h3qHllmhZrY/haiqKaLnWqz5QNtq UC460pouQ2/4qHtrXgpCmZ/xD6jVn4TfLESce+O+YPV793GYB+In9qcvUC4fGAqP6oJ/JvJDqe2h a5W6wKKMUkanWzfWAWsETIfFZe4ng6qP+k1xhe27XtPPBUnqOJzu7pXgd7wB7XIHTsIjdBwcCi25 ma6QP6wNAwFqFeXfuSjtuKpoZj5fPJpT0GI9FmxjYRUdTFBq/cCNImbZq8/7IXplpNXCvxf8GiW+ InfHiYJPl8qXuGQs0lq5dgK2eT4El2mEjDkZhGiqOD8yvHQIuiSjjaJ5p80jagpasMqh2k2Psv/L AJB+5tV3o24eePvtIQ2t1lz75mipZ6DzdxArl3ADLBz6VqcTabKyt9a9bUJQSZo51u1ql/3wzF+C r2iquRaCdi1svk/dfuATaUTJOGKuV1ols9mk+eDT7e6CIS+Rp+92DHT9Pg+E4zJvSnlsD2LxNmHz Pj1fzOiBn44P4/Xj6YW0RblgePQ8aRptlxy4Qfkujokqy+d+DS/nJi63JZuFJ8AQ/ZQb0TnIr9jW RuGnCTcJuw/SVycUaUJNqU7zf0COKxNLu82DG8w6kkLmsz/qznxcrEeCIw+qJfl6G4xzs+uEE66+ GBPsAT2WGr5wsCC46K2u1KwwVwMJ3CczNXXXlZbhiL52AUxGgLufWYT0JQ0OXppPY6KIIKqQSHJu REJ1j3j539sAj9G9oofZwrj+y++KU+tV62DfrohIKUJPcoUDQjFpJ/PZfBs+0WdOvQZ92jLKBrk6 6p8XZEnOZ3b5W3tCjdM5V5sxMrW7jwnn5d0ljDndwOqMkY+G1y9tnFuuhKfFkHsNXZJyNjU5N1Xb i4AX/qrFlXax4KQEoIvQaMeufWPIK7k0IEjzjfwkpXnqYhZAj6TTnpua8lURrZfAqPhxREGqH4xZ 8bsK1Gu48xV0Zn0Q0tGa1whFZlhVUgKLDP45QB44q5k9nhIyEQmM/vDdpvfjPQrVurYBfygNwcrc mBig2wJXWe8hAMktj1+QII6wasYLf0iqQ3tmoTYVRoMZzR7AfJYDP91GwLE3H8c3Rqh3ftu609nu v3lfrLEl6lPuyOvXFNROXsQXviCgv/EL9tDErD2pEJoTmQAidaobpUi7KA4CDLd8W3397u9k2mzN EKz+DKD2TjjA1gpbZGp5gXHxlAhlWdHTwL8pHj3DDF6hpX/lUDUWwVszVJnMVecIR/pzxgunCqYi 9dRBK8YlYetfGVyrUM9yf3vzCV5WSICVZbsff26ofEf+ioFmCZlEiFMOKPlIes1N5OEqP8oG4MbN 4v+Jo8+asqN4YD1z0spcx/qquNPp5/Y1Jb8mAeu34siQXHs9zuRFS2sKphPgZDv0uNA5KEUpvrKn 1XTBRZ0JobyzsY0IXVuBTepdKBoA1bluhHMmNbYr86LfOsu8IaZem3RBMDo9efB3gv8ZnfIol1Fd zqZM1Mjog8K7k1kKnx7Er+vvOeeRmiu3CJ91QLVrxI+l7Oaoc4OxkFs7dT1u+NQ/T2SwPeWAK/wM i6bJRnNrSN3XRKTs2BuGrY5ezW4+Uju2KCa5no/angdNw4FA2T0NZKrQvqbr/CYo20HDrFMaVJ8S OOCK1P03waipnEjpIkSHg0kUlPcn/zPEjzJedX+vFA/CZ1TMX0zSYQOWxNgBpCHU4MflJMaWOzJ7 kHUqpPSXz++WaZiyiJLycJmFQcYbi03OJhOYMtdFjF/ABww9wjaELtmo5yXv+Xoe4PoW58P0vgVm 6/KT8/mcXqJ8O/N625rG+iMMs2UP9RLgHhQW78fdY2iHEm7D39PT40ve9i6QMg1x8X3Z8wvK0OMC QFyLAGQfHpyiDg8KUkEarYulyqrm1IgEWAP4e8JhrtC4QBh1lobTguhtZdHMqIdqZW8eFYqSpDlT xAUgroAwWyK1rGKvdOhUJgD8lBC/OLj6HqIwAVjuC4KBYscm2vSeIb49iCV5Y9V7HINs6ewVuzX8 p6su0j9H00x5MdhhaZCUfqDToTAc5SM3+VBmkEQ96QKs3cAulQJu1MbSPuEqC41BSikJzwg/RBO0 HvrXmODQQkbuQZv/4K97qXfEA9UQallX/tQfqyNZ+L/YX5hNGQCFZPr0bORrZgztCo51+4VIl7Km BhnXulVrT31jtQgqiBtMxABcrkyR0zIkHSw/aSEAEGPfOJ6IRJg5Ba+/EUFQFNEaerhqLrbbjGKx m5YICO8bhBO/X+JN6gKvD4Hkn6AHupT1DujdpFp4IiDp1xSn1Qwr+hsUDaJN1RGu+CVKTj3wRNZ8 NEUIh+fgydYp8d6UcsFJHe6qz6yZA1Dx9bvRzUAiO7Ma1D69NbMz5TU35qkCtdCQw6AuHmp1KPv0 80hQLGPXVHjXf7r0su7S+6HfR5/ZCLhREJ51Ufgd0VB/oU3sqP6lxqGTJIZSNg7XamPdXLL9pxg2 hWQysGPOZ42yOQtyYQGZPG10lgeT9l2QTW3s7h2IbTB1BDC9VCsRaFSa2kQN2J0mAZwethqwH4eV R93iALsUqh9XI/yHEvSHI7POALuIyJ3DiRUCCA9CmNtqey/YbZHdvEct44gCdHh0MfkIEVxodFXs 6sRayuUXi//jPUSA5WPJwy6yZJwdzMzksxkmt1N+5lnfTive+7h/AW82MIyZd+dffhp2LZcNPjQ+ 6IrriIUS9dYbKdn8F8jSOXkut5bX/oQ567LGhOGNxyybeQWKwBit/A4vcv9AfRhFRB8Ur4YEZDB0 qaLLev5FctW3yGZC7jLdSKv7dcKnHwb0KLZriIjesCbafUQ+5qsOgB9MS4X1CSqFMF1lOWqzDBB8 1q2VhPpfhfk2dOAw01xzYpMXIQkloUkbTfMnN7NFWCIaQ1Jc5FBG9a6e/cJazaf85bMBJBQI0dFf Umo9sSeljiA090LLIcwMx0Bgl0p1SUMd8VW4/C4yhDwAz2i+M3wG/1rGC7Bwm2Z4KVjvuST7RN+A LwDJybHGbrTM82HSH7dQPWkjBT+UV2DD7qpQmHOtnzvcOZt4M+dl08qYIgot0zFD5wiEQH8mtbeC IliY03lIZVUW9qdrYmOlj4AvotKIJ1Kgs7QiBi8B8QBsWb5+xultO4kXx5MwCpOQdPds9WPdELXq fafVa5XK51WGP0WRp63Gt43+y4ce+nTK344CV1ExZ2rGX2UO+HpibC6TR3ohPw1cpF4kDZ9yEzVM YKNw3iRJZpxMnmi4sMHAYPsXy9c4erFTK5hG0bWrfcmFhw/Hy545Vat9aEkIf1HeIiVgVIwUcLDm vkMajmnmWJy++aQcYOHLhcmkdZC39zJflbfFw6DUvz2o4xDSH2wTGgWIhxVstB8d4ZkErVzZJ5L8 eGTP+5ZljQNDbEdRmMsvQwUOzO9S5V+LbZMPi5POCRecOjwfO/pycqucLZi+fRe3a5eFD8f34zb0 anh+J3zs5EY5R56v6NAalKwJ/I5a1sa7wWxlRYSiFjM4wcue/pj28CWELUuegeXhFGa7XqO7I3R5 XXiJFm1SdOPHDeiAbcOpq/jOiHtUSMft9UdhFJMoGPs1PUjIrdAJ21JyGBfmujYkAsL14CPgBKBD Ys02P604BdCfQbW1K7cFKJs4eB3Lt/lz/VbfBACbqm/kh0XqmhQO/bSm/rC+x3pB4gKlSww75MYy oC5F4M3bs7EHXRI7wKQJ5/TkMRF6AQ4n2lKk4FQIJ9Dg7XaxIry4nlIT8NSG25B8HoN58BE0zcGH 9ujnjJxYo8fxzmJ+yPL8gXSc8xM7HUyW1bHj/Ar+mkosa2cgR9MZFjPiJt9xib3FtgoqFhx8vnNj BhKyntSTheEInaiwEPTld6LnmmmfxITpdsR7OiUzuQgj5SQG1W9hcPZ29ArSjpo7oYqa7YCcygT+ j8O0WmtYTkq1NTVASeQFGtZNHbIQZopqBcn7YMwiPpC2R7NyRWWiA/C7ENj3ZRV21dCzKvE+Q6Mu 2qSkjRSzerkZ3VdtUeTGWLRg0dEu5Mv/sWeQf/vyy2bsV01Jxvp+xTcdnVX20JQBTm+oZxSNRhMi L5GpreFF5XlXzaKcWyEuMz0X8az6NAIMBPGiwKKydfUDcMA5gRTDsVA13by/b1Xb9h5Q86oiG99O r0yHIoPXWGXH/NRAPrlLcpO76plDJDRgymBoXBFrBz0XeoNMDJHXv2A5+sP1o9vJJFfGinfGpBMf fdjBLHPIN/7oQbC3N93hgjpbr9oypbjbdoVuwUrTe0b8B9FJP9hhKjyWMLBfMYjRGoO3pQhEkdIB lXFix42PHwCXiiFScGdr8vKPl//O25/8Z6qyahvG6+/8VoL1gG3emYdCHcR13lCHHd68+yXCL0M5 SgKPorEdqB9N2YxFRDjpRdq+UA3hQxkpZhIvWdL3IO7TlwemNoeZC2rW0V/AcLBhD7uzLy7DmNv9 Z/6c0n0KvHOMezvyjxhjPgpfsJX2mQCU/sI66vY41xGOLFswODWzzzmefv/vv4BzVeK5UrxJu+MA hAiOUfkWP3phhOJAJ/Hjj+tRbqz30ug4oZMX678ToRdcNW9Dv9Xw4xeNdryPubTk3hrsV+5KJWlF sa3Q8UQdT02chA2ZGo18fXod4xUWVnzHrYf5VFTSBx7C3VbXu8Wd1fyxxdL0pr7bDgnANFjl68tR 3QZnI7GNIxqGbbfSNRJcmK6eW5GtLtkXlZqaH2rNgmjPqDT55QMKaxgsNwpaMDob4iJa1eQDCBIF MkAAhduoSlagMJcCHuMcrJy4nI0lkbKTFrriIW9rbFkklqrf1i6PFSEZ9oPx77IfDG5H52RKu+pu snFotQohU54jiCfUd82RpQZgXzZvJidbAf5cyj07F+WXlSJKyPlSN/frolrjK7PeIsWdpmyZ5CP0 wmnUoJOvzr6nAbIWOxXxd7YBc8ajVBysh1comxTg/gzfch25ry24ocl+sEIpMT7u+Z8Nhbr7tZs/ X7SuoCG4kmsSweYDMG/Nwvh113ovamtEcX21XcXJEfz1s+cKDtry8yuSrFw71zS6/YuHM/3M1pR9 SR8tOryD4R6Piea/pxUIFrgJj1lUFLyOE9ZkcW0ERgJ62h2zHcdi8iln69Vyd6DPUBzfoeyXyecK sEXBDfFF+UQCcQPZ/NI49Y4iagAbqTnfzk0zf3NQHW5rytCid9JNBPrIoL/kHnIGwk1UG6GILKwc zXe3xA1kE9NkhwxGT1RdQBtSG1cV1icCQ4ml/pouSHSzknPJuItw+chG9tZhp8szu/dGmFRt3VoQ Bl9P4rLB0kwVxKxd7RXlldX4L8fy7kNjrO2LiOgyqRnsNyxwfp2LbjAHx8dGxm70ZFfF6CYH7TSs CuLcpOxP9ECk3L2/+IIU8nx5jmQZx/lIOz2efj6UL8iJJSDEPUNefq81szoM1ab+/HsVF42wXxS0 l5yJg2+lYOMXHBzw+aGBoNVbpkjLez354bH+aI+htZvUlR2RqSHeLQO0rg3hMSbWiqf1FLGjaxxw BNG/smD+0D/RaPBsnfBiIkzzXdysjhOx3wqkBtZpkILZRunsdAx0h/QOhF/1G0B9sRZPuHWggZ8i WbcOY259had9bpus50GYhLdVzdY9wlQrm0WU9kBJGvRDP9ICWiIKkjyyHCZRLv9AEotthJ+MD067 IFeuQwOq0t797Xz3hKS3Z/UmEhVov7ki7ut8lFuU5CXsalIxvF0TaxZ1sZG/rbwiNZBFv52l2Vzg /BD2nr8Jmt6kh6jH1y/kbchdCBAN/QWoHDsw9PtQ5l2jNRaQDl92kknkh66/pIevo+NLjJfYYhzz 5i0dHNvSqT2pvrlh77nD5/jcGgGNdrRCQdafXq0Yam7i/kpn4iDuaISInmrHYQvqijR6Nrz9TqZ7 qVgeRqhIYLmURSvIb5Aup1xrw0D3iY0Su+VCnOzIZnVV8+4gWHzX8lcv+eZBfHYcOuGUGhulikqu 6kN7iZppL3yPyvtV+3aJ2sFwapqbAagKguIJHdmpJmOB6PFs1o7ExndV1wOywpkW+RqmqF9X5xS+ i8hHk9s79UlkET/tWV5gMpDvPOhl/s2VxNR1cYqkHRA4wTVlJh5t9YpLpFuCZzJyYvtiqTjCkxan e3tYYCGmTP5CkD2EVbkyWIi/v7kJRm7by2Dw0fd5xHnRwZZtbg7wVNMwWrq+FpuA5j/rJc45ZZ6l 8+/+kRMWtGWO+vn18RxKH07bBpu5kQj/Y6qrrivhXttqQ0vQHe2OiYFTLoCXIFekSJPtCV87TF87 BMawc2FdZ0Nty208m2ixBAxh159z0GG25/j7zRv/4Lhh3FIyTg2MG+6Qaw53vmCYhStI6dkANgvY D6KtqfAsgVlo8AKWTdmpPGA4t+Khdigl1MSUr6/12G79W8Te8dulfJ8Xf/OyU3DIMLcjq6uewhqf EeJf7bnAbHabY/pPB50dXTyUxXTiKuPBl4/HEqwjD69IeHxgsU5N/KxXQNddBiQeUqqhfcx219Tb oBN+rUX8StgODEGxa0q9jiq/HEhm0JqttQ/QgtVLkTm9MC+zXt/fDZMhP+dAEgRxb6y9tcMnBQr6 oqTxQw3iYSu7CilOgqq5B8BH6zImoiBlLgSfas1Nvv7dxlkVuYyVokYHI6yVO43jw29pFv6hlg7f V1SDcAVDx2kYCMM2sfpU9rNqQYbEOEfN7ZXHziaS7VvNr3YEZlXrVWIsbTeS38YpO7mpwte3uxo9 m9oJO7l+qSJYSROMdxKtSA6WnlEO2wliYCo+BwUNbheWNYAZAe9TuborTqkkqSsfTSqEBig/8oUX 3HDDxmvEubg+RB9lomw8vZW80dZbrMafIkGqb+ztsSKId8ALxHqmMrn4HTZjiNgzS7fVL0TdRmgk 0CgeMtDGmwdZuCXjK6ySEQ4Rf2LLnnauLC0vSOD9BzPsieVfaIGrNjnzgSW6+ds5v6nY8RSdbPtw SKYCon+BPCs8oDRQMF+IAcRxnyFQ5xqV6kjnNN4AW5S2lfL5IIB+u6TlDgY8Fwdg3iQ7HBLu7aqQ g7+hbSC2uqSz0uEDpHbRVCtnmV3hjtF3wVmc4+Mp23mB2VHTb4GMMksHDKxf+WRSin7oUs5FGupG Gld8pLKeA471P0yQFqsjDU2hxEPLiL7LvOJt0BuGBoxZ4inKnF0ij7zgCJ3Qjk8jej5X+597VhbP WKI1gWROv740IRkQJdP0J+ge1gcKeXwUpNUntL6fxkhKQPhUYGhBX3iEzFhVnkPHzIYhXlMrKyvz oRLmseT9MTj8HWsbFUxziI+vZGJqJPWXwsQxLs/YWqEiZepRxbTm/CsUnu1FDLq30PWOtPtGFaqE L6VE4WreIDOvue8jVQh391Mvh2pcGC3Rn4jfgoYsmHelgKovDbhemuWbOv5XTKEkAsJwA81UgFFI UgkuJjgeq7iMnZ3LIB8dTOQSraRCG9v4KL/fPNjjgxDb6YapMkw3yHbgSjEELONO+yRK2dukyaXs Zrdcp8fCugN/53zkCkKrm+JVunihjlhyLzaHn8PsvOHChn8nXffDDJjDxoV9ojmUvColj1FxGwSM yfMqzY7PWOBy8NqR8CO7F/RGpX+mvTkGbtgQnrZFPkwWAqRfWwGD7ALdz3fV/q3owlsobuY6WET5 OkBVH3PwjzT9qArMBexaBsWY+vdYu81BIvW8DjKM8bT6yfg8joKkQFFMjLOSSQ53QLk65ZvlOsw7 vIXxciQ8ScQ3FPf2I/91MhhaI6db/nISYbcMTveYVaLWBxI518CKkMrnCk5C/A2ZpxFhS0ROoBEU VhD7b0lpCupmBKpmj+X+w5g51um+XpeVNLv7j09oqrSIEsxNL49eocbkAvYYKZtnX+5r0PVxD1bz vxrXfEkSlQ8pqic/7HWUJ0I6kU3uET+ZfAkGpXSD9+7M5pRbvox/0QiARJik/XROFlzUSc4AYU7l v3vg9ngu/6JZP3Cqkd7dtZKGfJry38HjZ+azS1ai31efTVQkssTg2pShKWZLs0BIzJ0rCJgomOjy OVPWSTGXRLgrNEIONaARJfeCAc8OpNQcoagt2feanT9xGevwjHx/pSLItXa+ToEXzQ9E6hYkaEwp l+UF3bjc/RzKPFvsw1HeqxhIk1Uwn0cVRIfrQu+iWf1TtNzA2voWKe4k9ElUjoYZei/iNAi3Ceea DeUwF09Nqmwd++7j/4HrUCjUrqUtsgT33bmVK7RUAADFn5nRHXw3upg9Q0WtNiRTEUVjIiuP1yMP ti/zhKJWZtfLhPXAEy9YOaOBObu2W1lgmr/wdLRnIpHtdYFpvhcqFeRQ54mCZBW6/et+mdwo5yzA G69g8U2lM+0C+OM7QvqFtx4GY52m5SNQJZJq5GDtudjnnA5/pT1T1iPSey8SaT5OMPr4+Jba3Mxz pomi8eaLnpgapw/VRA5vvDLLgs52O/BnN67v1DYA2Tc/lKHs9qPQe+q+IvjVqCtvIsfUlgKV3dn2 mVR/GACzeOFjfWg+IJqzQGLUdsQwrfXKZmUEEXFixPSImghYrs0RO7NEfakaVbEVusvBuz2q6ICo eGiSDuIz/jlHLYnCm2cKHOCExTKImIJTza7l+8sH5TgxHPPIUKhoLw6CQ51w8LgwHo+kigruytt9 ZJyqAcM18lzzHkswwbwYG4o3MxuZT3s7HjBLFgkee0NFb2qS3QOBnbl+NgBJdz+eNoCALrkD8cjR ssK6r3BdvoKCuavCvuR/hO2QkphUTFnyiox+ElUAzUVvtJCvHP6SqriZfFcU9HFYpPE+u7EO4gV+ o0sbTFftkniCqSFHsgVwLcaTgUhMtCl1i1Xi783iIO/8eFBxHecfDEIX+rXYvqMeZdwL/H3lbv8U yRPRqhnLSnZKgSLCg3qPvqcqpMPydznTwKmFYfLak2KRp4d/DdEW/kj0udxdarl+021n5jWbpXMG XFi9pBQOYMQciyws5xjiQfJGbW20J/I0DeaQMbWEG71mt4bCSKuVgzRvnC547vbSCwSxU1C/LjxT jP3SI4De9xyF1eKY3WK1g0MgwmqAE14hHQUxzigIdHuoYm70Im23ZYtoo30sj/syEGlzovS77lt8 UPqQeKgSDwQqBRjnutqDFPn5xDiYls93xpIOkdgPSg4z1aORV+T5P/JhkddKqVKsXHK932gG2adM DBLkEUxiEV2QrRCgTdF3JAXXTaUecKap0fRkcWn2TVL7OlFCgIB2q86D6hHK7CX7KQA9RzovNNEh VIAZl240KS6rFgukz6tbZXk+Cdt3/czyMPC9m7NPpBcjJYxB3aP3Uy6scFwiz+zdgUZbwl7Ldi/H JhOuD9cbqQXlhHPqZtyh1St4VQopTV1u9IJm+XSRdUeKUJdQiO4PyliKchd/uXOxaDZg/quUvs5Y ka0FD5/w04XOwc7MH/ttsD2jxlCfiUwrrzRT1MY0QLlSIpmpkQNgZ10VCuaa7CJvxLg16QNoMqGi K/hjYLZpBTJ3dKm8u/yksGCJxzGpJ505e8ksahMfOruwyARWxSHIuse0cOuuDBbv/bGwTdMNsC9G RC2+8Bhc5qYIR5y+/MZSpgaOM4ooVjJnBa/BvIyVrLSZQLFW10dqYkRSeXwc3csxUoHLbdigWzBn kIDNJ4oB/DKSwXpMGGci14wds5uAc8THMfvjCYosaidvx+mLW9vrG/3IHlWPOEOoSm5Z3f5Nkgfa LabVPkBrm0DmRWsKLesp/S//IA3M+WZR01DEhfFZXa0rwmHbxkRuILLAFY/OvN1UuVWeVSwMhAN9 1rl0KLA+d82IlBnDspLeIPs2dgXdq2WZ7dyd6llt0F49kSmg48lW7tTFOao+f9hqDecxu7o+1yNQ YI5mIUl2ASKP1H88BCamQXrK8G7iVrqHY/05xAcFUZTEBIeoZ33FlSobPFHJjFeL5H0BjSmt1/Sx INKMZcnEZvvukD0fIQJwsp5fq4b650fQJKADw7TEm4G6zG0d0UNjmnnZJM+BKFlkFlyXsEJc2I0R 12cctKcto5m7kQXHyCNMmsSN32LbPAvI+NRzmyDmUWeFJs3ntuMKOfBZd36cxfZe7+F5rFqbBel5 I/TA7dpoKlTOCgAwxg/wYXFn6qJlh2PiH7/Dy5/fndtfc1XXVYXks8IyCYCyLFintfag2Ot05KoW 25pD5ybP5JuL1iMttfbnfHQpdrYIN8u3Kw2aLXFLzB1ZIhZOKv/HVRAz7ViBuKeqOfPK+AKrwd/b xk7swAof/pDyL58nsnTdx1yZ0mpoogW1wbxMNz3qKwlnhbN4cj+juT0Fm3mOj2ay9PQUZpHy+rUk +mRj76bgFtKdxPyfWW4K14d3Ic1Hs9SiqBCKIZOn6tNT3kyj0tA2p1GRYSI+eOxltLd3ibMvwDIg 1AIOJstn1Oqhr3SLgC4BGWtX33TFgYsd8IOBTtmCLVWantBOfrPCIcUn8yMpoyHBIwA/RwLdRcVy ojVyz3wt19jLj+f9SItFATuyquhfyLK1EuRyfiQuAg3vO+5+nThEiGpQGPw7+0PTaTuAFtVKG4Kg 7V8MhBxhg+ao1DhEh6deR3mpM/dzD4oMf7LCPYwFUllB3CoyYg33BUfvLUPPgCgaQOsySMSJx/jn fUTq7mnWZpjmrsx1VRpWAlgXfdq5aS8I5b57/WqrUo0vjFSQeBnunO0LtVuTXGE9P4wZrhAlsS7W QMkUPGs/xJAo/zkEd3K72yN0pe+oXIM6gdq8zycmiHz9xsbT3nUEW2nmFT9qM4/dAhFBQvXR1rzJ yR6cUS9FGqja+8476xyALVPGsH+JE1xRIft5/JgwVZvtfjOvX5/SuomkHNuloKR4T0UfOWjTHF0S vjwQ4b4DoSvg6Qh6tam3V+TLTofBkP/At2QsgFHgb+z1GCRFdJa5MOcHqbGGkit7hskBWy6g7NGJ mMpnuuqO9i51y/tUs1SSzxpVbHEVVtfxIhD3QWehvdea5RYtCdcJ+3KrtTdXxSlTadOAWfG/IHg5 I2iLYugia1tLUklodeaz8e725ibeNakGw+UwN5UxYdzfGJXeINa45GruCf/kWZ50O21SMwhQktqp 9pZZHHFawN1DdSBRE81BvqU1rTde61K9BhItFgMp3BH/B0+JbzN3PJ2/nJuBituiGQtpmtoFVHAy /x/ChZnBR2nexDriRPhtpKe8fiHCHGC04Zg3ZyTClfh9UpqKmY3t15b8LAhbvC/W1w5KOIgBfT/8 zbTZ//RJAQT5+f9KEgSae9gGzPxmjZwvt7kyZH5zN3ADdGDc8JMF2GyYQ7qhZbGm3P2F6papgP8X HH/eKegTfIqNO+KRb+46C04MBygNr/ZLTAxaVX5FOpNyQhAHTUydFSeNuboLT3PyqXe6gm/jx/iQ Z12k3m1da0b9GD2zi6RrYe+JpKzdrFeGGzCikQu0u9Yb5Xc6d5bwwebZzCLL2V9jLndMC5opJwsO nHF+IiXaT2GbtP9PfSuxnmxvvlVHVRit8VeteAWqM923FWtRHDSYsrYeKE0SiO7MQJPUn3v8l9uX h7NDQnUbF2/sd+MQb3dHQExrVbxz7U2qmMBWW0Q2f9ZI4JewaQ02e+h1l9SOev3ym+qHLp3QbBmI eW/N5FNj/TbVxLZexzz9moL5dnAGfzJzph4e16XUe3O9tYrUq/sO36Kl/3Ai222YD5TRccnq5YYG U00hnRiCjpXwoHGjBduiM0m6hoQYUTLK4klzxI1u86wj4Ch3+sS9lWDARE0rEMKX22T7N0fLzXl7 21ArOFfmOZjTqoXtgBJx2aEPjiJ7CeTdJdDEpNQOWi4Isi4c2EkBXG/iYU1k8RWUgHNHR45770Ah C9IcRze44Ju7y+uhWZ1xU4kK3BfKF3jjp7OpdO4Af8jntyahs7X8EMNXc7gd8eLBzLekDHXhyvxR fhM78IF3Ivh8m12K9WP1iiCq9W1rbh6tjV3uKt2m5s/D6rXLAtntU8rgNWtuIpaTWIHfI9FMYVL7 FYK8o9K8z5vjFtX1CZr6sFrb6GHDhQenx1W0bihlev86UeXzFcupTBYLiAZUqNqnTTLVSEWceNEL oPhzNG6onNFwQFSkoEiGJ4EbCDLgIKtI5pdUzIYH/U08jAk1qD/Jxe9J+3ENemKB83wTRPU/brMY ZrrpQiYJ5RO7wA1kufIzQXj4z5RS3H/lLClABQ0cuW03YZc+HAlaFKUnfoBJDyIP3qO947Y+H/Wn Yvf4dhmo/XMOj0uWYltLS+6MU5ETUknptec9WmDTrRn/jLwK34wNtr5+m0Im7y9FJhHoX/MzOqBY XCtX4qlOM6nstC/2NvjtvMDaPGGf2Bw8+A7CtsTP/5v3WEw9+a2FKjdS0pra3/18PK4cDeZ0r8Ga SO7gzaCMZOPxtmo3bNIqH34bYHpnl/qXKKMwhTYlPkD+XFqNEzOTBdEiFZWTryl6IeTnyDrgaDfO 3XYjrEp01fTTaasnl6xPCeWpWZXOEr8DL2fenCP7E2zBR27rh63rZyrIHpGtssrdegWz/xIUND9L XI8nFKfHavHeG6DJn5UUbVg+prLoJ81EGj7IbgwefCOxNu0AWCiK5vUNVXics4fG7mVTeFZRQMu/ PDvpNOqu5f+SHMHBaMf8mlc6xYluLtZGb0BWlOZyRFPz6gyNGUxq04BpQ0UYXd09RNbyerfNgMnD qqqBcwsHp6nZbPhh1lxT0a3RjC7mVJqkEXfRk0ltToK19Zq8r2qLrtiPbq7wBTPlrH9+W5XFyCow DtwOxN2fxCrapWwVmGC68lXstmC02z+fZZpXaV5fHw1GPcRccuq/YJFwBbKysdSuMsNMlpE8Cw6o /PIStznYUptXgPbf8bXOIzxIgg1btLZsUACuQjQLVATRw8/UDNgttoNzK+JvToLKm+zhfop6rqkW BYdpX2edzp4Sq+g+ollYe9vJJkOLQVMa7n1Ayk4wqXilBAjyhZ8ceNH54AKEeh3ea7XDuFmzMFQw u1RyvguKQewptbXXmyTh705UepDk5bll0K5xifal7/vgtme0jWBT6ZEGZTRR1tZE5mJb0bA/XvfS W7PWkIa0EkDb7784Ddk+40SX+7fA0CcBFnqVaA4ex269cTEkkJPhtp9MR+r426emg1Za+oHu6yu7 OSL4R98pvxRusYib2FLpqi+UWaHHRu9pVPR6W7huQVIvPuAsbgYxKoqrZ+7pISfYGv3/PKU0MxN6 BBMGpRzg/B3xZ5V2owEYHUqICKdEWmdtavwgoBoQSbEYKtQet3Kb4HeGHp3EDHOl5a6FBQtrXPnh nXEiojR7W57Q1cGuD0wYtlh5tonDOXCHeqP6pDxtq452f8NylAjp4OQF1KnADfeIYskibrLvfrbQ DVMgnKP+8B8U2kpTgRvPZvf7r2RHPfl1inheeE1Y3ltzOWe4RWR42HTTlonIAQ5CJpFmJSBZzEB1 Y4aujCrY1D14wofdGL9JrN1LUKP3iHEamt7EGcwu8bB/w9OjScyPFA6iuvFohhp+JJeGK+gDzY/A 7AAiKP0PlfS8+fQxxRyrYgNGFkWEdKA/EvBaJYydT5njpxhgsCtv8uUsAfZE4/19r6OgMBn8XONJ ZdD7A4MKIJ8hPBTQDzfTLgUvZXzSR0UXuW3fIll0ON7Iuhl66c87RfOumi616eOWfVFDiRVJaTP9 z2X0xqiOztbvM/Jwgsf1X+0LCqzC5cw55wrLoBSVN0ft0t+CZzlav0Z6uGetB+pnsN+YOJvzWVg+ 8xHIAs41+5QVZ0FkNoSHZjARS26WpLFpyGuZ9gYijkWtQX0CxujK3uYI29Ww1o5PYiwBZzvvAOQF D3Smo78i7WtLiTmnm9MK584arSlQoGoveSUS3VNtyys23rPRyCbk+z2BZSfP6g15r4K+qGas83Dj VnGZtdcwpOiu4fajHjXPLVMpklPv9EuIewGOdr6S3t8kTgLKBnl1VYD8zQ7x1fFRk/HhmbHteMPo L+ohn4S3ZgZ2r4szKq0V/lKe8BJ9VWFB9GPEmB5FeyXsSxGsYvod0kqs70JizhQbdCHeij5i3mPY I7Y4h6AH4LkqhBplkjDBHvai6NqHppJIESnuohpZYtN59XHCs2r0TsDPPuQGBxFqP2QAvFSNkvXC kNjBdqRi2IW+u3XD5AopK4P6pdRPrx8bNi+28d0Oa3BrBXvtMpDGyttsw3+e5WPen/SN2NiwH5vX AU96akVu3rF4NEd/sIJmhIjIdNfmYymzO+wv3eVYI1vMV94XzKgNATA8dgst+Wpe1odjerBHHRQJ yvyyNo199pxqy7zp8gOe21ZPya3istpNsqfGutoO6GVdFlpeYQqldZllAuFRAlB3i9GU3HLtoDKE lFaizkNQ1QaO5Nvbnc8uMKvy5iIaGUxMFNtJcP3ev6yxZYhZ1yIjZ/jo6sBM8Ya+qmmyakxZ+/O5 lkGlIU9rBvHL5yUc9btS6cR8J7wFsT71A7rgnLeRUFyC4KOhRtLM+UAb8cujtTtRZ7US28Dea+aV 8f8iKBaNjOqhnUoHWh7Y8FFbyj84Sut1mG9dVkPDY7lGdUWH0l2yx5nZJSS7Hs4gxALkyirAoygT z+tpIqKkUlkuj7EUSJ3XdADhW4Flhu1lLkIJEnViB9cKqZJFuJaVH1gR95DB6m/mDercyd3SsS4k Su6HnArdMGS8NxTCHwVelTur+Lz/Kyn928oaAtRCk8HQr3M6YAOqgfVTXktelPnzsZ/X/hCxPQnr 6DdBfXIq7obSdOqIUjhQjnqxV4O/HLcW4rP5KUtINyU2PiEdmNoMM7qYKO6IudxpW8lc8ffTKnpG v5w5aCn5yxoImflibpDkQ9NZxBpxtS1rgmFNUgDwiMW8PL7mtMjznuQP1Hb1vD5zlKjDCB6/idI7 BSZZuLjSCEGV3vxnkkOS8fVgKG1Cwx+UAhTQSklmfh8eAUWASYxtyUUNIS2v0Rtz6WJunlWH3CdM s32iX+laAOflQGXC+WnBKa/OKPbO2UJywY8PlPzHX/EDWRVmekVsA1gDnJFWxYyWY/lhXgMak4CG Ef+2dIQB0NLJ8SOQPMHCwrXG2ImtNqsyiTE4e0XTx9s7iI7ssktoX3lqxS8vZF8ggibOg+ygO2B5 koOkkzSnCA/ZtVEyDceuja6WioQNXFZicmgn5VH97FmBnt2bCJqBuWVWVEfzdDlvtD65aVcTtx6k oM55x5EkOHNZyIYVr4x3I0/N43T0W65VSDheGHm8rKX9hFpzzuf+m6atHdPuw1P/Bp9m8XZ3v27H eGgoIgVjfdLe3nb8xx3Vrpxb/iACFa6G+/dwB1JlBfpINDDhrXz85DKfJ52osFNghr6C+Xv+2S7N 8mTFwUU3OnrsnWqB/NJWorEbnhCvX53A4n8lVJ/DFxfXs29nMSDDBEEk5KGYgmkOIO56q0mhF7Qp 3+C7bLBy5WBjvLpykVxsGEkTFH6MdfnNA9YwAdF5Ga2wR+lh+GZ0cRuG5AVEnJnfU4VNbVfyGWjw sBEY4RgIAaOqi9HvY1ScHahKIhW1dLBCgltHk2ZF9QAk+NDWhGHTfmtMeE5hve7F5XN3iki8zpxq 1ip1kz1CmCd/PB0qECtt6ZYoBMxd+zqMv+84haeBHu13Mm8mnRnYn09q/P9mH5kujFMoJkJuO+Wz 5LuJGG2IVQrKeYrx4B4nFYpOVZCTCt8pvqiXduRlMWsdcxPg+202cXGuLILTt5MZeNrzlZIkEDc+ fSxrd4peeDVyqRi7wT5xRbUmrPuGMLrqf2Mtx+D2B7vdprVVEVYuiihOiRgJ7KnvBgTpOF3xR8cp KQJCpl7ja1G5yZ2Fn0iu6U4OZdnfr3b/P+7YGuZ/BPNttKMAyoa8Apc7KaofRZTiaK/XJtZMniDh jKzDA+vDa0j2TJQb5/yzo6CXFYNlfqZOLJ7il+6lv9P+GkrGQeA4jUW8lgaGFsuiBHrvhpmcDb6b Hyx7JcD1u/O4vkZGS8y4Vl1i5PlIfGj6Qc/8vami6hkR4at2ZRQTRvpFmHcfuoM0ZsqonIBw/JmA xteMLJ1sl/ayzgkQ6/15DNUnz0GSh5io+zitUIuf87OslACLL0vMFC+/StWSTP/sj+DY9sYzQZHO UZ0zNYCY3GwH5lKBgVv1XCfyC9bmCu84QP/e1nyeTK75NMQnrWraHvfrGfwbPw+DZrZAr0jLSoh4 FXRM9fTOi7b3zOjv7JGPR0YzTl6Q1DEUjE/Av3tnMq9NGgm/XnqOnLiQPuGETr5+QmV5JeGoAs2y SH7Mpt9cRQey8MdWARS+aDof5Dpr1O/K6aBQ2Va399QpDfbQRXRDetgNTfOgruR5tFZVMiFrh8Dr z04UO4ovKOFD/gTMun3ArGgLXCX9dcZOIEX3YhZgglyn4KDgA+rE4szh+iavFRsS/IQWAE2R4fTK AoOeqUK+HClhnLMXSIvdewhoNlbXGcQEeg6AlXfgMV952SaTCPDLTywBJBSoKT9sIzAtfNRhQWAI 1Vhw6fyYORwQ/XOdGIyWMKxb3a9Wh637CysqGGXtLTtEy/OO+B2FEh452Rj7iZcxLfLWp9LnLgR5 ozbBjSg14yWYwNYdxfps+eumriehzSyr6ifIfxSdGfgaEKUhNPdvXMs1TR773ytFv8xoGpz71mN6 lsqGFHRxtubjWINbbtpcU4nAEkW7cA1+LLZzqHnl5NXwQq3lSKBmpgGc712F8jWb24VYrWyOB+qY F+yjDE7x+xhxUeomXBmT1xJKGM7P+7K1G54iexy2q42NKGDlwEtey7pWh5Bm2ds+91/2Bg3r2AEs G8aeC49aoYgbM6O5hgUV7IyfObk0W8czU82HqJcb7UQZu1JAwcTXVHy69d8c58x4+lhBhYZNm9eI 6owmCcCA2q2D1LgINSj5ya+8+7ruG5MsKU3ECiPFoQV2ULONJzuAGiUY+0DGUHoVJmN9XRU6nc0Z wlf017E5b+ttCKkd8D+xX9wG56L5GQdNIMkMt00dSLFj45Houjh/7ABtG5h4WHhEHl8ECSAVXJnl a72e/q5B+5nGWXkpqKt4VpYm7spwB6pi/cbm76+H6yxTWE9wfggeVUXvOk+QL5ytDQjYoVSgv/uq ptgXyIjoitOIFnfG/NeNNxJIgY/NN5SJk8/eAvNYlRsZr9ZKt1sKZviTDIsxd7LYEfS9P4tZTV+f uvKel6PX6XnpthOaJikBxrQE9p0ahIl+un54GUqVI5W0AAmvfq8p2jylL3w2EKD7gDGzAxWayptR a+u1N3ciThvnbVQOkII5esqFEyknMrZSg1Lv8+z8Zfxh9QE2xNli2eaflGwUmKgFoR4VFSIf3N/B gr4AMHsO111d+HMVaOw2XrYUzhqenk2DU2Q7OvNdS6lVL+r1t0YvA8JRAFATj7H2jwevZip10eb7 c9DYqq9qG4UdTLVuiRfDgXFQMkdJRx3WUUxiuxI8HKTP3fRdifqOQiXYXwFygWUbaw5gMwk369k/ Pm1TQnD5w9AzREOar6jTsuVj6SaYNSc1FaGq6bJjwc4AXbyvxsraLC7B628FTDYvi7jwr4u89mef oyDkMqFUcUrZ8sr/OQtxZ+xQVstYXbiRbnUDj4U/p2JkY7ihMahY0cttv08RLdf5llm81z3BksGS Laqz8V2XufM0T3ijtg91r7+/iaBPd+mOQCwDQLQGVhkb/HMBbkGCJriVYwxfqPNkyl6R7TVso0JR DP7ZrL6+uBa7wPCWRpU3qsMArf0IqzwyGm9MH2jNkEJkkHvSUGsiwmOBTVYDt/C8VwmgxBsp4EHl Ilsy7EG1KOHQWd2MfBzNZ84i39cmGQmmJgyxs9hr78m7UBesfPXpb1oNthK60/sWkfO8DdE0Bk9y CN1GLH/ymwvvZqF4OoBNwyGPdh++RKO0JUewS0A+jsLzHJLGYht6ntLX1vilfomdzV5u0LQWbl8l z/SAcVCGVsTt3aT5U5yEQOMsWsc1wFL0hmp/fJhXavtulCNdZI0j8fzvLDN1uRZeFBLRO7QSaUIU 9+UB2SrXHy3K5p8b8ia3vCvJOfG6sZ/ozbXB984CBN/mpTnZpl26oxclN6URmRTVU1k7wPTvCwYF xKP1SwmsgWkLcbejz26iscv6aJ/8NPRewR4RZY82iD/PZhhd4yaF+bF9XAPfz+zXiBDuyWAJ7ZJy GoW3Pkrx3F05kjabk1en3ELVTZmyOxnOog57UAfjv1hAWsm2Lf0f61LGfeUz/hqSQWFlzhN8I2Bo uzc99VGufLdQo+wbkelcoTloU2rHUS+6h/EX0i3LJR+uNOGD2Unxu1i1VcFQmnyCOXd969Ti7OQP EGQ4pMfy4BplxKW6PHcRCiRDVbqDEPLOrdg5MuUghsqwHyRz4QzP40xP+Q2vlVGnZTKnuJ/ufYox KAfwpzjljiR6PxMSAE7lBeUI+krhcBxiv5w1rOgahvfF2BEdj/9LNgqbV5/wwdSYxHHt/MeTMvc9 pLN8ninVDJGLczaYZ73VeV6O7LCfMTcc5z1McC3ADGkrkDfTiGjj8uBaRfOOLOAFN2nntOOMCO1u F1iT/UEtaEdG+951SHFFYRXO35YfXUnmjTlzlQvnVneb1RLNKpUEbSj7uoh/Sgm6gCFAa/AqwvjL c7yPawDMIPCusULlcdJQdnPx199Rc443xhvNYSJ6Ps3f2CV3bjvogNq/zPzcKs4muH4xeClh3LZL japeVI5X0bIQwDwp87Bngnab0UvbImvvGBXljhop2+7wzGNI2Uh54Q0i4+TGdf4dhFdZNYRFxUUQ rwul7QgteE+2ThfZQl+G89HSGZI64ypy+1J7Wj/5xCpAnr2JFwJIlG5YHyk+uzhqKgXbCcAqcS6F 1ZI44d5N7z4yAWxjqIWACvS202Zac8kIuLQOeW8cJv7MiA50xqOwVZiCGtrhSe2QYb738WeN6Wu8 1ebtSx6cguU3TfR4nT5LXlIU38dwBHeJHCPVK1Xdi94zKkwEqvC/DU+87yd0N6WXdlLZ176Dzy36 I5W0YcfR8UWvgIqAG48i5CDbURNVvaxlWfMWP0r6PHIiept/AGZo9qQ6MNjYLwUBLTVo/G8jz/BA 5Pg4mQUq7a9LyjWQGx4xeQKNm5VmqWGNFfxJmFEtI6iea5uW2tQIHPDZ321VGiRCwUuVGXD7qNa0 XhX/E8vhOPVqCl0uSMkMcYj53ntHuFpeOupa8SAxjR7OYH7jm+CHFikHJ33ef3GbeJSOR/njxiIz Boz8cJDsFPAukYZnnbXA4Zeom0SAAYFGc/jrv9HrcC1meXX+3kf/vJ3oBK2xE4bXHlvkTJcYcIqo TtMN4/nqij3XIMTOO2EEzO2OPxLOesrCSyvUWektLkcTyTQ+G1X7ZtapjE06WJiyvWYuVibU74Xs QRn1S0Fy5FDGX625X7/mLKKffu8wSak5wPMkN+g5Gm8YXQwFBDcByVhTbZPSkANFow9ufPsUcTOx 0GgFiWmT0kzvODQqdy9QwJHdWDKwR+z3W3noH0ZQTrHEhno+DWsYpr9NmZQACIj/nX153lC4jU+N NOUoxdtWFLDVtnS54IIA+IApOoIcro/goLYLkg1FrKw/I1CChgXW8QTZ+8NIFp8Skby4yFxn2aO1 flp4FvT7GVuqb+fCJrk0Yh0LNgBZZYj1YrVBg1CufszmcKzJ2S8Sj5/R0qQeKu1zQL5+1wk+Bvx7 sPAbL4Kq9EA7xjKlq92GIRPwg0c/y8V1akSMIqWs5/JjtP+WOQeimXHibaA2OGxu6gRCybMltxcE 1Gt7sYdTauDtHm/PvgB0VQOVKrduybx4BPmsZZhr6eSzHMTxVPA5vI7noOPLFJIhtdwTs2oTa7VC s5jkL47rfOyobC4ZI6TGQLQkvP+z6nzagdOElT3OF9FCjzepa7RKRATY5XRjH6AmgnfHUc77wWJl fd6VxOEcShmAE2aEuSCpAMw8rerQWKi7ZvOeYLwpyqkJVvDAvvWTdRb63eiMKxVehca2jNi/1aVh IpF1Sz/aMxG48EnCJD31/VxZV9WmgUzqs5RGmgHH1VTxLmUF/+4MpE71as0kQklFmlPX8MNxkfSa jMkBSfT397Eu8AmqUb40qdYzpBDi3sqT85P36k1+6IXgqBiKgOgSWM3xv8ZGjG6o9RuGFP2NOqMU A2p2NL7PWQd1ocfJqWTiC1fCjJs6eCj+ZNCP3b+uW7SDq8qwIXA5EEdOZviqwNLed2/pRxnbd/jb D5VAd1McDwiDSNJJIx7WlKDfCSOr4cd5Xrl6zvIF8f3IAV+yX4kvvybv16Cx02kzvwYjfqKRru/i M18Kv1OoIrG0u2yc5hPqvgXvBdLHikBvDBbw7rNgDN2NVJMpMRT55uerWmXmxZXTheB4kSL6IZ9f W6x9SkAxdweepNAIw4BVAkoDv23r1FKVQwbe15lOS/pOPkw17UypYxXksjV0P177Xw6P37i+iZay yMYOBVALVMAH9f1yxoyXZ3geLN/mxRwHOMVIr3gLSyCvzY29RqAYQgp1rM3H1qSAIcEZinoqTQKS 9z7IR9vzHxAR9pX6vk1QC/npB8dZbxmn77+P7uoz9Kn7UUrfaSnDyE7wZYJGYodj9MXKr3XvXfCk JmNuGA5LkBye3YswpS2LqyC9eVZtL8ijPTac5wrWZj9O7yuqgLDc+ZEr1AlmgRhMf3AL0M9Yxo3Z ndVbpwwskOdIMKtt8LozzWwZBEoP7QZg3+lQ/wVBIRkvOYZnkiR2VFFcYAoQUVBky6AFdLDJSaGX qTVZ7iRv27HjxA7M6nPjYUdgesyN8Kr3zca0h9INif4AkabcoIv86cLtTWomCFAspXFS9pg13tUx NLZ4gwrUsJ4h6yD3OTQbjADebx9jwrR1Tq4OhLKAdiZ4px/xcp07BP5HhtVQFN4jV+KIaF5aeA/h iMPa6hXs5+Mg0WwhvC8kD2mP0euHli43UCt3BD3gCVEZnOhxIJL83RDcN/WZmuJCuI4Hq++PTsDD 3UPraFO9CrGOGtl1Iv8h06/f/NrhsTZHRWTQtaT+gsljTo8BYeBw8xwlzpsalcG3UATUaH0ClEeQ dZMdIbjp5RPWXP6Z/4W+X+tMWi2a42f7zSgTRPkoD+YcJMwzrnURn0mFWBU6vVr9jCMag03vvtOw 9eqlen4MwvzhwAS9KyiJ6ukryBiXEL28qJVgruURMmLHOqxPb6xdovGMNYLeqVnfkCpu3V2If42J PZOlubVyHgispjeArKaQ/FUlC0Rp8xENHIwNvQM6UVytvG9SyhIzNnK3H+RF2cePQZgGXXXURby3 +n33Do20RUD/JdWK0pLJlswnSGgCIXy8g1XLXybO9DeTylxAtxIuGzpgWnQdCoOd792anfCmKQvB LyYxRXEUH+yPmnQh6/Kx0nbDGOQqKHdpMKVa9w2DE9NbPyYBflxFp2thTMm2xg+MjQQvQ3YYq48x m7T14oCt05gTfU0UoQy4mA9JcLLr9w3mfjNhEk3Ikr2tRcBAbEAWDKwKEHy2HVpo2DrEC5EBinHF tj5zcuF5X+Qli8T6SSmJoUjIwsXhzxSMYnYbUcDsqxxqZ64X1ZImSknAQMYNG0uo/ZSgE4UGuRGn XA/K/MjzQrkwh/8p9IRSuref6hKW27as9l9oEyTJN2XcqwgeLeMJHMU4hKrUgOlV2HlMW97Epne2 ICUvt7aJWrtGlGhvDM1+MdQDJp9yoTGofsKHoR+Cb4wL/WewDXepkBiMWZCEYmzFAOFjwNTYG82F SegS9wYeFvvnb3IibhzcomdJFFpi1Ko+jfAnIAIS8KNMaO0XRKneZXNjgrdu9necjwO/4o3V1FX2 GIwzpZgwXfamU7Hs39rGfQFNer/DRGxrfQpQ4Z7XL5VQSEwOiWmRMtkfqqAB+kot23dI4B965gBg +xPM9HDB+RhD/M1b7fFWLXUj48TcnCmQCbP70Zkgdr2Oskw4z8CCN+Wtkl/h5RfzqZ+lVm6XaGAk a86ssjkXkD0EB9MPfNAHCKnqeBBv1EtlCSbHsrRvDOzmkkymg546/bseOD0uAWJFZNIrdjTp5O6V /GDwJdaPy9ZLmAOw+CDEq5fdbDwAEbqlYOoN5Zqf/SYAyiUxkaiyOK0vXQMVekekDdIFJdPugfWx YNp4Q8LGtplcQRFSMKR24qe0/Ipjw2SOJUmfe6QD1kULnotUVGmuxNz9r/Cvx/aAqW2HPY/5jU12 wHZOAWg8FOQFU+0c3uyw2cbUlfYzlYdOixlg5NgHfLD/4VUi934DYJSc/j0vBIhfQEFvvfi0jUkc PMtzjiFkIyvzSRmfZVBQpleukX/4orNkKsREPCeJQvAbGGAQtAXPwtqK643JPCWXTc49mVDX7sWo 5ozzmZraq6xtbISAguH13xEE2RIWD4VYuRLZBlmPWit+RxEN/RodsClJt+4H91PPP+lq3pRlF4cF NuY8DKCQJGwTzS5XWJ7ymiWZTZU/15KFXp+M2GzN41547TcOa0qzKJKM8yj9vTX9pQ2pgDye/oZp BwP/ba/+IQNHy35R9HkM5c2408OpMYDdslzz2sEnu3tGB65jkvySvE2MmtvKN8xv3VqGD4w8zRXV e88upc3Qt5/ri8cT6kKp+y0Q4XfV07fHFW3dwrarUXDrqLoc/7xtSZVn+i8qv3KwiVMxbVj2JbtC +Rb98ul1OKuUAKy0A4+nv6GRqsiWhMoOL2z/hkQ+iCd/zNKGYDdnT9vFJPkQsM2KSGF7ITL3UJeX DIxm05BsynvhOWCQrCATAeGiifP8Z1BWZxZCjsFWJD7XcgpasU1RN64txai/e1ZQdY1VXSmwGsvZ b3I/Z+Ss+Z8R8Vjrq8O79F18/JAef//7aEi3HNtNNhHOnbpOxe7lWlBnA+Sfy8pdxC7Yby57oxBL bTHzgtaqVYbrUpIz8Ts0HvukttenG1feRPcWWOJli0N29qiY10/bmawRieAwBT/V8rflfcc6x5wo IGAGHF6jxwkJu0R3pud0HtcQvCMSLvobGzkmcVT210Ob7S+h5hehPxTQY8QOqGGVaER9F2xR22GO SlHKIyvl0IgcHVRJqjt65N5/bE6mlUoC+fPNGOzUNLdsh4bxeS/EHCpv0l5bzDW4EIcGfnj0+5Z8 CDA/ymz8HdI7DHAyDcPqxJBHwVZQY+ghJicjJDsEAmq8Clma/vIoHQiG37WyxOPZHIjxDIaniwQS wBbSpCQ2geLKewd/aLQ5W0Rp1X8jCvmCHSTMZHLyxkze2ULYOf+aFKxiXOHOyhn6NmUNk5J3zIIu KQzgdTAl6zNDpu/jui0g1QwHlrp9hiEiJIZjPmVy66hzRvWmfc5KC1v119+ZB0DBG++QiIV3+iFq 3MRRctVgkv+kJlkO0WSBhwwzFUB2seZPtmUFxXdFAMxf1vLzRgCJahVEbBb2LyBm35ejRPoqgwxY 0Rr8+kTmKpb5ZlChjWDgOKJsIixrEUO2Qa5iKEHFBW2byTQLXZi+zBAMxlpbwP3P4szEercirKu/ phl0ho+ICq2Reb9c0nJkewZ7Vq6a1cV/bzdMKU9/i8tmJ8LaEqyY0SLI2DFs/UVnrgXF9kMazcAY YpMMA5kKSYvtL6+pFM8dAGFM1ilE9T1YfwDCtcRgN3jNjIZaQGt7Gse5NV6l9aBAq3rpQRf6XWZ/ dQJiU0tEFIJD/VaieasSJ0EU4CHbDEzlq5kPVSt7P11t/6odZlbaaundNiAW74jqZlDYE3KxZ/+D XJcdIFcEurVKhmkpuc+Rez2YaISO1QRTcryctlaGz9BRbUAN5NvZ5UhEhS5OWJXGH7IM9rEPOTTb K0ldm4W9W6r7iWEoPIHDbmIZ8OMw6lAb9shmecLE0vd+d/tI+j1wseHATEbeA7kxQtHj1A7dgZJ0 PjgJGCqLWPH9T4YWBjs/NZwkoK4OGnYXo1ncbvcKXNZoGevk4PpKerSBYxY9FDh/mMuR5od99RI2 mlMWUckYf3TSroqsMiSTHHXfjjIUzLC6tanxBJVxvKHAmdHr5SS/EPEvxSp2xz6/bMpG78qK/K3e ockTOWyFuWjsuFHEh2tnJI1N8UnnrTPUP9Rc0z64XQWH1VvKks7T91qSnbSV+iUwFuALy7pBKN4R le8SHzVgvptIIQTE0vzHB6W0OeohRuqbrL+1ONMCuc3JgGSPIc+Rtb/J0TJodFKWP7eCNR6MKD2o I1d0mM5HeESgDvhGZSaxYSnuyhdJ3xyySP3DIv29COT9jylQgY8SCOkifR8kgMsA130KwQrmJZqu o/JJgCs3eP9niaikJTnQkbHxP8L3ugUkW5e/ul4vE4hqTAPOqgKadGGGeRZkl90VY9OFiaUOFWcT wb8YAW2G/JJL04vRTIVkXY1FVJdYT++BC0HyJU8kJP5VtdWaXaDrFBpz4/e3GXSi3zKcB3viIXsP lXRHLTTLsvnFROLnSENY3T5RfzBu5Efbs9L8Nf14C6jdJEqfNMo2jTtuNlr6SCgWkPZeqyaMQadv vtFyp+RWwnDqaqQ1CE3lfY1I/GhZQMWpkvKLHvIKZbTcjFY3ahhb65zb/Y413eduK+pDMjGyApOI 9DLuOIcvWl5lUY++tNObYrJVXHy/keheDYx5ZTkRrFbxnPMcdpWeCgsqduxM6vl+2qd2nlcIGKc8 OKOVP0HCY7/DmCplY6FHjn5tdbwjSr1rZrqehaWONOxCLP+gswS5Gbjix8nvgYQaVqQovy96s40J 3DWf4Cz2iURQil/CsRLWkVnzRsqtl8CyIQsixgh2keN1DJBgAVSSBKbKUSLf79mn2C+ytcWGl/8n CczqPNbZVzREpd6AsODgUc9cBxwo0R2B9qGLEkGvSkBSvfU/rcUyJS2nV2YN8SG8TrkUD6PcQIDI kNaoUiLxXPH8R5/dmMScjclOSKR0KvM2IG/oSgFijr0bGkzhfSaFrCtfg4x++acuXZSYWahKcCJr j6TFosjPKhK5kdTMtxeTUtmf8sVHvUeb79LHECzLr2YQ+9hMxjPRT04l92NrfbE0KsNnkzvLeJRA cwPWFBDcJIRgSvhCFNLQt095ZTM85UTk8UHgpuP5z8DuGFOWop4Rp6q5B58R/yZzwn83UJkWU4ZE UiIbH+H1thQF9+iyYnjlr7ryDXMAw2z1Wi4y0C+rjwcFbPPQKDgVEiRkIyi7z22sXeDTmevqYogq nyHq/u+4hmLvqdrbaiyaiALcZ2yF4dP0EB65asA3MG5dLu4UADmf8XKyWcAv6l5dOm20nS8RE76F LpiB5nndx/ohD9QtzC26lXKMDQbY2vkrWA1hziCk0K8eFTzWih2gQdWgZ5VTLaHNio55tUeu2NWx 6yziqQvPFLW/2ptkOG1M6D3FDaJYbydEQAe9bqxVSxU+YqbnPrbPc/gGW321s+V6KaKXYjCJOcKf 7oTzIhm0m/Zo2ghMsr+t8dev2o5MUH22ImUnHi/z1i0i1t+wf9xT6JJBpmv4W9+gPPUNtmR1uysa FywkpdncrPFg04BRbXnjmxSrgwWW+e3dGwMPAk1JgQfp+2p466oNyxe0HZirc67uVO7UBnIgJN4+ kavfODNX6UicA7yZorCMNJtlQ+4J3CY0NIAS/t0V+EJCVFDSK+yPcupHJavKg3DClVrP/mmF6MKk eIvCLeY6mjPdRS1lySkmOegYbeJEcY4NfCQd0HWCHCGIrwgzNeQnNEJLs2s2jXZUzwLdJo5iUgDY n7gC28fbjIWGft6NH90Nf4X9f7EcjaL49/1Ut23T+MptMkZGhs5VUhJA8sowVLwRUoL42rjupIBZ 4tnhVJQHdXoGpt2PnkVw+rRSHQ+UhJh9n3f/xUw+Id3klVPmwko2E01qHkVwnLRX+ADsVjAXqvZS mnLqDZXnaymmgufmOtcWg0IXABOXtr9mtweCI3tCasL7ic7t8OEcCVE6ccll90hBGvtSbwvDiMR0 4AgPhNQbkV5B1f5maZYfhwZTGs9qZO7Y7X2Hpz79k0mxCVsqY1C8ZJvnE4k8JFDWV1ugWC7dRWOX hn3PxaL+ZcGlH0mS+1i6nm1ryi21yv5pMX2eWZt04ppWCoe7eE+LBe/0/rAvsv/ERLRR54SNFCQD Clju+U4KxPK52kGgEwbRcfrYfZpiCht2YyTvOjcpIY63eY7x0ARw5gjglcrWi8ojd7xcliY4eLLQ FmotzOv6NuhFxyGVI01aD1mm+bPohuS3gHLNSXIMts3Etj1QdE4U60lfejrEl1M75aIPAKvjZi7H e+ijLROxh8lz6XSGQHptqB8asUTTEqlKlxGro2g15rAOspQKb47p41d02Nz+WB7pPLTChmxHum03 35x4Gh/Fbk0Yk4lsm0MHzdzLsUxGETkd/x0IPYKkP30fr2cGFGhviAQXUhGR5zwJ/Z7hKFcfxtHN 7q4kWV7oMr5gq0OxCBKcchnE/rXZ5Vf0ULswRzQenQh2zo1iA1/+VqnII3HNJ0i/tZwGOvhMTZXl 8D/mHNllvvXUST0u69kXjcMnpsgsgemvKC27vBm8fm6E9lqRWL1qkRK2glyse0gk2NkK2k4A75EI n62LlQenynrdAx7VViR/Gav59NTL10bSEmzN+VLQc9oAtea3YobcboSObnTOlJUKxnRijA5apPVg a6Te7/RUMRbuW91zWQjMBXTNV2dLZl43ffZskgVJZVWmTtMZRqJjijlJyk+c9RIVnBVP6aOJubNO B8SLpWsAKCz+iabQ8k8Nd0REDy7ZYgfZqSbK9WCsZZvHHx43guQW6e5P4lxbyBwdpM4rQodFLrWv r6BiiqynQQK1Rk1FZiMJ8C3FKR6uhJJ4HtY2PZEG9WPs7R+0j7ZcgmkipU8rYbS2ibwZ5FaqgEPQ gJocI/Wgi/PUQOyf9hdzs0tlBISkhab03n5e8aRjajSfIv5+pSTEqrb81NkPWmndko2X2M1uov2+ +V4Ez7UJK1Ta0tzOj76w/CKaJY/yD/9GB9/cqk++86CejqR+oofS3I684rGq1JmXCvsSw7RoeAND oeX1QDEQopBLNmq1Y0nwsJ2/jp9sPAbf5sRB/Qbw1XXtMK8k03sG/3YI3T4BwQfeDFORDn9HjanV elxQ6iTsoeZFV2emLuY3M4689a11AstnfliHf+irJiV0HpH3A2YfvfsuEdGR2ps6jnIA665PO4zf 5LUsrS9O5CdR69PKX2qcx90YCpqrsMqr7mMfJA05o5qHIAUtTer5jeOsAD4rO87FRvZQeU4EGuow lVCnborN+Qd461kr/GpyMcwhvbrRQzwX1/P/37UK7dnovTD8crbo5BNyR+FIxI/Ansr1gm1jW5hF XnO0oysiVbqFyshhn/D5BV0W9N+yesRt/0hamsbcstQW+NLQnN/rublvINFT4UGgsqZuq1zB+ux0 aPPshZmL6Nwi4Ve6DRx1/DUPL08TqPv4g1r074l7vQ8u9mwpSeRTXo4iq1VegYu/ZFXl8qyEDzYh HO4s7kEVU/SmZChGsjZtQZLMlpHxsrCsEHqNIn3z5emkwhoUC1n+ZnqiHbrCQdQOQ2gzjIekBtqZ wYbT+abRM38T1pZVtdCvz17DBIPIozWA/g0eeZkgJ2Q8hTw1bCPS6K+AMvj1jjZWMOXhlX1aRE2a /hM4n8Phexm2I6QPrt47SiENnDmx03oFwcb0x8aK05Fboxcp/r8siwvLxyIUAdI1K+duAG6QjBgB uwh+VaijMOTbQOZ8n8+AJ/r8M0der3J2hlM+DFB/nSES5KW7nZizEkbBbPsIl/AxKzCiZBuEC90S OmWH3Qf6yfVY+Q16DPbH4geeAReRoqxYsT9Y0HrNwXZD/kAxAOd13e9WdxEFiC4Jz1XjeHfEIZLS aujpX5vfFDEUNT5+2Vb/An+NuAL7D9wgMEaWiodOTYnDXDoSBOgfbdY1wl9+5Y7PdcpNCbo9mLuV IPV0ASB3pB2Yp5N8TGvbJBOiQvgvIOgsLYdredKX7qMQk/pJ0tQ9IUYCrg9co05sFNvWYo4uNHJG X2CUBrsWERApLaWlkA5iFTFe8qoLsSr7m2dpvXBoahY7j26pD1B/kfnLywUSRE2P+N+BXnzR/8hf SHqesl2U6vN3Uzc1twGN5YtUgk47fuRqClLy4k56KskU+drGLLUzOJQoZWD3SR05l1hO8+BaiH7W 2DIoLCQWtV9sydrQcZE/8TPQ6oGlvB/tNJW+2rKAzAfBmiODN6qo41U4SmgA0caYoFHk1JY6m7BO MovPqtiLQmVvwCb5FqtHF1aEHcrD0Td/s+2vp7B4S/ovIw2SB8CRf06ko4hBo7HOUYffYM60297I 6Pdi/8p2142kft8MhAGQt2fnrnwLI81btHzHJW5ikewjxN27h76mCxk6A8vGRfWJbUS6rhacdTvG CROWeDmtT3BR4sgFKIo7Lti4dYBB3g4ZM/ZtFGaiZ293nEkmf7E/sdi9OUwG1cwA9O+RX1lWBmRR 8oW6LDblglgaLRq8uqz8KFoZo9Eo2vEMoZGxRmuIbqZ8CDpkDzIFeF8JJFbjm8yIxR/9NvVLUWUp VSrCAkt2OekoRhOapKRskITKCOHOvZoVbEcQVGiM4ro5xNiAdm7BLSprDO71zMg+BnWKLSD5UFnt T2x0kjMRerCGxS2JDjZYFXxRfhD4DNhmWPbrf/R+LW3sfrKJNqhGNcr2xGDU69MlDeknv+YJPfBD /m67cSxVZfRbhZspQTmPfT7DWYbOD4shvQzr1vNNfEP5iWYkFn579oGmTElwkpFow1pQfvUuqdZU TB9jaiijCZtVvCoqeXqanouWHUGR3SEKFcmCTjbBHupMcjKdtJ+PhzP6W5eeIyLJP4GLfcTwAyCd qdYBcokR85Lk+Beu6OBXgmJb3FQO2XUSn5EfVfQjjelOwg1JeVMbioYhQr+K4W72DNYORbvMxHUc HZYWUaHG5zYwGB0ZkSiBu9Xj3G/sfVMxNMVC5rbhvjmi3M0yJXD2Hul4c2NXaB6kjIPsP3CzbCN6 oIb5htdzvme/UmdBcqF7lJNFqv423zjjJM2Nd3C0fLjfOr6arZqILwtbqgW7Q8sDvUa+TUuJ/TK2 Kcnqn1oGKT87SuBF+PHZzkxKflGHqKv5xlDqSCG7KHNVEY+sDTP4q6HGk1DCacaGwFF99RBX9V66 t9oQiJq5yIf4SK3YcSCVd9E5PyVjFC0Iii1Bf21SDW3YaO7BvuCadxXAlvDmEdJ7+hSrRwmL2+cq n9M15ByaUaDBi2dDF3u6fdDcWD9Qm8mkawUeajaLkQDMSpj3CaigAk7eu5hW4QcqB1G9bpKwqJ6t aA1SeLTPpuu9/UOFq/Xc4mQEKYGVcAPOLDzrA2omxPw01DzSQ1tI9Op3X7oEbawcQdFYbot7mfMS BPXFuq4xisVGiLYYBsZXThO0gF2OYEi5S4Y4hDk4Y6yw6OgxM/zIsS6VDCBG78uIVPEA15iTEhoC IoQr7PQHxzhG2Mkxmoq4RFHtfbkCy582NzDAG0Dv+1NNI94N+PeRH98ksiiTneNDbZVYo5XHQ8RM /IJh65rZNWmb5BgD6GmuEEDEsZMrNtoPS1y7dYW+Kbe+Cde4/Nw8+gccOearC6FHTtazP3ll7I4K KaZbWdVBKsn4FL4oM2sl63DN0AyTKlzxPPjO0DUT3mPN4VP+PlODCc0pRjse/4Pbxf/3RufU1OaY F4xZbPNnyTCG15an7e++dOoAK5yA2x+QFWfD24rshF7JVty4Lnqyh25PBVdIIRrvXehdPgK/QZ3r HC8h3bhA/JzJy0XUaaz8dBgByzHAv0f0nN6YMs3gl6s1jQvXCmfw3VibytdgopnGGlRVVGfoYLV/ USv5lZmzATEZiekEmIEeSq9P18II0Hxgqyq0o5q6lBKaetyYDZYlUHg9J+8XkVMVjjp/vW2xZk/Z edG+x3iM4p/32at5LY5kM3qSzeG2EAdLzGi6TttD+SVSBps21qDhryWCNG0bT6fcq4M/a4kikH+F 8NSrrQWEaSc79mF46AOCbwM5kI0neDtK8vVhrSm58r1vxeNUb9UAIM01XWfCvd8hkNp4JXV147Oq bdqVmHxa8nnvtjCmeVyFtS7D/MXh/bo/5NqMNAM85wx50LYw7j3hMWr30PU7yqlGpSxFo0bdnEs0 DuvkUWRg4V97Y1urQ8rwyU7lDBns8/7Cxd1f2fz8OOjNVn+sNgjGV78I7wVdWZoiF7CHqhPs+a7x aXNFwPMnSOcHH4s4RwJKAm6oVfgq2yGR7Yj+cLGEDCVNqo4GJZlP5Tqp1d2zEhehMvfz7SznTEK4 ug+7WZP0rEe8bmqJd71oxQb3Ae7uoK3QldcwvCSEqc+ZVJmjCoVPNiNYnEaZrpDu1IUnpbA8xT9e yjJIlI5sNwEtUqQoEid6HOHhjQvOcLiVP+VKIgK+MkSowEYXHmpJlDDdkp69t6p5/OAXLHjNYF6B FnJHnyRrLXmm9BqhRUlsJ+qYYfyVN/7nMi7YUwa9cJyIA4pUL5Q1BH88Phuxq814rG9R6xjjb2qk Yi+mF0W25Ly5ATEgSiUeR393J3zWSYWPW6naaurdDNJzToY0tEUUzuvxk2t2o+hwEfT11jFqu5AN SRgCABiyMd9LXox5Ntj0X4KitthYfvjw1DsVm2QL997SgxZNmIoVRs9M7xkLPmPD59YvZGymaG7s /IJ2jiD+A7jjAz247ZZkc9zoEbdx5qiAkJFpyVusY8aVcdz65m8sCs6JuUJM0xdGEcxJZkvjuJ+w bLyLePUbM1nZgAWg03fes1x07VX849M8cMQ8/TjKLw/rlEG23J0tQK08ogz1gj+0I8cixg7NrccQ 9iB8BZgG+5NcZxqTr3BhGPJg8vM63Rj0I3+JSikfZIvy9LB1GGos+ZNVex5AApnI7aOSW/TQYTDJ Yp+1sF5lDg7tFKEbiuHDuKiwicWvZlrjgwwx/3xRXdEbrQFTLbB0Pzq1XowyC6deDTlxuIh/gD5y fdQrUDNMuX1OYnEvaSxDU7hsggLD7b0hRGZysG3aGITlqAPADRlH4XpIsgg5M9YL6GGTjivpfJZY 6lIKmNSsYafB7Wx35ryXC4+J84lHXZ5i4I3JqGYab9XuLeGsvyrfMWROLXtfM1avUkkKCfzdPUXS 7W2AWnMwUZvIrJMEJygI3MyUweC5VUt+M7XidZG1AkyNEUrQBtpCI66Xf7xJnMaSzWrPdEZFcm3R B3ewQIeMakvba9p8pBV9u2NIuUgy0F6I1NL/BXQRdTx7f6Sb+SpJ7xnf3vRMqK3O1v+Qqc0XoKWK /Gu+/hs82WPAg5MuwbkZy+FNKjWUuf5tN3LfpM/864zBwMHq5gDQECdMC7/9XDa9D6lDyODYjXqj dPBMvSuI47OZW6fk3Cq4pxmgOjLRlU4MW/HgfvDNgOnKLlSq+bTxB+sLisFhma3nvN5MvodPIyG2 zaQq8PNTZAb3g5BFUBMddI7nIfm4YEDlfz6S2v4GJv0zWuArR1uSN9c35GLHrPw9SG4JgXWLVQWX MUxfuhXfwFtqwCOcl4FjKHoa4RqzS9EQC8whTW2LLX9aSZTe6IgvIVzdLkOv5+W/xGrRmgMrLnSi PDpGxSIVy4BnV3WkHK5KEc0SQRgAkFJQcyDCBAvm3DT6rXc4Ye16//bqsNdd26/yrzQWbXK5ZAQt T47v5KwZwwA6J3eHHp2jOZTCedWjsxgnNrbhJ7PRS2XQV7rCXjMydx9JAAAnME9xQU3pgZEkQ5SO bYXMwWn2hBVJTwHRTGfqyOpMJydoRjj6fVilAhIUcFApZzZYnNG6GNKLcJSZ88AaJN1t2I9Z64IZ tWLCcMfxVV+lEImBRgWtCzVdZ3Fewp4yTYblgf9oMwl1k364MZR3Qa/ZjLwtNHzTQaoV3gwjJupM cVgQ1IRqrfoPTjOWEtMu9GPa1ZLtGwF12DNF0PmabsS3GApb1rta6Y04LvVE1MOgP9rHjmuNLaDF tWuXgmVKvwbtetoJmHoe3V8oIvS+d0ADhbmhUWzLxDo7ZlFjsqVzJT+62HAJXXhLo9fAOCAG0/2h WM/+RBkbVqAwCTfjoQZ4lQQFOOAOxLxWTVEWKnPKalSF16MNA4vx0H5awFQz3sm0ffUWykzG0xtZ AkNrwm0clYc5Oy7mVaVWRe9Op3rgnTg0I7IbCnO4rAlyzDpgecbDSoQzSBZ1B6UcDafA3nR/LG0P eYDDmGIjjX6/U22fUNMLbmicW9M0waMgZxD/OcO5U+qvqg76Flzi6PgP08Y/luexuwut69uq7HeX Kx0RvblqxP28QtT1In83tgNPpwsFxloc0En2imT8OHFxqKXQmiomEhxX08FrkvfUe9RHChWe+/gc 65VJK5WvbQutTBDXeliZu3Vth06o4R8k3iThMOScFKjp/grmFE/ds2Qo0kVPpiirlOK7WYbKBAuI vOb3lpb/DMyqo5g5E3s21xmP83aZfbIf4ompTR0htTPMEmzEEkArExPM0a/cwpuuuY9L+vzBbyD9 zYyemAGFJDsmUGYMPAsrTe0gArTv8g3OwVgPDKUBFkjSdMukjPCsrstzbJgjMHe4GsSxyADS6HAw EL1OWM18SstX1zZlO9m629aJ1TXgue0izI0UOWDpbi7p/meYAPXDssEF+Llt66olzRN7pErzDDRe YBwZHO8nrpCP5Pz6QDpJz9fowLboiTfAv59OeU9RpL62yWam6tUm5Pt5DrrHP8wMdmY7BINchEag 38qV7UKCfeBOxg30i8KtpFZR84N71/l+q2eoN06L1PUxaR3xPI+JVZXyRiYfPHS91NuzrcYjld9Y jSfu7FQlpaAW9bxUGC+h3/OvE4wkcOaBonqA6pY49zGJmRU7tP7tIt3JNNZujl4+/vUYyZrvcoMg fzFyNRVFhqFw8mpHQqCAW0tFabb3k2R3DOlZV0XuaiYjWSVmyH29dd1V4dRWShu8sStzGfYIkBSB H534Y6B0nq5sWPCSHde5f4MbKTL+cVHGznIVFwmig5LVu7/Sp/NSTMvGRZ3SJTmvhfWyqe49kFK5 80+9Ep8pHLcgqk6RQT0W7i8mzeCIom/kh6y5vHI2+gCnL+dtbK7g7rDz3/wJGbHH0XhEYOlKiByi txwD2z2C+fq+1dCjj4d0OfsCEHWdP0Mis1CW3TtqoOEmfv8XANcAFvEtQiL1EklQjX6v6TFEuXYs pAZPxRo8OVh0BgkZl1Px+R9J5cQLaWcCOqeeMmdpwz0ViR7xPKsHRBifs/2LX0wr9qaLVDvq9zbI lq5dnhXosWUQlp4h93OmJ3PSQfKWBpDmw1UDTMOalRW2Em7HIhRv7pYg5ZGvu1coeBPerPo8+MAv aI2qUTyOWAE+IqeHcb6eu8lo8FYwYvvkduROhgHpUpROV501lPTLATC3eLvq3u3+apdeMu4TN8TC gR8ydFwfhs2OEey3R7I+WQcsQ55hiUm4zEnouduNQLJKtyhF0N0trnOaAJSiKO3WhBRQ/zvDr6gI a/mb4WrliiBxY79PPdwTLL4iRQ2oAeEkdmoWKY9OgzVOI4DmpeSqvOerFulzIEFxr48Ve/97Ue8k 3Qez2lyHN+ZaDcHBF8sEuXx6R+zY6CodqPIw9wk8oR9jWIXQv5S1x6ZVJ8Id8LmEMMxvbP9Rcb0F OnUNWR1ZA32SsTQZjZa8KVuYcZIodY9MR3uoDQ35D50nISydawBYDQHR9Ty7r2pbc2MV0VJHKPcW 9XIfJRtMvadYbZXQROiXXI2F7Ytt0vUCwUiGdCWDNrEuf9nhBT+Q7eru4fgXPuyydjqbQU0MSDup 0ga01Za1NwEcMOK0ixbo2n/eWOqmJQecnU1Nyl7ri1LqwusDpQWxO7SlBqOdDA2PC4atpraewNCo fBGCZvqNaLz204coNTHlr8O/ZcgxSePDEIYhM7BxFJXF8URUkoBI671GNBFELczATtcNz73lqgCH Ru5AJAHxQqo2SRRyHINqSuoBXQ/zufwaGURcGovkAcvEIxORk8PqbsQ8asn5TR0oPX4LYPgg6riZ vWtkSncr08yb4b1WczNTM5UYFIQI6luXZwbLhjjUKu8opcueQIz9AsB8/bAkPPSf3uMnuyvLQdSR UXHBwip5J2CkHa/35rzsVlk2XazxKCSDn+RJgHmpTSeuJz9SYiYXt2pSVzDf08y/v3ZJSFPeTaGw 9gW7L3Y+XyEyBVGuKxxGOocWJI8S/BKQWh1ZYupr2PDVYcJm8iMm/CnAXwQ24RAgQykJNB1b23+5 33scwc9xxsV57dlLQHF7WIYjeeR/e7zshQUVmqgA2Ozuf6g1gp2uopitCRauSF8ZkMYTJhqSWMnS UpA7gFNCKkc90VJ9jZgv1XHTaqVFZqP1MEPB1UJPwhdLlsoqy1OaGWQhyT0Qgq9fWbQGedsVkTrV hNsO2wUJveAbdk7wmVurlhdja0IgVP9mam7aVHUbayZsiDleSTVw+Fs4YPcgQAWbOEv1ko9hs0PX rFm3lUgCloTFlyilFSMyNjvYzOcJCY8ebAmF2W+HgzfFdi9w8ocCW+WovkiEW5XqOGE7+y6ImphZ TKHUTaWWvWRJwiLvLAV58NYXcm49q8Ow6lXHUKlBXmoJwouutAiVvxXEMKuavSw4ZMybk/VXPV9H NGzcXaa8FVVCOsf5O1fZMLii1wQ+HfbXYy+TH/AaqDEpzjUTsukhMJlTXiWSQ1Z5jwbXF/Hj2fAb bkftmJGvLbFPmAeAl3wthdHpHBcjz4usqOmY8Z8k6q++h11/gjAnu6L/bLlfwiMw9m1cE3AWfrXq /ChLmBdfvK/vj+ikeNHJZqxqEa51LoJItJE/Fecs6VCuYzIc/tB5M3po56yQXyOKehTycyUe82ig yTl2U6nT5Kw6iFL7XVDIQJZZlqIBtg+ICdyw0/T9YpNlORkAvrXqKAeCIE9jYoQ3DEnxORLxnYNg AeWedGIkrbmiz3vTkRw/ycfxDEhc336ca3taHzro7bRG20xX0cy1eRHTGi5fSfw1phI5hvCvmWSG EL6+qk+8abQmEOIHK4rZMyDM4GCpHt4ia1YHzDr5b/hRExqjnW+JEU1IpchwekG/DfNbiv9BD8dA i0FOnxjyzUcNsLKEOuik2Y12eFxLF/Jg75Efxqf55BfowE19nOQBaDgPYmzFI9BQkIw7gB5zdaaM bzBzI7iMrTxfLdYhdW+hbVpvfqfyoLZaX2Gfoz3NtBe8iKCAHLCYEGV5qO2kROZ4hg0QiJ97yDWP LdRJ/d9dCdSv8Uvm8hkbN34LPYqFbnBw5VXSPlKcEuRr7A+giTYNsyIpPqal+PPzsDdS/RijMTpK hhKJIRIqhpmambl/S5eHSx1GlxI93g0fT/EaSx1wUCGWTdh/nI3ZXMuOuw1UvmLub8HuRMqJ7iYD 7QO25lhhxAZlmSkYWmCSr6wFqwcdTaFIpYPBIE2YrXzHCSzyzz+zwOHnITakgqJP7eaX7YLgcV1B RhSHOAsPw1Y2q7rQY7qjL8th6ZBTb3fZehHJbZ9X/g+RcFAw6sfA3qkmR9nbsXwR6q9dhVXyCRue N6jq4svMbxdrSOU1smRTp/rJ2kLjj8UqoX4Ecr29Nd8KnQRL0Fz3ExriRSeeJdosLrmoVQ4tq9+N xp1/mnojXoofWhdHW64NjSBR2bhVqleQ1b6HmsBRwolawZpDUuRliB1kf/8aS/a760e75CxMpc4d 0ISkKu/v7rYa4NIbDEvW5We9Imfq9lpDZb3SAoU/vFSr5y1KXhARTGzpXadriMF/UVq7U0aKo08C SqrQNk742D/DmBYzz9IfXq+hvFowfJnd6fZ9pcsxrSZp+ixhPukAtamVVutYwnCcy4PqcYOgVCDK Ut5pCqT0NRTUGSiaKEJ4Dq7+GgNMNmcCn4xIqhWMkcK6+/GCPBHDzEuH09Y2MYkCOQ2/zuNZ8+CZ NG0nXJoOcPk9tilhFGlGyA6UY/RtTAkg3sYrC2a2z5uwEFPeY19tX9h3KS3JtyxyKRa16h7y9NO0 8kQlvDTuaCrrpkqUMXCEQg/BBs4bWlhMJ28puaH0mHefbZqiKhxtBb7ziBvCGd/5KMcb6Pq/0cZA EOPXhJPny2zWeWLnsRQ+cwocOTP6gRAkWgMks/XegCx1Jw6rxPu+H1T1UaWyI/qVSzraNwNa/9h7 kPzY33IWNpMMK9lDFzslJ3IVTYyy+K3zUSDR5UJartF7wpbSIDJt/jsX3q9JRWmJNMPrTwB2rrLt fBaEy1yuPc7zRT+fmdJfZGmzBZMlIQRV+3zdouEHVrvJQiymZ1xNb8F3I8pFS5YMaEt+EXJ4nzMp HH2UPaK0dB+zgvOMCf1ywwEClOGE90KkwxvEEC191lTPnKPtqOzfPVIAXQ9WMwNZ8p3p1MOtM2Mu JaoBi/yGEAR3Bqf17up77unMPdOyg7khGkKAtzdUOoDrV+ERgcToyRUZD5sJ9UaJRQbJ8IOGMX/K 4dJrKEQus9cLvIMPxGchHGuJXZ9ytjp+gXTfpcyLlypfCI8B98HUAnj0RVP5kocwsQrEwwi4prng a4mXOeRIvZSqZ5vABOEDBSzJ+Yz71xizOCr8TzdgEdDaeZ2+3MJJfHNAJxzIcMNsWB0gTen23qoX Qxa7d4T/zNMPnXEg6kkkCCvtlri5xFAIJIB3Par8fuQchdfkNY+4ZGHd3QM5woMxpi0mgp1I3H7q xa/6CKDnSl61G/ddpO0/FIKukDGQzvDo7djNpmy3B5n4KYnQpkQRnfoDHU3cI1OYBZyVfuzeGWF6 gP6W5T/4sjWSWSQ/RwPbHq+gsY4WTvFNLYEysWGYPrgGrw0DPhvNzDGBJ3L3xvGzKaXYmKf2hVKO xnpcPCELCUFkX8GcL8n8ZEyT+adnOVbWkuC+PPucyevPlnB33B+r487BCf01j8l6tvJ/DQq8WytB J66gqAa26LksRnOVeLgLo5g0XKDG35jXhI0BT7FVDF+C0uPNvTHEBI9befLPw6/dYlnaVvNRyjAt cF36N9ymfjEpVHybdkuonaI2Rk8AUZP/uMBI0rzuqO2ix0G4x1UnPDrem0t0NMniD0x2amZ+QGFH e1jnuFZNYic+wUA70OiNUnq6KCMOA/M8mBTH6+G9lNngYOGU7brq1fmQt0C6h3OObgyHZGWhttcH S46A7eRzKUyLJP4F2nBlfMcph5JS4JauK+yb77APh7tLH9yoTc5dw7C8FlzYMslMe1inhJwHykjN tvbcu9bpjJP1IaT0afTSUFRKaZm3hw5/xPKeMjKqBrnTl9qON5OQ2cABCIZxXLdEqeMDr32veCXK 5E3JTavfSypvixmaNuDVZQOxQxw8GcXqmmB3hFX17BIIARESwAcslZdaYMG2qq/bmYXsHmhvg248 Yxz/30XFTEsCPHv3a7QN1frwhCNT/fHgq7Bf/e7e0bTEoWLEpYzRtvKLjFmigkM1nFpuX6fiqIuk EqzDzsM/cO3qcum3mZ0uZwBeZJ7ajnhda3VopcAPqBefb7NIQh4I3VUItRWtwthelQf1szn4gLk1 oDUF4+edUgxMCPL4Ot51156af7/Qeu7JRHwIY997OjBLSdYlxVWaCoDnb0LKSQ6v1lqbKsy+0OHP momeol0FysssQ6pjMqHZ9QBhXM8Wh8UocC5lI2oRq9p3kFAjvSKrPpDXIW73ySMOQukfGK/xRXFg CQlhlzNwuaK0KaW8bZ1SwjphH31Q+up4DuMQI3rFyeTlTNsfrevfD0wCWNLFq5DbtBdfU9Bk1aoA K2Eu114KfWTo2kcN67cwYtllKOSBlBGr7bPJryu6h0RC3hBNOQ5B02uq5IWPpgEumAa6+xVz8a+U /gvMR/xNKj0j0EHd2nZNGqRAjFLnveNkSVQDMacKs9vyG0zjgt8ZUupuIle9F1IA5XSaNvC+DxWP gH+bBMpXMoPAmvPMYnMqnXOCdgRzeOrGkSa6W8Y+/g4x7HVFczriQocxT2w6uuOoSU0CJgsvAZGs sbeVDyKLJ4sv2o/4aZyiQe+ne1W8dxgPfjEykt1RQCWJo51S9LWSLW3sO7t+f8P45jTexe2megtH pDAdt32QHInLVRfJ31j5rdPo7HrpsLwroa+BWjMnS1VI+TmoE6+8lzxwvdnepDiRkFg0shHf5rjW MJG6gDjb3DGPTX8X//KZ99jruaBYvveYAByYjtLWtI7ERae6CZ10y+UjCBIhkG2IJ5v+jmb+WADs kw1E8tAQLbU5ywVZqSMi4bQZLJ9S1ksR6SM/CXbfkCvx1vj5tJSLzTf30WqlOw7D5i5vScrreuaz oCpGrGULqlBiYt/xnj+g54shnD4ISJRqARyct/VFCPvYUzGD8gTLIqLrW3nbiUFT3OwQpZSiEd3f zBySJQIdTAtGnlfdlTG6gEX3GCGeH1CRq2F6b6qGlXxgt6+O7zA8ZVsYGFe+8LAcpyqEOB321qst v1wDJPWCIsXy8w21NvUXSAkIwfly0UQyYJ2/haDSHu2IgNsJWG5/rNgD4qHEGTsM+51rKMFuggTW epn14INUIQBlHGLilvV3l25tDkoY4eCBYPNQ7LFiuXEHNQmebaB6VL0l4anwSXExlnzLkrgT5hfe 8iRV/wUEmjAv0FvWOp8fBfyTgiRXrY0Ukw52KHEAQU89X3F+8nSq4ORi0UFOBq2c6lAFWTh464vP cqP1ieG6wOTTa5ki9KOqpoEEQAs5WbI4lg8oSDCHpnKpobIIt39e7TKf8e0s91eOpuDhypqlOvx4 KQbkIX+zjMDDMEMPhxcXSkL0hIcQQ/nSBhdticnlLgjLj/yJayTalmMXIzhX69xAyQUIWA+hCaq/ 3a8qWeNZn4UgiA4Py3yAaP8yTnbeCBawErkyY4zlxwTMcMlne823eRGWDXno6qFs3T0pUObJOMgX N2kfb/juMrhCUJEh2pJVXOiSke6KY+V6ufMmj2ZmG7B37OxZ2pw1fFjlr113ufosctZjGl9jBcdV ZzLS7TX6M1TXpaykavyCHoTji3MxwGkCnyiAr1rD9k71Zi8no08n51GRok+SwloLNzqF1xViQ5QJ RB9my/Yct1rJ3Zio1H44STLYwdLcGkl42MrANXAm3B7Y9uSeZXu3+F+O0v9vhVM5bgfIAQN0YUUa gnfDNFRJ+0IgOg2rzybbuqMApLHs8rvWl4Oy97d09fziKsXIPqI1tZfdZnsCvXunyIwjhL3TvMgv NPVZf4+pp0hWNBUaOHyoTmUtZiLlI2VfZinIc4HybalrAnhv+ILLoDPQsCLi2htlqIxqmlWrNfga 60qww1QSb+9/OayHRYQVUA6VBG9aI3bScTkMmdGN8tOrneI7C1kOTVBVkiVT/l0KmugAz+GLrFNr VM9gJY0MM+Hp1Q2kkwnCyzTfVbcvq6tvSbBqoQ/6decVTY0hSOdGwcHWyWtHB3jbxr+7CJVk+IRN /EgU6vSu+ko+HYGjc+Uc9GgWLX552KfgoTGbVzJ4K78cILY5batctPTGj/ULhaalJR8909afikT2 xNYw2khaMQXUC8NJWgR4OiqYq+OY5NIGWBbGU00pYd0aNrU8LOOqs07WZD5aehCwYM9wyXhl3d9E OKjDCYsnQOIx45ro5IEPQb+af1wXhmUXkxYz2vcBqSCqlywfXVY1J2uhtXIzkreD8NeP2lgzQP5I dR9pMEVUpoZDnI5x0pzq8mhibAUA4t6rxj414z9YtpscyTFSixIpbKBVnfYXQ1zupXK25w/GTdnO dysnjZabtlb9lkn5IbttiUe850Ksaq8T7mZCmll2GgRFgyK3hy+CMYdUb1HSiHsjxCzKQ3H7Gqbo 9Zi3jrA1+K2b+IMihe+82sZ5InXGvvdcZA9EBHrZ8NOSwUSazVLfXDF6jVzusfpliq9hy+m1U9d3 vK/PeAPHuyajZE8NoYVwVaTUkAIrO9PRrylW7dH4kCW3fZdyO8TiV0MnEhIfghoAWE+zcEaYdEyJ N33Oh0rEIddRap+RtG2i3T9xLzWkSwAwgP3df30Pqn+uv5EY039CJcEQibCIeVgC6cU4F7DbEdvK Sh/cGmw79K6ApnBXCO1UlValdACWh2pJAU5Qg0MFIqUZlDvieZDTGFvCnUWMdN6tRH+Pp/w5Fs/F UGZWWlxlzsbg1nsY0BRuG1nHcMB8L5x019OKuwWy+SqVv2/v+PggyzCFee2GFxAHsf+B6OiYO4CZ RXEPqxxai53a6SWJ7BRvLOEt0c7JXpQfV9jUBJN9UTCTqUB4qN0+dKyYDKkbSSlrCiRLpnm1iMdL uGzTvFRzNcwgAN175w5I0ipMToB3WxPiN0ESexmqClDWIPxRvL8YA0S03v3ruOisl1DSoIIZC1od HdZzjzG1T+PLP4XvW2VBWh4BKC5M0KoCpMtXN7+UIC/Bte+1qWrjtMQz4mC60zYK+uewcSVfwUhg edWRHMgTPxxPOi67t7abemgLcqEuiopGkfTAgeZi3TZVBOp9D/qMYm+/79MgOMaOdEvoIce57w7R d7QWIzQctDE7Iw2yMKx0jiIGgpp0K4r89mRIAcCzOa/kh9TEKyd2dEvbmRnP/2ucAOVPKhmFwcbh sywD9Ha4GZBoYFGKkSWp0ajq3L0LILFm/PnO9OMMIt/ZDs36A3yvoMnmxuZEuiLcDQ6pTIcFAtuq FoZvAUe3xkVWmDt4rrJO4+pvDee5dzik37tlAhjBBUlchKElQ7xQM+WUSwBuRvw6yeTbnhBD4ctu wDZKilfpPpgP0/dMdih2sySzja+Kg3/i2qGq0zgYETIp27e+/p764p9S/8ZFE1cJtuJVRkIOyxxG Ofp+DdqaGXSvG3/e3Ke2g3pzL70E09K2GGl5Wt9JDepsZ/IGOobWxhbfYVpl1vYiGq0NIjWbHyNg RTNT0jXLYd7xrxmTGt5mlQ93gZrYrw9fS/r7A1IE+HdcbJHy+CQlC1+67p3tWCamGdAS/qZEidY2 SFXcMLBb5wfGAz0IjGpui7G1Mplu10Z/fgQDstIVzaFo3exeBiodRidCQ62e+6lBvNVJiYKmeB1K RfbBYrLe0OMsHxOphy2CTnJTOKi1BBBhUSldgIvLs+oycBsh3jZFO/SmZuncPlMcgQGtUj77OHgJ HQoaa79K5QHkd60H96FDKWtj2G5V7bl7E1c9dHxBJlHj1QVb8/mYhJE98+dlZfXHLHm/FiVcPa/I ftaHQDkecG7r/ZOBErOsOCEBPTqgmM9RrKgdv3LwAkMuqdofu96q2hMzSpgKxy/sSOj0LoMkZplD g+cM1JUyYAawonoeKrts1GNuOsSsRI88FVyKzh7CayK3OTtkVGJj7fXTcYQnq3PaDlpreY+SRKxp Dcf8aUtEicsFJ7OQlz05KAhb71nlnImoy2Xn3Laz5Nc+MtrTBSYHRYSl+xpaMMYRydBXOUAnTmrw HTNz+IrYW695gS3wXsYTcA8HxMIFbEuXiscRbXYn1Ak/6nIBNRq3RuWnOn68vLFdTDbzB8tXf61L zivEaaDpmiz5wRKu746otPcxjsK0uLiybrz7YdgmPVXAso3gZaGpyFlEp7jIks5Z8RIaTmqg/AlY eC8FPIrBOpc8cZszukDtkI7jL4rEpiBKxZAdICpzW4bl1KroHX1A3FOUxKMRNEFEoh4VZoDKoMoo s3N6L/cPe3pDlSg4g0seQ9HGAjIxyRE/i6nlJSmKrheKNxqGwwvEEp2DvLNSuIjjsG2/fHw+mXTV 8HGMP3Ii7AgISArm++4+MQEqSj6me5icrEoHAhkdpzwfjk7yRdYeKUkC5LNaNKlrVUIZ/F25mklA 2X1GTvY/D/YD+8j6/YQZqiuD/A2WHcUIwgx71FJvfg2LOrSV8FV77kwZV9D7hAKUwP3z9KizBHJ9 lc/oCPptbKWR09vXr1uZVSLiymv5LtRUBS+du8dO3VnqyEtDR3RiJ9vnmYCfg7dRWZf8RWnli79z nXIsff9B+6VRWADOzXUoTOBdZ4tch/XCj0OYGpUYa20OKFLTRd1bX81+2wUsrDt355pQfi/NRjQo rw+GJs7cCrS6tRY0ApMGbg/nfwIKbn0sqGrkUoCuO6HraUXSTPoQI/ybkVehkZedxhQFrq6SX2r8 eQTU9MzOXTpFYqCVlhDPpcO5Wchv87PI0HkeEdQedUGMCR8YJNzlBqvOEO2NM+ayc7cL+1xocdkf syvs5zVyKakgnnYa12L4hnuF51xAVXZJMv6kEnElPiwcfvrluKIODpBr23ExAD01wx4z7o/vD9tK +GmrcHUbwLyGIBflkOtW+uQANV0MpKpJ2BG1GDZhl9qRVU+y6Tvi3QlUanVfxh/W9iAzuI/pQIiH vNwT+jiYMYs46nRvFA1pUVtXRtGmLJqWR5nhMl/qVHrtq7cZT8fn5rF4IywFAuOn97DaQfhFE3uf sgh8UhUBKbRKR0qcX+T+5aQygnC/dGfQiARGpA8FrWkSThfsjvQj4Jy51/qXngZva6mGAzOIusyX AUcPo0D6g411XxwDGlV1Kv3vGg9sNoaYHyglVz+a3gQDbz4UgWIovrFwBKaRo3tHlZtbdvq4DLuZ EnW+ejhVlVE4RKQYJluG7qc8S3KRLwZB+SOl73fKH4CvnoGbdIYJrHejTkbVH123Xey2gNl887Xw wDrd5kgq/CC7WuiHEyQJ6ZLK2C4KE/Smq8+qiYBnrPd9UcBC63azTASSE3KmdyjJSy56J7NDEY7q zj1hncRIarxWOunYfJCEULEgD/P9wIKwFSJTOpN75R9eTrswgCWO4ftLsAouPJ56LPq3TStt6i09 nalIMMDBE2IqYZhLrj4+YiTwS5kvwDEu16Ig5M/hX4xXXr+wDo0OGWUDAf9+b4+2CCTj11VneVbV rfbLj7BhL56Qh4WKGbYMs/I9hZRJIT/ASDDahBwAke9kLEcuyxPZKgkLd9HiMg7PLis01olYC46a nAb3N041voD11MfqeJ7m1ZAnA8eRHp8ZMLf3obJcEixiGxGxGoJV/pRxuNWGrwMoM7Mxr+2MS1yq 2k++flYqecfkm43a/zVy39t4DA1WkqpyGckFLzMvGn0cG82uKVictuG/pQhxTreUDBDDwCYDPMT5 XJs8+q/0JrFOa/sibiERk+9qbqxryEZypS6t2tsc+p4YTKmuXM2PHufhzucAdyAeiq0gtALTEcso RZXiiwdMdYokGJr40wyfnIRDYOohnN87cAJGP6vnU0qPaAyaZtp0ho58JuTIe1FWsFj58cFyEXgi 9C6yRgK7vidCBYGbzvr+RDrTgvBA2RxFIpchw29C8ELwiKazBWi+S5QPQHXlqOm8OKnwVpflBbxG 8fF6MbXe+N/Q7qaWYxQ7WXvIgWuWQkxF3IO5M947PsM9N0dvPZpdI12cYMFC5//d3yrjSwjH+/Qv Gs8yj9ZvgHJcLWj2YJNtshQXOcjcYqr+g7Oz974ez7aLvIza63Xl3vbRVJW+x9g5SpjKxCulluS3 x7DYUTiFo8PwpXUT6RGvGJ/6cMs8g/DBRgkDvwQlLq5qXq0S0PoiQ0ECQLsGIoxJF67s+q83/shO Bmv1C/aW6iCL1DXjfYlM+ArmjWQTcRULDXXzGE3Mw9uXp0xydbtsHhlcK185SQ911X83fi80n7Jz Bdco9JIqV2CeysmGtCQ3wS2XkMM3J+BsP3Edx3KHCiNRnz3rpxVBLGIXS/YoA69BJ1kD0qvQaiWF Z3eiJF6ndrv/G9vkWNvSj08YUuqfnKqX/579Kq2DXMOl0rvcNENQ+mK9lhNVZcvMuRf1rsvqY51Z Z8hHcgFiEt52a7ACy5vBHSG/tem0lDFuffi4uUx8tgFvrByrymQsbUwEzBXCu2mpCINVv5Q1wWdz 2o1aaSLFaav5NN9uBRRbqDpq2+yAfgFoVrpwIVMJN6CukocUCToq2QsSBCYbd44D8YB1B9sZ2kn0 RVC7Me6pVxOb6GVfeVEE+YOb8FYnNKWuSMzy+cF2Ls/9mxNk6ZwpwyCC7S1uldxuyagQex3xBLTN dBT0Tdz1lt86g29QMcB3hTtIQiKbGHOFZ7r+DirAoCs8f+FVdEclmsvxBORtU/abBhhM6XuwKPpc kgJVREQYmaxpkjNR8f7tp/V1f+Pld28KgOmrFvpqPnx3CgbVXj61fk6qd+FDG2Uz6RWR9XOPrmhG PgwInMccemAMAX2KuPhDQjDrhR3CucvzuGkjAP762k8TN69LRZrxKq3RRHoa4WBMJYan9qcbJzBs rz9EV1aaj8NTQ99Nx/1d9qdmCem8lR63kk4BsnPJMTZ/Z8M24teqbBa8BX5hLba3XKhNTNmb/6R/ QBniOkebFZ1Ozn4EhpTyDFp5XymExvEpfPl9TlMJk0i9TexwnQhd+P1r0P37C1SIJ+A5bbqfpyBg aVingt9p8dV9zW/hY4pIXGeBxNC/WnJd3MvkuHxVnW07Umx1TS97v8URdgS4RScF1vhk9CpDXC2X QjGxjQ5LFNtBaisZkuqiWQwJwqw5pXONN20l9jMfUXm3L6rLYhZHUk+/eKOjItFIhJRVyAcXVYil a8tNF8mcE9MelQpGs2FOfjk7jgwOIaOBcrPLpRZQlbFNZhIxLJIDD54LNc3a/dphFeviwIkgym9V oKxK3vucB0PGimCcr37+DZjdb0wtvMTfJApYUdCKsfmIvG7K6UjuzVtf5MZVHYKy62mFq3i5MqwR +fS1uMESpko8f2irCk43c+fVCc3RPEosye1ZqrgQO2J5B8KOcZvI9KZsvmj5G+JdXV3ZVWeCesUt Rvqt4BfS40w8OssTDE6owygD8Wpu2WKLHI6MdGxCuPwyrgw/QxdddUCWSqZUHqya1z7kD23nvWsI YhKJY9MfVGIFi1eB3h87QhPGmGj1IP4eN1KCJw83ZA3vmAWcOsNiC59gvNJr0wEQOMLIE0JkclDj 4Wo0C5CUqnIMJv0V13I10SJK6p1hIZZo7GZ+IYos4LCnFyrncAUjG3n8czbhIkQe9oEL28a2qBtY a/LYJPCbU21Op+BC4gutk+2kyD1TwL0fxHbcjBrtPOHujixjJbC4vgiLo3MbrcBBV0uyzyz05APc nF9CrRcBI+2H1Yt0LUZvCL8Skgo1eTVQSNOqL6opMKjgMJSOY8iueYzNwgW5lwigLi6t1S2qVdSn QS1z+m+Cf2RHhJufdswA9T322JYFHsmqguVvGvhoroMAV/Fvpst7FX/gkvZx1/sBTX9kREmbVGrC 9rId/0zvykcQcololKlc/GBLbJtB9D5nAWu/ut2JRkLHGakUAF2KYK4CLLBqfbk3IvqNzozd9egI tmsC+sV+Z9UpvDd/sf1eIQvfHU9Y1XlT8V+Tr+b1FmXp4VW0Yj3PyABA5kw9txl38Y7WCPcp/7K2 jGyz5SyHBaab/2eCuwS7MiiKEjkZvpxN4LiPvLSGpDA/3leYXy85tN5i1udS5gn9gq2qqqwuCNS/ bbofhLZyhB+cAdAKqWUpTMVFJgzCnsbJ+NUmMKoXOGAmDd4f9NN8TzgBTKIhhSbJQV4G+TFuRFGp +/O/O18WVaF2SR36dN9QT03ONpkzzV1BOKWS1Zhz7Wn6VvtC76jJmXoG2F5YWscBCBlqFc7zs7IQ qOSiB4QfDouFHL5SmV575c4XX8fdMgao5JxIvnBXtGHvV8dLdkjlAceiUq9qY4qhMe5vTReqyXpX XjygoqfA2Z/FvL8ouC9gRoCs9d1q8xJaekGyjRvrTz2wSykqeceJkxzPAl3q9T++2hel8J0jtp8J y5Cf8qE1IC7gYMyZfBZzII3K5qPSYWXI2Gj/Yi9+ZccYD4285zbARMdp+IGsduAD+BWzRWzmGkOx 5wjCJ/LHT7NeBqwpVGq1mkwj8328HmRHmJGEBPE6LuW6CdmdoThGFqQarEDn/+6hku5mSyzMjrH8 ly5wAPphorQTkTku/r4xHqs2ODH/Bh1Urk3X515XCvuk80UHe64Fvemgw+MUlrU8fwGLNlhQJu/J jENUnJ+L795yqXURhag6E89kHEtb/Y1eceRFyvWQeiLFUJlA31rc8vkzzJeNRu4qNFRodJ8wmvgt 9DXcjJ6Po6tvJw1u8ofRSiYV16clbQObLaw7tuFoNgByt4QmwZKWHo2hN/qVkvdtYsnoq98OS479 bTBWTkCuZSVD/CjX6eCYDDunLqFMb/cqMkTZ3AKMk+q6No5VmHE5uR9q+cvBQs9yQ5B6BQkk5Fax RFGnObTsmIRNvqUhXROQ81VhSldUeDc81taSfgtLetJhk+/ZpcFb2+3bC7OxqS30nx3W+2y+cwue 9mOHR3FgwIGaDn2zAZNmEPI+vqHb7MYwhqMk+zXBKuAB4qRMBfpySNtfFfYSVVvQoFD2C8Gy8nVM YAMeg8RM9xA/sr8qznKX7JAl4wClhmW81hj7Bmjps/yDFHto7TgSPAzV3E4BScq/kMyfQfnJf2TS 4NsdpqvoBZIWIfNX73UCasvMW8BBtwTvIwxZfXjG7wHcfGUS80434ddHr81WaTY9tVOUVqJoiCkI Kdx1jmGEQF/3N6pS11Oh4JQeqHICOhMbuSNiV6B9t3TfU5uap0WLNwJofxFVWOoi2s2xfQoJGINY 8+E6iSH+0zBKsr54VUyIt5yhxooqOWSPd6WtmSZ8rmeKt829geOuYNYrSIrVIHg0gcl+ySbp2H+H HZH0JDC+L+8NxJOvQSREnEO0rw9UXf9Hf7VrsvT1FpZztnPMvC5HxWLZLa78eZr2KtjEyVh66PIY XxW+PN9n9qaS3bRMUUBlcIDtPQYFOkMRcR4TtlIzv+lukrspdOsYrAMRVaiIXyeu3ZxKXaK2W7+G cEJ26ricfRy/BPVGtbcFdPRMF0DmT3AV+HlfA28uYivd9S8AVwkdhQ7ZRD+nwAW04GFxFGOub1nJ 5vk1bd9J7ExE6YAPuI4taHHbKAuxq5HArq5LcIsRUGwwruvDVn+vPbIiNJn1i0M5IvRdKwK7sLWS RGkvdmuV22P9R7w+Zpvq3TAFtFVU/oV+O9TVvVwiuF+PaqcCArWaKA2b8ajIc8o/m5AktIUVvGaY SVlsFexGd9Pn9Cw/WE7ziB5lHHv/Mcj+gjrf1l2/5xSDijHdzi3CAUma49fWcwjuBnLI9t7J3O3B 4pd1l72OfU1FMpmYkR7VdZfnf3Wyv5e6kx37zyUHJxJly5vlCCO2cgcRmVZ9QFh7e8DOBYkiuGnn St2lPotRUgbx0nJTq/fdB3+bpQbyPCw65VQoYzaq8EqSAkqN/rN/ukq/yYTshSEyfW5ITXR29ZeB hCXyp4E1qIFZKZZZKHVSzJyAjtdDrITbGHAdJcPSVB01zyWXDOEgW7Dl4kYITdf+kX//6xcLQqJw YEcgtFXmPIK+qFbsTqF67EYGyeG4w3NZ+OuRWYM0oqlqFGJPOEwlx8ZRSWqjdI1CZYQyx761twzM uKyo2GI8IMf9Tjujt7LdrHKiXEH9R9HPfrxuAheupoofro7eEQC1coUqxW2hQOHgBRnTS2aemR5f 8G79fbEYB1ou6Ck9/Y3d847d582CzHeaRLGixpAMr909uaz4LPKarSKB8+09Nxa8vYxuxTgEG9Fd kQ6VWO93c6UtwaJrUmR4BjGr2s+0pd8YnIVrAwvtmfE34M9yUQTuRK/zSVXc78XRqQJV9c5DqMao Tiz7FC4xLwGW02iTe2GIOKJXFU8E6X4FCQ7pPY4e/uUZANpUlF2wyr853JH/O2vFjfoXxeyFFR/T u4JyVqgZvJQCoU60AWL4KuX+8fhLjFwxwbD43YJ/P9TLl5fbtDbro40+GYw40CYWzp0XMu5tVwzw u+VjtuAA+mpAeVgo6qSPl69RLpm50OxlScnI/bqC1KHabAjmtTdf2TVS6DZSp7Otw6CZ2O4qR2o+ 833RJbo79y4SNcq5PqrKifd7hDmriuSYabbqIfgDoV71nvV2WsvkE7BiS/fWwsbnR5PkeVvaALAL i/hJh+eh2TzMM9QPCzaM7LcHGfe5Mrl6AIvmz3vUV5PgZDqIiNK2mNcCylylpWujuRxRMQlK5rvu 7eTPQarhErmvcZv3Xo6yJkvgd5ACA9dSCPOe/ZSDB7y48WOQneCIT3KNsHGBR/y1jN2C/5BdGRt6 2dU+93o9anX54w6CDQxMj57gOFBsui3SbA1dBgQa+ILRi6JhDRvFAR1hrJPWXf3008/2hXVGa5ZA 2xcfAm63czu4CfjMderrb31FWQXwUwWsbYszS7uzWx+HQXHbodGfLFpeexz9okc6fB/SWth3rVLs xMr94xhX7IAFBq3a6oHqr2s9puRB5gWCegKqcfEqGNZvB/sk3q+Y4fRT0WFfiuyycCCLzbtxnbT4 Sx/N0rJYw6zMduy9KZ9elIotPWHKnpRuT+0aYvF1zEkhTKwjhg825MOfIv8jY9OhoAI1XtryOToV lL0OuaCai7aGrYu86HryAVy7TR5NwTa8GcbZrc3ZlS4b5kmci9w20mk7iVuUjXzoiecH5QTlYU35 hC98+fCdbfIcqkFSKm1qe4IJ/lxCEJfnTp5q5HxkoYn3hiTZpphMTY9ygoAzKS2ZYj2mEslevuEC GSM/m8hPjWttW08b7YKpadA5bzvwISvqsObECTuI/trXblB7ANk3M+JWCbq28mp80p6oDSKnmsPK jJhkWlkeoouqzX0LMxpf0JBGbOaFkraT6UVrJCqIMTq8C6NQGq5GDXN5yJLgbPV3hHHaTVxKVxLq oP0JmcFyNQOYWmcY2PWeg/KeoFLULBXAV1JEa9pRt5n9xioerlpX/E9Ydgk38LlJb3gALl0EyUV7 b7/vjaV8YFsO1VKopiCedX0kWlfxVIVEj8wMjAwNl1wTEa9lOsJXI3o0s9QPyYPyVT066o4K1M9T EfO12CQJOnOO4qc/K/MpIkLGjcKcLuh0ZmrVEIURqoB02eURBUBCNevvyNB3MmFX9RtHCoAQFAYp Elt8A5ZERp/isqTLbHAgo5LUR33Q65ij5pxlxg9UK5H2P+NXWyXRXlU0Qf2U9qgvGdnVL3YUUdlI YoKqS7eN1RPo97RKa2216zc4I8dS9C9lbF1Bc6IQUY16AA0PSXd+0xvDH+8ywSMVtKozuQsNDIBV OQq0IyrrYn0SSfvJOjfqNw+iy1fYkv983s+pM0SWvxunjKPMIr88V81aAxo3FswhkMFQKxz/LpTR NcNqOB//FAQBl9OwIiwu/TtGGhb/w4KvFlRIusqMoL9N2jxcejGkoQJMF4ITGSp9ZMjS5aXR4p+K 5d9tA+mr+XCfPF+aWaLUp34hE3Wy3yIv+uE86RuUetLEyw0NmhFQdNy4n6rdMlhgPo02TaHxxuwC UXtCcUEN99lSIK7MAGnCbsgU7NnRVs+6ecTfWSABWvdSb9p8D7oyq0Ct8NsPh9nXA1komp4/lP3C OhFggrmUkvlsZVxdTGVi4PEQME70ra3ZB08gp68JROJ38eDks0Fi5A+87OAD9wXjEG6Yr3g4x2Uq 5qxPd3K88WbynidGdYs1gq6uOV3F4mxBfpfQnCGyyS/NfeYGG38mttX/4H0wZCv7KP2f4wh8uaj6 1mRMAb8HBOzjfaGicbZrEcJJ8kVt9inLz9ozlt56KJtlU1Sg23C8U3KgnE38pq5OazVey0iIcP+y FFeqkMBoRqUgOSKB4HZ4Y9MyjpLQlG8KJH2v7Hx5z2jOWTJWNXzoq1bT/L0lPHBN1RcsE6EOy71O ecaqhC8XC5NFCwGqelzuZgmAaGjcxejFETFax8mmKOH76cxCUtsSl4c72dF2owt8wTofwi99koPM OAERKsmNsbub5OMqrrgeVxq+QOxGLbed6NVz3cPPScGcMkhYJcOumzFCnVZQH2jDGFQeIueGTntD iN0RdhXVoXVGFsCcz2yYl9eljo1HxfBxceJ4UNO7E+yOWiyYlHYUUiMovvYSfCz15pltJ+ZoIGSz C/J7D3vco/HRn3xRNHQnIOlLQJ4to0/ix7+cqmqv2vCUxrg5BXQOauB2hagOfWPwDmWXvvpuWbFd wSx9L+Wa2/p8dmjZrnxZAjHWrySp+5vh166hHQZIun68tqln5bqzq8euhv5TCj71wyWF2k1eqXvG hOUAZP0yH+Re+w9rnoGs9koSLFreJg2kELQC95kCjKKauVueuFrNIH+B6uszeRVQdEEnjm3tna9z 2or1Npnag3tC7/wds3LO+EZd1dYZEMujd4EacHB/E/h3R9Qcmk4DjXAW1IEOyDl7Wr1GJY+3uTk7 ZgsscUpRE2eTxFATOyebc5CAw04uXGHG86hRiXpWM3EOmDBWWF3lsvYw1NlNI9G4nSnJf4q1zglB h0wJfwLl2mA3Hv+sxrJ1z0r+S4+DwIySbPeKcG5xyEG+aSTcw4xj13sjLZWuBOpr7YV24ZdbDqSC dtZlFtEuSOjvi0vblu5pTa6pito17wh6yJ5KMBv7c7HzLluC5JGvOaKgeN5TqPRaFcK0iVWSlZXr dPYNmlNWSyT2MvYkOgiIxoZhxn+2W1fZazZjcSM8pYZkoMaEmorxkijBU7rpvukk0gvhdd1NOHa7 +C2t/94Yls9ILsdpHuafRf4hg/6LallO+ZRDh4AzmptaaX7lwNRT7UDEcrcmZWR1MegIK/rD2XIc RcYdx0LIyoRQpglHMZEqcPd8nTpwVDEDtybC7Knav6CyIkLBEQ/TCeQ+/Rq/7t2YCAVNk6ccTfNe ay6E4OaFEhCRVlCuUGrazP5rKFx2bAzy/DIDNbOpAFrR5v2e7UwyenIInDHMTp9gUsZIhJZ4GJnc BO4anUGnC1aiUINXWGOg5OlQK+nSak29u7EI/nMOhvqmrCddUyJyCe8qMVdYGM/qUy570VmaA4pY FKuGIDTNKwGeDvtptO2t7nnCy8/R9R+Z7z3Ux5nDCnr9c4u90dl5r8eZ7fWYD8imKP3UVWsQWOn3 fOhh6Bx+S42gZQux3hJpTCJ+wx4HHCe80lcqNQi4WCMIxy+GJl/5C9qzrAE2TdCikJgjkqVGn5lg 7XMgmlQvqOQn0FqD1dtIuafdSRS6z6UnIbDECYuz1BevIDjLHUwDuVZcmJfBw5pLQAP77bO53JPV ZCnEZzJGs/U6a+yEI/3R8FGo8wT4Y6uajQWVspc5ccH0kL1XPg3tOwQEuiFOPCXWEp9UDmW7Em17 4V591GWMW1V4+AKbqAdzyGDo1NWC51D5mdOHoqYiJDgtrLCwD6Ir0YTOXi4AxabFxIwVMIEedtCv 1IoATOOYnqulayKc+0n8P8e99RuysFMS35UyTCnJRnNjf37LXMPOn4j8b0rzzx4zkBSZWaK42kfp nFdw2nOd8AFiL58VdfYrF4FB0gucVH3pBPIoEHtRf+f7rHZnt3S/KeikqtQBbJht8ZGZrAPjD7bp v/KzP9kpISu/CFeBQtuiAqlqouKv4J5gJ4yq6cYcDWTOK9nw90PWvHoQ9UlQTwvCz5iYJ8/QbNGY w4aJCJJdpq1BDwgdBX2gWIe4ZHD9+M+TvZh0ezVymEEOa07oR7kCjvgvzCj0CYSpsFjdntkB8tuE Dc40oM3XLX7sHmqdyrspnYLiAlx48ozLDZKaEhk3whnV3nldrq3t5Ery0wWdRpcQd97J6QYcU64K pajN1RCNBQlxHI4IbhuNxFrmK2ufw0B991WvcOahPT0KoO6JFVelzPQX/j++tkBGW/XJhRQYoCAk T22IgcI0ADmeSVNOWza3/0o+tAGLgMDIBdnP8nxNVqq78rQGFhptsTZBnV7/bd2W2DiN4EG9Ddx6 WL4t+ZtrZ1tCoAgyxD5CkscfnY4JPCuen1o55DEs947Hcn8o6Br4OKNmHNmE4xrl7ihBjeKEud0G u4tnws9/3JpVrZiFZqlkxd4d9wC42/v/16zZp89/fVbQ1K09Pi5Z/OFQhtG856BU/GFmyJwNeGXB imsJzX91rJbY2hvzHeoIeD0PiG96YiRCLMc/2PzAXixDcDZIjbxXA4h7QygjBUKm5j+iGXCswum2 KYIkvz/Obz9Rq4WsvLGiVmtEuU2LX2yY8OUhdTNcZW5077K1WnIJjiXhAldYZLJ9arI3vOdUuwNH zrPVKIJ6R/hWgAw4gn7ONpEzUgUXEZj0XnalsMU5yxpz/1zUrDe9KzamdJprlMdPwqK9aOQXFVko Bfxg3/Ls/SiUFTFNqhByeprudqWGMZ9MV47xBLbYIVJl3MbXgt2FFyUowHOsasMS2jO0doxjoS8c jExNSqir6AftLa9rFfw/IMM1Fjgb5l05ilW4ur4Bd4IGuZ5F2U3no5iyRVof1PXmSxY/gcV04Wjr jVSGClBQg7J8hkakS/hW2TRFmkR3g9tiN/3rzm52hrdjMuSil0LM+era3BDJNsNMD8nlGvXR4p/x fQHvSKBdvzt6i/1F+swvbIehZkG0ycW8HG1CVSmEjadJFlfjGrw9bWo8RjMYxmxLYhyaZO1E4Zfd /iiSpFj5zSOXd7Lt1yyyorf8UJoxTQ8u+Mu55LA6cm3gi4KaKVD8pDcLZx8H/fX9ktgzd3rCX50Y cFZZrWWKPHCcRPFaJ+d9clPrW7StBCj9oWnQPCO2suAVdti8SETpdDd8bpLSSRTrOiybI2zaBFCV 8CO9BtlY1f7LqJ8dYr0i5vPHRNGb/Vx+rYRwRK3sM42KNyUiaWsWlwFUtqsE1l9GC0zpp9LL8/TW l5pn/FIXUnEce9c18kQOcfIoP6lLbRUdBZWCnvRosz/edTN861qXG3S72bCzz5MwAh7RvqLhhjF8 B5VksyT0DU+y0zfQA4myr0nFW6viOcNsw6ATCoxBhcJaULL3MitWt5g3R9EJo2AVmjg+VWKZDDop mhVkWnEHSJTTTQnTo2btoZM5LaSTZIkkyyuUKr0SaEZ+N5rVjXGu0oVH7QuLF77a2rTsrCA8E6fV 3MCx11UO2tEw6WL+CC5XCX16pMIPHdYfVxPTC5GF0YTTevcmrr5VtLSxoQpj8XVLz8AgNmRjF6jm qjymWRXx1hOlZMd3xZMulXbcu+nwd20POaJ8IuBXAERC7R2l4WTk+ZawB1vr9TJGaZmFWsb+b52v tlCTX3/WzBlkvLaGYOHf3rhKmjHni9CBe/TJSx4vAAsj/wmS2J3jyhdkdlAPGvWw2BOaVv7PS3eP dSgoy7PHcW/cse3LyXsx9Jr45TkykvulrkOrVJ/zfLVvRQWDprStRtU6akcnMdmgw1b08lwhp6fh XQr1j9D1hYiCO92NL95lx7uf7ePwqKUoikaXI371nWHYZT4noMM4naJD1odeXzwfKtz8rZqt2aAN UvikwNKtjzwysTsA4IEJagZV5mPum8nDHCF6+9W0qzyYxKAzYXzDJ+tSfS+Kd3DCHv1VShOXu6sL CqnhRqnwzz2lsCoSGige8tPE8j7ppzsBvsISnuQbLj+YUkFZj48AFbr/1GSEfdumyLa8NpC1If72 9YRFfpvayAXvig5TfhrHEHtoPTrbynSFf4o7S5vwRkDG1QB+1+f87wJka7w92iySfwrIYvMbNC1f howlcwFlxYl8qAqc//q0X/rkB1ceAtNWfH2NfVT0GQJXckO/lTTdHOEVR7uLGnks55vtn9+mFtwL F9WgdLccn5EEIzobHLOGLm3Qt9ACrYTUZK+RNiuf/oHuH4kH1iWS4DMTkIpGqzM4DIbzkyNjQFpD bCOHQIsdtvXgdkqYTdjP8VrGuT83Mieo8mHnDFPi/aq2PE/+H/XEdCi+EW3Yr+FkJnc3Cq+XwzYM YDs+bO/LuPayP8Zao6TzQAHCg647oJlfXJoq/H12aU3PrQPzf2x+V0SshxDbDFwCb/B1rOOki208 tSdbWluVMr2BKpnqRgl55LR9tTARdhxKCN/5t7iHqC+OgLVeYnltytFeMlKnPHkGB229qkFf967Z 3ES3+fVnFBMfSqCEuIkDCKUe0Ca1IknXCgruwYZjheJbFeBwWyU7R0fCKoVNDh+gCQixNoxddtqp SNbZrvvhZXog1l/7A0gvXghdvbGxtOPIKhQXuxKOjO5dFBXNcAuWPPMh/f1y09mlsqm7mqEE65FT ni9DEUaTb3agryKKLDRKYAgWHic0bIsLprI234Pje4PYp5Us+w8Dm7HDUoZ86M0cy9YnhHUHti84 iHZyaAVSKv5RygNgPKr785mbg1xVK5GGns+nzOStDbCbwRcBE6OQG2mgkO+4ovGqykzVWxLHic0Z OuEx1nSNM0MgA6uzl6LVjTHzke2S+6G3c13+8l6Xv3RayLKdUVu+zmaknRvdvcc0SnV87tjbTCK2 0u98GaoCgv2ag0DcanwFIQyjwQlSH0Rg+yITsRLfTyFujMh1c5EXJySKKJ/b7e30KpsQNDm7fZ1k DmcnQTZcDZrIrJ067B7K9zrZi6RkPZnnIFp3Fcu6b1u0J6lps9aCM/WXq32P1H/rldIgQZ/FK2vC HCwypIp/zE9ZG9n2b/sfUSkE3TA0K4mguen06IU2zSkALpk/TjFebWW4WPNy9F774mlb7lEwV6Az Z3Uct3bE75notm+I7Qr90FbiznMcdSo6BPcw1VJxtY0r2YEKkjK4VOko59yIKy4jFEE/Y5uF8pci DxCL2khXxakSUpdLaT6cKXPrMfFzNjMaIVl19UGW23z8er+nZKvjYQPp9DoZxLvlbkTA2kVbzgWv skLpQdQALXm/PSqFXfn5755IzTfkTnyMPk8E2pFHTNbjq8bB+SJO1r/bercfsnpfQcBBz/yTjfj0 ydL3x1O8hq0RjYIef4pP2DkbuGIJLmm7mzx4qhP1iQ6kjG3WWz2SbEgSRLWycJpOa51iPg9/9qy1 e6Ow/ioCw5uJIxdNsAT+4mPk+tiZURZJKGQCS5pvI797UdWLBAIwZGPttc44jaiO7eYvOd1r7cq6 zaAUkyO60O60hwQovG4/dxGrsYKz01/0ZEwj526xct8kf0hd4Bh3cQ0J4wohmhGalT8fhH/iqOmJ ycLHz6ZZQvxQQJNPBlIA+J6Zo4p8NAQVbu0xnecgfNzXF+usExWVCKM1hT3OMMf0cHwTlPqNmxf9 +bzQsH9rCwJ0iBiKKUXPdencaUeYth6YUN9iHc99fzj0R8THAV41/cItb9UbVPc8Qq8NDlYMzovT J9s8qHYQTyE6JDoPo2SFgXylc7NTSk7J2GkJH/mVWQ4E71IqxIDWzj0C1nJw/EEWeiNTyECug11g OsVAuLDyPbY8ACtqJn+rQLYLdbzIgdjaKpbgHZhd1BTXIRkQ//kvDsxGBd8h3dsSh5JOtKu40jvc DO7apX+ObeKTzqEaV8E3ocZTCKIcuWWh6/iA5VHd8ylK9LeZLEPLbiCBe3l9gMQkk8iJUOjLNN/u ltXbdHCUOOt2R5/6MybWB8FbECeqvxHH7nawORP4XJx0PKP1/JiUQvCn2Hi3rcN7k4OhmkD4myYm CM77YyBpPiZLFanmHJDURx/35fOPMnY7bI5AEdnj4K+7JM6xAUSTX4q/nCwaXqPN7rO15nnu2vry Xpc5TPOe/su/Ygicfp7SnWdwII6yt2EyEze9UDdSNxmGDE4qXwmtt+kB1XRYwGANj6BjEhAd+KRd RrcScuJtsyWRSelrk4yTs5zAIITRZHNdNiy94kKBsUW4fsI+KdZbwimLFqlfVOVtzfIdWOcduHiu 2ld9qkUYht35SxTFlrkKA7nGvkLhQkU8KkUalH+lwhdZQgqbP6I/0zwI7DVbMYygnSpRpSEP3PmB GwYbKkInm/zeMlNN+HmYCXJIodWJ06gG4vxJAq9iEg7BtX6pgdLAhFurdS4a6sRZL3Goqonv2efV TUPy5zimUTEgKPZuDWhG9y8WqwKfgm/LybOfHH/dNBxtZHMJEzBZrkT1p7VRZTq1BIs9dT3SJSoR 2hmF3IsUfIuyyDhWTp44MHuWaoj5qo5JwGNmiaXnU6m+4csUrWqpTS18RRl0ecGKB6z6wx5aPV4U hpbqk8UaRHuN0G7OxiFlphEyw1WzTbb8aEUtkXSJiOEhA/TRCBzkqyC0+NQ/ZYDZRG9CUx2ccITi fonjus6TeujjC28vtTajMublK4gnJDwUBtNa1OQcgPoUV7ST4mFtqy07RcWFRS7aEKKeQaZRkRsW 6GqpzIYIPI3n3PYIwQ2GN16DQzEJhJNpJ1LvPuxGp1ASa7rN2hkWdR4HjmUdsc7HyuZ/Tg+J3o/s HhQJEJT5U9VXlTqf9cnphsGKftXtAIGdp0AI4TJfxhWl2Vh4FQEL6cEGqFEhdAWc2/Nn6mH+0p7S RrcztnFZRvuRkSgOOEea5J9doi9LaIdRNvZN/AlEXzzg3MnN4uRKCzFy1RcwyMueJsbAe4t8PIT0 Lr7jtEp/IZkGqb8fITQoOrSix5811iQCVI9ht+DeLQ8raCSdVwCrHJ+emP+zHRtu5a5EGNOQRVpb j+r/k9RajEaQkvFvhgzLhE4i8yr2f9rm1b2F+zIhJwNhsNBSb/uZdlALKjDQhVaL8Abpa3UMcP+g 7fa0yWpfq1+4TM0LhkrIcdcmo+i0mv4oJWDv53Gnj7481/1WkQ4gTcIPDUbgapHNgXjcpO03QweY nY9R9J3A5DLurEm5DdGBegogCTpFSBdjCthayinv1CKJPbzCpl3hExRkil2aTVbeF/eMv+qfswXL Qz3RToLp6Hj04dgX2zaAJMIQ/kS4GG+3WMaV8Pz8PHqZgeGeSn5Ob7UK8leiWLDCMzfiVRui4BK7 /fObM9kYFRQdXXM/ouGG7XBbk9Y/HtWZ9xYn2XuUxpd+oSm0+ViGesCWlXA5cbX+xDiTS4ziYcSV 4k1d7eavaYyBd8jVtG7ocr+8jsafYw/EB5TARd8ufLH42Wc2c09R3WBpXRIaefm5gdhL7PQdHF2T fHd9QA27mq2JzYjsrtyMGUEmXAwMSrqkwfGjXldl8ZGzymXAVE+EczSLosmFzl0AVcAD0KB9U3AU 9QwyXcWZspSyDZfSZp8z/N2QJ+iW1fuFzJXfkp4N1v98228cQPDZrQ7DAXjPRL/nfmzxfhBkIal6 +j6YFDROWi7L2fl4LU4Nn2Un+9wu038ciCVlmDzKtpsIScutEmroMJHdH7sCS2LNgIgGB5brnizN qwdnZbHhqC7kEfDm8HDXNis+2HV8LjOODKfpcRBIhsY4RwTHlf7VSQ4JRFrMbChz0zSQjJC+DIiM UQiVWX4HKFYFtPQ4hVLkINK/Fl8zyARFs/lLmRWdyERs66FF1FvVFk3J0v6NSbxqX0OzLF1ri4Tt RkaLu0JSJI8p3WSGk7YnL6Hml4rh5x2rf137O0thwMfKtgNpUrgMvoE/WA8aPJ52fRy8bvN5gpZo HHkZOopaiz8or9ExmCaewxi1k4nWZSJNr/hlkDX/UPpJfCwrqyGBC0PO8JWO5vSYvGncWmck3817 Y+ci0EHAUH0QOUUH+FtVO3LICJlKgncW53n0ClebQ7tFs9efd8W5Amy01E/ysslB+lQPdjaAxDfQ S5sbwbevN2guA2xMtpeNb+sZ6jXLIxPmTAx87TBHYZnOl7VSQmkE7vnLXgUW0bLL/bsuc/mdk1M9 F+fZhE6cJlsFkmb9Q6Hh4MRspStgPpQog4vC0O9Bn6eoCnmTI30sfkSfB2NJxp5usL7F2tBlJ3SI QM0fxtxg44UglQvc1Y9NVCJA0Ulz7ShB0Oc0KjyEpJ4KJjH1INicvsUCk7o0PKvvnp1HKF73K0zc Lais+5z1XmQuGlpmcP9Y6RM90ZVhkpEYVsjaT2VMzN4GDSJKtBxQ2LEMTho5MyTNskD0Sg+f8CT/ JzRl8xPLCiL94qYJyTaarHD2kbzENijmmRTylYhlnY6Dxt3kPdN9vqaN20nG97F9XITjBZIom8Sn cfbUz6kzGVCsJQY+adEczawVJgJ3Imr8bXiSecKl0avi2HTHj6yOyd8zfgl6ko582C1QMl3O6yq9 ata3DjzSLk9LKhaqD6tANqCPi6GSBwp4XvpsPvsx+0KVHXmdQLYLsJWpprVACEtO0/Z62siaAuXu C1pDyLF1pQLJ5iQOdYxkN9cU9xZoob0k8k1ExPnXiXlCqfxlihjmtrIBgbnBN5mEebAwjF9DsZL/ vm2ouadHgWQur4vAz8jkDHmGuPzJaS7BTCxlgrsgvvtItBxPQlTKjqnMJgV7xX7wSY9rS5Bujh5+ O2Rzczcj70m1PCERZiaMRUPIfyObgiK8w7JyFWfa02WOu7Y2Wq7U38uX7W4FspbCAWf86SXyAUTv 29eub7Nsw6Q9L4ve5Hd8VJnOI0LsDeehMC0V/EnBYMTfMcOwwGapo86NA4qciMOGeU6rq6PZ5/wf Niz6V3hoGn/b5M2bPTs0gC5v4oi4V4LlAFrcVhm/R3hZtPSpwwcMaDNlUhiC8ChaGsAF6+YtYGeZ zPo7jMlUYWTETiRvj27tM11IhShHRhQhDCpyqsbi7Xo+Mhoif6fUX+hoMSjJ7ze1Rlqx3T3+sl6y 1T2lknv6IOTDyNCiHTfPYdF6nCF5E16bC6Y1TdYuIXdzu704y0IC8VIMY8cRk/xQ9M80lC5d3svt glJVzgyTE6UyRRPOZW1uLFIqHl6ChYZTU6vYzP8d2QiYy9k/3Ny2umAR0rwUeZvB81AUH0XMCcMI j/tB6kku3EfeUVIoYmbGr0eyoqgyWkdbYyLvfASjdkuoXHXPUzUpsI2qRrDI5avISUoWSsf9RddL MsJYPuiSDyj/JL65mewy38CVv+IFOea1RTRGvkzEXQv05YC6i0BXsPVmgX43fJdf+muW7cxgb01f cI0PHgxSmGBJ80P1Iwe/tvTJ/CyMbrqjh6ygm1Q68Wk9UvOAeSu9ytHxxlkpOTW77gB6alo4XLKA YuIbrtmfNNjhT1RWHE314WR5YxCY4c6ZGf18TuDzuSmnL8LH19BEiW8WmKPphpU1og1/aOMCF3aH z0FIsbUxT0LcOaWrRyuBdW5Ipuz7FZBlUI6OdoI+kUXeSGYzliL5teKiUW1iziyE0hb7cEnMnN39 wfgCdf2AFqgQze7NUvDOB1Ht2/Mq4u9qdocED9cutV8DTW92pvr3rQvi/7Ulf90GGuYuBhiyJD9R qEpavQorkBrnYgoyGK7+XAUaW8vJ1waZE5hkpHR4gRkGrHATbMBU/ibQDpRTOBkTTBTgzfiEjVVy KZSYJk7+v5qJqsrbI4gP93QaFhHTS3j6euNaNvN8z27rVlrBUJTyl3hIkX/U/ky1WQlPYy2Mk7/f t9nI2OWLKfEk5sZ97de+YtU+Q+sMO+36zrxHIaOO5irCObAqyXB4hG9EjltMz2UfACF+q31iXjqC rh1f8GxXRwwT6K/9YMHYvTInpG4YzG/1J+FQuc37nog+EoBS6uaEjiFDXayLb4WmqAS6MNCu+JPV zNyXfbZkndfsO9WsRIt2ZB8j/z7OBvA25aeqPA6OOfPHYkC0DvLMo9LmvGt5pAtaI5BtB4zYnwz1 QywxmOYvTW4OqTuadDhjH+GsrsLA1Ld78brHTYh0L1zdwsiqRaS1zKgelhyOivCyItpQLVM1qgL5 F7qT96PjsDBv8o8OQPlIc31Y7JUvS0bpipBE9GpatHk+Y5o+oabbA48UePmf3YOIUaSFavJYA18x R0EKmf/D5Yi6oVDOhkIqU5IhZFN5b8oSZw1wHONlf5XfByu4akrXsKHgp+D+8OUaMkMi07fN/aEx OwSVpXmK47qdmT9mcGQwj+GEEFUPmpu7krbTFIGhnPKOFXwEc/H2aZNxHfe+R//PT4YL13LyFZk4 pZXDafv5RSykYCsekYp1S10kn9Z/V5Rq768DaCePVTcFudP0S0wZFR4V+Q2BCR+7+iHuDCnxSqGP scfGXfjCIgs1LApzaaEYB8eWyyFIkQBFCrm/e4E6e9ha8oTnsekaenWzRgOYRB5jd89ZoqU7fKNV tnuImY7fQiJHO2O0nDC9PMiVNfr8z7dGCMaLHfyC69IFtCm72Z+5b+CQmtmJWKve69TbWGJXwRDT uIM9bkGwdzcCe02pCg0wToCgosGw2ktp3jRENe2ekosVBbVMBBNtRLGoIOTc7/B0H3xAWm1whw7i Btpgjpgy/Lc2/yP0lKSTbS97JiVqhmxBPE/s4DzgPmNUdiizQswQ0a77xsA4CWqo1WIbz+bQApbr DGp/VlNTlUkdyU6az/CKWwdlVFQmgdU1QpugTUaNedDX5+t0McXLrbHoBtkDgqxbYDdx3NMrFVmx DvuTGU8KMvY87ZkBzj2zfk8db063xGY38jmu8bEi8iaryXrq3CTdjMcH9fyfxzPsxEmxpOAaedkc pPiX75WzDNsybip7daUU7wNy7cTYL5NYYaHBzdwJ3kMsspHpdk8hW8GIqST7jnZ6brkRJ+ry0Pnt 2ymaDzffmvtsDzPIcn3lL0SuKF3ORiXYmk/WXFCiIY5rDAa9oo0VJwOf68CdDlq9Pm/WEu4urZFn XM3pXznNEWn61iBW8pf3WEzaHCwb0JO+nV2ZNbjxsqnFKh/LBjk1Rg9TtZuBM1CN0zBm61FyYER6 Tv6vCXJK5EVBQ7iAwiRvhBJMSOcAblIFf0fxlXUEHs5QLAiRcWsUegfLPO7+il3si7O15cPIIvoQ 1XtoL3OsvdZP1i+QNUIBynWI0ibFZNjBcS9qLeTg9+C/aSWEuMafQp5RIS/Y4ubx6u85t2ZOHxnx ajq5gwj3LWODtYMzIAkqzIbCmI9PX5f5RrBNHh3/acqnmPR9soMNkXqxa9NHKIhW6PTf4XN+HACW 8lQW2IL1ZPVf2sTqVfiYu0WUZ3e3/uV8g0QtBdvp/kvItkZon0PsCae5iZhFinZZ0Lr6a8w31VS/ bc/Y4jBCcWv8RWoqHsdLsI+1catWrQExC1FX0K+ZMF5DJ3jwDxm+vJzaChMl1Ap3VE0+SN/C1oA4 bL21hySgtFRATIS8R03537vKQCcgdB82CHKP6fHMnPzjuNz+N/eHTZjsueWWRfvzAEUbQzih4Ugv gkc+HHi3GzIpjpYTt7FuoY4nhgOMgoAtMn1JefLNn2SXs+uYAOaVwgLsuiTR+1niIHS7DYE/AqCS E4vnDTfwTUKIzr7khJSNw5gajacdkRPqp4gptWSaU6uRI8ztuPbefsG+Ac2wuMUf/0IKuvTJoFF6 mzM2M/y1c3S6hUnYYg7FXrZGG8aGtHewNblZj8kLn2MBm/RUfXgYwjnVvMBobi/L92flCUtfV3/K WIUrWQBpnVB1EKsaVGvXpN/a8RAJt6clO2Sv1SJ8pPOW5yd0pGT/m/qRdPMFhR6WPTiYHNk9NY8S kwz9Q9wuZIb04Eov2ZzAelevvRfQWgljz1HTtny9GiKcv8KKdcV4JtTNC7wZb4xcMIqok6pcF0zT jHNws9ozv05n5VDy8W+VJNQ79AXnq0ELt5XTDipfcoGCQ6cPx2RwvB/+7LARiKseW75OY1mqPyI1 0o8+tU5CU+iwQcPclYc+1Cle4keN0VKRWL6KHO/sipBXEZVrAIOqKtfgy4OE6giJ/v8fXkVG/JIV e/Uz+tJ+XkgYXBuW2hQu1N0/zvKYjq0JBK+ppj4lsX+FmeDutPR0JWMScWxVsOmsbxLQo0NqRc2a rtMdK9qDZoaOgUklsLa23807dnsvvO3g0bxuAZYUcFvXMIcKy0cIqUmWGa0UvZeSMCQW/M45FQOQ 9belK0cvrF4J4idoSET0ONg3xlN4uGpTWIq/IUyYbZKs+WzYPRMM2qdbqy15qxkOPV7jArsl4lj+ jVNrn79JX1830pNP3luZQ6B6599eGAq6F1g8dZEPIFPZgkQZJ6d2nc5tvFWO6mDJiXE7QE2bJ+jb BxVxX8P07+kXLcZgXNfn79sfUqdA5WiJuQnAMKupJPSQOaD5jgfj+9UnHU3SA9PndVs3aVCHzOGL hyHmqRU0QNoeEXT48ZNKkbmOhuBpx6HlkJXY9amABWQD+5gjXbf93en3iyl/sgQZ37m/37tTQsyT zdcofZF/sYNdSZQXKdbU9X4gay50oDqF/lwlSsI2cCCcLT2HYasptyCri7NuiJZWdKB+66SGf3Bl 6qzvYNNpHH7GRyy7f6dIz5tZZ4Ohgk5CGy4bhfE/Q6IWRH6y6yHV0Duaw2xabL+kOI+8Me/Petvk uNuAjc9SwBTtvEAp5elcyXBBsRcFQo0mWr0wSaWsSSnPAFaTt1uoINSimw2HC006qZbpMut2UOSr y9QI0sxSG8MWxl15inDIjFZGFgrDQQPRWEBdU+GGQCShQee9Eg5KFOtGCwXJ3/+qVlAPHorXZE1u kWqZL2j4PLZo0GQrN2eV0/PSe8hZW/ye+msBXBG3RvIV2+JlUphevCblhVY5rQo3ATFUWSq4qqbo XSl/E8CvMb22k9kbwL7xOdV/1PnxUewapfieFJm+XvHWBHcIaooEPuq1/0cH0XpnvbxZp+XNMD2Y XkQGeL1u6aC9SUg+T+qaL/gK7QQLpuNVAeMCfwi07CGwlij8g8m7pcEEWZjemUmEIapZFHNBrBzm LYSSLj1ZWv/sf7QMw1UGngJcUdsBlJDTHg5ad5m7bBSLX2xSS4U6QTkzWSgJWBpT/JD6mHC0CNdO AwOtT1V3t2QOabDjDp0s4SnO4fWrkwzb+cqZZiluYN9cQ7LjhdIBD7YOtLHJkZKHg50HgGd8Vb1o S0aQuRBaWgNdrE9hDr0srgF2uNDu1n7xk1JZ0+JgwjKfEqSqYvOzHOoHn/mXo+wpnLk79w37w4Ey /kriKoLHZ8x4I81y3KTVLeaH/gt8NnfChRaycEpO3TlXQMS77LEOVC3vdMt6/fsyYwQwvJfxzPVh AajFEyoVy4VHqtx5krbjpAWPDmdzR7fhGDkDrEspIyHf/qjCQxeRj2GSGoUn0U5K4Z35AWrWNgDU /slVPS1FPQbo77QknRuv7W+5KhhuMBf0p4Be/Zwq6847Svhl3Bb75gCfsqGf1gOXsD5XBdKxRIxM MzWmFLT8cUvZqsIC8q3hpaq0PfTW2Lner5YHViBWlvj9z6yopI0Vhl4m3pZ8p2F0Rdc7RNtHZwOm 34fmBQNsEBK/y3UUTyBiEGV+FTcrupZyJgYkK1076riLV7jU936NUpguA0MfcrM31TPMU2o69aeS F8hT6mTdOzxSx8Qa0Q50K55FIuKGE84KLZz1OMEbuti/KGKGLuqV68LEC6T/TnNhH9+fn19JLdTp yp/puu5xSYqYmvgRJri1Dm17H0nLYz+qOiyaQ2ZKKutYxe48rnZy1a304vMI3dzA+Ur/m9brhFEk 4AmB7JdwssWLBD5fQnBtWnv6wQtd2197sKb3g7A64QGLu4XZLe1PLKEeBIPAdOfnNsLK61VGB4PJ sU7BoXuw7eQsiHzyKs74C8FZ9oYR1h0QdBIyAReyB5WYfoLjw/A4Mte//B5KgWGMqVeDOHQGd2W8 G6LvMB/Tysa8FskjOtsrBwXJC5SeDrXzcVCyglzeyIWdx7RAu5UibBOEsfzu9cDrjdg+9PGoZsWq 3cQ0LtbKHijrcTlb8T1Zc8Ak+t3sMXaPs/jepyJ2I5g7JNgz7C4FtvauFN5BVaVTPoDrII8P0C13 Xd1E3ToeCyH7Da6oAADKfLhXwmxMcfWin3cYtmzu+lRMc2QZY7ctPSSZFWJhgys/dvW9BZLq78zy JshBDGZ9qpiWMYD/T+tcPdA4bHH8kc9E5TVtmeNbwty6XTiFCiGRZhlC8BvKypeIXPHVYoL1+H8x OVoEZm9Je+zf4G12PraPW6DkbN4F5/3mUq28dFH+jTqkTBpgcM/ScojmAOxmqVCumZzeyy5X0P5v ME2v4Sy2jZsLrwYzOmZicJcdkCp/6lO46TdrLrGGxYy9xPIEr3qMhYQlNmkTXVCwR4Wh0uP4Prag pDEkI0RzFekHHX/rp2WpA5M322ofyouuB5fYqTwigwlfSXhZZLjFSL30Q4akMM43pelnsm5tZqqd saZXJenXf+dzhp48QzxN+cmzSZS43GP2CcSqYzWvwL3Ll8ghyPfA/VQkfIq8WLNVkImrta4/ozD2 TP2tABJ9G8WESpjIJ1/VyHTfTNaGNxdy+aDDIRKJI3gV61BAVas3+xXWwp7Zd6dr49PoGLsu3Ziv wghzRNIdwc2R7hu/TerBh+FQY7gnQQBLAaxQKFlgS2FmKBKKuRJtUvhCqpNLT0UHdhNSda2bocfY F+elQo7eexPc8THJvDWLCfOO4qeGaXCCnOPakCQWLFMcmhlwhdEghkYdBN2EF42Ubn5Bv71KG3tT IX0zqGCOmVAhSqSKnV/8VQOgeWYHv4Lq0eJN3xzhXN9TvJMAzYK18xyze5GRYpjbXLZlvANBfBwW yqx4ieN1xnNyQVpUlWvDzfZC5OSFPT8tqVYknMB8Z8OnfnUCqpujizMGdehgdddsXuyvzSlyt5+6 8nssmlTnPDKeMcfdU04KTRzdviu6i6SZAvWGkwtmY3Bcj1avi5bWn6Nw56Jjf4clto2JgqgzJFMA ijSLyySu+jsHg9JR92gU2fFLtR55GmesMRlOS7hWiuxdNL19zlKKvy0Gst6Cf20vaJUgQXrKeTeP QoyeESBx9S9XOWGk5O17oR31nApY8XSSDNDxTeyTz/hBC/MWgWsy2bQClRvdnBIF4JjTnEcbUXLU KvvD8dJ9yLlRqmseM0aKO1MYklSk2xeNFfZCA0rB6ogd/GEpPsKmCqg4K+WYvNH8j5rmAjWfjOk+ YmgLJLfmj8A8EuaLw5WP7PsGP6G3KLpiLzvbmm+VlPLXpymxsA0BbNa2aDCJVT+NVOaAgPzXC3LY mjrHzSCpuFORJuig4qb5KJFseFIkmXSafNwgWAJl9gn7vW3OK1ny4pf3//t21RsPgS4l/GfWcSj7 vMkGLxmkfPT68FCV5SyTABPH4L3OENLjcFhfCkovbZ3SMW+ItU9XRt4LBgHbMYJ+uNrK/5SJYapr Nb4ffISCe05F0I07elDq6oHAGa6mup9LlhXvovgCNrUYLHQ8jS7pczwhVJAdN4/I+xamgzHTOS4P eQaf00+AqFQXLjNkX3alSFONIeAkYQBcadwZXvyPfc7QMH9d6y11zLR0FK9sZSnEbG8R3nC9NA9m H+ri5E80oIQFMz8EPTLTP3dtuTHYGt/dkzhVjyeUj5bbkvTbcNCdsFUmytw1oDdSHjHddyisQc3S +fsszwK5VSWp0eWbx13nru3bYDZbmfEwDmGPoPBF/BXOAxYA8KvEdll/Ac+Lgd9wpD71fEPa6yIB ZoFFU2TllKEMYjKL+Gk146GoSjAhZWX0nfuv/0FZfwsg7yqdqik1Ektw9uBfTA9RTI8WVWdGmuM1 74MnBbzxnfBGEKjd80RRva2jMV6i0cTZ0lff5ijWNp3qJW9LZkfIyCfXMf4P/2sLdYIb4rl8SdIP xLYWWXxsg0v0J4ZLfvXpvwNCIUtEFukq5dG0syNcMVMKOvnRuBxhX4MGLouHIuangDfQGmVAe16J Vx5dJ+F/vB8tifRvMbxYhJJ3oV9WDFCWGah8KYgzfyhbOBSqwWa2ZwV/Aiyw0R8X++k5bdcNFAJt OoJlWZvJtaC3tR1vKixJJQlZoxWepjf69DcoX6w5khVojA3ORoykkBQRTco0gMCXZXSIqbhz8PJx jE8sRR+P8iJDc06hxNlOC66YofIih8Q7zyNtqKCQwX0+skY6Yp7ys9msmEdXojW/ptzOn1nQxHk1 ubPbLD5SqvFyCjvqoqO/htL8TZzs7gwLFmqtCDW77yfbmiiYcKiRrxH2RjgbbvaKndHS9Xz1nfwf S868R6kq6/tSbcmwIQ8cP4JbPyf25Ut+nrygSOLQz8gYvwTX1Z+cU3fuyTYzKLGuuOR5qTJbgB8H NGKRoJMKMOtw11nxfntYYf0qgFeoW5cYUl34PjbBaoiwFKgPJ7wkBpw97lUOn9jO3+V101U5GGCb AAw23bhU8OfEuU+68jaLf0uI56GgP+Y3+Wc9exLWpGwgVHtpWm+JkR0oAGIbnRfNi1Uughk2+Em4 TEMlFhu4NnFVIKk8HJ/TCqUJSnPfCjNWsoA4RGznrOLxaWnPvGJQ+mTmiUz8leD0uWnEWh9wDyWK lPXUe7y8NKXIDHrTqRR1uGJ2HvKXvI/JICk4F3kjDn71+ELkjXxDgsj4Tk7Ky0WIUwGDBOZSDVis FG1DKOA7W2wkU43P+fwfjm4zpHHORyMMMjyUOnpTYoWToQNJF0RBnaPj5YbHLOS8tP6zt++W/h9g HYxO0uD4o4Z0IGIm2JRLFV3dEkuoYl3F6lV9sFIoAdRPxldz6qbOlMAAs2bbdTVSKvlzn28MktzI +cFi+kCS+CYaBdqh/z3I4kdfl8fabnzorpKWOohODXhAKzNqUBDCqWpWX+6KxmCA6IsBqRHMokol OuutNFNUEmAWMkud0YOIAp4fjZfYHuNrTLjJhOYL9X2/0QZR+wO3iYwk/EA5qOXY/vaAf3rp/qS6 4RlkKlAde1f9WsyjkuOBrcx8ApuR+FAvrZwYDq5aVLEg9iaKzB2r3GwVvKSD6W2ORVwNo/DCfZxm YwHMvjuknnEV9IHfBMD0RrpGP5LmGMvZo1S3ikMO1P7netZS0kbgDK00FZJVjgPy3OMJlxmDrhEC vUMfNJbSJwpHJzHUQxHOuSS0M763bqJ1IKnV2UuTilPl6fP0JIM1HA6KbKlR4ugBlcLkZ3Aw3LpY GcrolI76XbMQZfvQ9bci0f/qIW/nRMmb0r8FBRsRXHPzeI85uj0mXbUL1cfabxBWWYTrIFxQTcYm 7oSyVwrebnrN4OEmgypFI/28MdLxRucuzNiUENJxKRM55F9p7wrZjgzS8ZIdfsIoQgzUlf5YDKPT E4S6gIL7Cl4A0Y5cK8Lvc25EDog/iZtalZ7G267bU9PSAXyrmviqn/MlQbm8tYiBgs4+4bfQyv6I Mdem4mobamVWGxJ+FGrdZy/CCjP/XhO9GBguiewTD65QLqZmqOcRs7DELI9QZ2yuE6oVIZC0bQVe OCNdLOwec/ZUJ4k2+UWZ8RT3U6HnkYBNIF+M0vdQcB4GX/Q25DAeS9lRQ9Al48j3vZJCQYUCl0cg BdY6P2vAoJVkGcu7yRC/qykj9mpt9VTmhoFJo4yXGoQ+F5D7oM11G+BsvaxQfybN403+pKtJbbnS a5qS3GA4kTFivlLwZkZul5XVfwmGH022O7QWG62MRXD0pnDg21av5UOK9pFs0ltNDhGemMesH5Pk f3l60zUuzTpyhfWTYMIJump4jrLSefv5K9KPefejCzG1q7IGI8nfubZKrgC4tevuQ0DGaVBux8tK PQ/+/sOZryR/IBKJlEe7JZRrcbZXNc1q6SyFwy8OOwxF5m4qi6EuCajNtwieN0EazqY3/ggNZMUZ LYwCn09qlg6WD68LGdvQWZVf9nJigY8pYjQqGUvVnpO+K8fqzP0qMJvaWro/v/Pd4mKm35+mzU+o QoaCMhLWKL4zN2ivGs0prUKmhdelSO+knoERDZKL6/FFLlXUDHxQYL52Fxx+Ps/BQLraicum9AlC QA1Z+ZTE4+LlnITcIVB1XXdR++kTezueDoUvE35acS0UxeSKDxYCstLZKPdt6bWwBMUccKgrKiZg MGovD2B+eV3EDb7FiiWfWNmfmRNn9JN9cJ1EVJnJliWgJDuLzeJjnO9iIf3xjqm0t5NO+FML8854 rA8ekPe1PYS2dRbeW1wjlHnHgFPO7ZmR7WhM6VW7RYNNqgugo2lvWlu6XAuulpJVs9RVZkVYCPtX 6ypflsPD2a4bpQQgbctxjdSRdGOwwoJ515/JF8mYO3althVyR49rn5CXlsNjThQmCJZDfnCtLxJR 7Um4p0itaq8wudaN9pITHEjolzAdb5ewgfJVItzJm1tHXlAz/DVg5ogCwz7C9p0bqNlNum/fHrA4 e9pGCzLaDlVzRc27PorpRFwblJXmSYmM4ZSZp1jEIdpvxzv6tyQE8Xj/OWwIQzI7H8E77SJbcZ6/ 8+YGFJ6UqkIhWGQc91OIKhFosk5Oi8a7t1rZDIq+ttFVGM4MVOJMLlqz/fLFCJP1BVdO1qwMXNpp udum5uNUwCx0kbSK94t6xIyXAnDmjF/p1+aZGtc1IBpx/p4t5PzIlMjn7NflqYMatv+pva//YfNM REXnLQEBzFGJQQSWoMjaDWrkhlenRJQ8vD/8zw/5VR/jsyVGA0PErWFDAyDqwECq2+b60mNxB+VW c7DUgxWptcrgwo9EbamcdfiKjKeWzGsEFn/+IcEvg9gkEEVrq3Qzh4GJitUzAdnB6K+TQmTVt47i 0oAK71EOfU8/45wHwOVWZqjSPlB5k//6A6bgq3jP87hjXfmbOU9WkN5PWF848Of6qP03wtzx/4mK 68lSwHhMOEp1ZWnq2adQ9HeVJFmqL0Vj2c36qmbfYq3NIa1OvRsBQjB2HRkRhshTF2T7cyjZmpfa iY8qBkp5sifzzUHpo22tory6YJKBMCoZlBGIZNTsjFzcouBEHTQeIHUvf0qVOD+YxBgkdh3xaWej 1IATMoryFFWM3WjoaxUWieGLMNEDcDySocqO6xXgtgXkEPao7VH2rVxpWPKNvek5DKDR35l9ouVe wd9aCNh7hAwLzLRGpDMfLAjvoNm6JYWwxd9QStzG0Kr3uouSZQH8AAFZEbqJMgQkct2tjKLlOsZI lDvmhIkeg6uhzdPkIwAiTJ4L5p47PvWXv3ue0pjcJTyr3DdET3wW7DokmLilmCqPjPvGXbfdjtjm 1jSDaphxR8UF/qReJvRnVQPtKKvgz9fOZoWKrqE1spc96eciacA9hROSsZ51T7LWRmHaLVrObuvZ bq9I1aCrvMn5x3ar3OIxnQWLCOWLvOn0g82IAoUG4AegA0IWyZCpaHFONAS5CUghR6AkNQU9hLVR N7eAz7DKEs7ymr/xW5GZDMjfXgbp+IW5j2Hk5qbBM3vzHOFVz7FvT+mknE2t8x/0jNAcirZx+0dG 8DjzjezwaigE8XvPM/ohh1AkgKEamqyWe1daN4Lbm5Yk3MTW2pLYsM1syxBpyKzxqo/A+7nT0I6a xb6VqbuIrEYyHdP9yZqogK5a3j+Znk8YTwxKmkkVXGhRCr9JpxAHMk3iZRPAlL9bg8KJShBEp1+E It6jmHypGpQxchaHfh9hILzhsIOcYn0lMgAGeAmJYGOe69SSrNXZwDIEGVGgPCVT3Jb4odsiMi09 xMcaZ703ab36tPF53a6cjTpNK4o+d1Nit3i8oXP5Fq6SDNHyVEuilsCn7HeStcwO2F6UBvLg+kP/ M76+/PpbCt4dhIZUTKbeGR8J+h0mA0gR/SWScRMs2ld+9Ls1EORLICaditQbmhBlQgDTMkRYzvnm Wm4TfXEgP6JBZS4KtVP8yu4KZXbF7uiI4doDJIoaTA08PYiZ4su0+rhY3dkCRjNFX1GFHuuYUuJ7 P/37JuANmlv+C5EKdzg6GbEEQPbrje9YgGXbPbwSEMEynR+F8ibc6fRNDYa0OOvNp1xmNor3UYF1 Dl3PKtcRQVfZZd2YS8cwBkxr9BsfyzEOKy0sVrOufs3qzT1saIPpPycq3fEyMdSVyw7E15rxV3Fb 9/xYbQe+RUGa5m0zgFXSFR7L0EiJDcXCAlMNzYYZfUNk+8gT+PLvClJACI5JFR9XbW/kIdbKcHDy Dq/R/rfdvQhJ+V8NWXf16rdZaMuj+8aCiE8r9I68N2eo8xx108zDdbN7UUeEfoH2hqfVYw8CcchR OWJBK9PQuRk+V091lqr9k1eGxdvxKqk4cOfZzfcanPTDfgMGtMO6oZwxmGXp7qK487ioDxPOWcml lNOCke9PVijiAE5AEbbHFjMO1VKWPc91PThK/pfOdjnzLCCFmc2ZXLk/fHAUOOUhAL+SB232U9JK oqWtbziTDCKwebjgk6fVBDf1cBp0hKxTilCQ53lkgoc13BFpDFw/Ht/PSv8fnpq6uhXPSor68x59 2ItngGiJ3G7oPmnJQtZL9EGUq+tqFrFJ/cS6p8SyNiw9wu1YRMlaxNj1abZ9/L3YbMVNoU4QsPmF 8Oj1URzsxnAR/lxX0r6Jn6ZZof/uU0obt5pe37zQ92f1q6Rdv/rTsFLJe57tAX8V5hWOuV9DbDbF wwpa2TkuKaharRJ0aExMgebzgWN+kcT9SNVTV3jLhFGM1nldh4yYAFyfHE49iRUA9WOWjeWOVPgs nNM5knJwJ7vKm2D1cMRuz2fgEpL4McHk/jvdRgTZjoF+YHPnfaBvhIVtEpyTC5mFYzx59kSthCrq BjaXn4Xdrm1TkiCWmO4+kaBmKisUGCzouXVauBpDi3fwmcXIUAnP0TkJ4d4BF0+5HuhuUyMkybuM fY6+thJxZTD0Thi+2eVPuGJ7rLJbLlcGjDos9PH7Zo7X5uR9WU674Fl30hvwK9Kj4rDPqQiJDqGK 0WsIuQy9ZYujFu2xSOd21AF4g1QsatQootFffGfw5OalyPblp8ZP3LJPmeeBhMIR252Cpe+pxGfN 3N4LE3vkVXNObq5OZeb07iy2/D3WcW+/WhYe+Ylq/nbkIoSS+VWoQut5HaavqruG5CH06czX+55G /0yBhjY4jhjvVjhv6eTt7XadSWqrl5PNMcf6cZ57sKVou7OjZljecLeVbNqB/9mvTDWJXZo8QQME BfY9k4pgFAW/DnX7NS8ah1OvCBNnszH2EgAbEOlDbzDHtK1On27VF/Y/1XqVfZ4+NiAHdCiyVXtc DNfPdikacani2LYEWxf4xDU+HJmqTnIoT7VSmEOs3xVYFvbnXPZSAWVyj9T3EGcmg7w2lXugDy0h tTTr4OjlteuJE+tKLQhY6+OJIxdQqm7j72m75is3XRJ7hwPQeHsPKEn8C5YRQzqqn6ZT/t/Nsg5b sAY/tVoEpUPfp2QPxH/xKI64gpG/w6GIdGEohuPi2JF8Qg8UFsSftu5N/xqRV0rKbcDQW4PTQMfC YsZWfEK1PgdcfQGLjtE/M7WDqH9Ii2t98eZXL44mx6RNva3U3zP3GSydITDF3ajCI3WIYaqo7Zav dDyXee1UJ9z0cUofLIyrSxn83YaktopN25bgmn0oR8ky8L1mBjA3OsUL1CqWhT/knEG+njuIVZu/ 8nhuEghCliUXENBWLK4zVJxE6DzmDt4/8Ty60pGwFmZVmRR0O0oDQpmAg+CcfmyTVCUIoQSSJHms aJAGBXqleFPjJwxaDno7r8XRDE2V9OTyIYU+mGcOM/5cE6EyjdI1DLqkzH/qMFOgZtVd1AuKvqCe arrqcYnbSQ2sF/E7eCwh08PT71CST+D/2XqaZcoRhnp314kpWfNFiCqhLxlP1gdyRrYBr4VXuwM3 tAb6sX/x0rwTq0vnOjLknery1qt5iSMFmzoPquI4NLPqrxjjefJRbMWIWYhjTY88hIUB2+AYWXQl OjVeYlR7EtuCXl8MAPwg3Y/bFJlcHYUF6UvUsiWZzzx7BUMJE7KlwZx+UK2kpZICOG4XTpxGsnAh v9w+oK5MBMXz2RXMH1rFxqjQmwkipLukXzdPsUjBTIIGn1sRCBnXnrwApgJ9k4yimHYz5QmOy7Uj mzgU03wdkEftqpXC77NWi9y7tkMAb4IcZF2NsoYPezBrW9VscB+kN96dehFAqoM9rw/eiWY8e4wv C0pilIPkFiKJ9TO2Y2NMcFMqQOYf75nt1kPyjiP7W0CdFXxAxaI5SxIenn4PEzH5ts06d9MNm3sE 4kdj83hOXFKbx1ljYMds7TFOA6qhb+QGHTFvKGYKtWYtofaOdm47M6ViceLx7PFpSYWo0YymZkAQ A7yDQZxT4qxMNKAEkjW808cJP/D2laXS//f5FCCcQp4aX40dqy8+loJdxCZDmuNeRibcKGCEVnbH m/Sau3iQrkJRDLzTiL1fed0Rhu9b9apbgNDD3h78n0oQM8MXQVbUqm5w8TBpm13XHTIgP+LAcBVI AHDN7WZjpH5I2NHrIW5CGqdj285oChj0p+dkKdyiROEKwQFEtgANpdc8aXbU4rOIH0zX/6LYCpFH 5BBafFfl45PfdVyR92yDr4SEjTsDLW5oFa8m/rDxtWfvVsNs3wGRj28AnWqWT6qWrynMpeRYm9Yc sKUtYC1BpEUYuxTawXw9QOBpamaiYAk+rGTYIhbXHLzMsk834GlWuC6OSucpSylRq0qw7RXITRA4 sAg/gQbb3+2tdPEV16/yRIaF5N2jVh4neHqLT2FeAFV6acipbI97lPsckmezIscxnUv1DsZoSpkW n6dUrTFHYiw422Pbfhm2egTmA/8nRaosyUR/XAqzRIfanLYO3A40+DKP9F8M1k/t+mxJioqoGd2v t6YwB4NSUKBGVyY2w0kO5zoc87jr6Zvfp4d8bAZkjNEDdwNyaWG9BVu7JBnsqDDc/wa5g5QOytQt h+Cgro5uzgFj9UxI2TJ3Snnb+vVRZyNQWMOHxcqq8gw0BBZsNYZdYsS7Xu6D/SsmOQjiaxWf8kjw cLncAXkfFSvPTgrs6wffvcQtmcingY0Ppj3oAvMBiLdaii49MggWPS9M3j9sic7gA1bPhdzT68fL hU6FLieYUkdVcUqHDj7prD3nO2gR+E+Bl9VBaKtYrbONtvpcpNzKF+vNo5EXXErCLokYsAZKWGzD IX8G5r7JN/80ADF6YOTD8yOr0XqnI3wEiHgmuPhKxBqotZwwtcw52oYdP1EVjAbJDiAgO+j80hOI DuEFcNIYzvWXFTjnNrp403Fiebn71p/SNHsJuznLSboBLJtAMvp6l6BwZVf5EI1PyRMxONvwqijk +FtolAZfop+gndZBQr2MEVfwbYVyWdPz8QRZZSjnqETDh8D4i8DHYmmLc5JjcoiC5il8fChFzAH7 AyO3rdtQXVTmzvybgCTmxAEHrmR/A0ViJd3MlTKZtD50AhUfE/uXVv6vm8GBZdfJ7DGIw0d3FmHq fajmkCQ1/JauzfGMaydIxxeSMSK291TxlmGwoIDFDDww7PdLKzQKop1sxcjvcB45e58WwTHnroXs gesnrHgCjHpXeLnq7Eqc6PD7RStOhhn5fRVoAjulWh0nnpmopO5/DDllIJXERcXwBwghYwgdXL/8 GI/dDQmneOs2BuDp0buDbpnC4zvMe5ASyxKi+AjEn6KyVellGbjzw53HUCfuE7laLtLvZAHb4k8s SaOwnZ/62hqWHtL/2wnyA8dsAqzHON4lmm5b6UTau5GCPK1k8dlDoeFGm9+UDQmtw0o8xSJggzsA CUd1m5sQVS42Dw5ockTZS/37ehEAMXkBvXiBMs1X6MVO9xWmvpVFadfgsiKOE0z7kUbRB1gm3IAo E+NEiS3XJ1MQDBfRooguJ9Ol0sK0KNn7PO3H8sec+DGY5dBi7idFnuJvxQQjpmyXiHYyZ3vbDj+j HGnAhl9KiPRzQRiPKU81HCdPbbth1rbv/Kzx1j8si1wShhBdfjb8ToPc70yFgck1DoksnP+yLz/Y yZ2bg1murlSEf81fYrVy/yyDXiSKKJchGRS/+ErPVlLWDYWDKICABsemVNd3MeCZZHIuu9r0efmy KpurbhSTUdYEUSybg/zujoLRwsQJyDY6zlFwY0pkIBl8LRJTIcIjB2CQRc3lMHoXleZFnYJBgBq6 pgsbCrevAfGtJMPzWOMEXg2ZWq0Yj6eZ9dp6W1IDsYRA1lQrB1bE9GWcpSKi2Qjv7pOy8KfJycLi TdOJ3mStEJpjEEJT4crDraWURMcicOeHUmAuGpTDoIKTTZW73x8zIPsi/kgPc0QAWZ7I2rbO+vmJ OLBSRVCyG6u8GRat/AUbAPcm4nlhLS9QnWTVyYH4ZmXpPXQnOd+pJ7Py5tTt9PrHpbBsafR03tMs dB0BoGOPvwGDIKw5ypoozhg3GGJ0ym33vVTdA6RBQp9og28GJGVDYm+OihP2DOjkXKO4v61pU7Gb Ad1SXuntWf0rIuTRkXK/4hyWK5D0zgEYW+qr/2CJ3iO4W2zIUma92yPSR8sshd5w8Me42sKLsJXB k65JB/0acGjwQEbPzEcBNYCqI8tBSAIdPZncNr1QHfppCqzHKmXkHaNRQS4WXZ/84pKDdXo1S08+ iNFTrwOPbodG6rbdOf374sBBsw3xLSIErrs3u4dnfuoKA1vNzBiLoEVrYWtths1V5lMVQCCU225i JMyYHXZpao3PlilCBmu5k+43Ut9X9KhTsQflukprhF22ua7okiQ0uEQ/PgRqceLYELEVfu9zfGaN 4TjBaFaiQO5zKHrLXpVK1Mp1gZKADVw1sLfYGU4NeqLDS2CufNMo5LOFBaPRX+0fYS1hflob8xcn Fts2MyKJFjpMY9tskrJebCaAMWoH9b+wsztve6V6955lUndTD8FYHEz1JE0mbPx+stw4ijuyI2l1 fBfb+e/Thz1/lpnoaQBUDIXLMDvDdGZoKqDjd+fuQj79KPwGMlW9C1EtO2hKub5IrKbE8j7JDKq+ KYMoS+e3RAzrwHu7dwiKL1GX38/1gixQHH2x6Vy7iqVZ02dIeUyFxxHzm9j39RJ8/SrJ8Q6GW8Ou bDOGaA5Dy+ToP1GmFE5P9DNKf0nV+5pR8dqeWKmfL5rdAkWtqOzCZlQTQsPuDLZZFLB7Y0VT/CaQ /mF3KYRYkznIWQ6GiGjvFz71MmjT4HPNlhkR3ciK3K71+22X4NzGIEBU76DF0aPC+SnGnQhpkz4p C4NfBrh140ucDG0Cb44vrS3sggziN1QxRh/5wvi9GwDV60mDCtON3sK1+Vm2M5rYiujWASoTgq4Z H7TGwMav4z8QR3IJCDw1JgZl9SyuS/V/8mITMnVT9+GG/mcs/FOxaVOVWFTk/PchTcxEyMYcmvim PpqF44NmF0n1wbOEpLX70spdSv2N9Jj89aNwRTHvtrkXyO6RZnjQ6DgUdMltV/KR/CCDH9863rNR 4ArObNZOjO6S6J8AuLbRRuPXPsEcc4X/pSdKulFSwGhbSv9Ub4RDEp8ajjKDavPmIHZiyNBX7Qdv FsFv//7c60URGzI5EpQdVqNS6FKo+RThde9bcstJGVmDO2Puc89jzHB3vYQjCNKx0KSrrdJP0xBE VzeafhrLo19zLFq0zQvMp14phnykQ35uUcdfAW7sVBMJS9bqfL5GWoWTufRP20giYG1eYXXqTrhF fkNEbEN65vkMntfmQO2QAs9o6oWdFykVjYHsXjvSVLku3pMrrUMYAeHqL/OwhCOqzC37np6GT9sX bCa9AEwTcF+HaYDcArmCzEdouBxC+fqttT9bAjic3+Flbkc65jtjowAFfbo96xGQILwDY2wfRawD +Mdfz4Ng7ijNe5KS8PW6UVTjUXAovAmKHjRnsqwyPCJcoRkUq/Sse0EpJocgOqpoi1r4tLtENNeI ol562HFVmNQPD2LXA6gGDV3AY3tdcNLTRfXB5XixJEMiHBRm3eqT+YzmTyHxqTpt9VX8T0LRluYf 9cf0GIEjlyfgbN2tgPYbbe16Xr83TZnSAbZIvZG8/3qHburXpVlLAqoDp53iXIojmhjYB3cmfewQ l5hSKJSET3Y1E5f6fDkkYBGhES285XoNfnVZCAZwyQ1hU+o3ELo7E0RuG9GbS5dzxDt1Tqsw0fBC bbvrv1HN1NvCgWbetb2vnlX4OSBslrPevCdwPOxmFjlS+/kNLw9d5rnfVfyRpHWasGNYSsHyZ7BD trib5rkjj7NvOc6SVrAv3mOQpTuvLc3TCYC06tL74UuB+5siZE2M+q661Ko4zgQ7k1EYXzwa5rYx uCgEGiTq8qqGP7QW/Lv+ijE9/O85avE/deabYNzMu/2TrcvI0pHaa5KjkpDO1s4aX6Ff3oW6FYOd CxReR0PQR4kSC+xmub2edRHqhFehwX1Cm8TKGMNJZu0qpbRuFphFKLNWT78xOtF2eXi54TrckmnX erQEjsUojOvYfMRNnxUZS8CbAcwnqhPESumPrL45tadLop9TE40EkIhOnQO6FhDqB55abr/IzUXf laAtn4wpUR8EP5FT+h0T0kof4M9dI4nZqRqsUsyE6HFLbSBeuRG/1CZtH+9rtpmHRFCeqEzWybTH ZQIfe5+YVvPYWVy2OQWDa87muh1n32STtbqMRT0KXPWQfpR+zfvxbc0/bg5bAORsT10j6RGic0gy ZN9BP5a15yplWq5tLj8qzgzmIcpecxcD1PyG3CcW6EiI1LL9OQj8xz2FPOYjPRWInoZTMuQY8hAo IRdgWI51sCTKOAWNm4Zv4HRwzHxOWe7P0IkNaZx9IzRR4vCklPJ6awWBxfF414HP17rf4WCNz2eb UuJH9qBMvLZT9JNBCD5kqPIGq7N792TBUVLXcfCjL9K14EqFKzvMp7Vn8h8FDRuo72SJpC2WO5id 5iQWA/T4Asj63miJ+9i/lxIMPf0cpgelvFo628qCyewOE80pT3dTsPjkbqU8QQoZOiA5KSaRYra5 zoSVTgScsPEjwCoLFLFmXhWuR1/6cMNASRsMcLiG5/q395ghLUiDGO3jYSRMJGMFsnJqvbS5yUKa fK2CuwXjTVqiJqCQkIEkJBKUef+Ttdzo5JRYy9A+0+NUynC7FvZuWHY332MDVi8sqNPlsyh6MAYQ i1/4Rt/7h54oUoQUTxbxVHLdK2MgKQvWZpcdaBH1fw2B9vYjKc2MwMIEGdtLY/NtsEo7VjoU0yU1 dyaLzWjkzaXr7qpnaOMHrOqNwL4EWBWOyDc8iOOo6PQ3zfK53hib3xXxmjT8eDv8Jd63f2JLP5ro 8TA1g7twu19L89whEjcmpvBTYOOmd01h6SyyS8YqBzUvG02N/hRceRCpHEq9AaaQiypj212LDn95 4gUal5BMJqG38eyMh06f54oNo0Dl/7Fg9bkNCuaKRjocNdgI3eNbCQGAWapcfxAEAQpaoxNzJ9CK 3EycntgwmVbtxKC5wGgWcUMvFUf8xi1BYJABh2BnWqev/4x2TfYHctnvhvuzeo1u0B5C8LQf6vcq Wvo2ng2DX387JaRGkEThKvkYmY4PnhN5HzLdQgj3lgAlNk4uLDLuzkf2ywjdY4j8bRKDIKnYtFKg asDZmCSvQTfDAeaMHlP1WvVxaXhn1r9iAJnMQlC/oY2vhiXJ2W4lfpcW05AYKMGwWZfANx9gs5Uj 3ivYduitMJhRSTL9JzUVj2mST6q9WK84zh+r/59FbDbgCcAODDCX7Vek68Xry2921T1lTIXAqwx3 bDpmmVXnlIX7dEVqDOdj4Htv21dhZmDHjYs8DiR+T/RBKB/lSrbujPCi7D/a1lA0AOBNLGnje4VL ZHV7BF7ffJbbKYC/0DUqSa+a5/PDT6nQrNHel0CeFHoaoZc/tLBs+C5FyTiBPeF3kxtG8S/gUm32 /jEauYJznFwH6jWY57ysRXZsWNoJJ4boGwqDakrDuhNbW4+qUlnucvvLAQiIypdQBUzNmpZAUB+N zhnhETLu2FuysgwTKTvkc6iOXC8iKMFQB6w7nnPqyYc9QLijmWIy/rMKiRBB0RlPZuVYeR21j3R4 5tnVrwIT5O7ae2x5unMOfjXtlmRn9hFO7A2xzODEwdJiqIOmJDxlyDw9mMOobf0x/tPZYo6FyDq7 BQcsvmwgq/NDNLfFyuIOISlYYrkPRMnFq0EbOCw1RfHTY2F+TcKRsOoQXgQyacY3lsMCn2iA73CB hbq2BNCxSB1rspOuFX6D6vl4rHcn0DpByjNxWl1v0ZaLzqLE3UNMoM32Ub4bIlhHYkiUmD/MU3ze ujrzVjuhyvWk1eHzWrFya3a3O2BDUCMDNW2RAdpipD4zmkwYOtwVgr/QJiUtLg//OhNHiaSGh05t LsfOLvSZoZ2MOg8hMojZwccKJtyh8Sf2kp+1TCD+d4E0CGqWc7m4h1CkcjvsDxezkokr8NU56s8D /Ye+ozN9UpYQMGPRd+t23zu9aQyB2TNyhAbDdFus+dp0pedhOx++C9PPqUUhpHlmnbBbUin2NhD8 FZgmIr+Neo/9amb2Ns+t1MF3f8PJM/6C4X5WRu2EP/fgqaZScxkaAy80vAhkviRQXodkBSNdmf9e 4l4m4kimQjXmgZyEGO2bJ/ro9vbFiDO+NGaz76Mygz9rKcgR96v4vgofnY3M6s4/0STqJnjTiMcM W6A6E7smzOgrnTPDZr9Re3ZJ3WHSHAJR+lNmbh8cpQbmvdrqer36BQwq+irbQOPtm4/aiihwcDTx duOGeqW9Rt7VAP8Jl6j1zVAHRAxs+z0hAyIZkKbh6tx364AsrC5gyOjz3lu93Hh9fbmJn1pAy2Zx cdkGRIRnBux85JqI92n+57GSOKQ/0wymgSQe8p7rMtaq/DwDvqrBFvERc/pN11Wene9Srd3jzfJN 9PSgkhkMTkjXbX9kM1DrCmOx+6JHliPDj+tKuQiGzoydivrHdAjCE51ZEWD+M2nisJiooWKPmsFQ 9xdr6Fwr1U86s5Tqkd2eQYSAthiggi149wmVGDAQQlVSxFh+cLPvOSE9uLw8EhV4M3/CxowyXsbs 8sBdqOy50lNq49I2xW+3JwET8gBCskVfllpFRz8N05vUMpJZDErLxP9JcIns5i8BAIUOBmWsgTgx Rs/p6veEGMi/CRDqJFxCJ13RnsvU1sWfUdojwBewCaEhTI9M6ONGvRDs0kgjTN0KBFwrKhb9piQL DdGAS00nRhMOHnub4k2vCQ0E+yvhtTJcQ18Ky3Ob/v32fHOZLBFSvf/OMCzQDOvMgmLECQiWXsqG BEk7r8Eyi1G4/hrvjCW782ShTD/TrSz4JaNubwiu7E2tWuVsFc1UPV7SbzvHAwbLxLybu3Oo24yN KvFBwZGP5/5nGQKFZN72WMjbW/TZuplfOvZNPtgJ7RddCXSzh2179J9S7pbTlpY73wQEDpzx3TSM a1n7NFY1xrL9ARR9JkxOR5hxisENA4hu+nP/Zi0nQmUlZ8l83jeAauBIObs9aPz30zwqY3MwNFp8 IuPKsLfy1biLNYwp5EhkOKpK11V9lvblypdcwh0FosF6WE4JfZaTHvzWoPFifYQKsPiUAPN5mtNB gHP4F1CXD87NG34EDzQhEa/pkGdfNrbnek0UCbB2WfbnmjtCqpel1tzktmonKolnha1wSigz4LdL cSKxSfCltOnXqkONjA5Vtg4keCsIwG05+LjMpykMP36lA0v6Bk9rV5EcfT9fhRTJLd5lCxGs3P35 6umYoRTjP4rusqiSgxw6w+T6zQKq5wPETY5PnS/3NG4OoK8mpFaKqomyDfaUiAVak5v02kf3SgNY Hsxn+PFQjueXLPfEnNZjakgrlGdHFH2nheuO4NyjosmN5Ulv9e5aSX3G8YQNnViW3VCg7z2/kN5b aIczcVRBZAla866R1VDzLVA5BkadeFyf3fBtHL28qrxW+QpHMoy47uKHCY99pfvgcgyD0guy3v4X 89hGMQHC7Wujv5vyKj6QwcqzxwPNRomYkYIg7PBJGE3SaYJoor+mN/PXw9cVN83Kb6VC/+5iPs5C mIVOhUa1nkjVfvQsXWY2xEmA0LlguzWiImmMMgZvRELV+a1fFoqHLpWxNcxiX+gPsrygclpBCKCl 691XrAshVWR8q26067x25+7WxQpjwSx+OEgRcrWYIXgq92xZB4DhLtoelhwMaUmlZgMJXQass20s y22ygh/omRbB3QYXj4ekC5KtNR8LlI0IJWWWt3fE2e8AA+3fcU2zgz2fUPn73m4xBtVg8vy2pwFK ouTAQXtlwHVSg8MmhB6Bkju23xZQy2yA2r09ok34JVa2eDiV9L3LjWWinAqmu/hnMxwqywJBem13 EB84j1osuWwJQATr8IWYHwPoNTAuEXMc2IMYPl1+RTbM+PnN5ltuUA1qVN1YP47rdaLsFXENuIAM MWynBBEyXJDm8MGDK0EJWtHVuTMqaKj04g0lkEY7rCaxU6syPEcU2s95DdZ0qQyIOS0Lpp/h93cJ jz9El+Splb26tHP8zqqnscpyBp/3jc2sOdjlLUjXcEQQ/Ypbi840A//099tCle//cU3RISZxkaOZ FurdtRfhySR+jSHo/lZJMrgod2A6Yo2lRWuTnDelrkfdJyO2b285C/0pLGGAbFSCGpX/4Wl6MM6S jkUX80b34yH/30tsruOiDYFNxaFY5kVMh7H5ZiX91FEwyCbiDbh05RTV2GAPbf9FyRvwg1evX3/z XlyML08eWipFj0BFZXa1T88AlSEaW61Hd4YPbJG+GcdmMx9S/x0aSdEndUGtUWQQqyEW5D4wytEO POgk45OEUOB/YOmwyBSwjV/XQN6jj+Zp6hZM+s4ujaI/A2go9uTtH6MvJUzzIJQm50B0vbg4LAPA Q1FduJ40P+rzEUJaduM4FK0c7Od1e5LJUX9Dw1NaeGru5+ZpY7Tt8PHfZeYsuMz0rgquSzwbUX2A q6rluZ18ur2YN4hD3K/KKISwc0OfqQpYkIRHKe8rJWC66CDchu+rDPBLGUpTNFaWxrsUNUiFT8vp C7FqO0KVbPPb9jV+IMPQeVebLBOtc0z6woDRBTL+j3NGrEHqA/0R+DGcSmUjUTbH/Lr6bjaCIM9w rryd9raWNHg23p9X5iF2pFXEYR/DB6rjhWrG2xvFULcklIdHQjOC5YXAhUvR1jHxdGtMAB4A8yt1 xjTDegdyajSyMm9VhyN3AL4KGzYJeR7sHGpHpGMDXwuhroVH2BG/OdOjMLzp0hz0Lxv8pj8tkKDo 84Mj5e15SiQMeEvNSBh8rmDQzQWdANPcboCOpz107bEyw9TeLqKb6w5djTCkAqFQDZSpwFc5sms/ H0g6latb8i7f4515iivlBRLeaFuLiGNfs6Sm/SDbVDJNaiJcI+m2g1jgm0ho69HqUknZKopKgIjy +77EMZ/mianmH7rDUgk6jwenERN3MYCzJ7izhRaiZJH8T3zCHx3ETshf/wpc2kanLfX5Se/uxVt1 sMIEgiYLJNjtQkc3kb0NFvIBs27BwJkVRpzR7+EYL2WBdqyWnd0Zbg7Lvsan8l1CYCfXHggWB8UB ETV1qdp73btx9mV3+IvMK27P8aFa6RI4f3VFvRVgbPkM+VkiYzDe8Zcj9gUnCwBkp1uFTWJG6d0N 1VqGF9ZY97xOw/O+aqyNaKAH6LdU2zR8/3guyQkIqhbnzQuV6bgoaJaZF5j6OeJuz/H0Mw2ALd8F ZY25cGWCYFbvGrhsjjLQVRxTlqadBU1mWPWH1pVPP+Jr4RdrdmYGfr2o6N1QJX3H8AenvjVjIn3f +JImLSnF4g/8DH/bzsmchZGYXjC3RSZWrZXCM4qioASJAOnz3bkl5AT7A5vBr3ji6X9D8xkkh7+U gt4AmN5kWT+QRPy2p2VWgXqOVfz3DoXHrusPPMl2Y1PtxWWBqwnk5v62PCL4pjoBp/LxyR1gvW7Y vIqQH56viNpczI/oxSKXNIsFFkHax9FlDSnHkvITU+1DTnAa4aV1/OXacHe9m/NRm8S1Wk+gjlHp IjVBPnBWJYyTWKOEw1Kr4pDJtqhgUtDeiLCXc6fYTYyqJJzjIeKuXpY/6eUsSlZ8/cFZ7R/j2Oj2 qQtT5vNnEr1oCJDDiLE6iB0J5QvyRo0dcX9599MVGIB8q83zSVLJ41XZFR/LTesYe/Kil7bgUV5R x+tL6mA2od04IAihSekIEKapsvlROq0oHmeSLyrRBnr13bn5E+hV2cA9397OIsN408K5AkWsDaDP ZyBnk8soT2KPvpZ0wk8+pHwbq/ZkaqC5AIzFiH7QLAVUAWRh+pzQ0wna4TkkL/aDnMk/RqeQOp6n AciBAkKwQ60+P/mYiIGTW7o9VCtj/IicXKjAdhXdGiMTq6aqcYlUkzYxr/zAJIV/d9PWkTY8olMK EGyWYKjs1xTIcp+DDp5PgJbceD1Eyav9mKzQQuERyEUePPw1MxK+ATO+uTnohTH6mXfKzaC5Lsen Zyi/rrgviam7fpM+fBDZSJZVYqJnNfEoX5KHoWq2r/QT3zvJaMchzTUH3aC7Xxx+EiJORIWK+1EJ xed6mmEzgKtyctyeG0Jt+sjrLM0RzCCKFNBapQ2A4EqSxoEASwMa8nBGH9/Px1pArlbP4vnreMgl zdGqrsM3sDrdZXfBkbuqTIE7loyluZo6V2qgCFL76imA8sdQHhTgQn04038smbXL/Y34th0eeCwL tkeZ1nT4Bh11rQqx1fgELdbGWfkeLP2xHed76JEs+/s0J2Sbrqu0GeJOpNc6JXtI7QEL9BmnysYT PzzHn6ZcCEkJ/kUB9wuiukQkjNl2uepx2nKXTLBSJmlMEYsjL6cR1V9RquVLSCAQpGb48Xevw76+ Axwoa8F6foIzHl+ZhpwQxTxJBU6dML83hmfd4hWZO8KcGb6uH8PpRpWN8kKRQicW4+CZx3aazSRf ynkMdF+jrC1IUn239a/IHZ56quyXD3A29TpmrWNmmNbJGFLfUvQgbP6XBfdfLJigXsV2KTngIxdE R3YURX1+mLO61Zzuk2beVRXS5ZUV5lMe5u6NA3rdmXo2Gihc0/WrrjzQKGQ4BS4PwPO5erbpu+N8 s2A1H98rugqeBr1+OndrvMJEbBx5SE0nZ3X6Z74ZUhmuoEYGrbOd4/N68bN11cxg4Yhg+keuWbau LUuwvqxntt9QR/iVjx2s8Y9Iaf3GRPa/AyzhNtn4cGJtYkmyO3OP/Fr7AMyoRcoyi9Lapq4NklrL T6g77IzGzAz/lSo0impKzRnVuvbwGThaaCPlnlIBKGF3Ax7ShECIwUWJWdP8ZAXd/fQu5RfmetMp 40fAxvJqTmYxUruuKOTZSQ+SKQRT+HNdiJOYSOfFol45GQqP/jE9PkrMFBf+usk6NSYFLaNVs2H3 SyrTn4vF487qN/vqcT3OhPIWX7vDDowGbn8uUQD5DjpLk9j3oZdGPZku4XIiiqU7AsHcc/w/0iUN B7hjuwERHxrXhH7vOq1XimZOcz3YUTUQIn6oh/vhK2V+P8nNPQd3KAGJXCXSr1kwx/cocJCUFFm0 RMq4z1NnKqWm0x+s5ShQkFNtnIQN5YM5HXMoG5aMdufjjNibrGFknjCze0ZKc7QKzGlinIZ+YviW A0xlF7srZx7fucz/7Coq+qjV/wzVKY9rGmZgnfEncEO5FFLNcIy5tgq+GN/PvV9eI1mV7kscKXT6 zpWWM7NVIJr2SFZmYCCEwZbitff7l3Mjk64J/8QUTfGbS72ZyLJlfhKwbdvTz1fvrPgcf8Lg3MiT hbjHQqUY59jWYV01Dzt04l+ycuRRaly9p/1iLNpxXvLZCcA0F1lr67nJMvyMNMLugDDnES0zAwwA oGSENA419M6F/e/k8MDiIcPZtgyJWM5vQxCkBbgjppFaeFnxHnoMGgPQnDJRxWPcSFVbTYq4y2V/ EAqEC+owTy6ZiEBsx3XB7pmT0Pz+XVU0PK02gBvPDO16c2/A7ESA9s7AzW1DrwrqcfKfhmtZeV5h SCGJSGG9a0binWOGQIkSXa2X17//dOE2hWAUmVj3UqYIbXSCzE/LCaVfz3MFQnBznHt2PjRmfUjT /JNOp5kGtu1DqkAziY1bAV8WGWG7ReHbN57a4OZAn3zWXIyUjFjrpyD8HkGkAhlYYdNlOt3URPV+ 4fH18M03hif6vcX02NTTqFK8AD6rB1tWCbzQIk4UB2R6QXEaOzk4cwIByH+ZEyBAKCckM5OjgkJv jS6d1hsBjvok8VuIZsJw9COTON+Oa25C0dADhyugg8/1GVw6vQsk75CXtGoaQ909GJCCZsVSSINP bS6PX3E5ju8YfjeDy/WiT2/9iflP2U7ByrnMSxoTCEgqSHI7e0KH/HnkpDO10S8isMQKnFE/FEVM 7NddICr2kvEZfW6dNthyZOhriYDVRgAhtCcP4JGtRRGT8vtska1lssjBoy3+uJRzJVlKBmTWfhrh e6tVqek6e50esoKafaGG7xR2tQKMCBV2Fo2IVWtaMBvIUL/SeGLbvX8emXtmIADGjF8FPWp18zpQ 5oL/1kYFY+GLdqQz88e+ZOa8Nm2G/Xc3wAE/6IvYMcKg9yktNSYEP1RXesmk9HzABbpUq/WvEcqY i05N5CZzHZ1hrRDBl/y5W8Ylm31Jpt+nvD7WaMlgW83fUcdaHXWuJBR6qOCp9cS4jpoG8yqyxGYs kI2YzfBanbGdmh+AnJxdwt85q8AzV5gZ3qMWnA8H51dKNftKZd21slEHw22Oda3FCL0L8le42mZ/ YErIXVlfMgqkFFnVuvbJ/6kZUdJZrnKS+ny/aSxRv2cH/ditvPpPvaLkdABE83/I4HML+25Bwjqb ESyFdq9Rdre9c/qONIrzYYnbQbitoCQelRQNnWkcrl+jtkqZu5uG0z6bWILOLyMuUlq9p9mSi7Z+ LiYwjMtq35Y79GpqH+PmT/+fDbYW56tss8mrkK5wXmUa7owZdTA/GkpsfjGSxn9jJf2qkmTNwcH5 WOsXRo6mkDVmtTNqRK2LrBoagQXy0uQXQG5X0Prt/o3RsyZ5U2kS9pnLgz584Ypea+QronULRZvZ Rka77QKu6wAjOiN78QTpLMl1WKz1UVy+ah/9jWhZDCYECImz71FqaII/zuRODfs5qPduW1tQEadV pjRKCbUMj8gzmqaag7Puzrr+eFswd6PFr4OB2NliT/D5b3olwMumY/vh+QHHexteIqLdtk4EVeSA nQVLtF5fUeFzYVWjWEkk4NOeY4RQCsvNuqBAVKz3iQEhSM4H+Wh0b35FP3M0NYr5nwWAvPYgA/rt 27ZjikphoJfQYtkX31iOVGrRi20nNMI8m3ETliAvVtyxg+6PGcSaSqWrr3KNNlos8TTlM8fLXbO6 hSJsdbk5s05fiEVxGIS3IumYvHj8Eci8/co1dagfjqb/OtX5fHWhfRoo44v0OI66B5p2LrzPcrnv hnK9OWUlm+WCqA4zTi6gJbifzpvZBpY7Hf4pNzBer4rdqQV8Uj7PZaBU4YVEPSxdResCBzoYY7td 1dicmsbMp4YiKtySEbNqk6NApr/quzwhXm1FPvTVBoxQ98AxIzNn9dD80o++FCDNhIJj8Tz+sI87 TELJgUS9P2G//thBqe0sCaE8hzb8pdiDP+lvjQDhW+lYUXAJrTl3aa4d0ZurnCgabb4/IyQte5Lx IKwHQCFCQ4c753GXm9RIcvc/aPw7w8THEb/NgN5mixkCnGXpCtRAgtYSBm26Oj8jyupqD7j9j+W0 oloZtFkKqUIfXxBz4b6xDXJIpilL9hpFLnnl6C7lo/nFufSGUAuvw1DGjX05v94PHOCViNwHIkFN XQivqXwfgRd8oofS4oS0RiOZ+izvZ74e5C5Ql0evPmR2MYKPW5nY7V540CCONZzc0VTZlyZphFoF GjZg+rSnj5VH2GFCggzU+LhIeSBBKTgkyJQPM2qO4IDCYLYRFu6FkGYgi5Se17XInzDza923u1IK wyVPviYKYWOtD6x9ski+Vgw0EfRZwBTV9ATtMVMr49HWN7F+1DDS6qCanzrXhmtBoQfjaIJ5IwFa 8bZVlW0Hs4B1Ygk8JYmpdrSEop1GRhcmpPCEib26LCLQukRiA/qd/86gON0+WIGp+mfpAmW0q0i8 PZEFtUVct2YmNxQPxsPFGC9DcBGGHnNJWQhsg4skgonDhAz/TsY+R2TZGv6zX4/4C6M9D2QiVi5L TzHh881w6AhdU6sIOM2Sw3afONjoS7lvCQ6pPOmjFv83YY4/rMSEXSMa0vQN5d0n5eubdEbHF8c+ B3CeEBuynb12SwS9kjUNHe79K4V3LJzXb0zssxIDRsoPZnDrnBw3s84+T3m/sffR8za9UzOG0Mz/ xuz9GIHDP/UH2/Y/G64wAsjWefekY5h7gPS75cLGDT47Y9b6IhznsWIjqMOwzq+0ftkZVJ84lnuQ lpeI5iTYLb8XmgbMjjlqrWrZ3PzVUdfFa2F/Ze+zrwPz1zfU8gT4HaHYISJ+wfIGCMKSm5yy4M3l N0+G5brW7L7ER0nBAw3kmxqqwUgxi04VSUh0KjjkRx7i37xJnhPKrT3m+uhU42W6+vNLYdQtTzkH DZXvgbMHZu9YbGtrKqNsdL3ONUAO0h/djWxttSMSkMQQdgh4pAH5D9WbH6o9aclyPZgXWGOZic51 TXNY57k7s0RVmVjRC9moiDHRLdtwjXo9AjsW0EOB/4aLi6a9/I9VNRHSalZKsmjP8OD88Ag0Gidh 1wTKzD0vdGvyrISKiyrs4Nyno0obyCtDZYpJItl9Y1fZ2QJhfK10AlnKq/nskIdxpGJcK5B0JWGv r/4+A1IH/G38/vytQU0JT+b9+QSpzwoclDH8lPQLB6UwxU5vPDLimOfuJZkCgt2k92DzEtQb4gcB MezaRcBedg/EGWyywR5EN/FGYh0wYwRdDShzVmCI3M7bcW/CrbxS8ArUYpPvz73JBVSRhAflOlzw tK5HOMuSIanHuRs4OOg1/p4MYu0t8BiZkTKhhaAFsQQeuql7r+bia4REgfc6mFdNjzS77PH6f9w5 CN+00n6J86UEDvjWM00zl3C2KihL7S7Gt/jgIRFfG9D2mt1V0o1H3otrkte/QQUzu1LUnKuCC5uI MA5EiTdFcbvogm0DKLht3eIKXpk/EsLDPqPFz4eOgCNvPkoEAhONQuk9rc9OWzp4OnJSbx2WOzcd 2G6+76Ko+GUn/qlJMEtKIWXBiCT1af/T/K0dB/VSa3jlE7lPo4IjEKJIf7RXwRy+u56wCv7UajPh scoPWpXmdgv0i4us57eROLw21hPgAFiGVFlsTq6mG5CvOqUg1BDwxP3wM2YELvpQeMIchY9uemhR ec9VyLLqAyMlqsgSFQKRVoAchjkuYS1ggYZHQtlx+eBNiPMN12h1kTM2qm7WZNnmr7F3c90C1PsA slpYFm0/ws9SWOzeh3VLFqFg9nsjsNSyrlD8SjrZK5DuIs3p7jre7HlpsU4qmRr7Ez8VY7j/GEHY 0BqszxZ2Z1TE+f+COsgIGYK+AoCNDrRT1qhNj7D4zfe1AYBD9KLP+c5zg+HOL65o/c1ooat+51I0 i9WOnREGrhfe6d0x5inZpu5fhdulozzW6hQHTA+NBOzOzkgaYrpEvwgTavFl8rajehT90276bZCn a54nTvNMs1Y+I0ya646l45jkMwzj0gv0bVqJ1MGDz59K/JEL8lulZRyvcG/angToXxNqu2qTHFWO 2MsPP2lnu56TqS57M16m6O1QvfZnltEuOTAZzB/jNdxd8wwgWL6uJrUgJQQMxD73ozooaEMWJzf5 P36OVGysuSx0Y+AQi+xw9A0J2PPVcwSIajR6ROKOp6p4kmz/wHCJVEDI8RBRHFaCAKNT1EXZ/B0e +TRLGqdmD3A0+4syv1cvN9/AJAWjKAEOPbGc4lDOMiK+HcaAVZC4KyDEfD24iKeChtOVzgLMPe+s 8b8BF9h6yebxHTIYvXm712WXltirVAj8WtjYOi6DHxpjMqkdsy8YvlgOBfYWoUt3uQPTxZ3l5RBh wNkLrlkWmgntTCUHDeQxpXUkM88vX0nbNcbDtsyO5gCmf1pjwqwrcBVssl5B9Y1rjw1D6BxCOfN4 F28IMuk/A8qD/M8aiviwRE/qLrXfV/C/UQSaq1xdIjMHFOaZhqvP39CWWhy4HyrX6+y4Kv2jW09u QSUKkPSMx8xD3F2x55GQAln4kx/9uIJMiA/ByemVirpXb+MLMahr8TWwx2ViYfouICwKYMi1KJwH eXphFXTfpewxPkHccQP09qAFi28g8WfKy7PvVputC5/45HL9dhx8VdC/6gEinaOfcCh73Bb4HXLZ D30RJJnHgg6ZAwbHczyvz5oALSQGX3ae5TQjHzyWf5mSid6utfkauQTwf9pkLhfRn1PnYBmYBmlR sp1/raXutTMuRtFjV2EQQJE9q4QMAmIRKCTGjF+DHJLWVjPyXViHHn9fuBzpPVY2vmMcvTVA2HxL wdt5o/3AsIaAJBfvTB38ozIAn/DMRmvnZjg/tbrfPxw9Q5qgKjQhX5+GBBLiHP+K8oIATjJsix+g u/0PYtnpAPhU+Jf1DvaY26Fs5tOwCsRbMN1wS4apz8y2WzuH6bVnNn/aksWW7dq1mJu/zh0EbMGf V0EIrqfZNa9lQUKlFF/8cwrnbZPXkbl41UR1mlvZ96bu9uhwCrMd3vAm6gIVZccM7Idufct6ui9S ZfRezOukL0lf1PIPN18Kdp4wXl+uiGUvsXPdT6DqBlm1j3GZMjc0ZWnLEmEYfJw3z5yFdOu8iqRa sRLsu4K70mlw+f5txYzpwY8iMe1fBZEjtnD+L+IoXKPq0IjPYgp+kT3IEUMaIBVncHNGia0mliEj glNVDNZCcaGBUo6UThxfKoFynYNpRB6TwPqZNWxAMwRG0c8d5U6Cc7cVG3JQnp91nMHpl8PATlRw ohqCmD65bq0TXhBBiJbWVJFQsvlxp1oi8T8XtoCZcyBAFg0gxLaOYvb7kp8l0psv7Fk0ChtFlyCT uFhPGzzrdWQL8TS1sV0TE3yEVqkzvooiHdwCt1KD/f7dDmM+7eULQQLXsu9Tk8meOrALAulbdqoB W5lSnFpFMxC+4bmnZ7tG+TZfaXAIRKlyPSeJUg2ZeKDptjsAMNVN4LpHQW1W1MHUL584mDoXvY4K FQqys8oWZZvhgxt8+1DFhpU0U7VXVh3TymYWXS+Nwv+idABtiZ2WFejFvcb8gH0gpDLXZM+fVp3P ayAPaIcesIO53Q5Nq11UfjWS49U+of22ni0Pi1DY5oz5ev4T0J1588EqqrGPPalV3ld5butjWBPb ilkj1NdlSSuTflcRlHPFYEvhjowQuFC62HucGu64l6WXw2vT+AV/brgx5efGnD5FU17SDhBjrb46 OE+MN7uRNNpJfNfecUjo1oonC+m/dGegMwHt1nlI4ScjipHemdphMihDyLkf09H+mldLDFfmwUtG devQv0nOU/q7YDQ+JxZMTooFfA5KV32cvvpiBfeBAclz5n1yb0zslnRFOBry/1D+Rx686JsVgW0c I40q/0UUpdPLwaB0LdjKrdaO5Vq8mArIYWyYZFAEXhQVSxCSflQeJfy58+jIkFBxbsu8DYgFxEFC BW79Wmk1xE7RS4PKuvB4mWOURm5EKqRXz0Qol0Y3bJVnZGxwFb8HpQKFRqbu9GlDvChUAEcBBIWC jm2n5opOOmJQzIoQbz3Kb/J2oOeyUW//IadF8xFuoyx1deEjL3Z7QZ7/7xrRIvzvK/4InAyEHeMM Bq4f9njWdwUKHLpm3cG0gecXyVcECMtyx0y508Zz/PllDGHaeWua3+QXiQgGDYFvYkDVahPgLD1B D0ja5QoISnm+PnkkiP3qOli/IiHy+/S6D15WrhdbGJAoomJ7evmYPVPP4W/feReE5jLaaKkjUQvr I0Dr21BqcHU3+VuQI9jotEOOPYO6sWHxeg8c1kL0nRXI8bdDayN7JtDk3QbvxYZbhYYnEqfQVE1L 2n/bU9xWXDFmElnXoDD49DA41LHL7PzLcbfWA2+Bwf6e676hk+e3u/DKQMjn0Uj1HNIq3/ms4p9L oouhLILRGp6chFkDuPnXBjWVZHfWZnOWejFYrGhH5mXc8BlqTIF4CbVhSnxU/ESxgqdcHzBpyEX3 JXE+pH56jFtRKMgkjke5cCx7NmeSRIGz+3mP9afWr0tSclp5pTezez4Ou16w1sRfGlHzwyDk3mXl wjF3j48RMFsdciOE/V8vlJ1QaiV9op8H+15B3hUqCszMXk2ftaYjcevuv4ZMVhmRWlFxDsVL5gB3 J5lX5MZESPWfFVM+AfEsxl+2d5s6FdMiPQrfei1YSrtVGanRMWltPIHoIKlA9sP/E5OUGm9YG9BQ X2XbjmWE3YWlJsGesJyPjo6ATEKceDCb0Epi/vv/SlN/aPETTz1d9y3fsxgTjxQ7tvkceetP5b2s 4Bo8r9cyxFMJxwyOuXyS9O1Qb1GKdnXpGEKOdfgo8TvdpqpeaUAaf1v5T6nT0lSiA6+e+ZfNo0xE CjUBTwmfj/9zLYV2AusLESKzG/ay9GAUQxyOCcVx8CKhfzP3vwCjTQePwo/uf2dF0uHeKn86x277 OGktFbK7xnELDrGiwdU+Idcl3a4cHxKT19S8mVxflfeZnxMR4Ntpzmi8jh1/eZCZq2aXWTPVCoJx YMGVnAjBhFvEUfM2D5s735K5MAh0KlMzjjK+YEQsDoV7V0sRk8coJVcuYw+A38gMQLOLIg7iN7Wo mJIsuV5AR6rUUCBZBgYqDPgl1GYTTbvJAEgB9Cbci0tZVln5zqkhfrgtcxgAg/ANgvGFjLawOv0U TkZFU8qRinc+rxRVd6s80r3u22QW62ILC6dkK3Qg+lvjnIQLKUgz6yB7vdsCE+ZVMk6YnbpxmfwW OtAxkvpJwW6ks1ufSZc9TRJMFn385bF+l9CJJljA1krPGP37VOfUgQV0Y4YD1bFhPg9eS7GlRDmU aqTAzPmR7yQ3kIh0If9KUDC1FB+303tfiH4udDWhuFq9zfG9v5EBijDNluu3S6Sy4a1aQ8GQOp8O ZCCny4w1jhDfKyqMDg84e2vBvuBr+lVLjytYtjVFX1t01wFCdOkjHNPNByrlPknLiyoHEQxhLHYh OpBMkNrvbHDElf/xVK5N14Pl09jmaswXAuCgMEhsvDv+dM1TEei6wxr1bHzYeXWZ3P8oRH+5lRce +Xw2QuF39BJ9+X9IniSIzJutUnoPs+aY0mCv7SzV5/cvjQ0hhrqfCF6CqE09axqYMVVPfUCn8aRu XWeH+kAn4ActI9KTAKaP2qSlux9m2jzrD9CR7284qHcEXTw2YZ+dTFF6nhDpsFhjAnGPP0k+K3cp pBmCH7RQm9IKvVzWiej0+WPTTQB9WL/syOyRrtW7TH2YXGyVrhL2TOCxDoQ3PYpl3FP8hJRLb0kB h2v98gw7uy5pPtEWWdEOz4N3I7AN8M/w7gxmGnW44yEGrETnXZ0Vh++CBGP6YlYn7k5qltz5yszO oLgjNRF5eT96ZJBN8GutRh/wz1+s60YMWq+h8rDn+HOEZhmx9VdgQrBi7STi8eH9Gn+reMS7dlWU sPuSkjZhz1paKv/68CWupp9mEP3ypQrXV4rbjyjwup7xPeZeen+CIcefPoHF6nEUhzH2QROn5yCm 8rnsxBFNeBe5M/tBxcUA7TCWWGalnwLLYnyQsdKJsCxqg+PfJLLtEMswJlxuekq5OiXew2SgkpTf vLhequEkBuVf8MoIcz1cy9n+V2YPXuenA5P7x0p2r43Eq1md+dCbMo2z3QKHNhEA1eCvVM4fNRBn THkbIv44lwF2/7uIG/J5y/iIc1vUbFNYEezmxIV93GFpFpfLRNaHcxwVA8uW5c+Ye3BPKqCRsPpM wnfPtCf7Vx61uQZLDXxDSYCoBc7Pt9JQEsPOpM8hRuTrWm+Ogt4nk5IVaNIGNv3TTt2KkmhIPrLn wVA5m1lvFJIzzzvsYUPcHR+CzkiFdiBC7BH0RrJONt6kz76c9pFn/Q8nwgmD6I0kIKg0e3FvNXqf v3+namqOCHJqmcVOHVVZaUT/Alrqxdob7Jd8LCxICHiB39nUsahULh6xLlW9GtpT4BQetKCIAbFd ctCefdQ/ezW/Oy3KRh7LqAAlgx4iOfQkpaisSGl6rJJuNnuPm1d3K4SXLVne6/CAhzGzIbh5Rg2K 517+SW6oSCA8Wy6jSnZo8vsOgBo4/jWdj92f3mDcoG6S8wH82GqpNBG3/nUa1J7nn2P6IwxVOext e1lGi8ius3a25yYQDKmEZKeMQIqkEpfbt9Nqwt3OOP8jCYliIqtSvu5t7rOWu+/8jUmmKYqic/d8 ZtRTPt+85gPhpg+MB4be/N/akhWbuvxLnPch4L9Aox86M3Zx4dQuvasO0VjFAgIcSAns8mD/JDlX 1C5hSnVGdyEUdHWucdpwEuDIZl3MCtXhInfwMeE+OOSA4ObUhL6WCcmZiIPslw7FuiaiBgRFOx4S KRyeN5EpBasWVgwD8jcRyKxjixXotKWC3UHVL1ztmd2WNVm86KjHQAztx5gB3js/yfhuGy3969Jj WlUQw6RQosJWmT2Sq90vDCkLrVrOMXAk/rG7APZHhl2TsbPqjkCXJ9JH/sfYlrXgwcotdcRgGVds Id3vONivTBXDQjEu2q3m9Qkc22F5R7b7ii0DMvEBMAZkK+cS247vJFKXI2oWTCMUvFWhIbJFGLIx 2LqCt6S/UGyFNXXWNNWAryHfrsw5H0U+PpBayG2bNVbA6nIVGqItUFr70lEyA9nIbe0CV6zhwAnG +cmJxlUiC9bxcN6DJ6ZfI8POab6ocDHxnS7z7FhJYv/GH/mTLDyj7dRnylwYY/Na1cWD1B/yzZlP m9Qpdblqlh7Leb/hoZk19RudijCjqY/pcMUnXCxqS8sc4Oz0ZL4Q/aZptevojD0cQv50FMf+yQzF 6mufeBMTVFVTPY3HmehcPOuP/iBUBXk9RMp7oewIivsRYWvpMwA7t1vxzMb+dKKlSuN7R3ju7F6T YWIB7byiHoyhwwDx0Cr0HYqFwabDW/+hS9LeCw31KXEIKINr1Z11b0PYfF4l4BWuHjsITxFJIAdR AgTltVmT5KMYiGiXC4zxKxjNaeGnOt4w5oeDjKZIDqG62GrZIvI4aMO30VXXP72QKrVvKlj/XByv BEJSDSdk7lQZaVa/Ae7hUNCtiXjssNX8h+UFR5lIClsRUKXGUpMtpVxUQQiStmFXOxGfdQzfPRyn Pp86/4XdG69GG/l9vlq71LVXnxnyO0cvJZs00/vKg6gXl4+OwoX2JFnMYjKzf4iSatHyYD3NoTXT LCErrhO5Rrr0fZpi1e34xQwp7CSEE7jOcX7gOzjn49dYKgmGmFDwPGte85oSmFIKyLbbN5zEA6Ed RYrKmTraz9AWZ53bHX/ocIEUORkeleAZ5bSrDFmSO+cq4k3aNsMRZ+Guj0hS2edhuFme8uXS9dvH 5X9lLSDbDEHkkxkpXuVxTkF4+S69U4snhoPvz7zN5xJxHqdLmVV2llcVbzKzEDwdzr6awkQepYtH IPOV2IlrhabQnkci+JYA5d1nVLQmYaoZFsSgfOcr4QbXm3QsrvG9eJQwS6eOkmrps+F0rXlBUFIt URjQJMLFxeypC9zaaH8yHQcg0W+m9X2HE+j41mPJ/sP0tWrqr5a9hVmPgtkGoKBzQEAkPd6mwPea FDJSZkCoXQTf7n2VFXJHA2HG1B4tpxQceGIZtNc5L/hQVc3s2L36/C3sjyfjQEDwIugAa77I0m2Y pdiYj8WIlnAdq76ayfyKvjcHrfSx/q0aauym1EKLsB1ysbrCfipQWl8Ll8moChXSViI/co0zpE4p kImkdf4hGDBI9H6QpwTHr9Y3y84JQuL3xjeta5LpdJ555pD7IJGsGcX+SqbjvUHBQa9EVz3eN2Yp BNo20tNluvxHIXtpYQYEbFM3/MAZr0gN6ukd1B65UY/BgLJpd5vj8nPGBhvCP9DjzsnwdnUas4s2 NABBuTf8ONnnDlMvp4YSIthEXQFiORN1A6zl6ja5tseXHHQzzf5ccym6FOKk6jqbRcSUvTpCT+3g xPxjACQToSgHho5Cad7btB6a6qUZjZjREEDuMY9GwE5uqdDWfuulfj/kmA8OgyFySgRAttSN6oFX YjD5+VxnffscuYpZsQQUva3lx7DESNk5R59xtVZ0MjZFKU5/1A+Lh99DhrvYk1KPFen+jH9Z3Xxm TOEBHO6UW8XbnUvXcFwGIQcu9v6lO4Tdw8Drk+sCMrSlfl8VIX3Kg628903o4E53nm7+A5uvxd9A MQp4iGkL8KAM5Pee5xXNg7OuW/I2xDfZvs1l7BwG1edT4vjYYjbL3Izo9T6wvUqzVxR37IcgSJ95 KNWCbpPWIbfg+ou+pCxCO10+DNPT4XjiAtXktPIjvc8SNgruST8PC0tMoAj/4PMX6njw5EC6tdxI L3zBZ5ttpC0PD60aruaXAZsl3qI5bUpKe0P4w+1NdToUk6EYGQCJCeSUohjZTisXLRmB3cMNtgLb 3ytob5vw7jTezz2LdLbKJWEYUHdUdf7n83stW88Dg2l4x66uGD3bRJJdf9IAT9ypmjUTcimyJ3JV xqsJkRc9hC+wdonVemTsqV5ZTVUQ6ZPuurRcGPrAOJ88HWFlrDdVcwn9nkbiD0x7BzJMRdZFgTau 5Gmuu4cPoCTTbgcxxNiDFzbOdCHrl9JcRR/s2AU3R/gQXafSOnh/OH/EgUpdzoBhAu6LLliHqwzS jw05m7rf3Z4TjnEHPu0g7VXx6YlGx9J2uWqGMyk4ZKXUC1jI9MBXCUPUVojk1cGpT3CF0xdelO51 C2blOrs/+bfElOpQ4b4hDnql/Iry2gK6+1azYKS2pAkn5Q0HQ33an6HVoYePZUaGB1/Luv1hpOuB gK8y1ZBTWy8aqOiGvnXjON6ON9/uDP+ZJ+F2qgS/mMjdLg8qUGVF5Tz+Ock+TqZn/Js3FoucyEoJ /ARjk1PkA6R32ymiDYYp/3xkb71D5Z2CGaRQ6Fh81cgcgaRby0Dg9jjh5ZXUPd6GcHAU2cWDBcaT JDNA4hebP6v94o4EsV5TVTzkF8ICnLkNLQzCbJnjiHNItOGog8YkIA+8Km332wlRvk7THbYjw5tK XAeT/DvgcZiK9k+M8D0D10saS8sah6IzvzjG/h/mlK2JG5898xhSaKEziPpNh7VG5Zmw6C0LiPjC mVgmlyqj+dJPhVrn4aksYBB4Z1lvbreJyeAGW5ZONdrO5JO/Yz/BRd+kiqV7H4JDKI0ESkr2nl4S h17Ou+DBCsuSOXtOmeKsZc7f2W34V6Eut6WLqbz/PCwUbIgKtkF2vgdyfPay6Q69WO8yrwL/Ve+Q JVkuVybhexHN3L+tr3jzDXmEfAw3cLtiE+YoIjzSiZCTYJjs99lOCGC82neMHnVMyb7B9x/T4ueP EFSRM6kNATfm9elyhB0MYW0AwU9J/Ki3k5GE0EYVwelKVNEvavkTl6W/i3EZBisNR+BDCeG1lO2a PhMg+YjuvhEsExASVW8U75tlSQuZzoDsAYds/Cou6Ws086PN5r2YRs71FMZ6/zLBt6MPst5HoWaC ISt29owAV7kT0NJMPDKWq/wp+je4H3/eL4fOnkedL36Zk/157zFjDgGwfYUGpGyyXyvceks5b6TR fw1VOM9uT0+XoFMcXKBybDuoDDlPznHpdT4bEr1+iANvX2ImvrXlEhdxg4ARgO2ttdJyvIZnMQMr zcbjGsql1DLSeFtdYwScDP170KNx/g0Z6CVcT+Hrsq3c8kWYvoZL+K18YmqBMbZ+Rf7bMU+2t3e1 +QeWOjoTNWdwKcsJINPVA6oIHZTQWzo0Hi0agtl87AvXFV+euuWQrCDztY1zVywqUunX21rwJ8so 9FuQn9kDkwJzQ3Yo965q3YJkN8RdlId4sLUmTvjQxxT6gxebvXMAQvW6Hm6Srf8ZFnXvzPgIQhR2 eME14qMdSYzH2lghF5S4JqWJ7HsxzMGEFCepJZcoP7fb9RdClqaftkrAiIMCVfGA/tW7RNCV7xmD pNMx9YxcsDp/mulDU6G8V2F7Cmkz0EH85zbrOP8e4X4jtOVAuznFLCU9zejtdA65BOsFGRR2UpVt ZA+TXOWAonttjq+cZ9SgpoVJyFe5FJlMXHAB2mPr8JyrxoLSPxBhm/k08A/qsKlo5eTbN/nUowbW vESdqOzawiqR2qH1ZHMLTFoUwrXXlcj7wfUK+0CkhvrmGhB6yPHbVnHxaqunZPDLkKnTv3A3yur6 +OomJK9ihlLZNoJiVKDRS5Ulav/fS8Pg/GVo+1p6jJuivK2HEtvAgcq5v6XggNPEr/xyJNG5DWh/ jvq4UHxCvuI2lDtaOD/TKjzHzgyIP47DW2wFAv6F+XaP+V7zGHlKN8CM2ohvjYWN9d0/BD72jX2V DGWfj378omEfjLnmVJDSWr+WxVC8+MreE39d87JIzCc6U2m4Ry2dELoniGKF8QoYxRXCQ4mlAgxq 88DYV173wwhVe51T9sAh2r45bPVifqn/lIiXs+3p1tB9A3JEwsbFJKHYH0YtjPDoiFaJWe5Fs3rS ibzxhlQ0Tho4vdFelnDu2hH9resqLkP8ZpYpxxWrKWs3wh7rIQRPyILV9V+4rD4tyobaofuS1Ymr VriIANKFXn+teu5fxNhb2WUEBUIRhcb13SyXusz8eGK7y9Bn3ic+VhfLtdW5Mh37cxVye3y4ki2Y Y63Uu/6/QyKIOl12+IE1MrM5hRXAgPnL+Yu1Que6/6amfINiG3jTfVlgEGitv55WJJ+Vgl83FOd+ fchyip5vjrXJ6H4oehs5syuHCoxAz3wHo0hplV2dTIKwXYGN1yGQrhV1Fi45mbAN1ZJLphhnDC/v GkDwI+InTuAEfRQSfrQXwXBwBrMLoTfvHIqrm34C/sVWMAjS7iGAN+A0/ipsMlzIN5ZyOW+ZVYzE Z10Lw0NRm0NJyJHSZszItvjeH/93iOS1wwcixDFpeEs6fzSobT63Fzzto0Tq+3S5S6M1MY0wsOxt PVD+HiJiyrGc8Ohwm0+bdxHc0P5B3PmBqeW5s+tQhujwl1CjleQ1VTV0ZOIx0ZCte95/Mkq+mpJ/ nxQ9djR3UwkQTLMj7qoM73PvXHKSBwDJlkDcZJHVF54OpZEcCLOJJI+E6eycmpM4Au7n0ULSkVKU Gpdar7Mn5vCewEJFn93EzKeF/grUY42mbnqHJtI7B26bTbeNSGDiYOXDdAClE20rpfCGHovKeHxT QF3cOhkbkmPmu6TRR5CyYGaKYkxZjZhzEvCYF+M5PI8vqo1Tin1Kk/Q8cC5GHP4+l6Ci6zwKFv59 DH4cn8vtnLf9snIFjXxO0MJTWBTOAE/95A17ujSoOpUfDAjXnE/ixLCpdtZ30GFUrh3WrXZ6OOHZ QRG3FcuY3F/fyzgxF+EsEjcmLyF0yoGBC1XTt9EirrlCbd7wFlOGfKwAr1IWi7iJyvzW+p+vNdke 3wgPosyEr1tacaxEUuYyOx/ee43cm1TioYDnXHsMTwxCNlb9Z2KbjPCA6/+OxlKqk535qr5t9CUM AJKF+3/XoZsf/SALZObyCKsna9P05k1wHhJeMjPeic6Lfjsw5c1+rLvZppyWkokm6vIAudkeZj83 E9LXdx1dG7qNCI1YiuOdn0HQBoBKFBmFtWEWi21arPswBxToJHxRcMxfBwoOl0T2ocui2HSudBmy 7on43SZB85+38O6GdxVsPBPzVqGQv+rdL8pRqr04wKCLfpGqkCk90DGKO5Zz0wmrpSaW5kSVCGBz WTlxUQiNRJAPVq6PJnILN4x0sUbCE54ZfjIptpLvlLjuXdwm3kQ7n1AGSMwYWr6UuW994kyv4+Ty 2/UBbUItQ3O5WGm8PKhPdm5GlExBegoaV7LWmxBEDSneRL9b1vuAiikMA348Ip9G7Z18GfK1K97E +KblShaZ5zqRR+0LYBYqMnEJR7By6/vEXhpb/JeHGPLZqAQeb75wQOY1fMYJYjUZLCTkUfID8VZY cBI9XE9Nnc05cSx/XmB9tN3oyqid927zbbjIe4PAfrYcBlzBgXLdeCSF0H3KRRsUhg+xAjzULhM0 n5GXAd5/2G/+CxOI8puP/Ats/zxuxynmorO07z9oOGf7hkt9H0Y4xZOUFK4cAcjHBpuXJrDu9bWA sSfdAmApECkvkM8NlZKwJWNxU5o/lkZtI/WQvKyxvIhjwteMDh1qVt+v/RcAHApIAHPpQpYzqaV5 qZl1xgAo4qb00YHlbX+4WCEMJa7QFPDZygXEIz9jeAMZmG6GKT/okcgt+RJsQZpgCzxx5Plc1hpJ sdgT5OhmJZFKbeQ6mWvCEJVPqjWgO+ijSXFR80B+Gdo8vJYr2NuD0x9qacJc0pAAd5H0Q4XwnFUR HiAipLg21GBFJk0Ydi4bwVRXRH1y/9e8zLnVJ0gS9Lu1snAF+aKeYQNgFY0dENMLBBALEkPnySZY pgUWwx980Y7DsxBPFpT7Eo3ELg7mKNg0hoGhR2nqxYwPXIG9+B0SRUaxiwaqPZnT6ZfDqu4/829x s40RehVv33a4E19J7nRzIUAsbr701xx9VxnHITsKpkUhPpwvtbg5d2SKRk4RlXj3eebxFUVQ3HC1 ImyB1GVa/RTNYm19EwN78O5xHSj/8IEbEen15jJGmy6Ij5FmlGpn8rCrlPTC8Sba7qOVnGLMxhUx SvgeNxYhKPNI4yGjWWcnWyiuR6DefHEXVcwK3OlEkyY/ItXU8wJs8m89g6LCDcHa/QflK4zsgRdA KZatnEFSlNBsiUCwbZIE4lF0JHM6fTM9qfrOjyDcCSWuXYMeDbihM2kBZYLmL9p0/BeNdSG/zx1A z5Ln5Xl0ocEYeJgrmhhkbdgxRIZi3qZ0D766iVKnF6m1PXCjgym30F51WfCrVAcb1UsjDtwjftSI cnpoR5HOl1Tzc6QJ7guM/ieAstiq9IYGODzb+WDe/YOqoKXgT6UINXHS0QATWyKZ4Lc4CuAJsgU9 JhU4I2zSNGfudZuFHuzedJmHkpjB7igTpJK7fjqHt+hvTg4EevnXkdOOQ6JIAzXn/lLOeOjPRoIG fcBR6VFmwrDRnuacFx+4YuzikVk4mrmvlwxDVhYg24y2kSZVYqEjgBfCBclOnIKKVj/kvgHeXiiC R+CiUL0ozhijqOq9dXyaxtYD8XbX7IaGdPLjjukgUVut6BTLioRcf3aBM71fZs9kFgSYYuRtLB7P 21EVs3FhAlZxcBB268sC7Qnh13uYEYjw779+uS8EbwfXmkXdcZPohSU8N1oUa88Z/kIvsz9SbNy+ Y/flM22UDabjLWqgpx2lz7nd99Gk/+rI/0EPU+gKNOWGBhdO58WBmgbDrTFgu6snPbt7Y5HWLSBn UywI5d2hWGomJGrOc3ty7a34mq5YcPwNPTwSdPzqa+dDhB0AFNhoOHhHTMsJe6/uWcQDtQIDukTM iUdgDySccRzn6q/yqoTffBxEEFaMRW5PiQrwjDjvg85tDI056hDjcsAis+YZsSw6/SJr9SVfSWMd qh584i0U1t4FG/XQO1e8PMqnqXSst4297Oww9M9MI6slXKOnN/Wb8qfksKqxjnPaHvSNyMp6MW2k wyrZdO62v1EYxMowI4SMtJ2Gj0bzLMGZGkEGpqxgMtTmO0QM+JQ/fOKV02QfBOJSyVD4GfMMb4BZ aDDlHU6vUIt0yUwooK9HPipGnYjKOnSJnQ8z0mk11/K6WIaNmWfv9Ef25mEKDM0u37pJV9taLBau 0OrcfGWwGi8qUBp9n8dBhm+5GxkVUVMBUkbpqHMSmohZdQSYnI2foZu/2ZQtZCn/FkRdB/HjpoMV dPFL31Va1DxQV/fm2PJm/WS8h0KzeRUidmKRURo+kxmSocsBBUzUogSYubdKQpB4O/Mm2bvf7lUo 9OadYpdjesvJiH8JvFE/b1pKeNVUG0j75TVL7Yd6s4GzxFzBRg9KTtPUYGg+DxXAIKcK3QclkFbq qtT4afb3gcvPdSdrt/1i8U66e5SPlGtoIxxloMNNKokFfXF2LKq2bENU3dMmSikB3p0Ho19jYZTW 1g176abLe9y4NE5eSxNxIfKCIJYW8nbG58HiDWczSbs5QQErNy5b5IqC8ttKjUMIB4/H+OEWh0ZA 677Wcqje6X7vxKq9TVDpo8ZurTIdXiJcMrPErYfAUvwjvQVRiDk4GTJMHr8IBy/pCNoBmG6Vejux qo5LI95r5wJtq3OlwyMpq6TFQkBc2k7nisNTggrdRz1hzLdyQYEtmF0IYlTAg1KQaHmpE+ASYPA8 7+e3A4vWFCdgn+yjSSeeqOdM9fNuqSTHLx1P7xRJW+QMh+UmYXi0EGR4X3RThil21fKCYTwJrTWj jY9lC2pQH+mVyrBv4EhkNAhD3Q1MI/GS5VCb1lpneiwUoaJyNymcHi2mFLgB9ZpP6z9EPJAqJWqZ YC0SLEdJ5IIqxhkN/NlaJR53YXALgsTCM+esIfCB4/SAfRxA7s52btiCCbWYvOO7vn32/YgLbZLN We23s8CcAFMhCL6W9aFPIykVXubtq5rAHCR8R+IozfSx1s0IpxE76iyv3P/V0+ukZ6EDC/D61/Wj vBsI28ukBYkOHc8A5Gu8FBqwbe7RVLyu1yEMgHgSkbNFbwyiznJ9Z+BjcipUBZy5e20DoAJKbyls mZIssLkXYww6D1VfzmnXePs04svM32jw3obbXvmqxOiF9lvFc78u62R09dOieIiFTlhvaKEvjarJ 63CJnBJK2tRcAVylU+uQKV6xLKVD1euh9+zJu9I9nbNTh3nB1U6CyXlF1GoBhR+GL+bzqJ2xocGV vxGhUyKQSvmQGjFbJbt7bzr6ghqmLFWriUsRfT3QVK23fK7rH5cGql/GNJQ2W45Yd1cXDYzfq4mR Q6y0KzpkyDt5uRPZ8pdq58TIrAU+zq+b3kWXfwJVCP3qxza6Zp47IGXHT1MgGXe5qsHVxEhrngTe z5bwEoA2ALFhnVSG+lk5HPzvz0Pw9gQfO1vMNjHR93qtL3BcvS69cgbnXJ4Fc+0yQkqzASKIsv5w oZ3OqbS4c/bVy6lS/9JEJigBuMFk3R5naoZ889B/RmOlR01AiIsrAZu9pqtX+lwJ16b0dDQhset+ Fhay7TSvLZxqhmhqVr0iXKcdCfGljiTPfej2YtCR1lGFTtCFgptEKxePxdr+IBnppUifpOM08u8s CTGg0U6zdjmvkX4Dj0wyqTmLGRE+B1qcH5GECeqyN7RiJf9ALQakGJBeO8xBJsF9AvcXe2Tvy0uI JMzs+Yv9GG5B3Op8TTti8phWB+Ks7CcRT3RtEPlnrnuougQPOL15c+AwhXcgvUdypP2VG4Vl0zZQ uAoa27RtFw7xuYAn99i76ntI+0jo/1Cosb1SZTKKi3LPJEpdDbJVhe8qZ5nAkJoxJKeusizMTfrz FV4a/FmGeu9qsILeAsqiFZ8ErTQlQH423qKAIaSsnrt6Sfo7a8VA/PWFIy5nake3ex5K7zT1sHM1 3O91pHx7r2u0jAJImSEcqWS5v7j367StXoCZiF5lBSat/DJYR7+xsXHquWSxPbrMDXKkdKeMib8W CwhUMTVM/UFYCADy9HBiP+ZmGwxtJjkZXpWujjcTrPRcqE8sPVCf8IdpJB1wwE/Fxfzo+YX/44n5 fDytRDID/oKEfpiStRq3HFqc70HAJqzCJ/gN+VFrEZuCbJ/ZMwczfsLpzxItcFfHC9RA5HZhrNI1 K1PwZ4Bybt9eoHZKNnV6J78Fx5nCvgL23dKeSKAhHk90K7tv9u9vxC2YLV0PPWiWr4xhEm/m2NUi Vl4KS2VaG7fowIXhYcLkDsaptV3WwSEi7cGvQ0aMUaHSI85s5umDoebN8Lnbeh25q8u9o0HvZn0C M2hNKI14rj0Lx7C8mc+LiZIk99a7r/d75Rq20jjsAYQx99R6X81gc++A7cJYezmIHG8JxAdfNT2v qq9b95QClbqTI7jKQwqaSHndYUFfA+HkRB0/KCsGfoFaX6yTMm2n1K6ypFTPBnULBjxqF3OJ2y2K 5Nms3VZDpXO8Gj5enWVtFbMuhYBLjo5ocsLHmelc2BvjFtmxhVinIbXJev+8PONy9w5UeOk4S5eI IkBVo5IOL91SHYw4IppoTGoMmgFwRaJgB9EHBZFqXh7uu02muZyj4zqe6NZfjIaFtZ1UJq1LE/Mv mNx/Ffzwz0RZgaYssalKr1i8pHqwogu72Qa6SViHKl9tfg0bTJGuUrN6cZzIXVA4oOKjNdXg/uSY KfWQ1LelgpDpuPbSvWzp1SXqZzbyn2LvWesDiwrQaWmVqGqQnv0eTA6iuJgIXkvID4+CMaJjmH+o eNOL9ejte5sF5UeHdpu4j4kvRWZP4QwD9m299vrUFOWc8MIEl9ZcTZr9He4RyRkPnBgc6SDblqjs c11MCW9JNB2IaO0Ni9mQjHCtbOUPJSrd4JLUAtzsYtECyGEIxqZiyxg0SA+UVvHy2NSQ67h1nLpU mKR1xUZP5lKz8IvqAm0vpFaHZCDVC3OasMjtG/lTUqcOxVEKsQFAHfF3+/1KlKxvoXEhUhM8ay01 +67+Wqq6d3gk3MRtleFxWQQGuAdD/44pAWPbtnaG8EBWXkG0HNilG934b9yAyJJjgSg88jkTrUDa oiT9Ma0IV84e9Wox9/5RrqxJwYLXl5qvRc9GbYghuqAjtI1hOx9aCXeh/VCawgeCPWvDd+1B0q4S K5MiEQW2qNLVNReOo+Ydr+YUnwTN7Q9PY2cYQEy//D4y3AlGWE/WjQDBuewZ6AbTKrkorRCCqE3y 30qmERImJUoscs+bkBD3m26jV02G3ytOj69MGHq2XCVFSsEnf4Ec0YUOqYZl+caqiPW56TK8WF27 rxMOhIUJ0zJW8TbTtlUqQcowAP28KUqu4WDFCcBH8XT4b20QIR/klGra73LiOYKamRBrYO/Jxomg uAmt1ydAsVikF6r9jKm+i4RLuM5tasGTdTDVfvjKpFSXpRNUIZW6/gv/Ev+LK+PQ/xhQvi8E3vfs DzPEDa/1siDQd6pSutSw1ANwKgcdqLcvSLxJw6uIFOuTkMxYpApDmiPTCtF79+MRWwnWYgLheVnl XwkTRHbwr/2C+8DfOghhiqZ96ViZpSY5HFRqdJMIeV7WZuXgqNwc+IIcz1ttOFkNrsPnCBPw63he Z99qimbZktGZ9inYP52HYrBWuRzhDjQSLTRgZIIbi+VVvQQdkB1Xni7w3+2+G/YAobNoLHPyDE6X 1Av6C/WBhZFme4GB6N3WaDqdoDpYoaoDj0jA4l+h9upCxf/UpnX45pflY5/W1eTBY+JZzIAY7ARC hlmqK2zkeeO5omxkleoReMzErUQaTwaetFShfJqJXjY1RRQ88DU6qHhZYMJyDHyV61hSKWnuplzu tOpB6sz85VeMgxuKzd1H3soqskn+fSIpb1D77qg92QMZJ3o3ccrYcDmNjH1ulWpLN9qu4Dideyr1 nZ1XU9/WOkTa9Of11cqBGMir8ZD4dkbmuB72F9V3BbkeH0QPfgzGwW+j9dQsOXfDYCKTfzU7y39L mod4U87sLcH17ZwdD5JVWQzCXFeH7b1LoG6WU7yfQwRvv8TtpMknO6hi4aZRbHTmlxV2DSRWwFKT Psto34flI3M9YTof04qUy9y5WWNxwoO/ob//0+OYM0jfa+sVPpajevGwxUaarVvrcAWxwr00JWoj RFjTrkkKnxY5f7xQT3BJuorPUXKTuBuEgZ0KJJlyIJq8fXL9N6qzusxAnNDPwzoiRgna41XWQu/A /XqnsOUMuR4nQXM7GpMYaDfMS2bL7Al188avRBXfrEWN7Tm1ZFJlwiEAFluRH9KPOX3SXYliij2U 9EKOBY+ikmGXtJJ3TcS9L6HPVZnVJTCO6hgRMJjcHIUilwMN0qaKSHLqcYpsOEs2uz1cJD/xUk3w CeBp44J2qmy1bp0ewtQ7jZ0K6lqrlhxTPoJ7fQJ10zFFAQVKbnj2crU1heiYL90GmRrowSWRENwU ZRQZ08SK/sjB8NqLQ6FaDA8dm4WP/+sfqT+Hgcc9Ffbc7CMK+5oRn1PmTJqyPsdpf6QtyJWDT5No FR2q75V1RHgbcuxv0d1IS2DCuy+xC2BiatrBX6OyAE6oOmjzk2f50n2Qjlz/3IWznBXj9tjesfmo lSNWew4XabPthoG2qcH7YJ0sv0b57auIGWHalG5UUxzx2Zi3Xe109NIKj1C8xNhhNe8ybgpQmEuJ tQovaywQE7YkCXa0x68QXoCiB1zTOEluRCqsqA8zlqlR6scG/8sn8SXCmjDodXwaVtsiBN3QpxHE mjkcOKslZo8s9OUQmRzD47y7z3AfX4fkDM/ErVlDJfB9kgCmz1Ej/craBgjRNgX4xWnQFvlELCtR xmVnSwZMRuf1hiTsHYxDjv/ZsAIC/Ow+q0yUFuOqIp1OuDAKKhWCixPxdwvPfKxSOjDlgx4G2pO2 or8FsPh+0qltTg5vJ+lygANZyiEPO3VWcxDs4myKcK0NslMukJ6SE2++2HDyT8YMSZiyVvW0AXxt WJtt2jCd271ywbAmhL3QSx47WZUWfSPcraVNFdDtPt20G8S6mjW1EkpNhek5l0BxS34cfwKJ0Tv1 uHBlyreZcRVeMHjlHYEbnzLLVeXyffB0HQPL/wObxi5tyYylVzMTTqDTH2qOQF3FTzM/GmErOaUx r/HckBSqgFf5hsaodZf15QWzI5fbawm1nxIRhQrsgMU72s9aLgznHwVJnW6ZJyv94F/WiHFZJl/V IK88osJG1sQ02BRs/qKxcsIAMsX+PZIjEGtKtoa73lPScD20ghqJEvrab1LNkI4c6SaCzCwTyM45 obdfADhb28U6kLoomDmn8UlEwX8mYYfmF6O7boQ8tmIUaDAnJmnpjZvBW1N22zNj0Zm6Y4e7V9Ef Gcdl0xqy1zGBesVwRyON8ujrMQuA6A+hyFz+F9+fUP2GuzP4n91ylF6cqcTvcfGLlmsCubceU6dK DQrkBrS46wl8ow7mmbrZGKh/HV/9GkhXjS/J1O3QeGuOWIcRQD/kGumA9Ls/ltT06mSnilkvWQmZ DrP0TqlT7bmyt3hFCGyninNKCaBL2SF59SNDS7sTBy3+7dk9Q0RMdITOrEa8tGySXsTsxszCuKO2 GETLu/9dxwnBNNrQAKy7BBdAX3SkuqXjUcKYFkWsyWEjTTrV5kxek+CG7MiyyLv/jaBcFvmlOT/L sbApDxlLwWJDCzbhkd1tGfFOUsIZ3RM3+nJGjQJhICuAoAp+QNeTqohikmaWN1fY+7Y5iqqx8YZX ZuhIisxjsKBJCOU2MqaaJ54QWZfGJvamHljFPdO5YVwUXctsED2nG3UMJb6ZNmL4ozB7rqrF7osY 16eQI7fQ6LbE7kgBhKYbvoImpnp+zCVz9fEsQfsKd4XL0u/vsgApwrzXj6d6TUK0Kbxos/b6etfJ QEqKBJ9QLSTo/LkgPiamyuDL2WVz2xz5sFDGjIu/e2Hw73y8/W+its5wIiiELDy5LOwxdUUKv33c ltlItakCnvRwptndLaqPiNK4mD1XegvP7jZPVhWI9D1DpoWkpjFLAQn/uEsS4Mm9TIDHC+kQu3yo FeibAOWSIhfW2WwYL+cEDlb0ehQXdE7cUgqsHXdBaFlCYyvzwDhvb/q1cl5fRrwkZpWVvjhFPN8D 2Keme0A0Eooq4l07Q5A7G06vKYAGFz4XEmksuvXujDO20FxSnrsl/hOY8ryuSkasYQUp5j9uLh2/ jqVPcbl//yj4Z3RUqiX3Ne5CQR43dNatiWYM2zA9fMKoTEgckxfUTaBh38bBhmaKD7e2Wy/BeDxN fLsuK5dbhjxSmDv/lYV5LsB9N76mD31a2FO9Wnfxd0BSgCHTAQ5LDj62fAE4aAFVrMLwACK9YtHK mnFEoZi6JMih0SKzozN4/Se9lcl+KxTRitJviCV7NbZ809tjp1ShkDUFc2jXaHF60HXiWRNYqo5Q ZuDHlSxiROFBfn8Yk+XpMqkEGqxyETGGfhfJ6zWSD9sZ1SzbN05dRvr9WX3Q8tQLEAQtrnF0BXXh VF8wDrvws55y/j+3YEQTl+1U3aS65dxKR3eiG439uJjr8/ioKM/Oj3ffNQIfl8kWaf2xOIHG8Cuz aYm6qOyaezJPqN60WG5lpgP1XXPcwWIaaGBDOH95pakPJf4zhdfk6RGXQeQcH8qSN4ph47Ou98E1 EGUh4CfgIVcz257CoVlvjKrJOw0RFcbmpKf2xw7I5Td7nms3pcYewbmLKFNtxzNPkZ92LAwcpAEq MWvnzzMcbh8xb1OxecCfkdlVS2cI8kDWnfFqeIzd+cLt0AyelRcC88NkzgBP5LGQdDPWluuzRqM5 +IoTekVa5DE++rESEN24nOO7BoAOu+hziKz7bdvWJgkLqA8wGXZ22H3Ao7gVn5PfBryom2eecX48 pmxLZRKhQHJuQCoI6m6+ZbNwVOPx2XeATSSkeDJSK7XtI666u38+KGn5aKVLq1xdcvraEHt9JBUB QCdMjn1iW4FYJMRQB3eCZNYFA4GWpWSB3OlkRTFrpor6myxVqvwD6LfRbARtIyiVZMzkMZV4pUgX ArVDMyjSIa3CYCFlFRDmcLgnZ8jR1iPYQYmQzFBtfAAf05D7S1JP0Pz/aPh07nkJ6vZ/hzbgKnhU 0Erx4VOHCt1zmp+kE0SQ3eZYKrrRykCDcPu4KUrna/fAq4B2yYgPM4LXGsZybHxgtL0lQEczm+aj kcTxpPjuHO5a5B4Qb4AT97gkkBWp1qiZ+djv88fF1s6aydMywXsdVkt6gtSIb/mRfNZUvb/C+c+1 JBSRBFqvet9G/8cw+0IyX9IJk+ONfusfL3WxW97nVfnU4IDRvZ2c9Y78MEh9BtAxktIRt5/JeHZM wpceVCsahi0zAcC5FPmp5fFubSuZBenYtkX73X2WmNlcVMrB5/NWyTyDI1cbxJDFBbiPITBTVuc7 3w+EWOkTlrxPC7vbMO56d9OPABXJhGaSQbHZFgWM83ikgHDFpR3RS2IrdhOshRxoLwcU/dIxwD0D 17/uv9/IS3UMGFKAdTAWzePR9tw71v8KD3ARHQKVr82a30j6cVkPg2Ap6NWiviFNfk2l4CeYqREP BgJC8hWgxEaPbFcsKGGbiXH23wrGqOC6bx3yVOLk1l+147VQvkJMuBpepcxcKru/LF0KWK2KmUzA jkK3lyf28GapzNEqTHIDVZqmEgHRdxy+7P09AgIVUp5Hy8crybSWoXBKtLFDNDSTEsav+zcjjiSP ozw31JkqOv7ZooXvNgcoAUPcofrjEY6JFKlBJYfvJ8MS342VMhlZxPSeOUugP4rdukVlBJ/3HoXd VTQtJFIFRJ0T/dcX/763RrnOWHJgTJilF+/wNZ2QMnOpo1lJPRfMIS61XxWBTNnu3WhlMtLfDt4f Exf+EbsJ36gaK+ozz2+FMqVboeddfCfD5lH8DYuFEHMRZhjc4XkCspfA9M3HUxQWvwHxWLCKPo8S E0+z7Pj2Y7v1wPWVPYoQkbFkwARrurg2jZNM5hrbZMppFX/pjvfRunhl7i9gVV4nzeoybbAi/p5X JNsQhUa2hSL6V/Xcg9ueidUrz8rHULS7JJBvXPBQDTipKXTXDXuXIrFVQyik7QWsEZnSz8LJnZMn za2rAExYyD7pD4uA+d0KEOZJ7VwINvCTy5obHyevET71x+PLHV8wybhhIp0YXJrsDp2ILutNspUr KToTVJvj1JPa/JSMPwIME0sRP9+AqUU3nFxFc2OYls4zNlRDEAAUY8Wt7/3Fgu78Kns4IpMLUNVw uSMypbZ7E+Mi6HiYZ+AcORgUgHGIT6rgINncffISzJN/4pg4etA3k2Gbu/Vy4Bm6cyJDtI9+gL8I qwc9mwWrQCJRpJ69ErTrtQA0yeEt6HuLictSOX7hgrDKlT4zkoIlLJIpk/u9gWRKpETYpknRVFdL ZFAwstA1d22SMq3RBITKhOpKgLoM1W9iQ+zRTrJ+ROKvTm1es3PKTL7FbNJe9LTuW0OJRilkKMKu S6kaqrqnspVsXIvxBHyHqqluLnPaCyPqruju80MbOqYm+WMeIlnVpEuXtpFynEe2GOgQFe+URA75 ujUkFVQy/PpBhFrAGOWAJXWmyVEN/qEXjluGAWBpKDjSAz3t1T8GVwmb5Jq1HFAXFB42QxTEO1sA eV+WdZOXemLi/b7GMcbeg0dNODzTloU2l9kZGiw9Il2FBZvzLE5OfECG3DEUabtes6xWs0TjyY6B v4LKKgj7C0vw+2lwZ9xPYCCmcs+oSSJ797PtspASzdUsARuD5Kfb2cGequUmQx2FtsWe01X0AibW STZSpQQfAsNvu3hX4iw5JUwrbWs/9FzLw9ifCmpYsu8X1VZQwKRFZsi/Q3ZmP1JubMMGHajSzvvD 2m2Tu/cGEYAFAjk8QDTvUBu2Ef//rGaQnhzETVltKyCWEUZAjSNuXIbHhZduCDA3rx8D/J+HWeSy H97H6pUxkiDCLmCFPotqDSBB6cET8+ROpoSBGJNokZLMI5SG+i3WhiC9/jzMFkTRysc/Y7ysdeqf yqif/G71YnN5VDCGM8LO2dGJRg0DKDSb1aKxmf2qNxovBK5ZYA2F8JK0e0AlAMYB0XZ6zIl6MI8Q sGxM9wTr0/lD1f51rL4nslPYbGCTnAnahg8D9MjOqNXLK7GQNZfHCfaJEH2z6NVLr+dCBwK7UTZj XSm4F737FtNzueJ9pIF1TEgQNFraMDY6ehyTy9Oubefhorp2zNl3aBhchULdTR5JFcHjEzzFxTEl 8hl+EmwhHL/nhLqvluhBxSfdxadb1l1gtKFYQiD/O5vgb1Nrx3FBoEb1Qa8mG6GnIQanxAo8nLl+ Tv2u4uKLN3uKBl2RLPQdNYW8nKWHkc4g7Dq+c+eGSiYDvjbBBj7NH9RqoVmMZdKSgfkThgaTXovp /u8BCPnorzkC8+bJXSCxCu/J7PblX4vGDphAY41W5DAHhRQaSL+XCn7VGFoOOxYyl3uUzf4u9D3B h1aVmGQTJjNGLFXpEpEpVIHHHKRhAFwCwSys27veoERiw5nrH4x6Gq/jY4Mxxfma3Anl2dGFux2G IxTuRWzJKImL+nT10OhU09XT2ZBnqxqnvTiJMO2kHx593uxBzfNcz8/Efvzyxk6OwKvgEwjS0acf nOU2xbaRRlJYXwluMtgcHYHDmaDRgzqrrVVlr9KRV0+p6TURj4oDjvkuhAqerizpqc9lDlds+zG7 mk1gi1fYa4EClgG1/PS30UyIaIqwM/WQlVZxXDzxv7est5RLy73YQ1eCcf+BbpF5FKlFisGN+72a hzTcnyGNrRS7VQQwbJZuLktHtgENth85QeDY6tQ9W86H/ki7WPkoPU51yUslR97Fs61eLwnjL4Ug kZYvfUpMdWi4/SAJJ0df1pf17i0uXKO4QXwWdi3oC5r4OWYeCxwW0LXCKxTQ+8jUD0IftUJO1T8A 1LEm2wj+7gBOqtcN3j2eDUIlR6xS8OE75plrmsYfW/c4yARk0PjPOp5kuM730BrapFIBawyWrQMR sIX450t1Dp29TcMo1SnlNpkeZxIu6BrmnyN7xlHhDxB3Jtbq9jjqIIyMnj5ouhDD58HU+V68Vltn a4dcPGFmj6vQ6gJeVGFutA3MxwoZOOIP3li/MqA1lFw0nh2nKeKvHeBTWimzOtRUALICCF/g/Gf3 g9KtQOoKHi11p2FcK4PvbROZGKbZKU0QZt01HLjWFp9H+uIFwzFhO6Z22iimzOJueRwr8Tca5/zD YPCSvO1hpMHtKURBN5T2jr9esbuZyF62nfPKUyWD0GOAh5S5RqFVevCM3y9RktOtJ2bIojxqKq0C LxFuSlQwOkzfnW9TqRh7ywVccogyTojOCE7cKMBVjp87Fl/QdIRTd7RMWBCw5gNuUPBN6sYvPBhg Pd1OjdWZf0e0eCAMevvlaYd0b+NeGYpsj3GxQGdswrhfkhWDBI8g5YiJIilXI6qZgNe4tF05X4jR wrXU+5f5+T3IohWnWiuQ6+NCU7LLe8ROi6Lr9/WZ91BzvwPf9lbvwmrCOu/sy4PwqKMLz6s4/DW+ a5AOBQQdLujvpRBbEFnWPGl9LwOLPDabX2QcutqFOkd32i9jvPAIG2pE8KxTh8E1ynjLu0+sZ5fW RvZo7WNwFsQwfcU4PQ75r6SA80Glq9twJNsZZwu6lwnrCYOAvXN9rlZlqhT1vZmLiNzJM7yqV/p4 wK/akZ93MXf60j9Zuws1sSXh7wh9jP3/DeWES/Ku/ID55fQGHI1imea0MU92IjIPLJq2on5PrmKt a37I7OQ8h3XlfbQsh6S4b+XDDgv6+L6w1R9W/Qezv1zGuF2gQoP5yjS9P6F0ucjQ2vJibrnioh26 vnKzMHX50Mbnjo8Kvwhxa6q/nxmf1vF5/2KObpYbCQkD2gYiBKO3fYHtrT6lb6pG7G+Ihetv2U7E 4qUsxGNxr2isN/oZY0MIP8BdNQmSzYHzM4e+KiShDePisMif2RLzqDNo2kHjdzy/DFs8HW4Wq7d+ qmqGNXg6wioXqBtHKTsi7pi1rScFjOC0L7hhwvrymy6pjZ/oA6fof6REfjZgU9yKME6ebCTPB8MY AJjwEcvUrNfrpdsXme7Pql4cU6KG2ulXDylFB5LmbmOHxAooWc0QHBO7FPDI16v/4U9PFzUg08Q0 7T2r0d2dCUW5LGWihfA7kamWcL+InImFb/xLtXMmO1H2VSXHodEwW1e80uyL6CpNakIhTwSZeMXV lKc4n7hoXVjJZ3yanAXqbDJd/31073IdycugZR0nf97Jec6TCCtbDpPMHC44u03ANMtG3pFAZm13 TQr0l5Z+4smPtIZR1oN/ZPSvnPWVtXKsvA1MoBGffYN1Rtsdma5pIX+DqBi9UMsdoiWzDzTHgwVq hCUunfhG8QFGSy7MljmCzTo3h5kPra4qXHt8BS4ke0YWo/1ytp7R5iMNzcyy8Y5zAE3hJuYTBvhH HwZb96whY7jSAlNuN6gGtbYxys6dwcw2vCcSWwTOIRaw6mx5m6zdn3h98Mweth42vXhI+cUfsxib lzRm2h7MrCA39Zl95O0ggwvNEe2Nk3dQhoKlrYe1SQjE1ac1XcoDlRSz/ET0wyICJTdT196Spfxo yoEl3j3DpOCAm3lXzIFSBKQODuo5n5jKb1+lv9jF570C8NimaXLcLCKR+PXdqpBpE+8ktIId6dpw ULcLKyVLUJW3BA6wyKwIZfhKEnsP/pp1IhITwvLvaLlG310mRxLS5KggLLr0Yfd5yahAuf9iOGsh H1CS4WruHxssZ5X0BgAqHaxNenSAtmg9QaE9aOGO9Mc7eSCFDSIs4vTDjnruMsJN+C9WyT+W5D4P SpkKOXjaDMnfAu+kNPwYRVZczE4SsghZB26P1t4WT+rAyQwOUqdGHcCoo8hnd2EZPb9Ufmwvyt9n f5fem56v/uOtUhjQN/dk4Zv/zFEvo92DW/pI/2i501WTD7cHu4uHHJKGMYxr+uZuzG8RWlHiToKO VJN+LXpb900YmdXwXP9mclcaD5Sj6JWRFNP41ZHx0nTffl6U1vEmM8ZJ68m07oTKrlQUg2iHTiL3 oq2+xA9LnHoHgbErdz8WLbVrznEP7NxYZCpa7eChm7HjnCW0AT7+8pAKGbMrIQdY85rZDJRFx8Hc k+NrqpXlfiCc26qWPMPCHwPoNhuTXH4f50N3Ta+NaYwJJqGSUBsc5x/EGLtZZMUbdrj0SgbXsVDD mPKNPyg1MiJpQAYW8Vl75K8blOzHbnn8RTP2IzG9bhjB+8XR8mEp+4aBjRc83aogF9N2HRoT54Av UQ80xqXKMYJHdw1ypf5HnamYilhx7V7XjPYulcycVliJt/A2H4zX2cpSbrin919w92pj8GbYSDcO SoZaryU2wi27i4OaZNyO4idgEF9ggo6Xdr6ux8hG0EeM6GFZMt0JfXkbF5rd4ap3EYLbZKARAsNl nt6LgBW+c2L98KpIdMmuWAk3hDBTnSK9n2ZgsmKCqYsNbaMX+Npm+dJ+ADJzSRWGA+l6FLXSE/HE NB9rd+NO+6yDoEZn3E2Vmu+zsWW8DPvGoPqqWWdVCa2N31Rp37z3DZnyZ/ifsMH7gwnnZyHN+bFV T18wnx9LxIiPLpLNtRGGSBbUi8+M8Bg92ZrQ+n8HEa1BuIN7SlHahDUtuHY9hXHz95cYYUizZxCX o66J8z3A21Tl2W2q8TTz8PxOk8fAb0zpu3bIrPdlU1z8Me01bBho1v2kFs7aAW23eekeVrQ931et drO4LZdhVPtpKqWSHi7hC/4tAwcVRlInAi4RdDvsEeZmgqJ8o4utE4FOty0C9Dh1SCh3L4/B1o6x Bq1qVZkchrm8WjHkUi7dzSPXWzlx0w3Yeolnll/xms3tE69kJ6ZQLs430T/5PfuzHgoZkfVtPE7w 1w5IPRNLY1+/gOpxUSYYow9DIB96wQcpyMUzzpIu4EkAxtd89LKmUkO1XvCeKecSwSPiq3454qxq Snk7h59UtGz7DZwbSmVmb6kzzEFVAhzscuWaOaWXrm1cClsMhOXrg7cxRf+/vOvFiewbGWe3I1CD sMQzZ8HHdaOyQaQVfDvw5/AjuiNOvutwvlxWNV/rdpKdvLRquQ7fvQEAZ74ILcIKnYHYZfkNBftz E7YabzehmQeHXscSHWhDeaDrPKfi9BNnZrC4soVZivDoFLgroKTdyoYIinM2t7Of4VJgjxwWZnQR EkqK55qG2U7T0S3PdepFbHT/D2Vx7Oq2+V2i7dLe9tgwVYMVpMSlQzA/n8gS9wTuEQAGjO4hJi0q YiCeJ3B8sCJID3n9p1D89JZYukZsIhEAxkl1N18Eh1Wj/QoCIuLIrlJJ/bUAvVYjKFK+XvgdvOJJ xWNnVpuQmWv6jdEy2Bb1U+Cou3Xkc5BpCszGbIwK9tGqiuLmE3wRlpn50pZ2ByTh0g57OKacgBAW ItRF3VR26OcvtNgojl/j/JzNdwuV7BJumHypZ7J9lRlPotZHodnz+s/79+o49q7sCzBg0OzAkYT8 tscHVnmRlPA206UIT8A3x7VP21CMe+Emaz13/SV+9rGlslAu3ltLR09ba3pKsxaLQXE2cMDutW2/ MpEYdwrskUaUnWuJfxZiqBEEPpkb+BoFJAbUhKJP2IHOtm5tMzJe3Qx0GP9AwbGjmGQuTiszikRT bnuTYBqPkHMGBTkXQlQ0GYOvankFBoKibVA2RswrYcUIXYlDnVUk9jK0KT+vY+qdnkrf0UNSQ5Vs l/4VQ7WjV78tJz8sCIJQnx/fTHowA3wvqlbwGuGFL9AoaqFZEkCcg//IlGq2PLn3c+FbTrQQ34VJ VvYPVp4jQ4yEIWNkHO4Gggj9oSIZw3yrKik09n33BOzRrAHdanPlgFBNzVeJRC4ubzjuqPlcV7Iy RGytOULsbZJ+hJsssOPHe9FTnRPvurDVcMOajxRQbTXbe0chNTTzI0AoMkL6Hd12aLuve7bsyp5W HXqIKnpPqFcwMBPjIrSNMwVVOgV+MjpMnYio4NS3eLweqp25uMK7+ioVtgY9oMAUo+qX3EZdhX8G 4rqdMxighgyQNgNlsQdibNQ3LPWc7cOE1L4Aqn46VhQNxSpNVW/bWKsBeyTIPpkIZxku7mx4Olt7 22yY6fQnrtbbaz9d/eDhDnFUKbK0IXMNerD4BAVeHw++F2g9N8qJ3d5KIICQdEHpXidJuW6FY42U pVe5UuQmoB0Q9rVlwKf9y7eikhi+f6NX7QyEb2sH965yy3lRwQ7G4ny4vbqp73mTbHKRx8n4+WdX SYo/rDbH66+Q75S+43ViiQxzLLjDRF5MjHTi2iX7hPKmCRRx+6AewugegoiBGklnarS5oW93qvyv r91QT7Iz4m29mRWNCIQy+6kqHkzbk3w6Trc+8rzXLRCzWc5LrItMy08jn105bVh87ZM9x9rndFWC 9rehClerJFhZn1A9WMxf8CXY6C18 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jxM21pSCZdk9+AekqzDuMmVlqxFHUwhCmWakT9sHniEynOxa2xIO43zxjPKn3LIShvNjKq+SUugR VjFCBLrkOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ipViEhKlvcdwsr3ezE3VseQjO/kCM0pdOs1nNzdH+1pIkicLlbLbHdLkMwJgNcY41nRZKDvJvNb5 2o640b/uamdoao7lHckZyA/b9hKmu4NQlDpUOr585ne6W8EeXRKbVRFlcIGi/UyklNaey+daKr3t azLvUsMLc9t0W5Lo6bw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLYJUpfsAKpD8kEjApkfbbLN4CE4391iJQyoKaQrJDgskBS8wrXvpHjsK11VGOO2O6z7PTuHfjm4 +rlUyKh0GwMvTZVzCIFrKtkrOmFrK80My0jltDwA7T0o+eRi8zJ891THrerexurnqtwcCscxuY8/ caZcUYJiY0uEZGflvdv4eVJwTO1DznGjwYUQ8N5tVm8w32ZqP8nh73Rn2Jpj5YzHMo6+BzyMIOHn paou15p2P8Tlw5S00HEQRsdb1zN/qQMb4EhsSdSuQUszUf73ESkHtbf6XdhawWIsbhDPYF19BcF7 wltclhF3kzDY/uVrU9zSHD/VWniLlFNhyY0sAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EAEQSpuW51cENyn40qmndY1WzBowAVjd0E3ALGYwBsECzF49IVI76D4wHbc2e4sUjHrPmzmkHPF2 B0iblxwK1Xc9rkyv5L5bvcRVm9mU5Zpzr4uTjpocaQ1n6YkZO9ZERJtMNw2Wt/UFLID4ohFEV05x QOjsJIO31Zaaye6Lz4o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toScMir1dWiP2ET8ayX27hRWZNuLrGtLa22BZsKe2FVcpkYPz5618smUZyaC2gnCHkHBH3RNrF9U aDTA/Jeo3l7wTS2IyLq7qAUiJAT2HajL/F0v7DUAxJwbFq48n/E+gNl8erlZRLRz+81JEQQ7gegj JQNC73P0PG+fplPI3NdopKzxu+sUNkBATD3JmdfvGTJaYzXk6ieXq08yKLZcvg3P3wm1MT2CeRXC BENO6fto4tNflaeV2IwJ1e7ikUQwuCpOL+1LDITT68Mr/fkRRQcs2PfumkKOBCUdYfskkVP9/rJ/ VDfaU50YLcY6V3QNCxmefsP1FLP1ocQGmwQpng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221808) `protect data_block rvQMgnh2AUoTz9os4Gf+jb4yyqNXV5E7ZekIFNY/15Nyy7jj1s3DzlfcoH96gyLy/HPoi4gxCR4V k1OhzST+Iyj4bj+mds5u2/YiLc0NDTSmKu5GimXsUOAXyfvF2ZXx6ssXAng1h/J1KQvJ1rQZszG+ H33iS3vYSYhIWQuANS7NYNWbS/Jt7fOngkpTFvF9s+LAcqvL2NzHOEEr/c1iL1KopTeF/HixY9lo u1Nhonuv3qMt5XEr+LMma1lQH0vTeSr80lwEyIYS0VfhzS4USziVm/whp3hb6XXlkqfttxSiGzkR Z5D/ZNDkJeusr88/0aGyZ0Uym21BMfrnenHGEjww0hFAqeryF9T2JM5bKWmA0hIT1DCMUQZdVfL1 yb2AR3Gne/Ashzq2SsfJncyfbXDBKGBQZZU9Jk+nkpG3TKDr3q/xSZpfA6aVixcVf+RNHPaLObcP CgQ3Un7O9fVzjRFyVzEgilZuoEwXmv6HAxQyltTWvx+c7FVjJoER8K/ZQMJy0Ri0Ea3PopIN4vPm GIMrFDdkuTONOHXfXUncSfSD936dNgiVFd+rZ8kVxvEQ++t35v60TgLdkGyyT9jfMHQPxGTqspof +zBml9t/odhBz/lf9SGlx7Mn06U2gMwBBmF5dj6D4zu2PcQw3EcJnsWiBnJWtrwlOUuotWZQ7wH1 /pJ9s/3eKp9CKKlEVwz3+dPU4TcujGehwihzKK6C8kyGJMhshKhzfo7e2M6cybQMem9f/ME3tMjR C80T/A7mDqW5xavldl1f82Pqj606kCpLXFqbPbxGG66683wkWRhoPGyyyA5gdpCyA271JSimRDP0 LCL6gr/7hTD4ou2VRtwqrKm15Jdl9/WX25OCrD+FDlB86xd2K0n1QKO843c6WcbrE9SY6A1PACbl ItEcRqqiJ9XLsoOyzlJl33GChJ+IOmqfucZRfY1HFIPxdBqsUy1ZeTv4+YvdK5CtFDUlagr65T/P Fw1VQQmGwUBZMVIeW4AzqWh8Gj9tRh3NBGQpNmEGEYaYn0PpmO2aTd9xPNWFC8gZyOC/2GTYoG85 yb9fTQR/9N9MMGu0dxrHvdnijpBj6N2KWnad/nV5hkM538OvXBx77HmX6bsHXK/7hY9JXAYeP9kY OZkvOgniISRah7N5C2qe66hI6B3PihdEoi46q6/mZjqsNV5Y8AhW2ur+YsjbPiFt3Z2RAZMDSm1z iRXqnTVHUgTSN0N8V26HLcaf0w4gv5UJHqc63G/g2uLywTgXhjomob2Jy3yoxeurss+BzQObD4Th EXDq4XsNb+MmuXpZWQR4ygEXnXicgUE1bOHx6E4GD3KxO2jxf0WmzYB0utuoazyLny6F9/eNqpnf NpfhE4R7OAlPjEhMLTUN+T4mdcZdsfH+CHiTvDI8a+JyKo5m8VQIvXSfO9q0jDY3nCYUYCMCIovY E4ghvWACUPC5cXhyyiiTxQIu1oQ9unx9bGNbSSoS6g+K8yEQtNmTK0mS3drkWcMkT4abaBOpyDic hU3BQejPT1r27FCUZ7m85KvcIdwXaDiPxhFc88W7Z2YtmNS6osMw/x+KvI68/irpAGni5RQbbXJS TzSUqlh/XelA4dSKqCbZYdT2lXlKcHPo7oJ7DWSFfF7U+hzOIKNKlwuY6muoTOEO8IeFH3ycRwsO 5IiNwVia8LzqkG3L2QKgTsjDIsaVWt0zUv7l49KSpuUnKWKb0UTokBZTB+1fieNmCQ92idoB0/Xo B8tYzNM/aHwx1GsJsEYyYFyfu31WX2YGg6MPMEwEdOxAWgFP44+m6OVd9Z5nIv9xXuig4hCEzKcw rBQHQTSpLA05XIcEfsxxX7nIzcLwuTs7/gbHeHgTZc6uyJo/5boPFTtV8HwFkewWKsXi0XpPW/4O DTwUAXnTvmquPCsW2oyHWdKZMg6JA3kLesZowJD4qPW17eyO+4SK/1ya3q2vHmFw09TzLGtmYa81 FcayZfNloyy433oxrelbjwv5xn8L6XiKBH+BXvyLb+PWEGd5rfwrC4KRIpuVoyxv5WaWtPRjwc6b CJXr6RCjwHlx0kOuidrltTbd/WcNFLowoK6JKjlOW6dKjK5EPiCUewRypdC0b8NoKBTUYsaN9DJx uyYHpM0Riyf/S/bHTJiETCtaZGYJ1FQFF/tN4dAyCiK+5NyzJc+3lWJ2YjOKmoAAYqI0tqYk34wH qwtqpaBM+8sIb3ZCzssAQJsHJ0OXyuFhtxBikUZsYkO6ySMlvpXgIkdDjNl5YowPgmhsUbLIZ+3Q Hgl0FyIoAvZXEnK/LffRl2cLGIlNl797qA7UDgalMEkG+CwZgGFM5ebdIH3hBdClhMTiXhvwsnbl lRm1MkaLE6kRzDATa4PJ+J1ZWpE/w0taNGyeElEqibyQrj6gtIbOcxZKmqteDkLi+TLdG60cdBYN 8m6TgZk6y0ewjj5RpFr6425e1s0g5i3CJLjujk9ptntAoH+tiOY1zkX0ozYUUU9wONW6yAd8PWZL 3eX1r/EES1wl/f1YhVqOokayJBgbVb2W3UOEI9fpRAddfV1x3+dwvWqFsALR/8yEoM3DvCtJocIu MYw9n5g+BZS8i48zAIjxICQk6Ynj1IhEqvSuP8ANr3wQsV++ZaaJWJRy2ph1mq2Sbrg8Opnf0YjL ABmac/e4U9reRi2irFIEt+Awxmfy8lE5kbS3Cafxin43w6HDaeGIGW/j4wPe7/4WcTbqwB8K8YH1 E8hiH6ZT+/YWk91fKMvrXlf6Oys8VbRrRIfq0GzuVHC5ps5qMr8hj+KvE8JZ86+hkfgrsaujVrMa gJpz5CoY0I4+6+1JcYE+vBiaJ9ov/x+coL48rnncxxsGfZ5qS9yCGRHyQzG6RAdDt1rYeMQzeYM5 hNLHOiUxeoBNHlCzyIyPe9XfKiMNMWvWKB4pIKUiMhRvD2V8/x8moMkIwutbnS4fHP0PV42YIaV6 Jt1KGWTVB+kkuiAk+tWT5NEpo3cMmDVHWrSy1WnE+YC4D9fa2fm4faBtq60un5BV08uVOhCdJaPA ZB0CMHc7z9PGhBs0DwCamvLttn5ePqZFUuIlFACuNxUsQqAYUPsAIIiDHVcKTwIMbazY898n1t90 NTYoly5H7+cILw63r+Bdx209AZKA8JZ54hCB5je/NCtf8YOR1ii8lbjRehOEvkxVRYMI0IIUNfCD cBALwelK2VTTV1efw/r3xM25qwjfs7KXqa1bLzd8axVuKS5dkZJtXwFw6OIK3QLdUuNgMkPLKxrk FkV7JgUjHwVohI9QcE1oO9cdDHWte9mj93QoADvBQXZrGtWJsG2RZQqHCx+nF1Aagnq+337zyYtS DpvkkhKBiXMSImwrKPWEVeYoLuS9oREctykJTkrRtp9OmJLrTtLCQBvMexAUvyYt/i/0+uKRAFG+ JN5ugoWOTkl4gbb4TDIl0zoz8sLAw/TVnOqY3C0z6GeTmbyq0fUD8APws020eKikrhJPH6Y1653h 0AKHtFa56RYG+Qh3JRMniRG3L+r+6BAbSKbWmtIgaaAXSroFOeUEMZ9PBuG5HaVzvjNrzfIeO4Ts 3KHc6WiBNdgMzuhox0o7EOLuvtdep5Blql40gVPxJ/H2RuWt/DtzxYXHNz9FBJfYpzOvThI7V93s YbFTRFy+jkSCtyg5oXTQ/fs+OwLqHANuQ5WAYl3jbc2jE/sWSYo2WM7oRq8mhyl9z2hJORysbxc+ PlXMOrW1QKVQMX/NE6yq+ZiuVJAl66Sx+A8ywhPNz07FjSX6eI7KIyWUCGjGfqVsNbfl+3GnV1hP +We1CHjGsNurOzEo8Y6Apmvs9U4yBwU7s00W7/zdFgX6Ov3V64kJbMq28WY2Nnj42BZkkvIQsLJs m9QWPQ+5DLPXX/SRYMY/ZZIWjQABad+8yS7arAxuoTpdjnvCMt8kkcP8FIjRTgibjBocaP7iUQZH lqz7Ks247RCh/Nr9vPyUhIPwfo28vtxtXtdRSW+zs+JkT3ZB8/b/IZH9YkQAtqnJr9eAohocWGkL ZSq2pJQxumqC66HyYrOTZvOd0vAHF72Vxjtr0HmQyTo9+YFHi2KtVcv/YZ7ItVJQI4X6jSwxboJJ Ktw7DmzRTJA9e+ToqhyNzTi2Ke1oA3mPIVaarGxx7rfx7E68T1XnW1UQ4rnokVMap5vbE99alfoS bAb36eGMuoCoxxFG4HAFCVIZbRA7R5Wy/l8yNlbH2o6yy8GZE96ahVP5l8vNSOqgaoj5BPkZwo1h LNb+Aio9sSuhNXmxNUMsxQkF/W5hMBJ2SZJhinRZTU7B9bhkuXf3QVbPMh5rLVrXrOJ3+goikJ3I Mw07RhxF09/t4EYKQrU3vM7u45gzmQl1z1ycr+TWv4UzJfbVKkcol3XPtqQvprYo4tNgkeNW0k+2 2EFNxH84fofD8XgjHLqwgS97Zxh8icp9wHz+ohM0Oqnh3Z0mHe6+x4TfUa8GXGZJtlG+h2vKewPG kdUCpkNmPM1sIASpn1ZXFc+q7XA1XQHkiNq+GGIDxDXlkkMdHGjNzIH2O895VQqc/rPJaanoGJey U25SubMiyBijW//lhh/otQZOYpv0CmejFlDrx8cNoo7W+S8JxLajPqnnmAS1hVkuNtp5Lkq2Rk1G 8OntvqHYgbRxyLh+IIvHD7NmS2HxziugY7jQ5rcyOiqbuOaQGmWRfsjvsETcQ+cD6Q7ngknxBvB8 ZTvxLE1T31ypEZ8Rq7faS4Ehlqn9SZmqcBQ6mX2w1xRYwwwLcnAZZlG16vINfWDHDaf3s2YC/KAx CvRP8hzgfIY/15cKCX6f2DUewuuDuizZdQhWLzfG9ASadh6oRzptxhUQwOAwQrk7r9zIu2TzC7S8 HKVOGLWsOAVvqbXXmsS+Wm+67CGS2IpSvO/LYorjQ7IiMxAXKhxMBT95FpvLunW69XFrxgImO9B5 EvP6O/VCp3c6QxvXQcMwI6XVRcdqmiYRaFyv77C+0rv6VpA1Bo9gO4akRFVaK0ynpTjzgRuMgFWE Et3txXPSH8ic84LnXMhPoV2oWi1sOoS8bnGRkkeSP4HZ/tZ6Kl+AvsMIr6LjkOZ8+Ao2pNkKR5GG n8Ou6AI2reGNQ+TwcZOj7tafzNn8roic/x9V2gDAKlGZwLwi2Nc1dxcHffvtkE2hcGEY1CrMuS9X D/L90ScJPo+UIFkNDBzh9jE4vUq3ZrIwui0GhxKrgBRS7qfP8C3dzSp5ZGBRyrta5fR8zahJxv4g eVLGMwEJ2aEIcVkMnGje52mSrb+kC2IdvaPhgopAjCod3Emknx0m58eY3f/bP1ev569a1ifa5OPP iIrmULn2RJ9l3TMR9cLTtX+lrzYbp+4t9w4R091PTKPSE92Kns5HD/aJbhFtdzDYPamMcqgCkAL5 DxZhY/nBz/yA31cCrz3a+B+z/alDPB99xq9Cdx4Gq4WHpoY45/lnS8anl10wZt/737a9ypL6/J4V oNHKCMB454xW8cq+TMvLkhYQK7IgbjxyAa+E/rwU0hGB1AWy3EHUKtKb6ny3rs8hnXgmEo6eqn5o NuKZ+4AwJR/A8qvmeDD1VsfwomVCjHZHCFKBpoNuqBiTqCfOsYyh6impSY1Ixo7qyDM9VdU6o1TF nC5stRN8Y/ObjlESzATVPMRUFhyos7HrOuvwnTFnPddLDY4F3ZHw5AynfNUJ74+uMX7OKQhMh+z5 PUi7GAjmM+y+SVuj+c2D+GgejFYCej2yBUdes4xNfYFnqrf4Ip7RI25zB2PflYZkHd22NLLq/9jp Z6HX13oqI6QsB1as9bRbRPw5jYcEU7etA3Wk1p+yQ/sf1vGg5PReC/ypibIdDdAarzCOBkjwHQ8N 6c9A9s5Ihwly5mY3VyUzEWhqtT50mSqrcnzz6NcCvbm3Vt1MB4xCKVluoHwkXB2bEuxhGragSrxp NfbZZLlG5ADLP6LGbYBNkOQOXyFlqkWrXj0EbRRUAlwvlJlsPAzy03UpqycHJV556epvN2C1O+lc 20Ca87EGOTiEFaeNstsacxmax0MXhMkMsOaJ2+f5Q/61fzjMC3isPLda6r9k8FlNFGkibSxpSs9J xmi3pu+F5mvxFQ70NMp8oZzm+rDLq8lI4o9vuPTnAIZfurGzJSG3qDGi1UVIQI8412ffigCaEm+H dwuwx/RBr7kZaGU9bWkUrP147cx1KLrfN6TMx8pJlAijTYS5/M6xfmDBsc0tnkrcTwoe0+G8dUkP FaP1OlrqoT5cBYefHkQd6anfXrJLNxjuxjNOLDDdrz7r4rDdSkwE1fatMpDyFFG3q7BaSbwTXhWL 1NZLnPJ1uzC5L7VNTsxrnB+Ep9Rp5x3ZEt757vrPy8Ram1CT0daSfhMeqmMXOF/GIOlV3gFOwyOq alLSF+vgiAWSp/U9b1kqsMrOONwtv/6cM/Ra5zkYpOei7pmZ5cGeSpZB1J9wUy5o0frOGIPj4BDQ ImoqL0diXnrV1eL0fUJofM4csYcQNepwpFLwWxBvZbBhRX5Gjatc17u1j1a9yhoQ9VDUoM0wwPCn F/2BtvTxuJJsTIG8lgbL/al393j/9YJ6OEb/J2sGhQ9+2ndaA/4KOlRJ5mWr0JJkQRzkUiO+sx53 VA4WTTfD95BLZTtm2Y3jpRZwBGqmGuEq8DBG0C+m3kZ+7KUObQuViC4/3zxGSoxCGUFplIJGlh3G B5fGdeBdhj6whbyqcf2Bt0QpNlEwdrh+0aExxCPXNQHFa7Agx4DqFyPHKA5OqstcvtiWhZpKawZQ 4nRtMx8F+LT27cBZvyiuWwzhf0Y6ZS1eUWe6ruf19BDpdBpSHq8pqJcQrLnyKNS7/gWqRvhbLuMa 6d3r1thh/0PJtBLmprXcRPfyOvjC1CD8aO32ozuYh25FZSmNYQHLZNwcdfGu7yR1kXy+iMGu4XdJ XSiEj421LTrVQxjZHQ+VpxeRB+EwGopmgPUbkjsV5a2EJwn3hiS+A/BZRYrmyqaGXAER3avJASqW ZSY8Q+JmXtVeFcyvM9M+PKmUdQ6MukuSigFL207uWpzTa7UpsgDMFS+njDYCDJOmN6G9vOrWqJnJ 42qzdc4cR8s16w972bz8qKMlINejz7236M3LMF68A6wcjnfR4yUV3LDYSGT0ubVT4PFDuG8Ph7oZ vVqWVdmEyoqAl8o0Z+7iEUpP3D2wFdaZBeouyfInmvcWTQ28OfxmHePVKmfd1b1pnRyxa6CdP+ho bxkVtOA6gbbj011qz75tnpFAJjqiPNFV1V1sogwdXt5rZIm05j1PkC3sZTr4s7DlZyvEC86XkSVC PjawVekUX/2AILI0wJ+OPtqWsUaZMx56l1GnF9fWSWMHF0N4Owxk0hIWl+6HLU3XojTEXcjqojHq pzkGRTO2iHFugOIkGacH0FfIArIMwsBWYkjC12mwW+2PdRFmNrThpe4avpzT+YWsbz6UCIWO2naJ CztipvO6Ix8j5blRAivKusEFT4WKeiIN9fjvb98P5ioxMJv0G6+xWf/ULvzbAxSs9G3HkR/zUeGj YRAPHExrGgap1CyFgeS97PcvyaZK0ukD+OLRZE3XObueJeEnicd3npeQYh7bHCGdElvY0b1IPF6I lcIOEvGnyOuF1c+kMMRmqR1vek6LG51OF84vAU+Eqq0coJSvFrCgWwyIrLnj1WRWYiILBxwXG8w7 +gJUApEfgSGqxsUSGX8PKrFJFPwvFZ4TsuZzNXskhO798eme6mQxJ5xmCI3MmU1zLATYR0cHyJ2T IqBP9P+wmlSAmoEbtbVeI1kbfXtSKdMV0sxjN5PUNaf5q/IenfP0dt7RPK7w0h5EdhxQRW0vSqCP 5qCGYYmfQOpakp/raqNMLrTClZXfG6GivHT3DA1YuSa3fCFokTzh3RAgzBg66nPQOYfUgaj7aGuv BRHojxJuI+moXu5WrY7cgrVreJUKZnuvBlYGKyj8a/fLHHg+9/gjwpFiVmccthCfIaTJRkpAjkR9 rndj/6jasnjvuPI6kec7GPaW+qJK3FXKhoFfpQBHhKjx0ocvsRQp7pRRrFQqpPsMdAH+Q5pqjbv3 mINS21efExfwHApOVdHbUTZzf9HxvinimF5+6JtocnX0ejwHnOoyjrzXVrZ/ZM0tyikA8lpPhJSA 7rRLMdS30N01Au1Xp87lNIcpupcApCM5/U58IaLoz18pkqAboL02WsQ2F+XDKt8xYulLG2ZF36pi Hb3xnCm+KIohOVEgvD/bxOym3yzPV03acISo3hbMYkQGYrJNZA80bD44+kKVZgYEPdUQhkiniJ1j dvyYHnJDzcvt7dGkMIBoeognXwmWqZQV4OL6cOS4BltDZlBfpIu9/jRDZyJDQ8ol08/yrPmltiz8 5ynPxhLuMDm5dbW4JgEtYNjm71GXNJVxrIEH8x7ZgsIrNDIfXfeeLVNyRoYNoJ42b0MUijXKF8kw xEgeljmxTb+nZazLWlIGHFTL7JprZrmRSDxGZNPpsJKlf3WBzibM5K39GpIWjz5WJYHl2RJuIvYu wLh8prLNaq9rz+KDLorjcX/GSTq0IS19BgYYVg6xdBDfHf2anp/FR49cCCX6vHeUjbCcRzEiVsya 5kkGVdvTMb4VEr3aofig394vlDXe0fW9HKvyey46p3qc9jH/P0U43HOEKDnYp4/NWyz81Aguk4LL yM47knNbVsTkpczp2qSS2IXgLVbGXgomqVAEhfFH19K0ExWsit/n+eRhndPFeUeiyuGMN7RABZLv eiLY3qdzd/Naspr03ZPxxBv0ajI9dcnqNvFOnFQffaFs7LuBUJU6Q7DQp+njAlM+R5VI414bmee6 pqq6G4IOVT3l7oplXl8e4Q+suFfBGaKiOBPA2V0vrnA7EnN0ujpzgyKqCLzIHtdgpB5wxrQ7nbpt RxxT3rOIXcGacV+9w4G607YHGNzljaUtFPEH6FdPFIHLTzXFQidFgnR4RxMz4BfZYCA6mBfz5Z1+ ZuA/oxEYKDmW/FNxf3wUbKFYoNXPtg/1wRaL389dCF4Y6OS9EE/50R4DJ/yKZhZzwS+/vJKIOSJv EL437vIJjF0WSc+75Qx5dVr5UK+oWq/WrH4t2JBklgoy68WOhIPmAEcpKomoHGEfVJfMMUaoAWnB OJMLLfQ2aLvCpTSmaNpY28jDvPoLk7hJLB4XMu6CkZG/XIij0DR2cQKC1CI1Sm3D3Fe260K7Ysbm qyxwSikMQldJKFBSQ9yiaWE0r8dzbGuN/eeONKrp8a5rFLxUCdk8Xbtx0Q3ZdqeEI6z4BYt2xjVE 99AeBxTb948aTWh/2CgSpsbEAGH9sdNvtnrZh/nw02jdYPsCuFOQ4PvSn3K/WmGLptRoR4O/gA6q Jgxt9QQ92rsiuheMfee8+Jj9HuvWc1MWJj51dGbcvfBpOXKz60yDiHnlnyGak3LhmAOV75TmTr58 jXBMgzd8AN0E//jWe3/MqHW+xYVLf1QXy8evRk68DvdsOgynJGGmJFxCWR6kpGy9sfQEfx1YnAcL iZpoza0nUm2REGBCDKXrSEEBDW9GA1ygkR0BgtngtejJVHUfzkrDAblw57Yl66KYoPkO2Rsta1oF jo/0EIz3MDWzSe6WhWgtlHi7Aev58EdsyhslVRM/NnMi6aFlFSWSrik0AisEafI01AkY7zKi/81X Zs78D82zjqrFZ3OHG2ekeXrTZZOtMNvinDo+TEJ9msfJk2xoyzJMzdC2PsR8WGLusWCI6U9avpC7 cvKJQikJSEAQtUTf/ZzGoIQbNr8mb+zaw/M7zniIq7h6KWjCTuBk50kn8BH+YDHJWTfHiwN4/ntJ phwv9xsH6EM+vE7YywKfYrc89IxYrjiea7B5rIRgaWrt1Du7MpyeuI2AMJu4/hH0NwcAa/bXS7Wa bS7bI90u5pA9m0s85ns0CU6Rs8LsL/+AO1vxXB2jIABALiaIPbWXTbp+r6fP8NDVg/y/OzrDkOno WQcgAc8kI2iOcWotcR6rakC/qQM4GIm/mY5opA8XWEKfVgz0DhyQFoD/DPBcL7KDRk9bNW+YihZz pnpwQOLr2OocUXedtF9s8/UJaZnrZPapfgkzvsH/FNjz7mgE0WpsctheS2WJ64ND1XNTIaEbtP6q M7Tay9WE477JupvZRQocbz+4OKoWC577SzPkezT0ut6ngcVQaFEfD8k1ShuSjShE0kDg5671KZ74 MhZNSSXqxk0I4IOS7fvWCoKY5/j2DPLnEoS9uw7wRxtThX6MCoIsTtxltWXZz+TXUGavM/OVOcuq FjKRI96FfhszDX5hM78d3lJYN7n2RO5vjCTnC55zNkS/OjsXEspReEjCdxyBgAmVIwHx2J6c0Q+h xdBFR49R97LcSlFmphOoRMJ8tXic5cINimGIh+vFJTheC7JB8GsoZhLiQCBVfJajZ9sQRZlMyIDA FuLN6e9Dx+wZxqNOJVMON+MOA4E1LsPl8w6KYRlagmiHGCS4YI3ANFhjEuVz0I62FtqckNdXQmjN opSJKfGhBBkPTQUMDYkXiXLAxuC63dGaHcJi2daNjmGVlksdpMofxE13wEkTStKWpP5jA8SwUY8b 1qdkKIigZPceiXRne4LTefcm0O0sG5Ldi6aGLxzixjxiiZJzh1EVKQUVUGsTUZ45/GYGCq+7w0Vl fnZml6+J6a0jT3yFEwFlN2E+3AZc/N1bXsE3F3I9oqRZNLuKZbMIl9SpLrHBgr8mNC8tmdw+VDGG whwVibbGXefOw/9qAxIRVnoDbsBuh1dFOfd2KiLEa76qtF7iitukcLMl2tv05np2SsRYZDsR56oC QW5qa0VotAuG9AK8RE1NipXP1IPPdaOKrYQCeSz7M2C9CoRIkMJ0X3aNmPD8izblEfykgaOHuCEC yNCpEReqZCdZACcO5nKCIDKoVhikc/jneRRAun1UlQX+V7lb2k+pIBt2x3mqvXEkoUfBDxwR5iUv 9ygzl2LLBKBYnAYRN1FdWcpSbu4ZIqhor6zeXy4LoRbwt0xIpr7c0HLVHNIPRmSS36H2/AtvBOPD DChuLMMW7Jc6Bk0cEuNMNTBFT98ipdIeULHnOity9CdF0O+DTUOZK62GyrAkk/YBaUqLMJ4eoXdg GZahOlI77yj4BLE0J04hLPliAaUtdYSJBsHsUwHxq1tiJtaxQeLpm1cvmuSSVNWE8/WwP6zVIrQE vauqceffkbz2wJxbvQCXEasQdgtd+9gV6RPijh/LwbCJ88J5uqrlbmV078p1W+DQaIgWfY3ulybN a6DDMcjF9la/Nyg//stZrBo09Wpe9K4T6IUYN5qBvZ4pY0Gxj2GozHymqt+Q/vlR4IuwT2DpEIvu EmGQHo7YsAIdaHRq4AgnIEppvcAaX8G93e2Qm6vBTrL9JrSuyyS68DRVdPURiMhQELiK8MpW4f55 3KmO5YNLK7G6uUjmL1kz5R1uOdC8aUqcjTDRxm+TJO5GUlw205b1c7DL8rtKYiq/EJdiLpR3ENkY 8ZTyjD75i2B0hdbxYg1fKdJoqimFRQ4crsyZ0Io0gSKdz8Zs8BT+2Nkl0u0QVMhG78DoiilSUTuX qSmtpOOBsZF6iRMEJVYiXMJotrrdP69CaTVDRK59tv7mUoOjXPGqF2Grivbpo5unAb5IF9Q8zSQF /1Us715YoNDIx4OaTKqWLw4uCbkODofzCXfiEtZKVsxLn98rwmpEW6Evg5ljWhYQxndm7px86IWH C6+Cz7DV666yD8CvWIkENzlk1R2uPS0pI+qXxQVGMAE2p8Zlx0P6zDVQoaXWrNghSgqGjxeETCz0 DueFR7j/sQvXMKbSj6dcsMypyxMLbjk9RWS/0v6MYu7OUuiJcVzDUDXRRO7gOanmLgvurSpyjWQT rkkXaaKf7voUkCFpv1fGfFzW31iJZBg5ka2ZUnvS2M1gEj67wzM2kFmhIfcKGxfPur2i62r1GivP vZy4BmolWd3jbVarNHAc3hV1ghA6Ocb4lLxkiPRN4Wg7K/4icSfcioBKQX9+mGj6ZEskAI7L0bid bPLJotKtBI1bQkEJvIgX/dWTxH9DAk0xd4wkCcMMOs+EESnz9HW+X70Jf9RpgZwZQfN4Z4WsojeO meN0zijUvENVw/HwIqPOg+IjQb35LGri2h2B8HYDuBI7J4suLB6lmb7dkRugKcPoS6NQhvVIauEd wgR8XqIhUcTkIxLFoja+bxkbT7tJ1e/5zOBY5D2AnzRsGFKy1aYk1Ayo9SBi1WtDzzSkC13cmkR8 Lfulr1ZQPEL5sxU3bJOByM/KrSH5pzyUAtHlyQTrHpuWZBSl3MWNj2P645Wr9T1PxzqwOCbSKu+K 0wuZ/7ftKgIIWrIeweWTXqMaj7O1eVthj3VKHU3FXgeygHKF/gpIQhuUm2cxhaZ0C3UJ1ZBQwzll GYQAd6hUqoHWxUBcuaFI8Www+EsHmIad0km8ZgzuDuetZ5raItCn+b/93BtIYMUZiMTjRzYTGyn2 Nxv9l8W4av319cYHaeQcwoUu9fT9AghAHjscboeUJh/e9KAIuCv6bXxk3Yf3Fu3ztPS3q2vX0E3o 2+yfB/+91yxzexXi1BKbsMXDZQ0bETDZuI1cZqUFg5E17XXJHG5F0hjEfkEg03EqlZ4sl5kswFtA /I4ZGGVTTfokhxWfw0cdz6+4khMGMnh/zRL6KGcg2qBB8nBRTPebDv6D9FN38JPcJQ1UqcIgHefN mwjV9HCsTM6BwuUVr6WDg5qIWAMFnLmy58/Q75Iv0pFPQOfpdT9WiQ/JnHC2SHBWevS+uiPiL/gS 2buDYskyA85ErxIEWcWedI22DxZQEDQITXPvRa8GXCt/OIo22fe0+aCChgZQEBa8otqc3JBKPScl dNFeCjbj2yFobP85DpOFAKp5Rtf7E+0IETW2iZwbw36H/B8nmHSCxojBZjheElcyFd7gH8de9H7j P31yN4dNpjMA43nC7T40cpkKQ3dkObgaG2fum1w90PEVOkSniafCknEZq0ZD929D/LlASYuwGgDv oA+YVVtU5ojPMUJu3xye3+xxGetthO6RG7nbeV9rZZSqGcXFOC36AAd8IFUP8OPiGjZgOmQP22Xg TxNHKH1XkXj4+WPms2InGME2Ur2UIOOsFtJ7/3LgD+btwSkb0WFcwcxT7qpStuOHhBbL1YabaszS fBi3WlMwnSqX0VHn/KpUNaND6Ap6FtdlprEWSWL98DuKDumsKCCn72TV4ZfoI3X4MdSFAhpjfrXL U7IrbFkkX0gQxOKZZq5HlNBtkM6D0LEBlcSrpnNAesil/XUXTsf6d4BlGKhyZ6GcKG4X9CxZfNrv FzUQYftojLQ6G6AmFM84HKU9bvw0kxxOcDZYG9kPREP+UTBv6XoG9Wktk+JBpvZhpyO42nEU1A/o oN+7ot6AE62GkH0pd80l+DdJW1ELVbYrj3OK6JBupc5hHd/I7+jVu6YPD+Ri/r0s0PloEW8JQxEt pTqJuATKy+XfIFAU+uI+rqF2auMGPOS8D6HCqkWApJTi30z1b++nL6R2ynRYkD4I+XihL+7Dyu/0 hVwDriZvFn7jngN++oaroFJfu7pHJaKgeZ9zjXptKXLxUy3Dxt1woJxhSS0zOpbhnS8qxlei2B+Z pNmx12lleNC8JGy5uL2/dtXl4xxI4+Mxm1oMy7nF2+bsQKfAzeF+L7wyul4q/AS9jiVqjfvSyB8w xivSHQ9yWIiaG5wivZsGJd4+Z6eEAbjVE22khpYzTFBXpHfp0m0CHmNhqJFBNfum1FBM+o73fErk YswGQb6w7//5j/Y1K3+qJ2cjPYfkekL9p/HFIR3wyAYq23jJgqySTvKYIRQrjhDsUDieFwh1u9Gi p/j+npOPAy/2N9NVIfCdo4vNhz+KZzuLR4jTtfnv15QAyD1y8a//3Envgdwp1gG2YMt6LObTNGGv CmNioMmiAL2iRAcVnZ1a2y/XbwuEN5Ly6FxgchCaunpQiB61eXmbAQm+Yd5Ip12JFoKIEjnRuDoj L5Lkqd1iZbgeAORRgdzn3EYxhSHqZ+7yIRAs+x3r5KBe4947etLyiuiPIUkadEQmJsIGtA2wPtyv h8dtJCPPSyu+tdfzguoBFvX0kgsqv7rYKsnuqRboeJ4RH8wadh6n71sLcT7bUpXNozYUkiphLz3F I3YkFhS+neQNWTnSJugA9UKjdzs09bFz03yOJv5RkXF8x6LLjEC2RXoxfLZSDGlLG4yDYWpHoNT4 r9uS1m69lJgM1D+jxXrqCj65WaIB5ougiKIvDwFI1S6dWMl8Nab3qBJRgUBhpLafJmXlFR5oUb7w MKfYfHfNvdCHY3BR+l6H4jZqqLADPiuhqHVVOzpkG3CUi74+EIQmaYLk2C1T1y6hSCLvW7RKkd6R d01z2uJnaKb6/a3ZAyDNhDPx+UzptnDnUxLzdVIOzZlmJDf02JIoYqD3MOH9z6l7kpugB6huO+FV I6Lu3t9MbpNYmiyWvAieycgdFTPQ6W8V1EfBlPCe6W7/prO/3TSzchEJjtRi4gN+Vuoy9OS8067P yZCCN0FKA4duw4v+/OBK1Th/fOPxXYnWnyKIrmTJupyfD924iD+IY6FlHiMIP30WcyIc9LHx1uBa U4Vesh44lrMg3D4XWosKqBWwq4l3t9KuHujSbWI0iJ8cBo1wQ0SFivJs46HuJF9veu82jtt2Q+qD /qqgx2R5qdj/upL88gQ3+7Z2IT9SeM41t+8NKDEWEW5T65pExxViezfmdF80uEW0GS07hc6SHP8Y psOVXFFiGcUgmOf9g6t1tw7eSZT6S1a6SuEtogbEqgIpDI7HHGhpQVbzj5VyIbPlJZOu6yRcL0/e /zMPYl0O1ancgAXrNrw5Ld7hqgmV7fm2cmT6JzTldM3aYkkBVmcR46klhE08aKoSB2tgiebfB2Zg S5YTjAJBeBa1itAs63R+kBV7aPUq8hApUkWLdzJ4V1hWFyQcLzES/TagMzEtZCG2688zf2R2LUKr 6VmjWg9v5dKMdpeI/+F6StqXQnG089kA7QCbmd/VRwCKg/Ric1zWMuFpwCKLwU47DLx+XXPP6L5q u6smVl6bji7/qYEtVXVNLXAvURRZw4F+QxuESi2FJjFD6JhFfIyj/cVtbwi339ikSQZnarGenBal uBK70TMeHfEAnInrAmdaT9zyH+ZPJtAvyT4scVLLVGqU7tGCDgL5/CkRs/uYPSAKWKgtPnzzJJV1 s0fTEtO0OUJqQz7Mq3tt9baKTXCepyksNsMje1v83rA4CJTZNRFEaj64RUUH4XgsVOSYtH9uY761 nhKSMRNtV43gpkELQOd5AAywy2b/FXSkuCBoMVW13acS1qv0fjnjTjrMQGxAvfddrFnEKcpJkAmf VvanFvUhpfeIS024d/Q2ZjTku3y208+Z8PJb8BqQe7uitdKKQK485fJth+FHzpE+9RMTrBImv+7N U6wxToAoSP/Mi9oXuqkXoNfCwDM4BQxUScUzpC8pyDlUDGcLPzl7FhknykoTQzvygBe8TCin+zfB ClbecedsfsXouXuDYIOWeE4rgqE2yVaLVLJ0Bj85SB4z3qI01p5souKe7YanvYZ+COoNA0WzE+t4 2g7SU267NYR+BlanFCRvjYb9VUsp4xrtclNCQSVCY0uGUKPblg5jUjnPJhUv9VCxTXU7VmMEp+UF W4apJjwEG3qrORRKH1LQUipfOZVP9NeaJFwwBnrTO+Nqd7HATQUAXqMLqCblUpuFbSuVUk0OdTb+ 5pdVYzRvJk1FpZPQVWBanFQRFhKvl5fYUBYg+/R56OdPajcaaY8EPsGeRW/YZLKnDYDAjsefrheS XIS7yotFLAeNRblcHiXMtpvvBIhb53pBxOL5NTcB/CegZXyxveV2Jnu5uw8eOzfPdofOVh4AeJBX LIOmUxU44v5iWfT3VIFsu32VsP8X2yD5ON6DmZZV6Ez0sD98E0w/gy3Fk7+hiYR+VjX0Ophkls/l Qwk/A0BdIQt3/+UanZc4rPPMCtl4G8qdXAoPl1XQmq4FBuiuKHafK6ENbp8JcedwCN9fCVXz6spF q/ecNDq0IRhgaChdg+gkZ6CQT6Nimzkh7qseFFObQGE+ELy12oaeuujeJXJ0+3hOmC2eocuXIJap Zmz6Hf3IDDVG2/NOhWf0dsXialDDhvUnRzn5x+9wFGuFRRb0FpguNWY1ME6BOYD4cs2Ky3n/GplY TtOodnML9AKPQlyKEmuvHKRysrAJiqmL3uihsmKK6y3h/8WJOCESnenkWYWcRcw1kduVo5nt8sUN Unhc85DNg+BYHggkze66IAJVt/84m6kY7Lu4EVCOMiBpY1/ade65KPdVz8pVLaXhN23lXy8b7Iy/ 6ZyQ9hzQJBJJ3LOdPvNIOTy6NlfF9eC1oNxeZm8eVeY/YESrZos5P9mMUpy1IB6pMU6hy50uGFkd rWi/aeS2QeNamEsqCrKyLMOEOP9AcRB8biozclMBBM96cytgXPEcEm8OKiLiRjobkvzZy5MyjV9A SJibXYWm2FQlk7scJ9p9/tGCKTnb5HrOv2HaiKqgQNWh+iF0utAJh0Dyd7TOdizNhi84+hFM5ti5 vE+TU2TCOh7orQANwPqPpF0325/TitS4sPKBJfx2Rfh0+wkpHwnH69E+j/x5E8WV5LPHCZBXy9/s uJDvIATDgk7N0hWJyr4z8dRYppB46BOCet4utPd01fRr/7KhUr6T1oBUSCvmLgJ/JiEgIk5J9MF4 s5nw7t0LFoaUnXDKc9FY+JtIFPlf5+jdzOFqKrSE+HKHEsfRgJYiDY/zj0WE91cnHfeWRzEqn5RT OLa/VSx1eKyZ9fLpclM2TO/Nvi+dXjgq1KFg2LW9sd4FTrjZdmDr+va5rA00h8EnIztO7yVJB6ft i+AGmHRQnSDN0TBA78Aa0MeQC88JT2EfpVJQRXMVJVjdism+of9i7vha0ovkX+PtrcFm6Xe7bVle lVFk/y41hjtxYi/EVBEO0uEEB77v5XZf+EHYAlx56lJJ/UDykLUGMFB3mcoSyPG6nBMVvWcGGrfM PeEHLv9JmRg4Lo3ZRZLuEAdML1hoSTVuLFHQA8ELMbpV7Ag1b3tdwnYqWmV+0nExqow0h4CPpcKM d7Yl+AmbXwR+9EVScWYPwhPCC0OvnyhbAmmoFoyKYIHpXkPgUFbtZBhhdPed04gKB75tRF1/0xVw B8FUWDT5UjgtqYXdLfH2lWTbNddAX/nGwM1ovxoZD2vv7FrC/m+Nl/lUcM1R/Vji6hOp4qM7xxAG OsiP9YDBsFei9xpZbAP6+ce1A6eyIDQBU7bUXiL9f5qRSqFIoZ8RYpCxbmNom9EUicssP9oCYy5O T8r7q0XQa8D0iEVywTcxFoeKmCXoY6sOcVsK5UxEYJ8T/Ry0dngprYyANb6P27fuMgHu9KDt0OVr FG7L2PMlM2owcqulIqBTHz+717BPUkcV89V3eEQSIoP23+ywQrt+Xn5CKLYO/TexOom82Tzzn9SP 1+XAObw0fu7C+ApveGpJLHQqOAHT2UkYFYCSOB2+xbuehnUrjGwCHaG10BA1DREk5PxJq3/atax0 Aqbu28cVhFmpKAJ3xRcFZ6Mit7V3ZLCAJaT4pYlHESbIlImX+N5Faam+S1RXdkCWm/fItVVJ03vN ld0O2grisLKZ+PDTC+BTR/kv3l9c35u3iMj7eRVyxuj8M2xQCO+w3vgM0uIFWl2Y7GRL2DrzEorw Nc2HrWJmLY53olcZYcdNPn9mD3l4BD8ApU7n54KilCkxuz5jCPUyuvHil9lDCLKnnQ14yj+cNvbR /+a/fPBw7RrG3u4Vuj4icDYuiYVsJOheJzvA1gdL/YavWLRi2BSFtVFYV0TnVNPai4Bs7FrigQ8C c/5AkTxag9aeAqGTA9B1BoxdZgLLAN6j5pvGvIIuJEClW8vziJkG23ZyBqtW1s0yQEmYYGPSrt+Q gNAfF9Amt6P7DTQYiUcPbL9jc7aTRz1qXZShHtGOQA+qm6+vi3t+6ahyF0JreQ6TRsu3Ma5aFgOI RhI7hCAgkDjCOJnJ+M89jNpok6RJjmNpQVVEtfyU9SjIoOCDv4KrURb6DURZ6koVaiW4vE+Nkzj5 Uzx91Nzx6D7C3IUP9aK5HY43q0NQy4/eAduzz3ewBliareX5rdNEwxOE32N9Spdb7P4GXxtWUO1/ uQ/tpQU0L7bQebFa4Xg0I/0WCmDyQRBNH0Ops8+JLQUcdTKEid/2epmBm8dOW0WBIrVzFA7Ska36 1JwKGpaAbOz1O4/geLOuKCUM2kHuGDq0RXD9YcUAR9YbssMSkKRWPADnqBVLLU9LE/UxnFDEgN4S dmMbShQ6fRuKJ6ddL8mfgG7BKzutqfh04LV2rhWA01Y6jdtdX6WYxIV4DrSNul9Be/AyLkXE3IpN mhxgyZiGFECYe2scOOEazPeQXza5fmjys6fj2WcqnWcvZBYerieHOWoP6qQBWfIML0dnuByy4kyJ oM9gJYHiY9rWXqjrNR2Ir2g4clp73BjRlJ6WkEgl4S3X++cMAkIhbZ5Cl34Dv1+3DWjKi+hetmQh mtfR6eTRoMP7Y0ueHW/imWgp2RJqdjQVPCWbDNCNx/PAY7LgIqBoScPRk011qZbe/78Oe7qvpcFn h9TNr8PpvESe3P3TZR1XrOr8OGwkLC8Ml3p7D1IZPAg0g+R3ZalD9C6AkmW568TymiFv2pWvNVCj RLXtzTnkLxg5smvqRCLeh5dHJNpmI+ptjPCyAjuD0q7gwAkxs2KoCyRQZu+MOej49lDPwVU2EsKc nNU+6DX58K1mAy/e0URzTMSg4S822B4sYQF3N9MyXX0kU3TtrHssrOGtEoexg4qdqRQaPVNOErgH ZtUZGAyBKGLN6mCiTJxglNwkAZhgC6UCpArDTZBHGcrcOzf+nTQaIWI8K3BPNGziE+w4Cd91zfuw 3Acqzr+1IC0a4Mr6hZDxTW1FP4nWPT0gMoHBjtdPl1E7syGfngrGfggSwxHvEwhE3vGK41D/R/vq 8VyLNx0S3XJkGJv2TQKcggUzfpH5qGoQnSKln1MZMXhpNuvfkbXzChFxVZHcRW6A5i1U+apztbzH TmuLOnJE38M/pdGeT8NQdb+TjAsMKGPUOAisulQsn62bKanuExcO+/bncJjCAL7NJTgy1SBgA/lX tAFwrQ8Yl35sfttAbK1A8MZkOvxbs7NJcaQQtppD2YL8E1/75ZHUttthqo/9z/NHnTbzJp4WhZ1R /09rFZVe0R+eCqzpEqQu6i0H2cHg4p4USGiv6z/f5SkxFXZ4Cco+HvfkF/tadMyyHDdtQzb0OYp2 YfuCErM8ET2YaAbM89Hg7Ti6wP6sJ/Bzpv2/wMP172iCcyFxcyJNbt3gmLDizuxY458cbSRptJq/ APbwXUsyYzPKLW5PeBsty3vU2OnvTemUu0Q019T8bmucEsD2VA6bzYxHJE6bD1sASKQXZlyGX/Cp GJa6TQx54x2/OgjzWmB3Tt/mKg3WIypMTo0VvCy6F+IOCTNVNWk+E06F+chpgvuGxrzOxhDJRyLC 6tdGjX8EZaQQiwQb3PPPG8EFvKxeDMjGBF+FGfCKs7rcndBANJaifB4kvy/B0NLuSGNH7B90Wp95 29x1vVZjRQNl+suq2I/eA/1SKab8zJw4sXMHv6kNzEyAh+bq97gU3IAZmX1MnlC8BF0IHE6v5FLR KmIYy7BnvDjZ4Uc9vmAHmW/DMvZCD1UIdieeEO3bf6omXoiBpdBG6lpdWxGW4vC1UWMBXNnxi4ID pXlBDcse/aEAtUqSNNJ2XVigGHEkLL3t7MdaD/1DYJPN13k+5n4McARe5Nc2gmnGrRMrDE7aMOya XXYFXt9Cn9/YPO+xCUK6hFm9KFHYYdGcRFgto+mQhPALQ+oTfZlGhAnMZrMjh76L7lpXV+f137Xp KLgZBGluPNIl6zrAcNqXaQg9kaFG6KPvB7ifa93i93uulTMUC46qhu5a6XyoDmL47xKchzYojbIp KgvcAyHn8aNXDFMNOic7W9Pz67xaH8FLg7uOrJG7hIsxeMo3RvkqdDY8MW+V82lAxS2fgdeci+n7 CC69mdX+Shs8Xu27BLlbESFaFJKZ7R4xRvh2zFmXZcky4jm519+SaOjwMWrgcerLcPGz2CfqIpKO PHgDrJ6QwIz/APO/GTPJVMol31DM+pcpJ94Ow5ahGomlTfd9bmvJv2l2hDv0NJShRmfOXFsQrXEZ VrEKQ6cf3a9eH1VMsH+1ICJIEfeJIDeGVbUeHZummUNq4MTMnA3/z/vCdNhJBju2/p3v/jkxYwtl UT1DTpQst0naLpLrdV7IuAuQTLoG8S5RImOUYmGP7O1ooJv8ZEsoT28Qmd30cmRPZwzfN3V6zxZl F3/HI0NQvcUenhNy7QT7OAVXRclK20WB645GlH0ZDCpxoon7Sjnu3DF9QuU/KIL4NyfSa9uWuCf9 zYlgYt/vXzcYnmfCWZkoq5kd0kL64szV/IenxW2J2LDqF6m51jPvCYcFl0mWGgwjHRaIkspITI/G ISuMxa8qJEBpPAQpRAPcS7A9xOWyeVSzfufIpuCp9YfrMckZOC8m30StG22+Hixy1d+9ycmeY664 /gmhsgi4WUEt44nzcZ3uANuU+8jCXrlUm74590CBWQyDfUL1KpDoslM5qKLNydG/bZeEBGYl/CIA M9RaKLSh4+Hh0zfHsEGawVXjxQIDDq1U15UQTCNZwYZRe1O9yYhma3vAqk96/HVT6lRYCiVfVkcD 6Xh61clr1ctO+2ypRBvrKYKx9mRbb+ODBebJIGZGyUh4FG0pg9suT1ozH6WLhGtkUJ9+wDGUbNIG 4s9wqGTiKXyR27NwEsfISRPBdMd7Ag0mXOwzjwa+2pHLqlwtW84FDw0GXAx6tuqdTZHIPYlGpHW4 Ke93g1GF9YmH4jtJ1U9wuUEDNGB43dATL3zI5NcFhGeYEul+DBqj4zZ/Ho29LH1jpfI6lSrYnvqv wiScjK3f1og+mxJjppWeD5IleDSCuGnPMASREq6mam0jnU+xLLJxQkCWBExIdvujCp4AyY+XZdt4 bLV6yuoT+YUQCntp9gDa3IQmNQsvnKKilUj7gphI++6ZjGziKEXnSXIJHbTorZtElg9qlOan6tZ9 rk/tFko134UpmbNuyqATI9tYMj67XeorFRbCkLyJWgemhB52PZQESPdYBOOmCYwyJqBAt4EdlgP/ +wDY5kGQ7FcBQyfLRPsCs6PUCqEOEYuC8PJKPkCFb4WOj2bMjpKAvIznyvovB9wbHdlvfSTTFmt8 95FFsMlJB6JomkFHGM7gpVjia4eAM0EZzmL7Wnm0aH4Kp3CsV5EkhgWz+O2Y3K8lkjX6XgfECvBa RptCIGHPI1JiXi+Y9s5yvW7G0nz+F5Og80O14RK5DilviLGGXTwXiOMvPgOK2HeJUlcMFyJC1rD7 EFJgV/L0dpxJseHY0uqVU1YhvznrkqkQwomLc9Da8/NK6IRKyL3k4Wq9JVBp2PzXhNQm7tpigF2M zxFbm+1wL+uZEvEHJjLbxG1p8m5sm7J4tzstsF/F5VQYwi3H3JRwbnmy7wQb3adG0ld/CFN2N3GH FI/ZoDjaSOmxlDJW8w+VYBcqPNtlDfZctvWMTS2oHYbQYjwJqn+HhV4k6G1S/O3c8JwFWa5YBeVz A/0wJB5s9RD8o7Yr/ODE0HGcVZHyH85H/ozQSFwHA0fuehlooqVP6yy45xkJhu5nk6wm/+ze8DWi upgX5d+utZdbS1rSQYMPX1Smp+vLbj6igPmESCNY0EKJV7Q9yMHD5YVinsSHKeeSGqNmwdrb0VRl PSZdCilnBeu9whaxo/sk3KjY6Y4ip+C/VPD0pL9bQJkVN0+JXYbsY+supHvS+6l8C/d120UwbbOP 0nU4oI1g6E3SIj6RXA9MydX1yrCMcHLWqUku4Nvb80ZMGzJrL4qT69McX3ctKFMkrj0eAt02zXZ5 hQzpCcQyN3mQIHINwtH647wb5fIB4yxG+w+OlYfjLj26mv0l8x3oudOeQQaFkM7l/OUFF4oH0Zt/ OPxXkhIz1OweK465xSost/YRbsCiR3tymNZjN/pVlrZM58yocucfzXfm2fPn5qILOhMdfmaUOtnR SZDc015BwsDIeX65N2kVbToBHU0XJoZK0lQD3/kfxW9K4ipSYkURY9G1OWMk2r+bq7t7BFglRvRM ro/CEx/0JAGpRC0sgQncDipnGjzJPaYl1WSA+dhCnN6rwz82Lm0IwfwWNTOtqJWx9oMMDDakktAw fLEYRnL7mw38kXKdEJePpxOXhxSHA/lEGGDbZLEUZ8dcRUF+8GJUFMojfW56+CpwtcPbm1TUW1r/ 0OZZIsKBF21+tSHVkKIebr3zH7Sxkg8iGkU75DpaUZNsYMUC3TeetTlrt2eQO8NPywmyiquqpSE6 u5besWOGVkPu26MiHwkn7LTfUkRJ94CgVvHYPF5vTrRPBqprp+tLHGhJ1jCVoW89jomoSAmkUO3W aQzoG3Qx5dM9Z2/B7ZAwJJSDe72ll3uX55F0oBgBnP0NVn6wBujVyS+Kzb73hhYNxV+B+lip4qiP U1ANyxKtW4B1NenfbUoBdGMaMMAMvvd+36dK/hKJA293d/UiNRPpL516H6auXUGllg3OVZK+JSNc FHPiW31mPmp+oVYKn1dEu3seSJ/CMkxsSch0UkWrmTVWCF6JP393IGHPJtKbkAGMEWw4oFvCYCr0 gr917QsFqeYXNgGLR9KZpeADCHqP9pyZbBapFBar0is61teDdRfmR6/CZYki6EYR+vCAxSh/xPVf KRGAmQXbIXTj24XVXacwxiSkrHAgtqpv/hCkRKEmDbhDpys7NIDdMX75F+JfEnyjMiEBYZCkQfNE xQZC+vxnXllbFr5ROtjPLDWGM64BjL0n6u3WH1d+89iMRgQYp6rPkPUvuTq8sLlKkrP8t8Cw3uTY 18DcCu4xm/9foIIgp/VwhMLAxqblPjyv6jCOE/TZMvq6mwsSkSZrY8BReyhKAFNsTFDOGJgey06I 3CRxI75f6G/900kMEQRYaJgPUZs5F8TTfkRmIlk2Ow2G33wPhz3EOZGCAsaQjRTgbDelOaeWyr8F HoDBiFJD+T2wYc+np5skD02hR1DL7X5DrngzE1lyhpMAcD+oQ0FwCvsZjd7TojUtM1MCrG+SYVnR bgsKDvsHD+FlqpySMk6i1i/3atlN0KqFDx5NsyQsrZp9PXjQrWjtmvEJoH4DfdIdTRxhxkBDH2Zj q4XPzb47RccYcahyTljxvs2bQC9HYgpjI4RQmHrywINzXHyTIz/qb6TM/EE6SKPUgedgjQtcd8Ai PR5lcV4gwd5KUTw9GUuT/gCjRN6Al/HMZf/OW4nLBR18E4nPD415vipJx+IfZQgeR3lk7u0v5rl+ 6ewSaz+jj5UGt0PjORzQtvzySV7Skd6hdlJLvaBmJvondJwyH1xfvK0rz14qO29qoboCQqCMvhDQ 8pn4UN2xhOn5JUh4R15hAPt72G3b0ttqMKqmrMDdvTgDj6zQ2rGAAn3mJEJXz/FsbSBruvLMU0dc 5JtwgTmcWUFZma/hgvrzr64PB27OhBOZ0jdHH+SFkFpU5VnoQtc/Y+NC6TREPaqGW7OB9L7IBpJh ooB8OsuIyp5mhr7gV32nfINJZJvdTS4UDk+eyqRM0kz96RqQLnaQZo/6UTPQ7HKWnZTDjdUPmjev gycZRMKgzqqbxyjJ5HAGtY99PmS+SXQ+D2lBOlOvMnPknBJGqTFQqx1KIDvF/jDnqsZCdZuKnxj/ O5QMBRXWcqFp72nj/T+eLXGA6k3WwAplFGap2u+E6wXfzKPIkQ71Q3qKcU3suMy2wO52O3Rtl51Y AJ+mV7HfmZnyMOklMNUcu/FBBLBZ679Z5HwUnDIwPvTzYHklWb2M8TJA3DDBILLFZ3GEUw9cPDAC c3ctFzF0g/F4I5ihUwrz9lHdydZInRpF+McuXHV4QNJfWo86efvzPQVVDoPevvbuv7ulzkKQfOGd Btr6Ua4OrzmAnguLVMjI+weaiOqZ5ou49vuVYgnmVwLs8tLSWYn4Lqaq8dXOMOKATVcn3VZ54zS7 Xsz3z/sW9HAcIFZz+Uzv/De4yWqCxkEV9TXhwG3AdBMBBrnbuJ74qmE7FfHgAFxNMSPUYUrLLwb3 il52UjI/4YhRcMYhIwPGk7QaVf2uZe5i1DEZ0CE2wgLwAn9fB0tqLGoYB8Zd3QjUoiuW6SYGWxAl ve8hrsZb7g/qwpMCkHik1LE4TOGpo8mCF47r3Dq4h5xygcFk92NAG2aAvNeHhjC4qerQC97imF3H UmILi+8CJ5rtrEFM8B5gf3mFBb7+lGzlC/c1mO0+T2CLCMGkOUYBjxsMo7F+BDh1kwzfKv1goukR TNXTBpwB08mAfdwMQ6Dn/5nQbuQxGblXVkJkuXCS6W8avgxYtCQKhuVmcVgrK/CjgQe539WLzdsz dwmjyR7ggIldno94CZpKfTKcw2QVSOk1I2cCqTjC2LaYQbSnkGID0KgRqa33TsCF0x0ql/7TxnWx p+nIS3UhU5IhMapKRaJVExPe/8jBvGs1ayMbktYvYKJPdGxOv9IGh4HqmhVL0ocWpdkInGp+4VrW 8SHlPRxlcIo8lb+e+S/xAuIOym4IAMPjokwhuDqHLjgNR7X9AgeocAY/NoFstPwgwrE2xKRRqlZ3 qNq709VPaiv9JLGXKTT8Joep8pEBK6fYNVfz4CbsQFXB9uYXhGbrXJ8o7brt28kIKO3Zg1Ow/ydu Rkd3mKAR//QpJbvh1oG8ZpzD5qNTBRqd1Ztc6wSAffV+Fp5TilmMDc2vrORNe9QFEn8A55IzkXIQ ew7a06XzMIf0ufBwA18DuPB7YZdsA+UXwD0J0CVR45+uC/1mRMb4SXT52455ejbLKm/Pb4fRovYe igW4k7/drsg1K0X0v5haa/Pn1ghyAdcbFEKvSJqxBUurRCSLi4sMUpP2GgCEMsiR8wiNgrUSddVC NGM43HALiTE7WGTjbeVBHGltP5XSR3pXqJv4bEsgTBItLxVXuNrk2YehsURik8fIstErRu8t+z7g zBlGDYbnOdaaeLAXG6SNDae3tk1uWunu8QGwYt2rRE83lh3tsIggcbGYUmybslWU7LWqwQejxpis 71p9o3++bHdzpb/tbex3KxLFKIs9LBnPPAVdCVKfhDPEQXF8NxbePyigCyQ+Ar85hXqbhvW25Rms pHKgobN50CgxvAmzYECulTuYRxsX+K5mq1eqD+/ywC67tIYrlonIqkgQMxjFjjDh6m9oMiizzhPR 2nDC1yoyWvNzYRpejVATNBSOSsdtGsWxOM5BqWRRPNtUKtIpiQfmCKYQsZ2RzsRCXUUEpwxb1pqY gg/pZ0oJoO++JffAxeJwNG3ETzGd/5X31Bcvpdq+QNvlhkE3GMXWClxYlvavMqLcdd9LWlQYH2Vp 05Q0TZWcXLtIINudKjSVo1AkTdI4HKhiEReOIuVEmgdpgjZkxLQyQaRXGSgkA6jrIt+3AXmzHxqE qwza9i6PopqTPC/LB15I5s3NTyvpLQc2pRjK+KJiMznlYkxwLR8+zIyltTfrD9E/TNpdoia7uQvm gPSc7S55fD6niE/XhiTEr2Fi1OY6g918Qua3fFeKJTzWO5mLjUMKjP2rkK9h/9abLvHL55wn18On jCJdyDDhv7/2rDNYWTiZ1lFPG+GbbM/WslJdUaUKPdKvL2mDDdMgD4varteTCeeE3pw81n+3J/fm v9/4diizCT5pBW15qmA73+FPov/577IIC+6otGzLBFVU5/q+tG9ZNdJ7MVLX05jWLfpeGe+snSsY WDYCZZuqIBVq/cdBjD1ZMGzgLq+kRuS4h1W+e1yB46Jx//zwZ0keNXncrMxc8WZoJ8DXtlsKGhQt 9dZf01Zv05+VzqdDi4YPcRKUwcZvjuejsivZgNxNdAhi9wWdsfg9E374O23CoBLkhjla5dww5UAo QbVpVdXOlY3dkWMlat7aqjyOhfnrQKHTSUfoDrZfEU6rrsfxpG9PVdvERUL/Tm5RQXGEkVY5yPsR uaIqIqnk77iQbScZQT02fs0WVrzJ/XOXsDQR9U6QXwN1NiREsHBzK/rbXiaf7twh0xJb7U96askR kOy7RrzyOb2IEeohUy/Gk/I1BiRo7VbQMbF2PFmfGvDWARGWWkSzHZheuhiliVyNKiKJPeZ2nBOP kgvmb1DDmHa7qEnn2570Yb40wtbdJNaHckDnU2gJzdSvslCG7YdadOBjNe8w5m2yqA1eZc//SoF6 pjVTRkwIVA+jLEZbE3L4SyQY48rkaNqfCT78jX2wTEOxVwWIzsyQsuXlCBgLQzLnbuuonYHNj+Xk rzGm+Wjwtg8a2+Jfvosjs5fsGYKtaBsg5BuXoq9xH4Isn/HQIrHM7Ud4XHofRlLYlp0HONUz42n8 7Rw+PQh2hxXvjaJsVNcvU2WLkoMfBwQizcSPswSo1/3WVjloH6VnrS3/z4Ak78UNvRelGe/C5O10 /zBtXmsQNjDzH124ttTcripPqml9LD2SZi11+Hhru3hBU7Utpl4vwUPABAxRuqFbrcUan+HVyUZr FPOtG5UnbWUcY5vw68vFiz3Vx3jqrn6ohgc7cHLbDaTVltHjQh2x1+iOJkWuSBJb2KOiSIdiISRp rg8S4RdCIo/DBPdUx46ZS3hXu1j8cuj3FQrx/z697Q/2iSRHsnlWhaPxUhcTWnyqzN+7ilAh7Mb9 Rthuuxita7axP5QaznZBN3dpF7Y6jdLYo3/dHDvt5MCLSbh/3HV96oVMsqPsguF99Zn1IWdXGBfN VZ+nPWPz+uUbXGUNWciND5eskGty3zPdZ+pWaP3h0+emn9WVuW204JdT+33wySiRwpMzXdnOLuWV 5cheJjndgvCRkVqaXAvSGl9nOqJ7uz9YyChX9H99JzaU4nmRiOPaQU/dMSVlFWoj9Jia42OsBR1u z8tAJGWsxu2D+jo+LqnDQtvVwlPWYrJoQmmsUdgqeKVbPRcXbiXrCoizj+vMsAYFZh77olD82S1W AJd/GEYkFTXM41UD7YBr4Lv7Q5SJl6RvvXqbuJ/I3RsFct3WKMpyLurD0L+m4lWsh8NLterviB9T Ce3LvW5U81FiRKXHYHfp4p67bfk+H42wCVtvqQi7mPBAyNcpuckpPVqutaU35fTQRPNG/bmJHtRG v6T1C8IwkSX2xHSJFiEqgf8IMSxuM4pVEE/ibiXOeGNPdY6xMj3VC/gwCP5c4aqsN7vullrUM+c+ vz8RHalsV4hFDf9tDvXEWfEu8AkOgvg6oObCxMhmObeU79ZMeqHqiN/dWkqA8pA53/CYPJrCs7L8 D7tetR+5r4zYT9J5mlPlYt7FdSkkKos6n+eAUU61uWS6zsRUxHPjDPlmEHR3v+kDVYVMR5JhieLh ZzE2tF+Vvu87LjC1tVfmVB+BYkzZjblUQL8ZoNPXIkJEgDEdyzyVPCw6GxADs1KykEwJJudcixt7 gQzFpFcL/Px8lc5sGSu642Uudna+ZUaooVIwaVKyq04M/Cl+0p8ctzrfZq6VcDlHZ6Uv8O3EDaz+ InXnRcLLI5vZ434aAia4Uw0k4XXXX851V9n70Xhper16Itw3UyZbepDn2eiSUS4m/9nwxAoHOLbO xwD0FlFtgXrIvm4AY1kieYSVern4hVienPLEwrl95Bp1/a8Jr9w0iZcKYgl56JoNgCVoBt8QU94+ l9sTKLHO6y0w7DAGK4/c+uu2hwoAtTL+P/suZ5bkuHuAE+wKNmZDYbTBWIlNrPA3nevnx9kWsOWF q368PMpYCVhzABGkvaiSPypyg+aEc0hrkVJKr+j/7THc0XAhagabI2YhqU66mw0yEvIGniffrzVh 4Dh12HJ31Wf+kKbn6ZQ0kfKYXjqagdzKDvp38UmhSP0YFRzB4Nyw7TTQK/b9wh4k2F9GMWOKpiI8 kJWlUG9/JwinSOxAYmfEifr2s+27vZUMxo+9fFRQlvKHQW91yzhXfXVAsBGFcu5RB0mwz5A1VUhE VLQOKE4JXs6GH6kM9efyr15l1k545jAxEjwPj0FuJfVUAnvv11cJYHVqXnt3PUODo012rhSLyp2O ucaboTPYIg20b990N92Fyb815V5zbOYczXt0oZ80wsRoZcnuL/2C3d9q9DSqQPLW0ZNSE6KmOjza ZH8FpS6K07+ZltzCIp/CpxduCyBpuOPJPmkEMCQPSRrgLdPvoynT1hi5gdSWY4kVJX9bPgYo6MN6 eqjagXn7w2Rzehk7UOzzSSYOIEhE4QeNvH3c3/7dmqOkmVJB3Xm7GXiFb42OymlOxNnoUPQPTVYu zBm9yT7EkmA6OQqlst3w7M4u0ytz+fG/Nbwwo9rVZXmVwfi2y3BsgsL77uNlAVtzTUQbnUSP4BUF p28jBSEGYPaeAr4C9b7gxvEXXjKmTTvKtvP1NlXeF41agB7rg4c3e/YYKS2f9zD+Bpwc5VWNXW8s NO4uB7rye6VX3QOVot3NmMUkv00uLImupdhVrv7QkQ2jDW9m9X03utlLZp7pWv8eytzlYn8vkwmi 6n1sUbfcxVlbeDbSeV2MdqUzBYNPpmrLttRRW9xfOEV6QTaVKbyIZ44V+kWQ3ea5O7N532pRkgKX WoOuUvLWVkMIc8gd7cX8vxakc1kdFZO0Mre6VkR/E0N1MuUq1a6NZj3zMwPuxHMwQV+y4avIX32Q fpX+umQNQa4Cl80fD838MAhlCVIoznq1a2EJfuctfBAcWDzu/QCu17zWaxrB4hSuV6st4iVfSiio obNIeWcxamxHbgE+O1MEIz6vVTsqT9RVrkbbHinQm8fn0XdS1RwrI9ZOx8eO6LkNv3psmthYg2Us s6+Ky7PKrYx1XHU7APJaMPyJp7M3s5cPLXdIe88FGt8wjsNK6TpbQNFqQWIW52iwDWIfUa1gYdz1 0x64FwZccZBAzOLzjuJjtGxch7TqvelZh37aJ+bZqouc7Fy8xaFdCFQgVzuLBYq0vHySykj/XmkT hc0yBESlVrpuaCMsk+MAmM6DdshtjmfyUg/WNkEQB2QO/D3szpr2lZ1pgCiHBEiPRRnReTgMiAoT G/pz/ZaB8tqbMY+q+vzCMW1wA8NYEEptDTCzq0nywJ0C6hQe100jmHKa40KqwbXrJZD8cZAdI1QA pQb8I+zzTKGArl85TlHJUnEtDGlvod72NdHEaf3nq7zrBj6UmRluT8mFGacVqr01f//IPKXKLqDJ jNGH1ofP3QoYQCesTH9hL5Bf1WA+vjTcGAXz0pxjADhBDfvHXcX6sMoAAq4ziBo5nu4VFRzNxKm8 hWtJkK4g4SV3pIH5nvIGxG6j+ZC0zJVZZ2uw3WmKhhSXH6IRvw88geBNFFudebDXIJMpJPJfj+Vw zUbkG4RCW8mLLoKf/dR94UDFvGCo/8uOOPJXjxdxlIuPaqg55/p6i2WUw7tUMnPW9iiPrkeBD+5G UgcdR7A1ptnW2roItIHV658Tnx0orVElKDaTTCPCUkZIH3H/7bQfvj2Zz8zP4aw9OP0yR95hpbHi YZ5WMPls87GLUBJ8ktHqFVDbPR3usj/Fnw6wM7X+z0hGLjPSueZmg5vurABRkt5VtByi1DtBpCYf bMm80Q0ouwnV9qllF4LE0V156rsM8MwJhC0dJGGzpmx3oHlVPLIzq2i2H8g9iaoYdNCtRIv9sk/4 iH2EYs9BJGPCvl0oF6WKvD/+FwZo/lXUFj8jBR2mN/sjpXEr4AklTCo5fpvgY7znHz6dA9rSPa6I puMBFB1hcpHJ/cFBvMaYwbxlW16SJovveel6sDoPbgQwwCQo859C2XxclSYz5OgzqCJPKicOVqee Afl/SxBfWTnp5nALXeyZriqzLC/lH84UrtQrlJHnQLkMKfLvZsXkbbEGWj1h4FS0yuq2NSfPjQWp jutVDsHWb1uGbyi1aDBqEPW0ypDdT6y9l3JlaHAc79tl+y7xMm3NQSJ5daVy2g/moBCVF/hVPuXL 8F/6ammJIQsRScYVRJQ04Bh7qX6s8nkr6MnuR5TbMx6xVuINEKjEhvqmCdlh9eP+MfqOU/8MQcPh 2zusDdiCIlnGthvoc0OeBALYyk7+VTsXZUr9xzuP8bIwWCvXeci3DKFrFoExocdN92BlJicJ33HE J5LQEn6qYmUXPPHIxE2GCLdhTEUaFSdVUdVOpjFG6HMcdEswLBgRA9jnu4YXCik0kBUeLg0Fk0Eq 5JJi6AOKFd0x+O5umil0pmoXaM3IFvRNjPnpdJ60zn48/hrSCMTbg+ZdOyhV12stmcSicNl+RK9r co9WB7813Brd1SX8zpiYodntS7IKADv6/PrQ+DpIp/xLBO1v8q/gU4tMPbEx6fHDHkZGiCdrC5uL 0+3LNSlq2Ilx87NA5pqYf2iFyXAKePA0+uu3BQ+/ygfw6QRTYyGctu7GE1hmMf0nDZ0zKNQg3y4l jRT8tyd7YBCr3bKI3q/AkVADCYXyYdmRM1etSpm9gnbh0W4CBMekjpHhRVIjEabIkhzzbCHCvXvT hCdhhuL1zxMy8rt9biLL1T0SMlbr06gzwax8diVZklt+6M0ul6HrnhU6qyhuLNLfBEdopdpY108B 0GL6GPMu0WiscR9FOTfvhkbmVpFLgUmxWBg07dUxlLilqBBZqloRMjb/T3Mg0tr/lqN4jTUfKnnr FP2PsKuvL5NcWTZZJGTdSLD6IoLJv5fp5YJr3WJ1zL/qXWuBbqCoVAnWXFikztM0zB0pddjUQpPO WflwcwQHIyWoAeXK92CnYpJMh6qCoQucL18Twn5hGfWGAEbkvfAlmjds/9T7LePvyFs0KBu+TnBL WLh5doKX503UzcGfKKS96ub3ohZeKOlEHOSUixD1Dle1kmcGVpI0EsAZVR+4SNMeW6oHVVsKkyU2 +VPkPD2EkpTgtg6ujX8HYXVoewqWi0Sci/M7Ww4qFk114Wxlx0TEoWbOD6fMuDyPKvH/QVydoKxK 9lgJeraZMj3nx/DDqXI85zChekoYrwpEVQQNIhWZnC5bpJxqTja7eFnD3x650zcrdTwmyRcLA5Td /G7Z0zIHbyUcbECQifMb7EKpxbdRi7nqSMbG59mE+j5ztt6WhsjO0b9j3LHXOOUKCt0kbuS6lSvf sFn3YNW1fdAguGBn3/+WZJ8/BZ1nfnlOfVAiOdrDREOgcu0jUHBaqHzD7LuaH+JAcZV2hDWd0AxP YgHQJJ8hhwYQnrsR3jTOyVDzpiQBFHiRrjZeF21TFU1MZ7RhZMIhuiWAavqRM/VdJTircAPUiePI q7NiM2LlM/4bztjt2VIQGjbDGtrrxpH073+fC77sFZJTO27M6sjp/EBYBzhWGttCOwVtSZWpF6kW JO3wMSzaOG5DrCB5Yugy4eHmoV3xOidUGQ5mLkxPOxTEco/4rmjdV9Ri3YOko2/90dP5Jiu9ykfa WNf2nd5BfAu1GeIcMsDly33QUAJv3cHYIO1WJXZ0VC5Un/3iSLAKIeMZVKUIUivZR5PJjN6zC5zk GkFYXdFJRouFDGBmvFPr2qcBz12jGRZo8/Kz9xTHLQKlX9Puc0PIjY0eLqmCCSQdB8HEzj2L0o/S UmkpELt8GOCF+EMosQd/Vspqa7FYciF6wX4qnX7ALfp1DBUJRrXQNQ8jKWfbW9c0IwAcAu0ahLtj tSQ3bgQTtDdQPdGGZ1MLAutw/d9tcWYHfj1FiRGsw60oKacLIP6XT5NevyEoHzzkSZ18ca/9uaMy WQ+u7KT5scIp3XwaA/L/jZMoxRa6x/9/gvzq2Jj/iGXCZqMN6HlBuiGli29UlMX7qQFxFDZuKyCH DEOWjyQL3J26porgfaAX0ZnN8PYCgHLgFd6UXZzfZYons3FtsBjTDTSJbl0rmTniO5H5tpBXzfXp 7U/feZSUS1lGd1vyTLeersnM/PSlH6FR3IGfs9MqIjJ6FR+aU6olVvGj/CPbomnt6hHBs8JboF8h lcuUIxYsWurJSuk03rOPPl2uENI3DWGEJlxfKyMZ6B08WAYnDfWGOUEzQC8m1cIkcabdr7xSSYk0 f1cABnPfQ8I9dLX/rB6FT7xn6gxKu3E5zqslPNShCfeuEYUtSLk9zxVhlRJbZHDcSdUDUDYEJihu Q/NkVDhRnS+XH/PvU8XW9rnFZwybfvj62I220O42dGeMpj+7E4zczkHcnxONTyXrc6ROtfTALL8k KFcTeAZVdJFVMIZZwpvBMaZgHQN5f4i/MCX2ACfkT+J5DA4P28zc/eOfWXzoI9r4sEJf6BbUmEYQ OuGD3sqG6MbQE39Y2iwwUuLZ2e7aWFVphOAmu9YlkrCic7EN8SprAQLy02AFtopu5waPGR9e7ooD YaDzsOAR+MfBSloGblXQRakeFrPLT0wKlGsXKHh7CD40UA8Vc+jS2ff+4DKUU81/9Qc2JrYQljc7 QFYhZ8BDQbJMf3rM+V+iQCowWYkDFonzTg4n+dZEKw2oaxy48xjh+bwjo0gpzYzKmwtDhjr9l5s2 jnOFtWxRspE2NrEYastVWYHpgPaF1U8qgABKpsIihtoyDlzjEKswaZW1o/NNfb1mpdzFi1b1MgOo aJk8Ew+uOg7uxBKccnR8lvTTMWC9vRdL0Y03mbAjG61QFn7AeoMaegpLeqzCyIg/wTWuM90OjSO6 7utRLzwTnie3mWMXM97YuWwQOQJxYxLwvLrbMHKLyJMaMsPmZnFg0QBAs/Rcii4coiLGFn8BHQLA +roA+gqZ1njYLCWZIy2l4PfWtoUVoJ74LocW7fmTx+wgehnXik1Cx2YgwsjiADKhJGJhtiurcwCY k55FMldmjX3Jm1L/usk7LuMlJlL/okFVgaR8L+yFjZrbMC+f9l6mhAD5Z0io1t39/x41S1BiaMlP DOCh8moqOHZp4XAn4PQ0tl8+VFrujKm62jJzZd5N/Fvk800gV1ID1fM8uA5KFRLiUCvQfvQEuxqY 7Mc2GtJR9UoQ3JeQMrbpkbenY38fSuOTvrO4OeoUY5L6fgMk1wVjYGwqP1PTieaXf5+jSU0YxFyp k7DjsxVksvzA+O8sPc7PRr8VlrXr0EDtLQUvCY2UrQ2X4VOVu+jVNbhwscZIJFsx1WNnzaOAkPCn l/7edcE+6RfUpja3V+tFB+XHrtbHOIekkOmV56YgPRvLyyi+1thWSx8j5JgyiVYf/ITWMPoNMMbH +qkcq6/sWPR74M06U9HzhmDuyc83TTjW+2ya4HTUOb6e83MO8kMK3M9mixEYn+jrxIKfkrNx/qHT dkLhEao1Upssiu4ShvAzdVgT9JlnhCPfFMQCjlN4+lqLdAQ5k2ijaIaB5MCIHfGFbGOxUh6Ouj5U fgQrtjUYLcTd9m/VM4xv3DvuHe3LHwPnC3J7yiWVlK/9YsHkEOynt/d22NgTYNT9obBQIJO/LoVh hRiD28/kHrEqQxr5obsoF4ZAx2Y0GMslUG+duA30oyzV5eS6MK7c6bsHA8ZlSzV//Mk9jSCJFdto JO0uvOhXE3iAm/RrMa5yA8MvQroFV85Ko6LFz8TUJx5OonxNewmjnRCvmjcCD1Mx8HhmwVeK6tlX NhUCae4ClB8IkY1rci1l0QuMYwaXLTdXzm8ey7yi7TSCVP6NTc4dYIMI0JXsxLwluLw76afeTBXk m1ukIz2Hv/fLKTzFecXM0JCSpIfMD0JeHX51SzKpLGfndsCNPRUryKozMv4dgXwZBI8AGcDLR/Ew TcQsqWtxFakqXkbrQ7tnIYK8yCrbGUbQwcwgq8Eqw1wh7rPNg+HR+/GDducufpw8pP9cpdUaJw7w scxMXp4uOm3d5kJnxe/YYSlOZfNupdbstxQb3yeu1HTfQhTXNy8e56Mysp3ZEtFW07LuR++51YHy CxFPklzZN8aPSluQi4v9WExrhQCZz0hMOxhN7UvVSjhD/LtgIz67AS0fzsP46w0Ej/TR1gzNVXIi FXcCCN7VIW0WHlqf463MtQ9MaBrXQbPYRsMIn7hNXLIoX6jAVmAl8AXHmsE1t/kgAsDIXxZgJMBY q4z6REYkaB4DGpgABO7yc7oynB4T12qAZCPVj5Jagy8SxAfpQjw4wbhAyi6ZA0/2Ncd4D7Izs0hJ sI8FzwqCDxjXgl6kmoj4In657JFFmH94+jmae/prJ1LzBQnR8Mh6jLgevyA1UVMnKOzLpdnJ02fJ sDbgf0cGZYxiIaOUsVyI5ZKKEyHmnv+w9YLazMexs8WNdek9TI/4k1oXVQMDTlmKaftY0xt6YvrY s5OxnIcFmwfW5sHyRrGTlsZyJI5tXv8vnXlyLAWTyJ7PEFW4bFZCWoXYEswrbN8/BQCkdeab3Koj jMyz21wvfE1iEzgZXkc90Y0E5igwQUCZHH8ry4zBbcYG6cgMrOptqy3YboU5otLn2TnQQQjcO4Di DC4QV8JHa/7ngx9xr8E+JfEYD6GocqTUeM7jtzYsJGL9GI8lQPK8h/jYYkMSlKWeIOKMQG4jdj6g bPKDRBaUjeZqI2oun/fNBVwp1R1/6b28savycUhZJ7OZsYx0a3Zhc4BhyIdzrTOaMu3J8hGXP5AZ Z8vZ32fqFyEMNISxww11u9nI77Dwq3l5HcsDGGJMyOzELfQ6gDRpSjA9VyJ9TQUD4NYvYglnRevR PbaNT2R0aBCZvTi7ktZnkhNR833TNCG2RIGY6R5kEeASvnkwSAq/82phEqqszBp5xpAHuDH8onqe e3TWWQC7I0Z8Srwq14604LdKsnN4zay2syCztUMRgKe/Vr4fGJpbU6NgI+bZFnE3lYOUp+YspzJO TiPu0pzUoUlFB2gL2egFmDv8eVr4PVW+dF/4NSwMquUeQE5we4mtm7zNuU3RdMR2Jw1zx1rbUqJS +8HbjhblManjs02JHZNr//9aNecFmb0LaCf88rs5xXORrrOxziABDvXf10Yt5ENP7riwTTrV7DYY 92+8oNYsMramXdyZaUofh7Ac9RHUD3G2qmNFOAm4M+6ahJyZ8KLiC9/FExWxzvyyC9RHZG2rdySq R1CQ0At3pdOfCepcowqanexM1O4DESBlpVyIrSQfNd+/D+nuKdooyh6kuCjiKSJ//EVvv9jr8o0Y bm/zD07OuBRcJ+dXETNR/Nxn7jQoGgDu2lqRlJE8W67andMBJ2yqx7twj0kxJriBaIqcIz5so9Zk B5e01hc09BpCbFCkp9tQ5j5umvzVbftXxq5WDO/VieV1dkWG6zJ0z3MzjYqAAQMksv64/5Mii8Eh s9LBsaKc0JIYGP3n3nJsboeBDgMtbfdHQ+qL9Vtgo1kFIrEPrr05oug5AjMRCVZgcudy7k/a8PDt p5G1+4NHP9rxd7Wcslbh5e6ABfGrBx1zgpwyOd7TWWgCOUa0I7lP/ng9m8oaADp5QIXqXWFOwaae zXhozl+9y+iaijHkQOL8UjYJYuUuk8NeCaswLY5KKFH+t12Brcd3qytlZzqUIhiV+Awo8AUDYQ1E lKeh4D9bSqjPupDjzPU9/bN9Db/BrgsaXKrU7YkBdwqzs4SHcqV/sopdr4lQ69P6+I+/AS28pv6z +ylLDjxWZujpGCfnGHk5+KND9mh4JkDoiP10wqhEkb/H1dFSbmFD8V+zWgoI4qQyyxqx/tsq0fwx M+EosnF2cSpaPlt9JWUY7dkKVG1ZJg7FzxQ/+R+meAq1jibnf7MFz5INKZquyMLEqdz6c7zy5hIY DRuICjH9whOPsrXW1c0366eXzxmumZ5Zk2jeR3uMGNwHwTM6mxHO11Wy84R8xidSp4FR8IFFN0nW utINxGzbUktCRUcQAXzHoCSXhWKAi/mHB5cA+iMGIm86M2++Dd0F8WFsdVS0o08JFb1+A48BnLFo ZBJTQaTF3cvJdKIzCSe1L16kkWJWZq49TVinONCGI3Tx1zh+T3v5Lx+iLxhsWCVP6z8s5LGh/B1K SsNm1Qu7U+ZEXsRpFYLDXh4iz6J7f+t0CZ2wFpsVuvZOQ5OBFiPKiCL2ztNacQfkniOFWWmiEMH5 Ac++rtHymBl0HCugwcEtXM/VkGpg0K6s4b12NeRWVdjWrIskiETg8hYQ4R5D8YeHl7H24SgHlPsD i0Ys1OloTbAx5Ss1WbGV65j8YmBP+s67ZlvmX2780Cdg6LA/17e7sQpJ6Xt+TPaJmxUfSDxnF/8+ 34+PJx12QYRYjoKuOgai7gIorE8I5cEmYmmuNoKvDhI46ZnqvOu27co3J9rYX6ufA8J3PjCf3j0J fbarsJIFAmpmUlBbreUATIyNywQSYS02WFlOjUNEgEjkNDRRIey7EG+jkXeqfkTlBiOrgI5dZf83 ndssmuVu+6WtYnbvbbjxU0ziyESc0O6JtsGkOEYdxmFKkv7F94/oGCgjL6PBXrWYrDAw3QiCO+Nl K06DqMcA98pP8yepucIvFmdvFMIwt3Zh+zjkEVM1bOfC/ZuNNn6R1DUDwHXbBHN7CfIMxQIOlhKe dgT9YawLjkyhjjaCsbWo9uvaFZSktxSj29m8MUxx6E6DJXrGGXbl6qsRW6H+kJmFykV0Sjuun6md IFGwRRVT0Kq1oEqXSesZJy9Ivu6nWwOhtx1JfGrsEpFUCb0z2kzwZxFuB4cQ5iVtO1vkEHtjhA+7 GqVM0JqIwEbt0Bo6Oh/Uwolu3Bo3tRa3DZN4r8R5wrV7DvseDLJivFzMUQSryJPVgxKxL1yq8gHh emnHMr0DJwCtJ5r5I1j2U45CUDfDMylh/7mejKgsytqcZaU/ZsrrVXOv7kJ4J2EldN0vkQ1FdI5W wLlSM35FTuqbDOd2uPFvaluxGMxuXyXjR40lZdhHfaAe5c5oe7XeR0sj/PiYy0gYyhocagmVmW4o hnkPMcj6aBUQ3JmO204F991M50Z/jMzaryfFrclX3NusWjareN7iqJ21L/adIvmVo3HGFyFsSQdV A/jH8rk1kCrG4qnxz/lLGVMeXwFALaStRljYKAs2Z81Sib6dcUcI8Z7Hpo1dh+Aw1wbn32txDsTg ewaAHkB/imZ2L5LwFtM8GfRuqfFCiSdjZKMuSpscLQGtBUeBjQuotL+YKak+ae9jQuLI1t39pzuD qmlWNznmrNJ7jGsdcUPQwu57eGpxz4eHRlkfrQMuKyf/nPwe5VCHkObQOFC6u7C2zdiSeVf8Tn47 Uk9P2AGi+CF+xk1j4tySPnBPTrQcqwKUc4pWbE6dj/7Bdq1EN14yk5pE8osE6GBaubqQYygo4/O3 i1/Ru7mt9w7XbblF98+nF3EpEe3vJfpSs8wDOuVx027FNyq8r/FXiXBkD5EHS4PEOQYjKCkJMMnc 5ZHdGqvjCkoI5pcqCtBoqWdHHNrvvv55PVHgLnli4ta+dgCZMaYXFODzSX12uWWtKCvZ/AUJV9mW TMp7apVgh3OgmOc0NESmQ60OsQ2jwYcpuSWqxx0fGV7SOT2B/qcMgp5QFzvN2+faJLA6ZY29e5tN V53EFTSBsRrSwrZWwAG6jiKgGMLWO/GQHKu0pT5RQ5ZQu415AGvomDr8AEooweSLXlSIJKK0VKqG L1G51XjkzEloZ/QOif33OllvEEtk+YCwENMitePeh7itdkS/jUZjuLH3tAP0k3R1te/Xd1XKKOYV hqnuyRExD6DPYUFJJnlLC2USsTGjlP0ULwOZSQTAmYlsLFgmbZCN1cEMFXN/OgeSdzOJ1jeJhfos kzeX3Xsb4xZ0og0j09tAgjCDZN6RDm6F/SvmDQMnMVEdYixNKvbyKZYWL9r4kCe+VQDreXPxuylg BsY0dXXbwRf0/fzM5cPDB+yKuu92L4rhT/iFXecSZn4avVaIStrkHDxYlyXHzAkPipbIwfpgdPBt ctcnbwAe6/wqPuM27j1v88IWQWtBnvnWw6AFcC95xl+E489ERqSrXMtEQZfc4/CRnmEQ1IPD0Hcf 4KwpA1vtOO4hdAUmFctwZzc4igxZiNILLRnWM1YqiG7bz3aSCQ/WQHobko1fNs7Tep7lcX5AJjye nyFcUgJve9pr39f215gmvCN2U8ZL69Szut/wR+WVvbOvHFPzbGFhZN209E595nKpSp3ryihoZCkm OxJvyk0pv5OCQ72ZvDNTR5r8Lcw6AbWvXkzbwi9kdkE9l83dYN9MfvqoOF9tH5t1vha69uPxLMJP d+WfO47HzvI6iEylqKwhv/VM8Lg9TfmBLFE3V56Avzk0QwBCsPOMSBpVdW45vctJQ1DGNKyNWgHT NZzCGy9tmBi/DBoZmmvVGb98jqXkr706h993D3V/XhGCnIcX+pJFo4Q7j5vQkgzCVDaSNQ6rnK9e gaMUsuGfpmn6QP8L/04gD8uO/yt+8fZ4BOfL4wGDTnZFTsAkwrlLPU8TxWYgRSpbGc2vD4QjvbEL o5swoLhbWnzX8IohLtnQfTaeKegZfnIIFixxXj86SiytberpXRH7kobaH/oooGLUOakq1WjzXrgs 6E0IkkQQBMKxpvOXM3qJ7JHH3RmmCMj6WJNrrZKJybGSEfrhuutM+OZYLl39ESe+yywrBwK2M12e 4h290ghjWn7wMuD1X9mT6CVNOqZzs/zB7Pl8y2qxi/BH+tcCDehVn9uk0f4Drqu9EWgYzNabfve0 E4oTJ68UHO47qvRu7yYdXBYs274iXZ3NOms5oKr7w+KTcJ9YUAw6v38nVMActIwfsH/Z4ffPDlZ0 Hb9+vq0HQ7OYSRkjx/oSy5pToQcNN8DcDklpBxItTfpgD6eZBqi+0nBONAGq06DaZjugBFDZuEyp nYlF1Gnrwxf+qLzvWv6pTXSssfMzw25mCTEP7MVLQ2H37n4Oj8Oha05XG1YqOOvn0XIK/r16W1OL LJGLxbfNc32VIyToPEEK4JoEu5w1zywED5mdXm9g2pMgTYaRxUibbs81cKq8CuNLZ9TEAtBd7NF1 WPZYo5bS2qxHweV3euhM329siXQV3eMg4VBjr68ZuCuAk7TK5U6Wgm0ab88DDQRYRBG1LiDuUon+ dTcwooKh6oOcX2HofkzE5y7iiW4TC+Q6yGdp+jzcXyzY6CZqMQ5gGrTH5iCOHgnsw76G2Vjog85i Jf38dECAvHZTL7pase84dhozr+klH7Y/j8NvgUW4pgHeZxQrgG/sbgYFiQB83y/enemS30KFicqC kiRUW7d2nUOwESPrdxC28dE5PmGHLwNLBhmI9reGID4AdnhdOuNrLmwCDOSiGSLdtweG6lhD9Lyb 9ZNekQ5cPQjz+c+i7/ya5kpvbxKenBwROLRiK97Eqv/pJ/qE6w/xZFAyX5fIp9pW7e+e/ZE1HM1d OHjfcGpSbqW3TOPyKPgHALJa226wNbiNo6fT4d6//4XiQOgedkTX5KF0GpX047KfsQEDpK6tFQaw V6Z2J7Ai7mjdskexR2BQteVgtSaa4mhguowHxCe/ECXBIKkAfZ57oY5JkCqC0019MBiXzlAQ8SsF bHFhUlS9FzbsRCXTsBch71Qa9Ly90zFQ1c5uWgNJskE4h1kY7gsxVB1cZcS9LqaluRVwqyVGbf6+ JxpKJ2+Zo6qxLTlf9MNRh22y5Y74k1kAlRz+65b3pE7Q+5q7K+21DeuH3fku8D12qhg1iL4isdqx 9dUhbj5VHl1jvo1hB4J9rR7lcZsyg2osf3EVdwqdOKtKRiD93MRPXV2MnRkroE3lNtVMA5+5xuN7 dNE3Ib/mcpOy7V4xk3C0rJRdYK64UCwY+CvQjJPfmQ371sBlRQR3aljLLy/FAYXTxc+/sw9CllR3 YwIAYuIVQ1ZUdrfvG8ZyQtYEDKXiLqgMyuQ7ni9Oygx7BKlhxBavpl8TA75LZwap+i7xj4S99/53 qEExDJxkQvf0rgxvR31JDhHVdD0lGGA2bUL5z0LS6pJoULF2FaPQzWhzP888ynMhXyVg/4Nzta4p zQ7RVuiZ7iJba8zBMyuq+OukDbLLm9oyrFHIfhYWgD0gkiQrPjvota43Lzm6iDUf8bKr0vnbZ3i0 wq6udE5voy3Lmsa4K2en1XicORF+SqxZgFOAk8NFQlAxdZaZk+R4RJVuq8LaF0VAxnnWSYW2AVKc VmoXbMLskv3/l2GOSUjhu5xN1gMGWlr7FcDwKoiBLSmrnCfhmjJkIqMUv/gizInoYNzpK/a8KXMb oI2iuiJC8p764+HiO+nT6kkC+/sYZIeFM2w3bY3JoAlAsNXXmuv76+EDgCMlHo4RHusKNHh7NOSu EJkn7nelg8RClUFM690+nK3zVERh/HIfkiSU34BOgIfSB25z/NqZ9oUJd9NCHtIx/QFtAAUEVupb TsMjJrCzgbsjgoGOZk3fIlakde7DYQAW9XjQPxtSFPnvi7Wjt05+e5NBoJmnBtkXU4BTktHT+2q/ 15I0IQGRljD7h9c3qM0Zgfiq0wqA0UKuhTtdaAwu59ZG0ungC+y9xkf3EvhtgFo2wOMUOrH2dJeD b8GVFDU1Xtvk0lbdyxuB4N+0NDBQC5ArXTOOA/ALffvZxS8ZUY4swxiHq+0/CnPNqrsaC4bsD55v gN1b1dbWeREy+bxsySHQ0QjtIm64kgIjsZgJHxBhC2JIp0pCUGXYFmwFGypBZJO5eMW/GMY6rXr3 P/ACe7NEKCzZfvtr3yJKPcJeriMHF79ME8Kk3B612dQHTk/+jDoYXUAEOZI3mK4preYraPOGmC/l AeWiKUuD+RbEbEkrF/wiKuKe+SI3dK92qwm4/8s+ziNxq1Rtv5fM/XFIQPlmc0lXri1mBNxzzJOL en6yzgZ++T2M2vsv5GDV2sVhpb81hRnUxJ1tj0ua5mQhT0nQv9dex5VpHycFdEwNcL9lQqhPvI0b Hse2QcSAkhVJDhUVm13sUVRYHQAKOTSXM/6HdPkb4hIkrVJWyKooDoaZ2qPgciCFeh4AC+iYN0WI vXgff/nUI/opij7wNtPNWCngkbmgxr2xhrP/zQ3Q0KMHHyd85Qaaax32tRG46jRNMFNthlMCVQnD M6bUzL4KCLpKqtvjauCxO73Sf6NMflCiWmZA1ImltvqVtO8jaBo28l+pskbxQ+2a9Sm2lP691sNo 8VqYOIzhawnjFacobAwnTrOFe9mZFVRbxAg1BGRrGyMxif6IGx6zavobF4pWL42W/FbDC+ZOCT6B cHRjD5/rO4eJJrPWUxXbypuIIYd4y6M0BqsviGIdwbUdWpvhotKxkP6dXJjilx6q+ppUJZeJQOr7 i5p00ct3BD979PamEqOu8sPlaB5dW3F7qnzY0m4GIXipd733/6oN/ubN36g2l/2vE88CH5G9m8AZ wh1gT3Pt99qy1dtEgFcghtwn+QObQ4QaihXm3OP7lfOMjeQGTUpYL0cV02h3qqWjEy8365zxjvQg Mz/JZc1ZbojRW13vL27+J3rKm+19/tbdr+7E1trMEzSEb492Wu2yg0ngbDLoORGJMh27d4szCK9A UOfbYrKKINjI5nHOQSj8Wi0BhmFzyHqADeM3b7X2qPVvsu1stMwZ6wjQAGtRbTyfaPojSBGlLWR+ fR9TDovDpmtcn2voro6R6ia86wBq5AQOGacsZGNbBOx5NBkH3Li2TaDz2ItB2wsbFqRozJ8sKGn5 WKx2xO29+gsU0kqVlgKajmATXRcLBwS1hNV5V2O7C+DO45ABdibXZobxtNEmMt8I1Cr5b/ySKNo7 77AcFJj8fkCrjQTk7L7nW580kw82rR4HyT5qDrp7m7ewQVfh0lnmgACcSxx2iRnu9WdvV+jgCDRk zjG/9biWufbLnpF73Bm6xZIvqp01iFmWkbkvd2U6YZenXezpV8PqY9drVMfvHqfZOSzf/7rQXdBO bOFGERfK8IxDKt0n7xNApLLncucYPLEnXH9SvuOOLelunEQ80Iwbtf1FhrfKe0xbZLpKkGIeriS6 /mx5+BJRqUgmJwvArScirRHpHxr5fkrr9OcW0dOcGEX09K1tKOFp5OfVLwhjy4i9BzoTTDBHqe6B MSboORI8/cqY/uM3DCtgpqjFbA9ZPodUrzimu8XoSm3fxt5Cin9v8KLnD/91u0Z5VYyQ0JhCmxc0 NIJeCi7/cLKnndKhwtaRf8FoeYp0fpyH/UJKq7LV0pEbMo5+jf8ow5Hfc3R29xsj3665zY/pjbjy plvSvvNm026B+qMxtkIgsWmvUY9amtOwK13yoADOBx7EnoP4fgWsdwzbLpjLq26SkP/aQpYarJ8s J8oI1Q474RoM993DENHGf5H2Ghzi3u+QljvPP0o3TRXgifalnwr5K+Jrz0PEt5KYbPD2x3b++uVL qYCi8PtrDRUjfdK9QfAftoiSST3bp8ydrulsoIMdIOdKuu91sugoGExEFPzkf+mWe9wX0IGHdNuq 8u27Rr+/CQ9gxioORRMr/AuxMVq5dLxE7mBwdTDQp1U9S1oV76CnZG1fy1rwV1OWQTx93wkijVum +gsQonVm+y+4hmhWD/WqiP+kAod5mZYuE05E5qmydcNJaPQtiesjnKUbPjG3kUgh0OZlmNl3/XXB 6JIWWR7SJDvcqzgrbsfL67ciEOX5fdiPkXPBq+xLWxRN1a2I4B3agJFCWthM6lzz5RSBS2k4KMXR hSqpYZLj3SWnbNSEK4TBbgcPnblyMooI6GmxuL4G940bXI3TyD/VzNpZoNk80IUshElC7mg+QBKW DMYdzoHLkP6wF50sa9IO2WwvR0MpWJNjRKCiLwnaYnQcoYpAMwioDATgjiRN/FCdJOVBcAlO5zWm oV6KKFSiWzKpQ+JwzxwHk2z8k6cNmzMyzQWrRfCbDwFL9dF5gexXqCB5GBSuzrsOk5rbSBWOh99D uC97Z2J14qMN1i9Y161L0WDzvp3k2/HsN2ZW8KD7QCXtTfo8T5Mc3npbE2LUvDzcKsn8niObmndl xMv8/9TC0WBQ38NzCeZh6NTvin7baVXAG2cK7FrH+QosVA2DQAl8385LBmvkKXI3kzTYqUYol8G+ +cFIv1kNjc1UmgjGetO1B2n/nCXYcrGRbhgYZoVtq9XmeCXGZl3sVQycH+mFtUYaYF1JSoT75mBV a61j22eB136vIn+/okvy41re9RmHLMMWgxxqinzEOSS43q/SgrYYxxTYx4WcBDXzX4MZTAfu/2VB PlMYKOxmaqSNapezud9B7G/1tlcIZ35ywFWmUfts9BMbIj3NFSab56QDA1VzdycSzIgydvkwp7yL rskmsEEmPIXePWod0lO/z8zFswH7StEVX8Ric7BUDrTb5d+teo4D2YHnAJQYwtn1htBqD299ucYw VSYcLrq1+nHhyuG+9Yx0vyiwCl2LSzHvgprqhvRw4+5mNLrV1SeMFMg5WoCoPvNcWDdFOow/LXYh ULjuA9AeLqyvv8Hl59t37Pna0zbdP/uvK8Kzi/u5E9uCM3FQ31zu1RSKSEU6Ha/ohrZ5uEkhEG+t zBKqI1ZKH9jWSzq+M3DeTdAyNcBW4AlTnepvlRqHo6WEnTgMHOYYlB75Eltdsx8O0lQTlgsB590t 81skGoo2Noj3MhPqY7OMl7VHPt5Qc2JzhR3V7xBWcf/aLtZ2Od4eK9ecqUZXKIQuwYZBTHVbs452 Yuo01P7XguAEoiJgoD45tPUTppELExihbUy+GDu/L9/eQbQv2s/2pu+0GRiFYk262ewqlKFRIYFW 1pk2NXgNnyjAti43E27FawI74pSn2rKrquqsl8plbHStdH3xtObsBtXp/Q0t3NoKyam7FPsBKLj7 oTgO04va8PQos7ltuZGn0RdsonhYp/d5jzCi/4BjweDnLTFsxGrp9jQFo9zxmcKrpg1X69kXhEvF yd7Jzibr1HdlfiG/KynSmRYA37PoD7f3kJiV42vjrapCO9TwWUFLBt/3CawtUfGmz1tGwD3XP4Nx DkLIrkyKHSUEAN4Ih/2qVZ3HljpzFgM7IXbPKNpOb1kTmULtvo+G9mi8vslhuP2u2qCxYH9gSfkW SmyREgoSLZnMKwcTm8xF7ZNqJK5Cl/fewhDoi2U2W/xjiQu369Ppm6nOGeXOZACYigdLgZtkZ+Ef HALtO3jvFFKuI+GQYVN/lR47+aXXrfqwoCF5vavCdXZ3NbrB27Q8UXGGVYOTcqq151jkVIyHXfhu 5xE3+pNmGyfBXn5Xs1I6GksWZbI58YU64mIj5j2VU6PWyVM2HP1dPdZE1Gd3TfSgviUdoHpb7/I9 21pB+z3zjJSYwhGW5QUd0lhblgU7y0xtnXQO1x5ccEDrW+9Ana7OC9JX9+Nt6i723/i2j9UEynE6 keJLcjpJd3z8/Qo2U3vcybRC+pfDY89HyFCtlTxKnZ/F3r2VurUdssyszpf/feb+B+0pSw5UvC6T 3bw0ZgfrEDGWaO4TvaCTgvDQOtU6sVmyqny+HOb836AvWg/VyO3JafFMTdIFkNIksatu4N5zV3kW YVLsUvojpf369NwJssTJYOsSVNfLhwgfYCSz3K65YFnUzsG328VUxY+lbtitAg+vTo5Wy8oY148m PnSwJsmiEbSb6K0DiLvZYp7fIBZQk7yuoEmNdtS/VxW7lZZytZGf0UeJsPHwQSfuF+S8gzh+K3wG fD04UK6psAKZi1JV9EiMrI1nzbQVWKhkqSd7xMTEl8NVihpodeWwpZ1ziva+t/5AjIkD4NupfRpC Dri5EpzYjkZzKwdL8OBzw2sawxSzFpFcvjfFQarXhhPE7hnY1wG1mbmugKRIHeWfJD+MWhdVKxVR 4PW/jynaxjWtTrTfm/eiK3nNBs+CQ9cLh8niwNu+kJPob9DQ1N0qORv16eFlsGgq5n+0raB0P0lb K9M2QB/sj4E4fdjJfX+mmjdC3cmtQMVt7feUOdy/Npqgl1lIrNqwAQWCg7xTUDdLHUsfEKETsrJp wk9R5bbI3BjFkI241Rqq1gEh1LisA/w/+YVVXDzRtdcH4NTzaURrWzSkdg9ldKFtAPN+AdH6MG96 dqddXKltuEeAA1nX8A7cDsmDor1+eeEy8xtgnxC2ZVh2OZTorA48MlSnY9UfHiI6zZtBmb9+gOeB yt/zsS10KE5pzKbyZB8gGZrqxrAWhbq6UI81NvLJHxljDpL5sh/flWfOY3QAja6GdTbZ7nV6LXZp ZmJ9MJ/2ta+ioTMjuJvlaf2/o7areI0u6Z2mlBHzl/cUReI1I3of3siscaXYsTrkxf/c7Vwbwvkz TD2SbN2x9ve3EJyREw8Hw6rXsWZLl2n3eA14iDpVxLoVmkB07In76LCnlNvV23QFxmMPnQciIU+J QbS85dQQgfs2oX36rS40lJKmZBQASc3hXjcMwb7imVFwjR+CVMPopcwz54lDlitjA3HSyJwmvS3d WJ+sQEs+wIkYeCYYHy7vry7hnK+DF9KNLNHlXxCzZqTpcYlaKxUJIZDbLmgkDrOPjygFP+eNNNsK ZSBgbY3FpKxcEwaW/SJrKTJcLFA8byuaf2uyXlLAHjCgBJycfgFEyvurnFAJ4UIxT4nx00cW1yQA kXQiiVh3IlpTa8RzqSCYHqrq+1N/sqsSN+topSh+2FS3bfYxCK7TfJ22yBryrLjFrogHyBecLQVs Ko/FYyFT/CxlnqOVn+HVMJaj+qkxDwxkWy3c5EsX/yx6qtNS7A5O5mN5cTP8zaKLlH4nX0JqNRZF /263qUbEoF6S3TOpTKAzPg+ZLFKMnfOA4SJTlDN6/DP1cwtsR1dHuyp+8WqtRbQTHHDbeK5JXjnU 18n2RxG6DJ9luiZsWtTe8QB+3l7KK/rJGKuhNFP3Qmu1oM90hR6zI04xfc0TVgje7/GQmtXS99qm kMMvDDNh5bOKOrDtX1OT7XiEYjjvM6SXWbyUFgAPoCyIrU/Z/ZN8iGE1VckQGWWjjrP7jAmURyzo BQt+5L8LWrB233e6H1QmChEZCfTT0ApEJ8i4iWm3OgBAHF9Mcd8Eih7DtUxdEOAFE4Aa5aQigwqx cxW2IrmLd2PpsRKSMlJqnzf7CIKiZssLRa/BwA4l3Sv/fUqaCVt3cw75aviYOat5FaX4vMtHWxrr oaSZ+Kf5FWjXhzMZ8W10sM07u5Sry5iVc36IXYXXWUJkmeMSl6Ej4jNWDsh/crklJRqz6/myRAKS JG38L2HldpnS1Z93tqkXLVBLxaViQDWIqGtVSjrafrJBiDclknCS1jyMkdcVwVKo6efdWS9NaHKM p0dqhFu8rkz8xn0Dyvyu8jwVlVZNslaMYe21xMjRDEIABBolR1pHXV3YK/2ZAaLxyeehOkhAqYsp 6houm10YMo901+Xf8DDHL7BPfCqrk4GxfJF7gv6+OnCKvHRBc49h+oHb5FbcQc28gBRFvuLdYcve YKKesDU/syGAKDDEAgwLV5N3n57eUP8MikmBlEVPgTo4y9lG+n1fEydMZkiuuFnSzd5t/UMsFjVz TDEQd2lgWAE4nmr1k3KSnphLVseaBFZiMWB1hVs414HSEj3WEVS/EBjam5WpZz65sjXAbNv4elXE 5y5mGu0nfmeOGh8/8eg8F6SARtCWl0MbMddHibKwrnZ1duuYHRSU2j7B/RueVHF6kR9cbW9WJAOE 4hgeIJepdnlBYoNz5cT7m+3foifBx/pA1ICbJym5jTTQXKVMK0Foji6HMxlzXOXASsnZtYRs/HX7 1tl6NrJy75HtPOJAW0Kee/C2/tMF4Lwdo5QCsAYMbUiuqYKmK/omDidesO4k2FFLxfac4A+AKtOE yeBxaw0KCKeBcSvUrQwhw7GPxewvetkfvl8gga9NkzCPnCjxmVSyuflHalAl65JlQKMvSJPWuza9 yqUCiAUEK1DCq9wwZMip6R3nQMpZIIGyV+XJ4irkg/l3eOGjSq51UneGKoXFC8edeBXKLGLcwOi/ +7WtbROMfqQIjQsLLxn0IXYf/hz66uVKWpvMFNnZZf5JALNCL0gwRWBnkGOlSTx+sM63LFh69cWF 1DcuLXLNAJtXZHjxiiug/zCUV7x/3Z2VcWC8GKd25wWInUb0H6WdazjJWvCDvjAMFwFUtyiwvOa6 Sz/c7Iu2ZYd2iFqbAtaPyV+WfA9+jINzFpLlyoFhXmw0VclcTibRVaa/yTQjlhMUdC0DT2d8WQch iFzXduoamDmSxENeMJ2Mp+dn03qoUvurVrzVP5SxyE8HeIxlbtBsz14DKIxB+Xcs+S0pyCUnOVt7 Gg7LTHrpJ0YtVi/Hs0IBhnSYqExDnhbQRqouoIBNGL5v6M1k4pEXt0lE0+IP4/6+WUq6r/tiywON RQ8fimO//RS0NHICV9A3rDf7nbcW0NhmgodwITxCQbHKr2h8juwTOGakNwza0ZO1McSXrxxRmBZ3 QFqRhYRRxQ1mUkeGaN9gG/oLbmdyaiSh9z8qScfEBkYkDj7dpez+CfdoxOALv5k0Wksi0+3p5uxU FdCwVpjb8noOmhefbPCTfnEeJ/xcCSVVfDWw8OBMKsCPSwM0lpn7T/Ug4paQZTh0rvdPlhHq+pKU RvH0M0+9Lm37vKkxiyCAejztSVj/2RtH9hYDGJVuiUu0mHBg9awcEyxc0/UhwWInF7XVpNr/b6Pt T184hgigQRd0Nv1UeYb74auT9pz4639gi/ueACKyQQ7CeTd83YWAi3VDKcAjgg+l+PIjnDdy26JY xZ4Dx6d+3KofKIzKC1sasQj+dGtdItX9GDVuGHIpI8zMSvaORkHPt9U77cVg+SZQzUGARm8Vmkp+ fx+RCb62vHM0jOmhQEr4FY7XLZAgghOjiazQgCo4h4OWhIOzp/qS7QZhOqPwUpVNLdZvmCB2/nBD 3VVi0PUMrr6iiHbWsScuXBUU3Vl3usVKI5JEWFA+RcRIaVUE9Rf5c4YoC7sj3zk+9doJMbiMm5Ir j64UwBkLJmu4BlokyHu1fd1m4sYfsDscgPJEU7D/tfaQCsLNi8s+bRHHZqhDfnRYTftxD2s7Ii/T KPbCjV40ZY/eBl5vzR9Q1J1BUYi0njOhaBiBxkErDdDRj3ETS5ehG3cj/Pz6rgWG+76mcR81oE5P G061boRBMWUid1a0nwBnUvBTUusfY4VOw6uyVph/1oBm9pM7QAh/WNOnipYl9096sOePgdKfViwu RoAyEVhmtRWh1zy9nKN60ubl/+BeIi2p1B3Gi1kx/n+fcTJbaULxeeU8GrgCtns5lrGe9m2TW9Af Jd6HYM9iiSZ4od7Og4cLjAWVc/E/7Te/kdc2tLT3jssarMnTCeOSQwqA9wyTQwKj1Lc1cQytDLcT ojVuSoR5X/SXUV+fFLZ/n0s5tCM/KWr9WhdWEiBe7BI0D6DVWeGmE8DSVsjf/7Twtv0b9GhfDJzR KeoOihia3ogbpmJFw1PJSSNBM04vI8Y2lUGPbOWEhdT/2evnQo8RlV/RcVNgiPjjXsZCCcfluz0y d7TX01xDkjQSDt0qkrfljUA6vhPSwLt1PNlIcKdhv2DNEgd5H22O+jhdkQX8JAPqyEK0qsXhDkDE g8aPNX+RrvoGmSFFjnUR8d1luYbhYGf/GeooWgMMHcp503xL0xzqVTzZsNJcyMLG2lmHoW3w9sfU 4iGHKFX8jF6XX7X6O0EkoZEc7QCXU2kmMjEusXzdHdUcDvc+Tt+zNXLzNMNmmKEfjswv9u6JH7Es qwED90ScuVuojlyIqIy8bbv5Q8mBP3lqumYTgyz4HdFqMjIvJH/I/OrMehfg5myp1UvDICqsnVgf QFjgPYdrl038CoE0sYKX9mlf1H21yRU3A4lXjwAaV3lT6OxonTj0PoIEptues/DGFKdOWt+3xBhB Kl5ULCgs6ceoOKB3O8WIanBP5f0JCbsZTswolKfWnEuWurtkHhMgY1ofKln3rTYAT9G47t62zNee i71DXaR3VJZc3EuQQQKUC263yiShAJtVCHbtjQXjRKxT5kSokZhBDkQzVdwsoTyMCcr/SQ2/aUmP Mnp7wW/wWlXhm65HFx0v6Nhr2Xg1rnT7TWvXyE0hlJ5wsolx5+Xl6sWIXgUDI6IT/20Q3umJylnk D6p7FSCR3XA5LVNJ4gPn57Z0EvGycOYK2R3l2n1JQN7zHk/MnGDRGZNpj5+mNWCQ989y2OPfki1m HkDEtMgjH4WMi/jev6NnVJLX1QmTIhJLl5ZcFLVyMCmyA2tm/3ZH6reX7LyqC60y8THN3oxDKZFt TDwB+1iG9+W8ZMq/jayI4s2/2FAsDHnSe6MJ71dzxqwBnnTDOS0i7dhLAIVm9TP7JI9MHoPEKQxb eusDCkiLj5tgaAzcngLbVcQ1awnHoUkjYWYIoDpsKb3cXjL3ofPtHEZqY5FVLjqLudD+I41rVgDI Sfp8sIj4xGUv8ViCLUjM3cV+JFjseGHcZg3TkggC3VLcHb3heOfJ8Hs9K+1bgDwcQL4L5ATRPJg8 qguvIj61Md6g4d3O5PvNICZlmNVwxv/odd1Ds4FIoMUdjMcACfgtkMIsvJSFDEyJZzuqHTvaaiHI Tw6+2wTVbXfVkA6SzCYBPIIauDKLQ4CLAkkeG3Uz9nSQltdEsBwzuc87eitsU+VprcN4+5E6WIMF /DBIzMapA2mXDRkL79mUZahIaKKW07xAcaEVRIiKBC/MboDAYUufHUOMvzNAeexO3MTh+HeYE0vH PX/CxQyUDR3XTMtOFuNed9zrvzB5SKWgQYEQ8Q5ciDA3Hd0IhZtC5rt68uhVxO0YE8MPcl9eBaNw FOgq5jGhY14qvNScWktZxAgszphtgKhJjnNwNWrkRw8K3MJ8UN+QTHYnZfPTq6WBGw7La9F5651/ dAbZtWSxox51xeVoLHf6qHZlgLmkDVappM0LxC82shlHNdyiKA7BswhhW+TXmXDh/VP+uFsuJ58f WZfYt3l5nJbkZv3EvfAo3hJ0ovOwkQvcHu1UlXm9fkIKCR9FDKRGndJopWrhB9Z7Yb1U6oiQRQox IDCwJ3NE+HOcfhv8yw/gD25EsNKjdj6EWTyrHsnk8SS7OEu5cQ+P/kmnkQD0PzdyNm3DLU4liFdv EQhzdTdD8CXrqj/7z6WlAVJIqbg+41AxKIWQ7i+tw/E3APwiHkcwq5nGPuyFDc8ZFLqmgC1+Osnc YW4tnrp5sn4/4UPoTz6ScTLzM8xW7cGdtaNjDK9Zqc4FZz6+OP8F+KaJg9Z0/A5vSN91+JePmXBY C7qe2CIipXwYIIuTgxRmxoJx6Bfp8NWRDlRNGWfBbELpTFtTheDx6vCPHttjuJDGE4bDUEEllwSE UlI3GP0apD+QeTMlpAVCNGJwupXgc94p7j6NocMDIlEc9moR7e+imbNUryUEOh0ezU+OZ8bIDVHe IkGE3fT+xqg4rDYcUXEhukMXWYhesJK3RLZg7PuPMOd3Z56SjmvkXAjaO3NIkzQeedpBnf9o1e66 LMpQExqk7loqtg+oAM5W5r9SAKUot43U+5N33yEYeZDWCZfX1WW4/jUPZEVVjYzQ1B840KEttXKG PdB71uHiTyCJrJpYkEp9vAjPlrZohaTO5uLFyVYINL/hdVGuCj8OMsMuhw0JqmbjpKZZ/2AVfHKZ O5+A+SZa35dLpL92dHaPLSXwX72rMAlbwrtooDjttWHa1Ssvf+Vqs2BlQrY9q5IovWlzQ8O0dnkR gGe2NxsxGyMFpxY1uOQgd9VT2t5YsptZzxwNyEzz4zoIwz/nBFW7c/YPQpPO2cvPyzLOXMvwZGLj dxMD1AFaaHfoxUmzobVMPxnTA4CLC/iXUGeUdOWaNXEgoAi7Ryn+kL8ClBysir9roYbgmrJT3vO2 BBH1idDRM6DhPJs7fozPRmjx6EmLnNg1gbJ6xajXe9AxwFHWtresxfeMs0bP4zJ5rvr82c1meQMl Cc60Y+Bx2p70QwxBhNTqWk8s3Hp0yuwqHt5NBd/G/8QrDFoNDeXWAZU3QIXpREctTh1NW41KXjdv 4/so/MdjwUOsXZrZMssAqiZt/xLpDfx59KGXusBNHtXs8Y38MCg+LZ9GnBz9uXmQbNbCOX3lNA4T 1ECibCkNVWmermRhHupgxibjRIKNzLyiIyjIw9XjN+ymmepcmOigZ3GNCD8zHQiEGemYpQEhHMlK ZMoUa+HHwgvcp9saZOwSpocWf2JECRrFjYLuF3S8CnfRjl7uyE/bMCciNDbddWRPZZOemDgVQCPO 2TNF/XpydJ1nSyLzVwrYU137+bFXykUgWJfC8TMy8aQoDgK+g6y8HF0tUtHe0mLsE7q4sRo/47/1 w0KV8QH52zPPKoGlp9JGErLX1tZg2bOl9gmpRvbWcfalQONkayuMuSubG8fl5pV6F9S1s0XW1m4h Zt+FhQ1zI+Er9gEuzmi7wxv6ICT1XNTo1LUsS7WtNGtbal/BGhGCah5CKZsuxJxj6+BnOG6PnmiN vmcz162FojSdope8CvWai1O1fSFuhkfeuE5q/eFWbi4OgwdnKl45ZAfVwaH4FZQPtmf9I19hfCWu JHAq9u8/cX4DvT3ihxcUDoxmYGNaVELYjbYIlfMZdpSEMQj1RGaeDwWdOmHy5glY8qqhVupVZESF p0ve6kwS/Qq0IfIkwnUeQQOJNlflzxc8cVRvbQHiDtqT+6mMIcZDXgOGqrBFbx4rUuYBzh1fLYSH O5lhidwwhMle6bOSLVDyqun9DfHCwmXXGLJcsiF1O874Kr+w09q50aBeV7MUp+b36pGj1rUw2VnN Lg+7v4f2E9x4OC3IFUgIcXfi5u+ojPvuOrRrIXpPYK5lOQdud4lTMJcaq1lWxsSFMw8jZtsyCa3r GrGYDMmGxjHmOyT5bZa90zpBS5HUr41aNQtUXGic6wiPaXZgZuZ7YGsFoZy9FyfNB+dExRWHLBr3 F39A6xm0HPfKwyio0y3J/0helsov3D370Elko1uPlRiqQrFjJPoSynEtHGw1Bt6RHQyx1DWfqASj rRL57rTgVYDlcceSxdYs6h4S+vuBvE1c2i2Jv3WGk7LG/dk2BpALRFUJ8fRRH3d1sv6AcY4IIsCV r856e+HDt6OSjOvOCwoufFu+kOosg4laHHQhvGOKwkiu4wuDWnUrjNkkoj8fkn00RNefUpE27sok QDcsVgnCU1+zwBGok01A3vNvRPIlZfeWIpx88k4Ody1XNl++WlcCRa1tZAamDHtuegqXWV6CH2Gl /j9RNR7L6pO3nAtPMLyhDuuDR4QYWT5Pyj0GYsiu/3vNYWGJA+A3fpFcKNQjLXs43DwwPAC/w8mB 6Sul/u/rhQu5Z4jACG0hY+sYjTGJkVPI5wK4AKAAEnkEmmJmF7DGWQyCyEFk8mr4mKEwhhDc4euJ a6ijSmoPTHHIUQe9nuF2hwwZu8Q1NBuq8jC8XsP7/NZbk059uCEh3UeOYnB15A9Wtcy9l81Wsu4E ArFoylEPp8dNWd5bcQtWWbvjKmLe4Q+mL1bdudVV4aOwnzD2v7I8tcjzDcEqLbtu7kf4zc60JTE8 39zIVVm6XeftpYn1hBeNCIuazaJw1Wq3injAN+R7HgOeR+U+eFFJNYGwbf6FNk0/e3MDSDHPeZpK qZEJGxWVFvYWAoYiqlVPAmURVCT24Ure3Y8BMxPb8U9TjmROpcsR3cG85ILKUZR5tp0Ewm6KHZ0b 5uIvv+kQXTcagAo+5u2lOJZLNk7zJngFBRtZ1rdJ4MbJrPFMwkMznLLrP3dUljkciV5GpjtVQOKu jibW5HMQxzjP4jramDgjVUsJMQ1DJtY8CjOHq2WyeEnQ9jo6/vrjo4V4/ZWK8aoFQWSChSQek4tY J/VUW8/hpNv2WgDJQGosx+x8sD1ZHh7FPOQ6QThAUtFxy4HRqiMjbDr8VS4MU7THoskdyxoSsO1+ wWlN/ANg6+qbxBTKfUXAzZ6dL848bnfZmpg2LM7G7bgrSandKC6oCLiYNBpz5h4h4vHr3CBzuYAb IXvzMnobKZCZiVYwmSz5v4hn5ii6wWjwPsqdpGXkq2OZorzV1MBqCEaS6DyxBtXxX2EjEM9s22t6 QVzhb98+f/8nb9f2w8GSdH6fgdwrXJoR9rcAS1X0ia2GVOTiWcHNfNDqnLg2PCBLJnDJN/4jCLaI YZ2AeYC7SMPv6n4dKyqNf2pHxx86OnYE+uGGfiHqFtl09OD4J4dzuZA2aNQfMmkQM1PTy4LWizV7 QZtwcSRvmNRbrkcsA9SjcgJtoOjE9Pa4Mz55QsgieBh5y1DjJ9BxVmMLAN/0R8WQ6crptUFG0hkG 6SLTWUyiQtHQelOWjFAqKNyX55io//Zk4MDxbVw3bpi+JPN3Vtk9b2jz1z/rIobilsCuBfPgF20j B0Jq0qEqGd2SYme8Z4lN+HRCj27LFbt4csDy/B94htnVTPYQ8Ns7d8MJyWKdiZhmM45+gp3TFzMr 4VndHMTaRN6aBXSDBhO2rDt7tqvc3U9hB6NkCcwIzySmlTcEv6jt2DRSPXSwHEVwRzGFOvn6avSy p9bYJa5NxklGWMkEwakiRibVTP3EG3nAwIgK0VsyotD4Q8bccrbMtHohNTwV64pTbABazoTc351E RKVNDDXcT9CHvf1ecoX99RBGjTz6XcPzad5hRA4tYuBV0vr9/7ONLNZVYLqkB0Ky2xwrg6XYqrk2 3MNnYhDwX9fbknyEx2K/RP2fI1CqX6evpUPfd7+6+HEU8jwvK1K6+iOHeS2GOqlqPgr1Z77WKu2I bnVk7RmHfpzWX1gSiTqz7O7i3+VmgbxoGalB2NHQ9tBlisxVESJpIT9euiMbr6VLoM2v+GT0n2Nu 1dFiSNd6E+LC/KzdNsBa6TYHLnHF1uCi9PX/H/kE0mtvRKsvOjqW/DWv9AIkfJCObKsDHirPrMFn c+LbUpvA4iJqdqidcbOVKkjK1JLJeQBI1So0HHtdemQIS+6Qz9qEGfwq/JBlvbSRmBswdm+4x9uj tv0CD6UQwGvnf7pjpiJY5g54hyJkbYahGUI3qP6tZeMAaCilgeLNTai/h5GRPjgdQ0L3YSv5Q794 Ta8l6ruzesAEq2JGti9Vn+/oSNCsaDYK/EOCGBQVl90NKV5KNAN02Odwt6BxPvV9hWxPTbSHMxwZ lICVa1OeIleu/eyiNg9yuwq3GT2aXpReHq2ip4vB9Q5QWOZNZnN2PLUxCOqvpVL/x1ngxMp8bWY0 iC5sXCAcblK8Tj3g1n8h3T2yXW798OkCAHmxKwIzvP42sVQomG5TvKUo9tIOWhuGkyPNM+dxRBZ3 wU54YLX4xiq/wiPljcGUIIhAAOuOwY/gzuA9P4ZK9EYj4/3vyLjhBkHWaBLDdXlhay8gBvqyk8E6 0mpT5NHZymwezejaX/9pvdWCQJwtGgJRmseRbFY3goq2uH0JkcbQTr7xleFDk/lcgkG6OSGlN9em BKLsAPgFsiLqC0pvtpi7iu2N69J1iRdcmWtf5FzXfW6TTgkhQwe7PiCSJEkkXSQ4bQFniJlHOGV7 Fql8UuiZzwoFkD69IBmUFneOm3Q2L+8ckyM9vdHJ3A7gMEt6AqMY/Nh2sHOdx2HDEV/FDl+uZu7N QU6y5NEu3IvGpXZ6GsGyR21mazV9xLhttkf7s14fF04eoHcOYK82pTLnYV5BYeVuCdeCY8s4XSEk AIz9CF2yYc0OWJLAir48cyPNEcvExFpVBDUF3bMp7oLGTjnZblLPSk42DclU57175xSuoizg1hMe oxHaRSwGxWAk+E69NFSmzzVPjgx3m4ZU+v9kB1cn17jtrzGCxGxJtfewcB1vBA4t6U/Mu3muFVXV Uol4Eg7AphNr9ME+L8ZK4sNZN1EKf67zTSZwJk/W5o8H9Q1HB/TCQp/UMeg83ziylW8+6PrZjLCw CC7GBt2apcvC7E8KtvBz0Exr8tWcnXrpL489XJIYA8ToQM1ONpvDjJbAdsOpqRxA4ekvfdaFjew8 LOuht6YE7cTJlFd4d0bd9gviylg4IGZVdA5aUZzHFSzTAJuns0pCzT6jJYbQFueW2Zw6GfEO+clB XinGxmdacVCMPbBcElDF82SZx3aTk9U4k/ar4X7XNZCQtgVq4KMKWUDU6N8OOUZMATISjgH4GQfo mu7kL1DNLWyc+FIJ6QwurYgfsip1pkyTRi6h30mXxWGvA2wr5icHuLDgYCSWN09vEliuGilYtDan whks3mXrr5MZ99qrrO5lLlihJS/14kvYDpvVr1aMgeB3u91Hy/TfkGJBCJVu0AIfuRFmCdLPAV0+ zeS3cH4fYvxtoBGCpq5oWW0OL/gdmqQs9RcEQc7WU2fQyfJCLc3ywyc1GHjTg9QQgJUBc3aeuhNV HlYgWRuWT5nP9WbdNRnpnDfX5+hOxUzanc4LnX1fnWsQWiEj+YPTcUNSr4MFiv5vh+0XZxOJDwb6 KFgyMDLKgRWZGMpbNSzqUhHg9B/2LMJMkgt88jWq0pq0GtKICwdYREHMM3leFdBrEMwvLSCheHL9 WwyHBpLeVsDxqvV641pHqYKKcFLUprM3H7E37K+2XNSa02Biq9K52I+Y2VLRTeeBW7H/TNE1niK2 dTat53kFe57BouA6TLcXbuOYZH1/0b3kdNyYo19LiYMQ/T+/dU2d775fypMlgHhblv2JP/JFwbT9 DVLYSgRiNTBK4LBp2BnwOWe57iLUOrKSEMn88GhwiwM01bjjPTXrNArlSd8Ao8pKLW4oTK34RkhB IGSv8f58XVQqsp1EmPqlfRYlPIxTNMy1Wb4pJoX3lWKIJDmuURb7oXWeZgv0lun3Auy6+yeHmEQ0 9Yb4Ut7FKt1L4hcD5J6Ye3ASM03sTGPNEKNPuErTpzcOwdbKEl2KKEkHqGZUmjcJpQ2LZJpWwrae CWfy+NdWyCTflVdfRZmb2V0M9fuNGpRt8ig31MBtVByMhU2ToHtk48sNfMjOokVHZGOx/W0KWVHC agQAAgrkF6czJ94FLhJCYGkTsSsKMg6O+9KITspUwYqrDHhMzG6+cyi0+b9+WbQSjsgMoJvlCkYL uDzYzFGaxJzW6PnveD0TgzIzvLRQCsMv/MWERYS1NpshP+kirTjP4irxCHzfLez+TwPFXypzTN4H HLd+xdF5+N9ChxgfFksdZXbrimIYwNu9F86/9m2B7EOGyImFDqYornJjP289693jYbFstbgRaEcD +k3Hmk0PumbV5eMIklWJPDUjsZbr49eD8gPZJ860pUr/cC/v5ukUJ1megYDciMQ4SvOVJPUdwiw8 pjoCwOLxLuySOFh9KffbSJiJusDPmdLinRyCnf5O5GuW4jyyshYblB5Z62exRCFIUmWR+AYHGqwh 4Vi147fSWQ8N+teXiioVaUT6M3TrAoYuEn0ujhS85U11ohBKTJYbaVv9kfOXdb3hasCtI55O/Lve bzRvqhWDuBsEy9Ts2aPtpHtwW3Pz6I/2ex6bb7N1O9GlS1GyCMaVwgShH5T8dTUxtjcrvY4tUHFv 24L9k78jJPGnY1QL5tcSR8bQcdxB9dYSEHQtxPyoy53wgy+hesPl+g2ENn0kL8Dy0US0Z5GVue/+ /EP/sllPm4Mo8XI5Vdgo4+x/5nxNhVSzqP+c+0psP8vBc/p4uwgIy0WfTSzrm5OaivkplHX0qXZB D8IGL7ZeUMFAP1L6uXOryFPx+f7H8uSV4HlP0JvqFYYF32mnPDR1gtIbDYdriJnDOcMStOIQG3XO FpRYEfJlmMRbEukkMd2cBbEEJZ0X2u9y1rXvQx3EMSP5JTLTWPQIYEyuRQrTG2+fvl96yvtI3mJa DISxZTXevVtBXLvRsAyXK1JstfSrW2uKggpq68zODRwc/hIJzdGquLmEjud5E98YKqw8IQRmANP4 1mtQVB7JOsjoLmD+A3RZKZ8OduYrtLiLeGCcIFYCruR1fqU8ijNFq9Rb2zX3kzPPILbd3MIbmh68 yh0ETTotSeDtu7MlLlRY6jZSotqkNti88z4Kfn/AdK0Dspbk/5u7+TEhjV51Qmp980icHRjgtn/r +OD9kWbB2pBLqHY8kgBXxJRAT1IIN4bspGOMGDGO8SsFoETGsFxjtxc3zgDV8BHXSmqTzqh83aqa njPErRsaALvOOGyWq8mgL8dTxKSQ65ieb1Wxlc5bRmmCUjJVNloMo5yYqsKj+OFlIaADX1d+NVUs 3B6eK8DiEjHSaz0VXtAqVFx+45amfhLKxwEfNUMXUnofAGa+zQjexvTZK1utHRh/+4CYL5W5pRFR WBrhi0dE1qdAeGIon8pzyR8nO5lcs7zGUf5IU+Tpw2M+v6iFKwIbPxq0F6f+QNirLL+vb7T1giho 7cx6lBpvGA94xoZ+D49UDiCR+LJKLKCjOqssY11KYyoFnJVqg/PkBrk3K6PV/BqgvCpfBOfY7qQQ Js1w7xC+oaNFJuC8PFDPuuiULE+eKyBjDGADPXRjhUMt64kDXnRKe0Qs9SRu/5TRfR5555Pytfq7 knWObyB6gDHVEaQgZEpywo7D16HQvrJtAR/P44JOFtgewguYVcewA71yM0q3b9Uwh1sXzOSkaWS4 2LSHA3GZIb/+xUe/N2QxM1MQHE1CL0oYX6P/5i0OvDEUYSZsowbXLDn1vKxmGXaBL2Mr6ii0zh2H 5tLVxrZpLfDkqtJBiGnVqIOcaQsANc9rnnAfEJ3yITKX7Jm1qe5V74nLiRKQz8bwOwotPFcVzR2J YPUqNhwFgWmvQ4pt2nS6NZtn6LLIhX0++hC8yINRvKcFs6uS2LlL45cOB/PAiK5luYJvoMBG0nhy MRKx5Wt4+3hTMsn5eYBs1HggSE8nlr7ERKEC/igPLaBDOilvx79tY1EhcgTImUTWBNqYxAbCf63g DhLEmkFdBOVp+BRb1436WGmePykSIMG/8JqoO5rHYe9KvAUT62g2HJDBC6NzWkz8jlEV7F4dzMyc oh1GAiN0ob5Ue3hI4d8lPAAPOBmMJdfxWpA+aquDkZdPveSyLjBIFqHzVXCFv6Hq0Yfb5EyP5AuL dWOCjG9lQUr0SJ9pYXritRveLznef027krnS4dAw1DVjhdaoWxLOoGLfXlCQmgIatwVNxMMYPj38 V/i6WYnp32uPt0y/IA/6lfiBbVBiTL5BMBP9rYt9RwbJ2ZSwmspTJWtHniTxVEQ6I2EfuEwfKQqb 7Z3JpOmkkiKM6riZaHh96GT27ZOLgd/XsXDgk6RQm2hr+r8fE53SDPZeQ2pFgcOnN4zrfzlSTxmf cpmfTH19ZGeDfUs/qeTeZAkKluoIjTKoFhx35p0T3HLWidInxLazJCynCYWf9G/GOrb6uNF9Da4c cMZuJfmti7ebxgMAGDBTn/BF1aMb29q8dzUxEGH+FFdarw9Kdhpcxv4aSGReZS2/UzzLX3ys9SCJ SrixYvFuCIsKN569628IsEHFYBsrZSHVY4UJID3PoLgSFRn1BMN+8h4VQ+yYLZlhn3IO2sN2kEyu Oekb9wvEeIGdcEqsjv68lKzEq0qVmL3/dXFmJmJ6xiuXmn7rZ2GcwvhLtBR+R1USKmuG7gN3IlYQ Oh1KPvDGZcfGDn6fxOEDFybngZ9jOzpcwOuQv80YGIGXK1485WvlgIWfU0ifN8n/vYUQVkq8SmHb gMP/EX4P3R4Sw/EenArvG4RFKAyZn1eDadABao3zV0KMOrwjFthzzlLndbjvcjMxdYuihpNx4AV7 mxRihIZG1agjurUwqOaTpJ+/h4+N478LPUyyKUDzsGMIWA/eysBLTiKPfuxl1GSGfCjQPNola4xS g694H5iyEDOYJjUdWGEAnkDZaY7ucr25yo2c3hJhdeNxCnW0QOalXiQfM8GP2YgdTSHbSnPcZN10 AsWj5FP+3btRU5/22wLLYt8i7YZ9v4gzC8mahWuHWYHCeqROedQT8eRoPeoaMBUJZo3hZ/BUTuK3 dNRP5eZ885CXL1aQ5gSKeeYvaIqI5V7FRCMePjLtcZwxYJNIYDTxhxB7rKzeLtWI3cRYukhLAZf4 NWYR5Jr4OWyEzvUEH9viCHgCBkpA3kMNead0MVCQr+ybjxYZSZr+VB/OIiJF1X9L3L2ntGeSrwTM /xFt9CYgMHbD49rQxL+RGHKalSZLRqW8mXOu5XQu7zOyiT0tiU250yFlRkZ+sfaq9GNJd8SdRt4X b7VPTATyA2xZUguWxYNwyjtfAmugjZBmpR4KkLqW1nSPrncODU6wRxnVKdYh2CC+r5InLw0eG6Vu SzdpIspySVlNal4ue1526EGT8NstOamw6i5KKPXDvjJBAUaTvmlAxNz+WgqlWY0YWnNvBFCwDBC8 jxsIrNlTGxJEKN9IzwQoBMgkMDTuQqTUWSkV8QLnTregArGF7at221nKFWfxRK+dNuBBjd9djsBy PvFkLDF5hVfuoyTseNwyeqExhH9Fbqph74a8ptzSyzKdCbiFr/R/8/bUCIn394lGinZbmXzEzArZ 3M28miF1czDrvPhJi8x1zNBde/lHKFRdiUud8fSqf1y/nZCcA9AwNveik5Mf04RF1w4qBiGNd4yi Shtf46n9yfTBAV6rYCu1jfvhbkStpTc7Wll9Vup6aVXOH9BuzJUcG35ScKbra9xjyxotVQtjnudQ dccVdFSFVtIyzmKySGDh5CPOcEdzfCPfxNCGoOAmvBHMjLf3xZNXcgqAYURjGPzsVyGoRxfA6/7V XlPEWm8yfFq8xpUO44EbmEGkad3+vkp+RafByvfH7EJ1oIwx0gf9x/CN31LRd1ZGLUlhCSK+KN5J B//mSAqw90o5sVFubw65TcHm9hnZWrOLPyzYDJ3C13KhLJb2mFpGZOWpLjPdxpzgunWweWirvCY/ buNKhoSMr7TJZB04TQKkEh/EnZX2SBNAfaNJGftUfj2uJ0aVkN28jj0npDsWfHPjixGfNMIj+bh1 atJXOkBSluisTvCRvBWE8elvTHTSAZMlEAFhLGyoTTm+o2WaLVNC8RC9M7iqy8O/YMjKcpaIrbY7 EKxkTrTiOTuZu8nq236MhZI3wwvGY61zjas9n11FWrlQkKIqbdFZf6RLTSH+cn2IifcCJbdEZAYo Dln4ivjS8zlupx3BxB65HYVS3Ca2QdJATrnxlW+f6tktVLNpbnpPyeWHkojDfODgDzBHMUBF7XAg jcyVrPmGbgRIM6A00EZr/DdAqDA7NoI/mH1EwQa0StRiAdLmcg2OH4sxA1a9MQfeEDg8rp1ELlNO YB1nnIfJ4JE6hfqM9wtioY8Esqm6hwIwOJVWOGujKwTLxaBIxFWAD/2yg1P3yNjXAH628Gi/en9r kEJ7F0wZq/PlOI8CgOO9SN1KzyapuIgOyOl0oNiEh6ydfSPwSW9wO5dqg9Eu0zl2RZRekUbVbZlI hwY+A/fkkQSy5nQwHsMG+IxsenynN+QSHjp/o4Z/GZOjQYSgHf6CuNmU8Zzey5YTg5XmGQWHpmAM aguaqdd9VmK7w6toDnGxoXCzMJqd823EMZRrVI+ki3PvbDu2mVOH8fPjBcbYRFsQqAkCVMAWZw50 6VNK3ks4fZv9fKrhR5kH47xa5vKy1XlgBEa/NSXeH5mR4fyxnI8cu8HP8ntLJwXyuXywn2GZRN0I x84Mx/eF9CelnzZJMWJagbS3Spa3Hm3LrsqNyZt6Mt3le228tCWAG75WE8aWAKNCLEzpoN4RhrYe PGujZI2CXGjSz7vKE2FVktmdcFOvYNgVEWMyB6HoqQKbJy9snBopXkzcJ4lGPWy7EA737pRawPRm j2mnEur/CNldIVVPa28K0cV+bFp5rSrqOv5SjhNAo2cUs0CoZ9oIG7Las82HzA4nHQ+e/p3Dvmnv E0B9qSTrQJAZvh0C5PzzFZysUg7wr89eYIeR0LQzD9UdXpOH13nbxwsMm753KjKNC6C2izcsQUM2 yA1+MVwyeYtuvsCVvjSETmUy8xMeysv1IAokOe9hy9ocD80M2HAvwOwLakLHzNIK/lSc+q+rn0Se FjjJDTDW0Y7kNlSjLcuOJANLzKm50oOApV3M7z6JiB82/kMvslIF+pvmIRS1Xxp9fYxpV7V1hclB xRg64o9dWSHzmK3ZkFOsOVMKcxCg5zqLFqL5Qga2gJCuG64RUTisDsIyvfvIhkfRUtFTtjtkBkdD zrWS0UGZCMXkyT1GIG19ZzYZAA9AE2X6B7pFoLkUPASxPJofxcalLmxSNkuJ3wlQLyiGS7LrdUO/ +TxAkJmnF0TezWJOLDiQiodxqataqXavXmkYXb+vkSile7/Yc/QlEGzIKDnTb/4ArPNx4IiFcfUk CoN2cdiee+KEmgOD2uvCWZkz+1IIq6yiqmbnLEbNPG9MsX9SVphZ254tctH2cPpsG2m/oKQ305v7 O9QyjQcQ3UaIG/tHCVCXJ5FLbYZeQw8US0Htlmc5Lt346L194T6UPNorheKMXiTJnlGh+IX8jFhx UsKmyU7IuoTGr00eHJHWm1gNxSm2mSf4XKLBaFluuqdlVJcjGSyqpO97T//ixgCkkcgSn+P50yAZ tVtmtTThCVncmGV1PQGsc6vzBgbthFbgB1w+Xxa5hfDuSo/fFqvraydYS24TNkFd6ceWZolCv3cL tYMW3t+5EyExJJZ2mHTVePAlAWtahBWCyRcksJrSfdoZNjza/vorVo7AMij6atulOOdYMiUFWW22 P+Zio3aNdk7EvQbIRAZAtjqTIQSATW2VpFidFNY3qSlmcfrvwxfFd17y3v5+i+cXyL8ZTq4J3aTz oAO7Ob074n0HvXf+U6Eiu6SGsyXJW4PtM4dHMQmTMnaNYkxG3cgD2oQP0AAN348mCRFDzN3wC92C D4KP8mSIo00ePI9GUQQnsTW43RVIliPRLtWOwV8j09aevQrx1dj66UbNtlSDafjl7kgQlRI43xNL TQpkhoCpZQr0zZ9fUJJGYJ8xKmKcz5v73XblRlp3Azo22aV5JiZjQSbfNY6uqsHTo5dMWzrI/xjU mLox6JKVa0lXGfNm07E7so41AypBCGS7ytM5CdhfkpBh1yjHtiPxBQyenQum+lejyKhCvPELbAqe ZoL06JVPRuxKn1G/gjchCrUeHGLwHcbIVYAC5rOZipQsoRn5L22Jn+8WJmSRL+91gUx/O8E9hNKD Wk5sLf+FMEKki811Qtxak/zCmoZa+zll8XrVd5zvcu6LPZwqqsEUBfEHfR2mRpEbCOzR8CZqsRLp jDxLXK5Yrbo4FahdJy35GCZMpV2Q1Y32M7Ry5fZPzgFSyCxR8CYx1XELfryM4ZcWkKNRZWqvcjHd yNVf8EGa9tuN7CQA/NxO8VuKGlJypsLfxMKvCOEYe/uVrRRoeab5e5UxsT84Yzbc1pqNNiF6YZdD KjpZZP/Iz5GbpuoRVlgRaoDU4jrXwx/IAO6S7fKgcalt6hJckpSHQkwDWeDwUa8gupEsSV5RVR0k yTqNuHWK/Z+E1eBBhkt1i3xOhU+2+gb57nMnKAirRpjr0u/OFckINGWjGPlczfZdMRxQY+Uzd401 xA6GEe6nkg+4bA1unxMmDw4/+jIdH/+xXiPc+mNszJJ2cc4FLMj63cGBaO3KPesxA+SiIaGl3ssF LerefFozoHss6sJz7BGh2bhVXMUs/cx71dsJ/9B7EgXwC7aVnIs6UM8uhHkrVJgF8EV5NPRnwKrp b79c4kE1j8vmB3O0+Km6wpei+eaJJF9zmpUbfn6uKzfIZeSeOPHwKpK+bryeFPo8ONWlOA6QdGgN fA3aiwOrhjbz2GY/jMMsiVDqs4Tdx9Glx2/xUjbBmbBt0YeM0tkgWSHxJRBxd7ty0yyO7RWgzQSY 2vKRj8dN6kIXg6qkB6fsHDMoXknrUwuQFlkgo+U770EsGAqWVRLXMVSuKGPi29RaNY7sghBcTd8C pq9P1rMpsDQPWbmXFe9yUKTzYU4xKEMyF8ucVT0RaVBUQwfw6MdtzQ/bc4HSBhLEKf92E1cuZUI1 yGz37b2m96pFkF8W6H2jju3i8vS7RCLIVD4kTlMWNTYBHaBaqJVXSOnZcy7meiDxD+9vlbTWV18a v4Y2XSxQ1ZJEAeLgSBvS2JtkXbAI1pos6pc2t2Tst//GETlFlY8l3K+L6h3lnwQlPEPUUOmn4RP7 Rg3LO6CF26Iu0SWJ1KNQiXyZl6HIIUX010J9PmPFRqzZdHZQgMt/bq5HFDhyWH/YeRO8fI8DnM8q axtH7fd7fM4ZzJtCPTkGfUfZ+xMeM5sLVTGSDYW/oyNrFNPvVWiDKe1XsOFS3uNRSCa7/47Ju9rm jH9y6q+KB/XQCgnlOf6eQ30+0ZP31sRmoYeUqxG18sIbLfaVKt6L8f8bLeHyEm15/q9AcaUNQU+i 9sPBqGmFUWBgipJ7XIWo7/zU6lGlRdrNyAmn3IHENT17ADN+Z4taQccjLQf5PtxhBO98vUP28Flh 2BYuQ6AGFDlofhccvGcJeLaY8zhWb6nXMOGAP4Cuch/KJ5wvw2VDJAeOVtPd3HJT4/3cDhwn0+OK pgjhh9SpNmQEIW41a+5ACd+tLzT66wRshRCDsyEoA125OlhOl6Jd1sgSkgNG9Kqy91oxAb0nzoxs 50qkYTW9o9cpsADm5nY+bGoVs6osAYWmFb01qWOfI4FfsUW59VogeA5MTCmCHcSkuEIrSoZabXbV 1qn3CQyQbI1olnS2A3Ebplz68COafso8n/L0otbhEDkDJS4IneoteFLcxreL0Ibdygih7LhFXyeu R5Q5gk4lqw267XO4IgrbwymGuTc/SbIYshD1pLOXmZs30RNSLR44C1g6UDzI++NaqkacYws2DUQS y4qUn297BCkZWgqC0e6tU7yLSm6y1RNlvSSy8TUQFlEtDnHbY/PaaM4vV9hLsPamdX/LQWLqaVXf mRwtCOeZiDpJZAOPY9pzbHoVFwli0hRi0IWI7JLVTIMQTS+ApspmYyJcM3xGIKI0UH6bGZri5pGi We1Wd+3MoDbgYP6pq7sv5vowJedgm3UHVrMRieM4vAzaPuoYP2E2plGbLzFi7GT3eMzr8M5kgti3 Y45C/M+dv3NGegDKQRQko5eGWGee1uc4ClS/TbrEx7rOHQ/g99Kpfo8Lx3jJ1jjgRKlguP4z3OFz U32/pk8L9Gb3URdiWWwHhxaE8bFPYmRguTGUn1tuUOgjMgoutcrYG8sAQdRSUW+yXClsKru/EkYG OzxEJJok80KiLCO4GrCaQucFN7drCIcLmTyRAEbgVLD3U5NBAhA8lvn7TiY0N2YLZh6Iyk9gK9bo M1Y2yImwcQ2g6eXw+a+kzGfM/Tpp9l5p51bP7HIj5tIKH1Er0k97mCOpPvf/Zt1Hujo77W3sfIiJ RinNZuXQHUELjZ0qB4k2rFlOxPKs7R2orp4T8vHoYEHg/OXolUYkw7mRXiGVFl4BH4WgY7EtQc2i jP/LKl9Awfqh1QFhHmVVQMsi57GT2m7xYuJxhQKPTIDenYp/QDPCrZD/Uc9JmU0wPGvak9kDKRcB JUBZKdf6kaZLwWd3xLcTlNHTI5KqfZqkVQhmXxONgcUXeJil2czMl8kYQqSVkVoDHGjTNao3Sr1H AixiMFBpBmobu1tM5AESYVdIkigmhfmUVZk3qkSIMffVUYdUP7ubcBZn5wVJg+grIDA7nniFDmo5 vf3QMLXGILk95mxB4QyLzh5cB57In5epwxjpGtGl/2F0kFfzfMP4EfCM78BWijIGYPe6qu5tQyPf Af2p4HfSvPmpWL1JmsfCnYwvb84411bSSARM2wJ6LTlugT5MQt/3WfCurTA7Fhyt+Iu0hpD3wjPG SOdlvrhc1ICzL8RBprN21EPi/SwWQUNDj97SDvnMXCgkAB6C9vFVk//j7Toavd6RGAVR9EuX7ZhN d9YZnMR+oE1St/yTI/AfJt+r9B0aoOP+uKEoXSxXn6+mwsqF3ekZIgQAs/jbQBa+ZKjYQtBeP1+I P+PUR/yUrFDCfvzPTRFtI90a7Ae4ul3RUeU7O78EWX8RFWYj1mXSVWkBhgqKDSfG0A9k7l1XLpYv ALdFHPA7dtipSywOETn6Q9RJLTeLtjRpSKKNPW3Z4xyZCUlgADbvnzcOao/aqOGExEH0tikVxL/y tDh5hsXah9C6m4UUcjkJ/JRC5RwC+ndpCs3HJfQG9OvG5ak+b/9T8ZWu5VwbYsCd6ql2HgB6veNq 3/3stJXwxUAQTGPC7G0vB3D7p4yie9+BJlrgt/FP0vSK0uZKsPQdX6JBJnDfhcQUkNNN3HHO1vuG ZTKTy9oGL2DJ3oRX+QqHx0V7Czceh00rcKec0t/0XhSGNuN8sYYDpHPHOwmEaCffRKKndkgC3O+M 6Xng0lemE7nveguJKMkiF8a30h+B801Bc98c6hVFngtNFTzRlGOlIjpRG6S6SXgezqYg9f/sQKEU YgQL9ZQeyQ2JB+HtgPg5eii97utMI52y7kEXmK19x+P56f8qxUCOQ3B5KMldrXfizSA/Ozuk1Vbj Qy38uBc35YVcL9bGE0OgpOO2Pzpxa6UXzcPbJ6X/4MqfcLQy/OTBIfLksfCVlMOcg8j67H3ccZDv XEstsI8bFDx2p2j38/f5UWz1/Nxrs6gqnwliaj+EDCPJDga5dKQmB08o9iGpi3MU3/b5viIl1cBb MAN022gT6WuO7f1Zsx9zdMwMWHUGDaU+v2htEMf2PU0iqOO8q4mBy0e31ARUrVPXaqPqwiF6Xkcu K2q5cQkNqmHp8X7y3ANyXP9xUIRsLe5BWlvt4Lv2t0LkkfE/a2VlPHMcLlRpE6GOjTHVmgEBWt9c NHrEXYDWwnBbHB4lIPcp9TMbVPCIRMyPdodHOYvLOPRAf1kGL+qLuQyOWDV9/owrW2Io7hFaVPNC +pw/yKcOfTMpm3fzQFguXn72JTNUrk2SMyfT2Rh57pn01MGxY5RaQBNVvjtKxSz3+LnzLd3Tr1jQ N6SvV7KtBAs7WHpUo7+w70wWYjVKcbCTotYYsvgG2tovJDmePG7OZQQUC8DHwWYh5XqzCeVOcIQV 0X6G3RN7swJRD2c+kX0Lfhbgul0k7TJg2vifmUPVRd1gazkpsp/YVabRZMEpbkQJ8Ayr7SZYdr/w VUD3EgBMHbvqqcQvPlUYdU+t0LU77lLap5vy/ySZz4N0TX7uz0cfBJvyA5cG6/kEXrkfDLQCf46p RWL9AhNrcwiSVSbJYWC0mIKbZH8DjU/ytetEz1g1um/+08VnxyR0BouQPg53VWx2K6DUBiWGsRUR YenflW7hPgsjHcMIc9B/Amd++R65owQpwb9PbU+CCXWoTbey65/r1UJXUwOkN4tGaQyfEerWGz9M 7tkspk1zfyIjyuK2UJ5UfKur8z0wNqmywgaPb2kqeF7loge8WpF2XVhDYRcdrwKluwWPxi/9diFz xSRuW6AGJovzKkJkZmM7me0cOViqbnGLNO61O8M5FGUO5sKwP0J50+99+E+Nxgvw1VohwioOTBui wRzk4j6ay42U3fNLI2x3DKTzmO48GKN3Lrsl4s+jPoNYSMbxzMiuZuc3xX/EzmJbVNN9hXadTPGs 8faEF0gDc39/pLygeEc+K+9VWfRzsKawn9i75zM7Nvs6TxOoO/4JZ4Wr9fjXy/dYe+BWuAz8upHd A7ynf95/S2w3B6jjdTiMUAsJidfoUVhyCZv0iEc+13yJpI8dR9sUtIIfp7yXrgryjr0ykWIJZ5Ed FrMU0PYlKcjpPwqnYxejLflmcXKYp9fda8woBINnNr+KDvcXLEpBlXcH1BQS4X99gaiUDXlmUocg BkRYfV8AY0jq3gNAp8hDtINIY3WH7JR+jZZHGcOs9019o4p1JGlhoKG/15DOA+LvX3eMFwe/kB6W 3X9sEXUcHzdyCngLf+t00Y0tx+vrMacQ49X7zClY5jr6aNBm2IgfZQumEUhSL/xzekN+iEZ4Ovsl uLk/kUdVfpKxR2bvpSPA7cgEyOlYD8B8dRXk9XeY2u9uYSN8n6tuumqOCTMtYS+I5T5KEUoAnP/t 7d/1Cd+dcYP2N81yNmQSRbrNkgYu54f08smAWF7wyQzuxgz3fhnpzG8f1yxljWE1T2wDUdffmWta wB/UvUK4ePqKldeagA4PR+ZnNMquB6xO8WvpbRMSbr+729ogaEe6Le/r3Tvw7tZazL1TBC1LCia2 H9+tJ9Xsdvhf9zV4v0otE7zKo+mjkbCm77eN0k3dBiI7FObqfv9evo4Xp9BP+JPtssPv3+Gq/eQs nuZx9tdDjk5zM1cZJU22ujngzkkX+/VgdBdo4Yu+CQUb2LRNG+3PdH5fIdG4HvfsQFisCrMBN0d5 jz9A8KuulSmE/tPzA9oVIYmZsMTFgcltGnt88QUwWGeRf65Pibq2ifcEV0a7Uu40iZFG7Uw0Dv6F 4MO6mM44uAPbqylK6SI6cZ5MZTqoHE7UZpNMXGfNyRgUA+Kq0BQ/b913LrYObbIy13Kdqf+fH9oJ FAUb19k6CRnDS35ZhT8+hhWTEjwpOCnF3mbBYN9h1s4wFNAxxOu4cbSC7KSY1HkGZ7gvosZ9EKfo F7ZqnH3RQLCQMVth1U3Mv2jkUQdIEwYfgCqK6XqLkNQUguXdrOppEBD4nrWkDwXXXyLLoNDreqh1 Fr2sO6XkvIgLVv5FVdmO5XwDeuc4d2ygU1yyNODquAVKUkueNpyWeGi36VTNBARDtujO30OI/rrk BXsbTwlqR2C2Df2FDcKjl2JmV0vzWzCrbQAqNpBCmWV2GShuoWbA8lBk9ijhxTANlh89b142bu9D x0ELq0LX26B5gKPk3ru0KI+rjF2Ft6KiepBmmTSvXhh0mn365wIPU9AiHfsWvOlG//7rAjoVSpk0 YsgcZGz4oQ29usvaYQcyrlzUJmntVrXJY8x4fsdzPAP+6HkEfYfSmo1vtAF5q8JN9ZBJFjrRU3zx Ou2zeVbzhccyQAfLlWSUrbP3rSQqs2/StwXNe13jX3NY3Aay1tHcuXEhkajo0Rojlx2dzSQhO3bi vWq8JFZD9EH9OVi8eeY8I/pvTPqtpPn1OQiEJo1h6lmh0u8n+zrPV3MneGxxb+MYKMiBOqZFXjXv xUvDFjHyezGanPbT1eycwPrhHJmopCdx5XH2yMNqt+E2y51d5mAAP2RBpsJqeqYsyBugIN5A3DTq /2ATqntKS8N24s/RopNJFdGdrrhvgjXGyueVpWs8Db4526O3OC4cGOyKGtLLv5IVPWGmkL1+lDVT lVOAjN4SiB+xS/APCFYiQeTrSZ88bRdlkf0B/SuGnQ2XgHydtfPct7Cp9mUqktuauhmtuMOMkk09 Aa8H+Nxv3dg5sL8zmGrKQoNm78+/TPA8kY4MHiZ7+qWlDLGn/VlRApsQSd3KxGPamDB2f6ecXfzj BohaBeAP3Ptnqa0tUg23/aOVXKaJ6oeKzRiHw6iHx5SPB4BjEREwfFN54JIDCxp/V8J7/wJ9ZOyi y2tGOguvmM0uR1zOCwBd7chYT/cJHjnUdvuJKlFdC4Xe0URoz0flC1IIjtLHx2OozSlcD94Oi1gY H4OlVq91jAz41s6YxHGg5ZayXRUCJ8FTWZ1C3UqtnxDZ4ooUVwbI1pYog6fW6BXLGeCzacOZw7pC qyDe50oyhv/5/c/9a7m0k3xY24e9GC74LL7kcwC20Vl8D2MaGZ7ffFyT6XHoeGZyyqM478/Lms1F b86tdtO9I40Rmz+GJJUuFGeDt2stMHse9ifYLRUH7G9AUAtuMd6tJKtXFUbVDUZKzZPApUviPbKq X/toCBnuE+54KH/gwIDC+S0Lbc9428FsVnmxkNcjb+WZdW1lv9/PfnJiL4k6p54NnVtgC8amWI7/ S37HoZH6lTJ7oROM8IU3CQAkyiFjQoflFZ/v7lQky0Cx4RAiOh8s3Sk0CfqmqBqILbQcalMufzNt Yn+RetEBfiI13cViJ9xV6Db2guLuS0VGkDc49GK9eJbjA3c5pyD7s1j2P27INozjW5ViHtwXaJLg qnbTLE+U6Jux3bei61RrswTsgiCBiRWKWyzwp24r089MddxnErTlqM+PLz5EFXbtvFZr9PzSi0JR ENl23uPCPBYIoNkWm2o1TY8KVlgo1nZkAfxtmq1DMx/wj5ox7kc6tS6nObjEkcAOxj86USNxOnTW jhzcsMAx0xFx9F+7giUgB1zAnQhnPMMY/4QZvAhNaosRXir+yA1FP3zMwy5FxVeyuU30WNkTxjdi xIPbAZ4hKnzc8aJw25cDZv6nEAPGAfKa/3/zNwf1LW1gb5oix180YIRhPlGuyIg6Xz61MLpFwLjB g2C+iRvbZuUxQK5PcO3/hFZd06KpZIu4OdWZsuKyCKjIFSUgi9VS+8GfGQ+eOGJqgsT6HfM/dXGC 7dayUVc1pEWUxAShuzdWE2SDPeH0Um1SfZC0vPny6Y2mBpLSfy4jtUxKFwxyaxDoC4wTl4q24q73 gq+iCfp2G4qxFZCoqOwBT4AgX1UgKA8XdIwIA+xrJdxWoVHv1rvzGnbz/vmqjSzQg/o2kGTjWqKs nft3wQjzHlhJwDsRAayDj4O1fOJO2GM9AYpIb6iPESlPXVf8B+MivkFvqfUHJ1gW1evtUYLHG/8P htbDl3kuXyzxOGYrpcAQkQdI3UvEIlkQGYK3H4j2VEpbp/Um1v2ggK8tIS9CvoYYmWpcLKTaNGEh aTBJDEXzcM/8WWlzuFVVRHvxQQEv0jZAuoC1s/nrnTerfM3i1UkSE4f+6l8fHiuZmXquFksA3KRP YDqKswG4DBt8oHkidnOh1WG/dpyot7icA1vueF3ia43V4aWJ5YbWxSmLxN7csupH8A3Zvpf08yH8 5+c/IBr/ptzjiE7d2PRWf+S9qf+Sk0bA3Dlz4WskQEfuL6l7HtY7xy3DaMU8vHzHpL3ZN75n8Xea waAesn04A1uVTtENEnkGh3oHLQbiOCy8+3cLOLOTirpvGv2Na0eVZY7bvT2+bxaItUHH1rYmtg+t 7BgfggnM5cEiSMX9nAo+c+H/SrgmMQEV+vZAaTo10O2AJMtXO8zhd1rlpV2J+Gn81FoeB974izqi JTebQmcmGY4u7ZxwX97XaJPGHWZCAjBC3pr0j8mFL+rs9n/h70Zizzo7v6sb2BXwblIjqSllniU0 Fy6nYVLrFPZyD5t2oMhIoL0v4P36rkKOyt/9z5FJCH4ECxS8I1xcQrscLLtZZYEb1YMrPm7efpvo brz109T9mH1TrG/l4CCOzt08Jnq/1x30VM2tZPJnNXKRxcT1qXehxrafYwelqyHWqPijPf53q1K5 0E2AJqEX3arvL9hsS/d+urY/McTbyQIy0WM9fPmaY63rwokW/DzEDc1mjj/Jo8KdSWio1vo4Gf9T nuScgjtafu3pGeolfu5tLrpoW4vvY0yKVKCP/owHvcigy3o21xz9Q2sLeLzycBgheuX4DRe4Q5J3 p1uc9InQJRjSxrT/hXS0Cjc/Ru+3YezVWnN7oWYAFXFmHV2fxPKNQC46m4Ld9eoaHkGekwChB+rR 5NOlenQ1ZcyxO2M+Kd6AIHWq8Yr45B/poJIfSowudzgD/7UGRrthpyrij/mQP/UGC0kdEWq3dgWh BViZxvBT1iiD5A5LsS6MYCg4+qO9X+tJjLoayGDAOAdKSkb34Fx7ERCo1fzmdDga0I8D1pVsLaO+ u0K3tK9pxe1dgs5EGpQ6NjYvPurjCvW/u3e85RNLt6swBYFXfFJyvgtJyKx3omvthnPNjZlPiMjA ZEJwm250xMZxttC36RP4XGxEETVfcMv+z3IzrZwaEHQZ8zfX6X0POIcHNnk4tqQI3X5jyHwVK8Te HNXXjl1J5xWqqcPxhaj0QlhuMYI0Bhtid9wGdqPu2raktAHqHHCbNjIrpF7Ca+uoWcGyzx3Y5d2F dnXwdAKwOMh1nJM3jDnRMvmIf4Y8muV0zAIF3BeFlPQWownh9nthi1RttV5HByjbh7uDKgwK4Ue3 jKCn/Q6EAOEvBmo1qZcMn7qbhd4D37uYddSgcmgeYS6ZjtAcNzOgFIx25Z27jzIsRh0Vad4tp41v gcPFXcpTwsqBBEwlM43oVTf99gCgp9SP78Cgl0Za1Q24tr5bO+x/s5Rl6TmwoxMSS5gRBda4DIdf 5Hj9dE3n1HkKxt87baRv+ftqFipRBOUka3LC9DsyPncR3/jg7tk0iEgpCXkMlwrPSiaza5w0rN6Z 9P3XpQ3xQJlcTO/Kjo9fz+v4sCIQXwItgp8RcPka+Q0jIBAxGg1ADMM8x++HrzXXtQuFiWiXtN4/ RV4lLOEzcxiSf9J7ZH56LvmY15liRVcm5CasY12GfHARi1WCdWdkubWy3COr5WNMkMjpw/PsdkT6 fTH+c+HgfJojqGO0PmuO4WjQcGdV7N2BVJ8p0qhOZvwwGmLaTIIlBh4r14oSXLrrGVr+SQqW/wuX jx3jCJ74amIDJeJx8HXTvfwnT4BTcozA7ih6Ctw+Dynti6finciRA0Utcew+4rFqc3TUiuMcOk9C D53j69uumvF0j1nnylVCuP75sB6uVm2Irn1OM3b+zKeCySZi4TF1fUlDiVBnkjIXkKXCNYHLsSh8 YWn1J5Z6/E8D9p0BBMXnk7okSgn+6BWRovhcJkYym+KidMET+HQC8kIfxM84ceMNZ5z9UkWndRwx l8ovP8ZuzjptRG2GootSLKlels62BSP0h4NCCoJhbNEnWfiYcAiVD+hUWdP6mCYb0MtTD4nJtgsi ZHXbQXQkhv8SRH0YnqGy+gj2yz0tQVIrV8LsFQzJ8V8gqY64vbsNFOEApl5yrqoHLCnRvpoSYS1K eTJeySNVxlvM4YUIoQpshfTuLxzzogLL8JDx9CZtg45LEcSER1VPR+7oDV342VJCsqkdqbDdzPAD 75Dbw+IqQ433O6UuQRYXTz3UETiLBQ7KPZtkZ3iELoaVFwxXWyFwd1MZ/CECGWb3UmK6N6QDuCSl /WnvfDCKA/xM/U9yHmzXL1MRD7Yce3Pt/qV0Ss3B2Ter7yQ/QtrSgA85ufZB/rlxXsvxRBNJ6BXS DiUV/CYU13OdzopYRDRdEUcMRqgfLmBk+h/LPNjAlc7WO0ogR4l3pUZnKCJxmwzJpf8oeVfCER/u xncsY8N8QjZUjCmsJqOgBki8DS+NZCHhbcfmb4OooVFf/0b2ZZv7z1JnAykfic6Lx4MfwFald/ok +S5WGdqRC56fHu/+dvAJ5xbQ/hpa9JX6BZG5iHixGIW9WWCOL+aP02ud4xpmzVSK0SDidWWYVJWX 50WwKzDlPpSFFfQM5CEKb6ffVqnTvcyx84anXLDdAtUEm/gGzq6sqCV3Rzn9hoRBkcPzIwXYBGSR ++yzsZ72tVX7hQDqOCJwHkq8yWYVYNCUrTueTDW2DyOsx/+F7s+78Tw4dp3ERZZrVNSWN6NPyXy1 XKwvIjCIuAhpFh8ADDzkO0DajBWdD4DnLYPQAYh0dUlwMrnQxcF5BBx5X4acdiadEkLpGsmlQZxF 7uVZ9VSrSRnB0DoNGLjtJw9YL2ioEoZP49djioeXCLk3Ac8YntMYlKC5Z8iSB+EmFjIQTFKxVm4Y Kq+aRBxkEIAT2MK0H29RWYgYewAaIJxqyjHf2eQe/Y4mug3sTOM1rLiCvsLnEiINbRafDIQwfSEt E0/rMp6Yc0PvzQ8VabI4DW4bWW72UpROe/ktL32LeU0c/8EaTWJlRniAvg9Y8WAJ0u83UFN3nVo2 HvPvQ9wOq+w90mLsxinnNRuuO7hC3sDAnpTiBtoB0hdcJCwYFy9YVMvyPaE05YXi+94z4OPYwiNZ 2EzLSuII80XjNaOOBskzlJRWlyaweJrhleYpydsCyEvQV+4mAyjqR6doGsanaHqVUMinKE7L7Of3 8/EXeH5eb13kn7hVNsnxmtpuck1oufPBMP0k3HeGrPpv228FKOfdC5JGKnPUMMGM89w1mpg0yXLa l0ynXMlWoWVyQVBsFEy/WvCTJZi/LemCGxlvsTNZKSryF3pCqx9A+7ATiBppqIIC9yWy2NVmZfHC ZaBniRRKGMHINEZxunYcnONqj9D5ILDPeIE0QqllRHkCA8+7PIhldDZjj90JyxAFuLvlYBaxPd89 80YVq3pb2thhnctRVKKsavQMvSSA3dWuEPlfAUxC3oogDvYeTsmnIpW+96y6/wbyUHRHf/C3+KvN ecMX4HX/Z2qWXM4yZf1xfo82EFF2qyNSD24ASHdnmmpm9LMgK/HFxrBkwKneZgUrqyHVEZ079AeX xEGfdxnOdxAAzPZdbtUkb21EtHmzC3dAmE+J2AwCj4Nqn0CiFPosZETBa8kImgFdYevPkJ7fiBKs A2QqgTRTIv2EL2i2WbvuznNYGJUqSAj0Udw9LIniXK9SJbs8E3j111GzpD5L1buU8rZzMtFL/V3K SJmYWrkD8MR6eZ7NV7v68sIrjKsmM89CPO9xjtzI0GDE3skt1TQWCJ62RFzWqJKWD6GsYUC4UvAj gEon06HK6MhyISxIlWpmtCRzqN/XyChx3a5MgKAbJOdbmnY0Y34d3NhCgdQ8yIkGTpZ0ZS09a0aq n2MojbazkgKl+5e0CJM1RnFvAVH71Xaj7J9FB6ulZTOJz+cpHMFZ0dwoeCXAvBfPKoz7ng0I+OoW OAfLEs4B9xbWlvfmII+g+FNd9nqg2z+nd22cGCqeONgWeI6YhP0l1Hzp1merIdAwiPGn6ekKkxRy H0Ulxk9Ummph9Npg/SWiIvwuMizR3BFItS5RE8n4C/5ZZEVGd1ktJg0M9XDeWdWVsyFzEeswMmSK 3AYYbpOxALUfPcHQXuZ/+Z0bF7JZ+qgljBiLdoE/drQznlbbNKMVG6bKL73rEZ4qoglFtWa8vCsm BSaT2M9x29E4+sN5iUqCWJHBwV6ARtOXpe2lvsYt0ov4kFvLRDPVuKAayccTGsyUNFlsPdz0OSOD SuCz7bVlcLw3fL6Z9UDFztWMHC2beOkorH6DuVjMOaLcapXk9HVCbw8lAXhHm8hZ4WD+X6NFDZ7m /4JFTXPJL1Hy95AF/3o2ONmG8mVLoYsM0d//DJzliQp3WdeW6OjUT1og89N9DK4umYKdfqC5G6Bj fpXqmXaiYzTE8YL+Q/juy6ODtmkppB15uIR2nvCpd4dJXxYkzOPSyPELwD4aOYZlWE+HRF8HDElP OlWYus81AkythAYt0cRV1f34zMS4DgJiqg8l+Umj72LPWIMmT5XnvGoDnZT5g0YaE1WM3pRV41s6 GQbHrRKhL/3ECJiy3t1ufaDSZMgduwxwc1dTI4u1Eakts0rj8XEU+o54doqxiGJPAlqElKaN45jD /upglzlrgTODhhQlJyZsfr2WMfNNhoMcZanZQSH3Y7MwUOO9s487N3wyEafe3MvtLJlhHnhDkg3s trOgkAQZX3d+C+rIwtjpkeV2OrKfUvJJ7m2nyhaZkDnLIblAfTK0LHtT6Rwzzhp+Utgy4egqOdKB 2lYWTLmVn3gLHI9pkylmj4UUwzLLhWDI9FLY6tsRqQkTMgbiLfmQNzcABL1SNWGgNp8GB18BMhYJ r/D59HUCfrcPvsddpwC/yHnAgqaOpHwFUmDHwWyUSf3vH4F1nUL3Y3tXXvaRgU3BYgJArqeYzpAj Z34dIjmSxWtPJVxBLVmK1jABhE/fLRvWUKgojogPD2Ph8IIxtMyiPg+eaJwfZP77r/boYneBDFX5 mE4CsY5NcCv613GmEzDNyxbpxZljv5z35xW5baKndqVSJ6YP/DDW7pWfFS4isBF5dwn1hcVUp/fm P4oHrBvua7fVTQc43WL2KrwYWVcCTI/v9bJbt1SNOeIqbD9knbcLEIW4O9shWaMkLeWbVFuTMpdi 4kBnv7ZmdvxWXzIqOWGe7G90+p3EtQf2DZHeeN7U9f4Z33WDNhMvH4lK/J756KnTCG3vLGzg80av T/+jX+T8iGOIto6I1PFrbCAjF6LGH68dYLc3UgOpHejAWDv6fYTJ/FBFjRkuwqb9XGcvFD4QlbYG TSsy4NN8S60musg2FWOc/MNnEFZtJhKFDFx34Yj9xSo9sEEkcNKPpUCAf3QuE13mAqjWQ9zyWwQE xeWhTpVbVfN71WbHjx7UgZ0kECyiieop9FpA+azgkXSt/520JkPkaTgB1P4Tp3dKbhSt9BUJAsXo 1y79ciOcdVcm+xkQYfnWmSc/yedDqRzIlsbXm0tkqqFCGkStXQVSJr+1WNf20k/+JgCWKPx7998I dlDItfXjQ/aWIDLlPdAiCQYL44A+2IzYMNr8FTfOwRm+mWPjE6pzK+BcLotSWD3v4kwVvi7D09B+ 67l/bSADr4/NYlZwIoytHmUS2R3P07zgBdEKI81AcGAH9PNpOFDhmzdwyfFYKiIPh3QhaqMo8tu1 FtlFQi1RXx1W/EaUnCbrTvHvirvAIai+25d8f6U6M5HwDtH2wdAZvbjhtywTkAG5POWg4o1nnihv 1SC/53rb1bPOAl3Dg0gJ2I89rNf8EUIEpXNhbn4WiphXBF3ct3jNpF/gQCthrLfKlF+9+tfbBD61 /MD+M4FvHNpTWbPpWXjQQWCOLZH9Do3OsthqxWy7+IDJhxLSQaYkSQ/Rb7JYMZWaOGOJU4WD/iFG rj99LU12VZV0S6lsIgnTlzjPyV3DhrxxInDP+lF4T+u8UhbhzUcFfbBG0RFDKsvWGxIkCXQhF+Rc xU8kNXQ10xTUZyo2LZEe2dYM2fKLU/6EVWbIzL4I6NdERh3T78LXgNjs95onfMyatZLsoQGJJbDA BrInmJaQPRzpoQCY37BTPRwNf7qUj0qtV4KERVwZrmVK1Px+MAKcadOmazndWOoPfFUzLvZMwfx7 hvlV5PGKmSpCeCE2x49qlnxteA2T/le3hq6jp4cr3OFFf62rAo4+16sg+zHiVEWCxnU24Wknpi49 lbsyzuWc0axSsnxfV78dryzmNnz4HZtZRHH6uF+s30TwZDPpSaYUQdVD3XHqxgzp4rzSQ7h+0kvs WnUKFT7HTFObo7l+Jx0wVHjHL33rUvGRlusWmBuqUtpbFo6d4Q99uqkP31xbruO2dGrWBpqCsxNc f5MYUuvRUDH4qfwFPefvqQFW0lnKaE3OrNamgzewyPz2jR4UA9umvIHUAg2Z0fLgFVlgernJo2A5 yjaz0uyRpKTvJwXI3MwG59NW6eYN5h4VnU3b/C+/bMlhzQfjR3fIgLJu+ggtXkk+a0EO4tzFDiRO clrrTzF2xoNV7IecEFGuLXes8nI5pHCQV3fSI90Hj0HnPg20rDNCI0YC5W+i7UlfNoqgJGZ3h6vK 90fPDfWj62n6nNYjyt/jVaOy2LSEEM64EyohiB0h2fo66D3iSXpqNzw/mVmuGS2BBIOWIXa5GKWC phAhH8iivwEEr+wmCy8Dayolapu86D1v77CZAfb4YSR7l3pSwcsH3DCdD+d1D2eFWEuzoTgPPqce UjVMxJlopVMMXb1BqLVko6/qtPX2U5Bw9j1b/qjD9ZIvQxG7D3DJKYJrVIQZqg16g8I8gANSXgX8 akTh9ZA3xzk4su3IqkWbylpqF6pjiXl09ZiwmS9ZujbApOHDna/ojBHtxDVul/M5iy5eBDTMVitq qIzd5DHx1gw8EcbK8LswBg8T11B7Hs4UQ/ByJzC7SIas+6MpspU8Rtsigm+Ixsz1rVfFwz9t5VTQ kJsEQ5IZrGtfrJQIE8sbohJFwtXpjHLPfZWeWNh0cq/w6ya6L6Bvcp0JfPFlXLxP0Eu6vcbPSngP hixr59TUQJD7om6Rb55PCwO4HrXYf014E/G8I/8lGI5q0DbPl2MVe48I4nWAMkqJotqcyL8WAU+u PZS1+w3mgpoWkPHHehveAkycJZu0kuXDsRlbZH+MK3uyt5f2XU9gaFWuSfyhYSuumATMFoW7dqZt mMZkRyC3u5VIhQwpVO2I2wuMrr+RY4TLyd98t5SQo8YMXYnsNRS4ClSQRDd8BOSfnRcR83Dc/iWv lSZGpTvounbVjG6D9fqYEry0a2RpfADaXgjW54sR9oIGvgbRwHR8syj2RzcE1xXNCjingcbTdCEB Y3HeONRR737pprBWz52106sgA3894Q+xJ1VQgGqVsQTgYIrp/B4KJaPeSYGL9lWtS38BDDzMMDTi O/N1wD681fUXCL+bs03OE+ArzdMrjB0rzDyoo2RDv78gXtPQJYka9na+Qi0tYzWWUhDofcMvZ7Bb GgvoQ5BJ+jVo4LNBcik+FZd2Cy1xqdhElOeADAYgfUBNpxPEnQDYubEIeXq400VKn49ql/XgUx1R 4O3UrRO4DpxWts2Utr0VUNKSJRVFcoajrCI2xtYvPRlFEN56k2lEWUEigFXgt1RDdSxfPcr2j/VL Jh/2vxPZfk7zjOdEMfIPWTcMcpO5rVf1DszI/ngZ0Dios+0oqs3NASrbVjXJWVRCaUC1IT/2Z2Vs qQp7yhwzYCUNU2NfR50DclhBcITyFedA4E6zYalzDiDp477yhNUm33f8Drw+RADTl+Msgt8BzOve dKYQ+xxAF9BJvomFLtm2mjR1lFiLW4FoAQmyzMLNoMw3biJL9a7WgtRrbkNWxrYMMIKy9JncRyGX VsfpvuiV/YZ9g8bpqM7+HNH/dXWTgN58NMTU6dGLIqvywbMfGtQMg+uA4vMFwBVdWh1xWU6eAwIQ sIiVwlzzgm1gGwPZKU8IHriWf4+O/z6AxZ3XZTJZGkiOol+YoY3S7y9WvoS3INHIxJidjejigAn2 Nvq3sUYfHvZzEjEKEsyiAtVuix1ILAVINOgT1VZqgjgoDNz6hplPM2IEBiryWhdXYXTINpGfWudX lwJpIk61fBpo72GGLOQA2TRiLEmRRAEQDiAUtXWUyx3Tw1m5wjy+A0yy2ikBxNE+QKKAg25fiVwj bD8yjp9h6MOB7fMireIl+NWTAzFclrOA0jXu80soPYLyCz7LSptwrjSRPFpB7aMpp4GD1HgZIN01 WS31DBTw6h0PkjsGXzAaI9OKp17vNk9opGp+JAH6EKMADxcmxMfrhV2PGOUsVg25KOUlHrjgaPiQ 2KILjkoqP+ba0ecq8Yn55Iim4iEgTjFIWtR5Iva9W6y55pJWu7grwefnw+n83e2tiu08aD1j34d7 cSD8kozAzjf9sf6SneUGLlH8SbynLKGUBF/9+NJ+DejprPlbUmYN9ip75FCXShm6/5GF4r+4F23g SRxRsy7byKkmuOUc6lI3sur2snCxzapmgBm0lDXRWDB71WBda7j7WDO4j6KkYgHX7qjfQQ5YISn8 XT07a4cMmvarHb6ZYI1f8NhmsYCBxeopvtKvLl98q8PK3kVsuw3eHEUKjFSB0OtUwEDr79vRODgN LgyqDlS60lELyp8XXgihzY+f+V8j9XSkR5P7agk7PkTiVDV4BD8mSRlnm/b2g4om8S8qhX1bVIOK 6Lkra6DocGgyq0N1lRDDgJRkke/VvEMapqtlpGkKW0ZwXOJmt9g/mpV3OnHhhuLZC/m5TgVwMAJ0 IfE7jOZpJnYCqqBSLtiTzu3Aeuq/L2Jl6EoIUCuRcr1VKUwQbJa+K4YJetX46zI5GsstxcViCVRu vQlyKiszHhmXYY0AcNSQDozv1oiTdRhuaK/unGH1xtEVQKO0eSHMtDiJXlKmvZZpAsbd0ZLh584H 3YLCEgRT239/JjQ/xayd9sqqBvFe0GKKs1dKKFS9B8qqmaY3Alq1gEvwv/kg3xw1RZKYBl1Xw/ea neezqM6vIKRJVYAPB96jY3y/sCdW6NuQoVeP8VsTVWzmzmOMwLveccux2EocdkU6sUe0aB6V7FNN m1bQncePXZPz79LvKQ52HuFOBjQSG4JbetqpIGe0L1iH4PIJnVrFPR2vOIpirKgSfcTvsfieWFIq 8sbtNOAd4cD3SKjc4R7LRZf1oatNti0DmAu1Wb+5FNZwRvOBkUnbFGAhZ8WzvOWE5TAt2MnLTdQq dzJzx4RLdpY9W3toXagJGO5wEUxuogz66aaJ7QF0i4ukBqI55zvFbBmfAS0+Ss7A75M5W531rDwc MDkZHrjERtFExuP7Jqef1qVQXKWZkM2jCVtaK8AMxYZNti+3mDeH5iF3tzM+FUxcKRqsV5xS9Ao9 vMUsG/egWsVwZ7yBOFihFjesg0B3t9p1JdlLcm7cfQLF/0WG0Q8vYrQVwmyze11vyJDFxc4i8Kum U+y9folALr5aTnfq0SYdq0X3vSAofk//+34/yvmX6VFEgbGg2/UKx1SWfMxLujA6F7JKHCD6sRri tUEd72t5GTrVVXZSB/W/cJ81INkVCQSBUuu1npzB338S+kqvaSpTYaL6tylX7Xm5rKmMjw4BCajV zUTfCjyhJ3gHSmJS/ZRp8kQO0P9vE9XcVfh9jP4bpDbHhOPc+sD9EYWqcucedKPrOQm3aO136xL1 l+xqu3mVjB5EbuO9bwfdZymycxlwZrf5LFoXvI4r94DfJGFiUT20HlbDOdeQq5bU6qRUyBmdBJ4y x76F1SND1eyGUFbUegtC4dCCohj0TMFvCH6iWmZHE3njO8ce8UMS//2I+PLZPBErVLri2lQ7K8bZ Nhp0HU5QDug1OcMHgtZrDB1Wy9jRQVZgLn0q2g9gQUGR28LX5k2B5i5CeqWrWDsOyp//zllm3ocK P15R6Wl0wQTMN+zdrCKsley0DZi7ebPhwBX4wB+Xqsiz97335QR2gtZUuoBQPuCS95hnoAGc+meX +sTidqdLncXXzVnxnj3LwJAi2GmqlizZhQuoaW6evrtuDFRnJ9+VzAI7LAmfPsWcv0gQ4xLjT0J0 QfRCknrxEAczPp39jvT7CDU8bagHJ7Sx4yzArBTdUlwR6sCE2/7FalNwpLLXlBHgjbv/58FSjDZL QDDVmONC7CZMwZ066SgWKltncYSF1uP0L4SCPkZm/vfqoMY3mpDyqrKdXrBVHI3aNTEBDHW/WnuX mGyMcuW+qq32IQkgbfwMY56OXo9KfwaoWP7bh1glwC5APoQZYDMu1SlELteYEa7V45lEE1/C2Zzv kZOWYHN3OM1IzcjxnqniC9iLR9tW1liWov7/N6EHJHAQi//Zea8WfYsjJy+FkDy2MS9Lm3Tg4mWn HaXBS4D5kUwCVMJGPKQi8+Fr7IdZZ8ObC6ND3MHTImOHZIgyjpfEz3GmmdNwsQFnCdzzEDpkjwX7 paSy8Hbycfy9UaOiAyjowgXpB61VXHZxNuX3Tegg9s7qGeO4q2djVN5TQnYzPJ1grkasBq8vE0LR 3S4QEDl6YXfJVgoBO+Ehdh4wgdvSwlttpxiAwuP0yLgd8ODTDjQoY2BwJbzz4Wnc4Mak7NVHltX3 etbUJQDsU+1YYw4G98GXkxZWWYOH33PaGikfN2HgWzAUycKsRQCQbBYbp/fcIdq2+827opYGEYRw xsT2MBdmEJjRkPjcDkIz8qXufTRBfiwFJsd6v4D6mOhQJPCpvafR4ta3waHOpIgeOusUb4M1Ap+L HqZC/49T8fUKcARlp+C6+4HEgRkS/k2wfAfgov4TO0OJdIiORh0Y2QeCJw+k+qSRh9toHonl4ZzX 9VPFvxRH34R3C8K+0U+yziu5wiphH2l8c/WuiZeKhHiaA8slfhbPmepYKrEEbBjC5DYXknhcnba2 TbaBSde+NTziIq5vLhEgV52qtEuGv/TrRnmPiy2V6e4jHH78wZSvhgrAPsBblTReZtzNPybPlhy5 R4oUk7PxbnNtyjg4DDY7zm17is7zuKH/5J8NHcDvNrAauveVRMw1V39t7JPdrxE/IqTFBOIo5wJD slPFasp6j093DVxgIWxRxDUiHm2KUMYm2/e6eB3IylWsHB6Xn18lcgvxETbzYnRym42AoQfl8m6Y ulqIARTYqI7PYc7DmPk/hjIZxBKiT6Xg6WiX6GO/ibSP+ftKCohceCXKlTyKQuI17BxVKZUINYUB dXxtm68O8e3S92/RrIqWTopRzlFRiYdRDUFdYSxT2wO0y+hha6ZgLL8SasQRaXnuY/guN3V1baiX +BCP86Cf1aLqffTHjjpQ8ECKxJT++MreGlQ+33bd20ax22xI3siPTPTckAFFRitiGtl9cS79cFBe H+RO+bTIiHfDVg8zSX6ROVplwi9xp/J9PEqW1I4XCYhiLiOK454spjpBpbCD5xjJx/ZcwWmwGWDE r9+eJiIvKgGfih0BIUMBmjwjN7xyD6SRAoZRp8AD+66Rjzfyleb9B6uOXjcz8dMfBGCnpVfzcaiK Ni2rem1acASgXfq2zDdMTrmEM1VqwVIvGGFTABhU2sX0DIN2cSac0HU7Z5SWoSEHjT+1ekX+OlqC j4jRNS3jFus5wKrcPRaVZ70HSkNeCfjJYDDR94DIj19kQFp7eu+pLwld4OaTlon8sQZ6Wqo29Ej/ H2aqzmsAWvsjHw9SmmIC0zfo01k5nWfY9SVsu8AFeXhoY/zybFHeumBKH6IHpTZXj6rPlf+i1Pl9 Lv5L/Jp0laQxu+2g/wxM/J04VmRCNLXJHOWtI6tJ0u11kjqcyQu4E6+Lm8FzRXMmtTMC+tQdnUsg 7kyk2ycl+3BNlp9K320i+WN2kua73+ns5gZ1jlLuCuswBvBwG24WLwkzxiM2oVSNsfHtAUk6ekch 0jw2zNmMX5VJUkuwkK6jS4zkymjSDv+nGZJPwWjgBzdpyxt3FeXb1rSBhnvmL3EVr98l0jerNcVI gQRI1o1I4YXc//lNwr1WtbEVms3PLhxSTBFFrsLAwmS61ML3jRzfvWOZCKsmN7Y0+Q0RurDXyNzh /mx7ebFczy4tIPUTzsq/sbiWwMcU5Z/e4l8ESqMSmOXwhNGt9mnGkygGIGVdPwTKNAk7xGoZpAqi RpATmbxlmhHjHrCz5B2eoIBxYI83U/+fcNZV5WpJ2JV37gDPgRUGGPkYt4AIFjF7aXERzmAP0ES6 k0pOyZ9kulvGNd7IW5yPrwf0XbW0FCF7kKsK70F8zLnj+O0J1nkbvwPPRzvxNEqEX1Hj/10OpfYA r7t/euZefhh0aVdsVrzK9SCqGEt7XVJ9JAM6qgkyKVDs5hbsX9hQjWsLFnlfLyrF5xrE+oapIhJT rb7fcahn7QefA8wLfW+yfVTFtFE4ju8Ejwvr7dTlQvqCKe5+dZk70dDb0F1D1RVssI1spp8XfOGg FEx+SSVb0GVwcdTZksyvJvNrszpDaKO/ahlYXiY4JR6nlr8qdtoD78NEWp5P3r8NGMc0WlZ9FEqB 9Gc8UF3EPG+5tMn7MTTg/f1GCiOvnQ/eEl09Z31EXarAlY46iJfDgC8xszIcB8gkHFMKlBf4AELO UOD2vsWO8plVZiReHFNgUiRGUDPMb1za2WZNSQArIe8YLFMTKxHkiGdd5Yx+kmuhtDz4Ki11C7Fb TzP7wtJv9CZY8aG1fL8V9uzrQAujE0yt5yWFVjn8s80CEUOP09rHFxQXG9SFat8etY7s1MeJJPbY x3YUJufDQfzU3TkrMymz1F+C6yi8EUk6i0P7rW9B5HpSH7amaVXzAXr86yg61kaZBBLl85To1UpA 1lNK7Rmc1PJmvtBZwXPmYlhFBbSFwmRPfkcWbp2+awbJhf/r84h8jtRb6LhDzBat4QBHQryseJT4 J7DnC5+0i+LBjoJWabQZElHkuDZuwix3I3gG1KEmi5OzEm+5jhWf/avVQGTJ3yhTZGuoIUhKm1JS 9f0N/m0vpxckml21Px2wF1oGH/AYMC4I/GAO1ZCFN7tOPqbMGpUbfn/NY5ufpJCLDmLWv57h42En KfYtZqZB31a8tXHZkkfP5vJ7sSVPHJGf3h8J5g+U/iM6jglQNlVBI0NYh3tYZni4DQcYdCPi76iR qwxNxd6qjyKsvWyZ43V3ESJAP6l+a6cgqoJDxj7dN75TCA8pCchYL1epGOTgxrxBJnjgstixi9Ic 1hMk/DZtnTfo0slLUveKGSlg9jxFLCFFv6KnCaVFdXea1XZLRTeOVOK5r4c6SA8XUjDDefclKRSz jFo4oE5rezDHRtZ/jGkOn0KEvFrSn/knrE0ObWjM/jzY89naCulkqdjiYKTW19pnY4NX0YIZFEgD tJcjOzXWwNI/YPNuu8ei5PxpNo9Awaznj8+0lbFiH0VkIflLfPLi3tm0K1zurrzRL/wjyuBCQPAI EKe59YhIAxDwIE4IOT8gl7PzzBRUVi/nhDKWx6p6p4yXyOIWDGcPvQUJVPfUrKwzzZwzeDh0fN/C q9p52elerz1zFI/kdEXM43RBqLyuq1vT/Ilj6OVlGZjUxESKKJQ0m13HfJwcEq06EUOXlQ/e/CdY 1icDswqePTSwu3fBfO7G3uFGsZDNCgKfnuKzpF0bgpVeOKoCKdS8bRROKW7uOj0xIOwzzfgsKTLs gO/paOunGmRkal3HMabJRbnNd0iumZMgf+YzS9WuPGowDlpn8yVxrqFBou/oRb+PBl6csFkvl81q RG4p3jWHF9HfHKWDZX6qgYOJloVERbnStMZ2+m1hVR3RZlQfPLzNhSjOpPASsZcJ+agnQH92eKTB Zyo1KQmlQIGclzSNjligg03SFkpbJ/ziXXv3FFDyBHzEv+MaBZbrwUzFPbLP8Em6FhKRKG3s1Nns NIuEUGbT8CkZE2hWMMDIylXkluH/AlUU1wPH6Nw7zamUePM5graqxH3YGwxQJ2zqxxiZETGD3fI1 ILobkZjyE3dzF8s2b5VEaSLJTV6qbvTtom0Mog2VMcQgYq8ZvQp1+q90Aw0eZFx6SPgXU8v0UkJ1 LoNUVc3fpwcXJ6sxxJ7a5Pyr3BtZbcM6+BHO0JTLb+EDmADSrU6qb0Cpr8gIXTNFBzW3CVb69qds GTbsZOif+PTyM4NBwIXa8xeB600DhaNaTeodBkg3X8HAomep83Uf8ysyZzYiovgKqmRTiCZf3+zi pP4uPQIVIM0tz3gYjrA0f3iVSAbFxOKF8HEJWk5Hjx7rffD6Jlk+xhIe4g9oH1ZXFi1+7nCKuzWi YkguLqEWn1SCJOd/BVJlhisJEWD71m+rtRoZ1am+8ZlwMA5zLsS3DggWTcUmwp5i5NxiVzPYDPIL mkZTmdKBoY99+FaSc+AuuqNcMoJ15IbHjpoMslLJF3GYqBK0o2+6NOouwXPVm5/oZPavt4htmHpB kT8kVuw9hOfsoicYCWA5zrum6RNoEXIE5/ugFFZJYjPjcpEsYnMIUorEinliPGSGPkJ9PyE2dqbn 5hmGPNswb1RTOhhpUhDDy/JhWFisrhBfWxl3ts+9hL7vKhTdW0Mfz+vHY87rXnjAjeVeUOipu8nv 7CT3CTN3WwpAZ2zMJBEo0WLNxXR5Zh149R6YFkI23gEEiR0se4F3KRQ+Pu+SkK05BehNzNkl052y RHiRn9v/cQq4CzN76QsG1hDehOWGjAWwdECiUu6jMun6IO3jRL/rdkNxz+B7GMI/1WM37KLjln1I nygmQ4OPFQABh5G4pxMSBeGM6HV839kPwz4DyszYdEqFmmx7gtqf4cheOaoBzH3WwdPY6lrkSKEu FuenPoQ+HPmuwFNTitVoYG98SwZZo5CUTQLOcfanL8Pebsw9Zx6q8V2s9sS2jHLaOOXxHhXMG0xo zYL5pjkEGQCYgi56wvWN0KnY1M2gdYvLXN2u5xgFE9CM7O+dtfy+X+BCTSgpDGlhL5ebmkRzApIL dBF128GXKsFhFBNY8G9Asdom3gTp4XccYUwknjALBax1xF9eYG4QixJzjPb4GcGgUKSjUHZa2KCb 0d3soNQRuLTvnnhJjxJKZ0SRuA5FSkqUSv/KYNaohcwJkXXbaa75zCx/6S1OKpqcdmCJcE+H3zgA PPon2jpsh55jeIBM+Ck5D6+et5pe0Qi55x+JoNtXwCWIPqw4cKsdFbrjKv6MAU5RHN35Gsrr0ciY DvyKGLVueJZa42bEig8QQZWWdd64ThckBUxpPMIysydsU3EN64AWAvUKDfh890kAEDV4MmL1tzS2 vZ/oCwiOTfZxpOD4FgUXvZh4X93grgjOaHZMNrEvtSmBz/1h3O0fuANo1lkAoQfhb32uOlla9yy/ KnFQkhrDyR9QLga3v9V+hN9fAjtJ4lI6U1Nn/vRpQs8ziEC1ieNyfR59m9H11w1Bi0mDPxcco+wh Yt6gNcS/G7lwqmxBdtNxiMPayTryH9AA6VuEUWeJdeSfCOCjncwrH+kDI08g12huFQJpJhlMBkmd FfXnmAEhlL7E7gVJcAiHTJnuq94X6FhRV9jXpXD9w7/Fk2fnG2OoW+xN3hNmMKjamLPyEVU7+DHu YBaXP2ngmSVyW9t9U58oxRT4x6FNDSJ+4tFk7QV/dvL01/6zSlpKuy/m4TI4/z8tWixJX4ERrp4R T9kJQHf0d1VDkadeKeE0Gdos0AYVuR9rkxdAw+zIPwkL8rkCCHLeycaDKNUVFZo3rCQaC3mXKpIv /DX3Z/tf28F2qM7/qNpAV6Pb7MScMrN684V5jATapSw448hIwVk2DPaaDMvyYiWWoj8oHm4RGYdj q+i4pASPpj7rija6XxXF/OSqpq/rZa2TpuGHA+xnxI+6z9mb4eNz+ZZLEi0DB9FU+hHSgRDCcxh/ Cor4UsE6+h5C+dglbY/nQZRMT6q7tNODLB55FNvXckcHp+wRCp6Ko0MkI13cwPKiUEfebFUhD4NN yYuIUBKaU2zb8686X3gWwUX9zOOK/5EhqRy6AIwlIkyvC3xwcy0jIAyZWLyygfyeoC1asHQUITVP oqm/kQZwkM7QuZhqPfb+dtTZBBLMOPXeyY7C4WIxVh29iwEvbb9szbSTIduhOC7oLlm1Nwvdr38O eimaCtnKCfa8pXLkyOeKMQJegdfUTb3HDCki52IdDMFjafr5uNyWdtvIddDgwblCKrJclVG1tZ9V TLwdFe9qMO+PNk/jEkQ35ZWGPifQLtUUugszwr7pKKvxHgcLpjou7scDPy6A4dKbMFXxHRmuCmjY nIyKlcUAoUly1WKNOZdAFYcC7Oy59VTVyPtUZjjLILCeYWFyK/c1Zj63rkQkiQ3ljaoRodRT6U3O Quv1v6Tit+gvWqb38ChDJpFwG/rxfRGpqeGvBZMF7h00yzrS7VK8i9bHoRiIsbI6WtR9kZLyshEJ ZR7HT1TN1LYZrce13NiuS3bIQgN4g2VefTNS5HRrL7yZ2Pe17xTyqC5MJravyOIp94O6/Y2/2Pc4 pdFE2ufPey9dQLrivy3++nKqy6Gs4xBHnCOpieP7xEGhj6874rGfI0nGAYr65k3aVMSPoHpVx4z+ /BLFp1C7AIQHtnJgUGlVOgk7Vp77GU0LOmzILPgWORDHU2OLbQabKXPTRukbkzS8RuvfviYCNC9S lj/EPOdgwKzQ9Bbib2LrIlKAHhCoP+i41qQXoV/iWxWJ3A8l5F/befnjyCNjmmWbBjyguVPZgPl/ 3VQ/UlLhpX9krDLxnYj3sLN8OrIOINtdesrGvap1R8puLlNI4THJOB++NoGL/k8Jukm1S1TEhYf5 Jg6rsUodw4fiB/3YNS4kN8xxjtyqjQns7ZllBe8umiPHaUdOWsP/bSpXwXGZm3EliD9E6nmTc5DT tdrmnp4Dn8eyFiKk1qRGzqeLWopUO57ZBMvNoCucNpU4jlNvUk/y2J11+FI/+HGRuBDiHMgvSSyb xUOOfTk5gsH+YKYIra3kBioy0MOuksHsDFWQDAsCtkHLpm1zhRGebdD32D+2ge0najbf7Gq1uRsB qCTxFkgrpeoBfLryRHnu1mfOqngI/0ytP57uFAKo69LOw2fH5+WYLJw1Ry2eCiLwvlbexiRQKA9e LvO8jfIxr9sMPL9bJxGh4wbJHc3asKy3dfsZmwFN5ZalOWbSN1yH4AbYG9dmzQuG300Zq3Yv3anR jBf6qC9UNJlGVj8O5i9k3fUdfUcm6O0xCEsw0rn6pqtRTsHud8Z/tY+aMbhbex2IsUBtsDyGgSvM SYfCbyDJrlKb5uXhFu19Pr2TQRC3gFeNjhastUZYVj/l/4cOQSZWtZBc0qLWvXqnI5Ixty4ggyMT A7Vh2BORRCv5FM2dZwZF4+eoruWMoJAv+5ZnwVGwTZIS/3Bg9EEUhEuiZY1PG0JRBtgdSQSH3Ujy l6bnww3+84HaeOoHNypXsLoROC+QulI/6f2SiQtT2FDDPtQxXEfUrD4Kx3lrvBUv9AGsFQk3v7Pw H+VKpgdAOUZCqnTpOxs56VsZQgRCWJVtXm0LYHYimM/2J1HJxK9hkzPPZ9zJdj7yigSfGcPxwBTW YW6xZCvf48SPHT39ZRY22LYssDMJRHsxnmdesikJhSddT9ps0kyQqvA3qVWuEuLuYt2auT8bBV3N 5aC1V2Po3gHdERU6RXzmxi231JyYkZkd20Kvds0EB4fo27OUu7nycmPGrYekH8xbVF9VUVUO3izX zwY1Hh0O/1UVAWabIxhcGPvRHkorDfuP62IvmKQ65VAtCWnGBUolQ8rpILaSWV9sumPb2fDqmrHr +zOhFSxv9Sds6PTOPNDdDCU8sw62VYjTs5pV5a7DZ9mwE+DUgQ09fDByqhCZQ7eEZ/lpfq7P0iRW AgNn0uzUWlY+oYzo8Z9mCwQK+ckY5Oux9l6pZJhfCYbVqZ9NKSQLttVZD5I8GnGJ9JH0K942fjaE qJZ9rMx9rDkYp/m4xgeP6ywjsWAK97DeFxuzJVSMjvlRPuv2YckFnReoforujP8M8s4W86AKum0I OMWU3FEYlfEjhccDePETxBJOQU1wljJuOQB9yXQw5e2tAaYJLQFJAdvfkwF2g2VLjW3vmTbx8e0B yXaBB5Do3i7bxB3vmCrMesuBVZeuXKtRu6dtGIQP9Wzd/kaVJYLOgB/ruNGhitymh7jf/GOxENMT vIiOVLlMmB36hkyhL2LhcZZayQl6UW+edJsR2jOzmc5eRk1e91oTuANYD9o3jo4beAnAMOdoXALn aRTizOlsEb2B/h+n3JxfXs6mS7tWhDSL/7n60DEbo2gsTa72WR6X3MUN5dzAW09vvlFE3hyE2qvT 0gv9CfTC1Ilp+j/c3GJX90zSD7V4VHM3VwijyLXYj5bkEV1Fmb8MTwFB6Mdk5V1nQJHRMH7GDzv6 8NMu6+6s9Es/fnN0SNw9UDMppnYmy+fao7B8kMeAtLF5fN8ppFFRYxoiz81FVliJjb/Ltlp2Knbu /w5elLKBi1bkZnlAForadjXSYaHcp4cIfLuwy4NRWzjf7fCsWWVvn6gsSBCpDzDXC+EHNjHp0D54 8ZHkMJVOpRXuQEUc1nMZgC83ENn+U0oYNPOoprnkzFY/Q+HQMmN4AJFCpPH/mLhkHRgTYm9KrReB +STfi6HIUFuCfWo0jNcGnZt0bn56JoWIBX6jVLYEvjMd+a2qK2awA2W9VafiwSPOb2i4SCb4KCI9 +X/dJTYH/el0d2RMxpTOkBcI62J8rzusqWprNJJoUvzbDftyTNU0/R0Vr9UY320OU4P1k4tHFPHo 2xZHsB/fUEdwV0sjHooZXYXeW0syLghDDVbhVrKUmCHTNCXaw27zphPyBLWwRvDESHdvpVY/orUM G0lIv8l1QRk0V2/CY5omAqT9u1OiDb6HyqPQ14enIr82yL3tQEaG2qmpc/qOD09DvTxU0B7uUpIK PfseiAG0K0Vtpn9OIlKfr5USFamniSA4lLDePcDnmor2ub+nxGOZZSRzIyRdVE2xBx03C4/hLNMM +9g14TJDgmAus9wvNeryT74I2jUIpubhN0vkG11L0DoLmHmx2n0spUX1yQV2I4SEPKUPRILHYjv1 nq/OyL5LEjEDGvl8ExHN+YGKFmiRCve+xlq6EZAz2FAUmCNv7eYi5GgN9o6e8uEhpXHEORt6atWl Cio//3A1QQB9sSAarEA3pkNmI7TLLIcO2f3jVKpUeAglVHvfSN8Ios8r2sLfBtzaC8n9CqdRUnwr +yQFLisJE1SBXlmkxIrKJ4+J3bpz6ZKELXsVQZDjG039RjkGO1Ji11lMFhn9T2tI/tX7mSbLQtYS SyiAV1eCNHWKl+Z6bDcCihXlQjCHqojz7BlS9xfTiIzzFPdNCKvsMyWwdwSzb72rUuMJdgMiarWg rbII/dZyG3qx/63cCwsmkp/5F+mx1foyk1tTjGHSCxnBYsWuIof9FgEj1ipWOGZJ6QGnQwkJLG89 DODW4/PvwJOMVlvcg0wdokEN0L1IekePoSFJSHs5xym5Gxv5C5EYzDxEHSSqWGppcmGZZ/jb7pmj 7CMIFoQxB83uXFiGR/GFrsuETU5B8QuB/5OSUEajA/oiVxHjSN7DSwHgW3TpiDhnIDOAEsY4x8Vi jXX387rZ/iXyr4bnQnZq7fTO4DwBOfrEz8CI4BbDCGI0qV1IohRPEDRhXEhB9drWJMHaL6Qvrsyv LoXvrkDwFGsg/iuccrB5VkONA9X4jV06Zs7Gcv0ILYGlYdjybrxAWHZPqHyEot+TOXhUbrZSFEqj g+sZ4qofolPLFRfwmnPUHCyXCi4jnfWP20vraDiR9/DiuTyAAC/awIO+0y1F4y/N0TX4Y3DQm3Mk k55rOmG4MWTyYy09k3ededgeCryT05ahLqAR2Y4FWRqMnUfpiGn8eo7izFO1KZebznvxbmRnucUc GZQgFW+t2B8Mmjqbz/9dLh0QiMzv6Vl9JY8K2X9CKnFEtiPu7vgGQW7xayK87Dc7aNlXCRt5PYJZ 5uIoEFxoPTAIJ+8YBTwU3t+DePBeMmXxQQ46F804ZaY2ERyLWeuqabNLdedqtkGIq1A8St3GNZui NrbRhg7IivRiw9PMONHY3Y6EtaA+HvlPOXJ5UP0exqxvpArpJQMb3JgLKQPvGPiecp9j4GE3btas 73pB5iYY+crQnC8wYpOyjTOw/aJRqXNEvWUX0cwKFc+zU6Ysf2tU45qinbWsde//iUHxVrv6rrFj TH6qYGZ0hyboBMWny+Q/1dHr0nhf1knjDcDJ+1Glrpi+ti24YD7naqqEEdSO4w47Czhag4cDArza /6bi1YL5INmRd8L3FJO2LkmR9mz3JqmG8EvicW4oUh2gTe0HpEAD2PwrXbLpGPTJ+o/DYs7CRboY NM6SJKEJ0m1UmttpvWaOCmug1DWpVJlHP5N0YELGFNp+fUu7eQRmQWDuOwI3+q7ReM7XGAqwXqdl gnoKbQ84V51KOWw2CKi5GizZy0vLsQEVpKBl73LtPsm/XWGE3k8wVu024maSJDbWFNHZDnpZWg5D w2DJ712NJn3cc7N+PCPDbymb4u8tiXY1vd1EeqhMjBign2e0svGvsSdbLeRx+6zzioEB3jciSSwJ pi1GqlFyP2RdLpZVdS34CMhHfDrngGIBP3zDpQdYP+43PMpi6UIVKfTcqArLe6T4dwmP9YRa6YB7 /+Q1O24VUcnghDYjQuDlaArCCinX9el71HdWcwTuKyNRSlAfgKQHimuxaBsuh5mPzl85zjYySj88 jHkTpW4+XyFn5FYwnR5odcoGAg+Iydw7Zj78cqYG5oLlMCpXmc1mpAxxRMLCjpV64N/IksCKAh+Q BQkbhBQWHb7D+v8sZeGqqw43CipFCrGbvd1RERZCU1rAdFZliCKB1c/JrvgmR6yNe0aun0lKplLf 2rNNhamaZ07wbdsr75Hourh/pCGiD9k11Ij9aOd6tErhj3ZoCRWB5Yu2RNfTGm8fFlRxMWYVdr7e AGmu+YNX7Cane+JivDi3Ax1xtnrbc1lOpw8Tp2MNEGaaAmcAjEk6r9MMbx1h+5h9qefNkas2lnuM S2IT0Q853peMrrdNPTPbXki153X3iYqP1rmGNN1YJnY5XQ0X0zEXGqYswkCGYc/Jy8RkFy+Rxppe VEglTLOXtElrvikXE4TM+VOK373ojRGrWFBhrNln3UZvy6pKJ+UHRVZWpWKQdZppy8rKv3IvP+CM 0mzbC5AtqDcvgO/ggPOji0328Hsr30pOM5r86HRM+cJSH/BLRt2C1gxlJuHrFODLnaxQObM/tZQb xrkv4Gl14/8xdgw3sNZJ+89nw7SfVnV82+ELvMaxF3x4AzUW5jGyoee1DjL3KKk+x8sKCtCgpdnO xibObp0zKzm+JRiHA2YMN8hfevxUi021pJx+d3Mp25GDWfVJmID9WgtLqZ6koXDuGEbH7ivIXWVg PvtBBU82YruyK11xWxG3ITTn5sYDrakfjXnEHJd12A0TRCepVmN7oXNEG5LA60hmBJNTd70T+U0B saFUFTU9JXVei2xlf6E9MnkyGYxXTblkfl0yBEHzB4s529SZRYbcejNmbSB8slWyi5FgdgOsYco3 QhqxRnSkvZADejMD5JdpE0fWZiQ90uhrsdJLb2eM+p03VSopbaBfoBBExsIeMuuVlQNOTWDTCZFU mdzpDrIFOHxzgM73vhkUm2pl7kDHneZFNwTtkEk5bHEJQU+mcEj57UWl+DsL30bo68jfK7lcb1Oc HdDc9+0A3yYUW90XiteGyQlKjGLQ68/Jc3vUuqzC1fX3jA/jrNSjQf/yn/g8OrcX2Nlsno3fZi+n WM8Q4G/V57oalLlqX3GO7pg4HOOWqmww6Yeq/yQ6N9Gs4wfnBsKYHWv96XWzsm03lY9E9nQRlUaO v6WYb5e+CRS5cAO983H3itLyfmL34uJpSJuS0VHezi1712mSHYvNn6t2ij6auZ8C9D3sUu8WrQeX lYI/73IkbRaQ/qOkiuL8IygetQG8vilRdeBIi9J2J6OXLcoaq8cKOELWh9NOHzBGjgNod/vIYuxQ SM1+hgqAngw2z+yDn9BGK2UeVuYuGkhajD/x5ISWZbBXN+PAhLVksbINndhQtQTdrW/N//9f0EnN LtH7nO170sVLG45Prfx8ZwtzI+oq1XP/6YMxN9Qk8byqCVtwDV+9R0dlm8LpCstZqtO2i/QRBFrA M0vofpe4FjIBEzxKJItuUX3MxAu4ZRfDCZDfP4exExGpFwvCldlpT2PJchve2I/zFxFejpLF4ttp 32XsRlVuOW2fNhBQ+5/iaW/xXXsjEn+80voNRWNH7gmjVJHdo+w7mZoJsIigMmKwvkdHjEAQPiYO izGkoIgzLqxsRgW/HmOzzb+FHo0aG/xdX5gCaF25mT7G9uk72KMUTv3Y2NpTOSXAdJTBsn8QM6IT VT0QvcH7kahnNw6BHIJ0mUzXAhVtjwhZRLCVTelIlJvfPZzbw76T+t+J/VKep6b/sALy2hwzuC8+ mBpxv7otlz1AoMddkkQVelK3/ysOR0Y/LjGd0gSa71VaTX30S+ydghEsrmaYPhsXFd5ygSav9BCq yWzhDPr50Ud1Ze0C/iPW++N28ZnlVHf0IAoEd6hNX28SEIzg56IlQiPKcnUCOQ2QXOT4hJeyBLkR Crbn0M2N3i1mbT4gdnKLnQ+yOQZqbRgScwzgpPJVQhJEdozdICDL0pEBZTq0ZRfWBWKLZooooFgk 77rtVGueLeZeqk1W7Ucj0tPOGNZ5TK75eiG7Z0I6fcJ2bL6tC4+NcE6sbwv+Gl6fIIt0vK4DqQ+E z2g2wZYsdv1bnDP9shw8EAS+ejrDeOFrEV8GNFCDRDgfmo8ALm0KoBFdk8S3UV2Rw+IZ672ZxUuV p9Ga8m5o22v38QmgR2ZGcO2qGX93WaqjyiRmTUDRLcIQI8bRzOWzWZ8fBmjs9wce1UtW0vgIus2y Io7v9k5iqNox1+1yjxPcl21rMa8Ol5Qxtw3AsOivaMJE/aTL/z+wyFFgB7g00jkUEGUXYUlvvep+ BX8PfBMPmVsJODglH7S1RM0B4AFInuFcdY/XxgRTGehKEblkGIUKQRtXanjQ6v6ilB/lNylB9jEH gdOfi41T4jPxNH3x0kfFDDqeCZA1/o0Gb5cA1PM8h1T3IzCvT2Czawd9qRY+fVNcST4ilmRNJbdg PxIhJp2CC+Ubtv27oNd3bl/f+HjSSzpgu1QTRHK0jh9r74Xv3JqLluU9zth+D/7FbvsiGdAjj4F5 zbdE2rtij0F4O7PPaNCo4V2jW3KTZ/tVNNbDeV/gjibwlWvxe6DMt+DHf2h7XcxEq9c1WhYM+rWR fJtqeeWeCqQdNQZHzWCEgAUoo86Vg0vaqEkzqvZ8CJOiFZ06ZZcFthnho+JaLwZxPUEyeKdHWOuX +3aqc8RfB0DgbnYY235mnLIDsX/YAn0dOldv6Zybg3cKVOBuQqCwL8EztwFZFZY7j0W9jjIrjObc KmL6/xQeOMJxuh6wuNRj/c8zrmxr3JKi37CjPYKGpvNqj4yr3ebrgB3BXkE5xp0iOaPrG2JEOelh 7IxQwxaWybccKr7L+wYSqA2p3+pr/5JrIohdE2Iy7s2krw4yJnHIufRD/KTtPF5nk4tVutofvoR0 ZSfWsgWke1pzyWmgxII4EmukDE7XhSk7k0cHCZOBQ6a8dgge4IfiLzC1TXMlV+F7O0zBRj77mvMm Rvg0/bqPCsxY1SWfLVeqmf8W6/0fT2yrUfWtR/ZQcFwv0brM/ScW9px4XNI45ecFrgrfbzcy6BM5 ve7vcyIdVeUrtAyAPERuXlp37sCt0V+Fj9Scuw472ISiLR6miTojfNj+s1xIvQb6t4SkFeRCLqLL 4A50r9CDwr2nT9pbSd4ksSxxl9fZGvq3iDj6Ij6RJz3mBrc7KJKcMRbKokg/V4/9lgCcKmP7Z+eX ba1qQ5CafeZ1v4jQ9x3Qk/OlXmE93Y0GsBdDSL/h/8S/gen9JRycW8rV1861d8hwRDxpTQD3tmEF NSUVytYEr7g1IEOt+/of2xuzP7Zr/4pJW2Ig7+8LJcYoiZZpojfNj4wwExC87hRYY1Uws2YBQt+d ZaHa4Gc1Yj/cW3mlL4LM0GQjA/8joEAdHOVN2vM1knCwCQJG4OS4a4EI3fAKLrV3epdpe88Dlt7Y WbYQU+p4MSTxH2qqYamT72u1a5LcPcnOVUDsqJEp8UwCOEq6LX8WXOWV4SOGiMY+BkLQb9kVLAjE E5fHO8/77edSkRa0SJmyCXpiLwUNmGa3IjN3HyYnt9uZF7j2rhr5PKSM7AZTUK7J9efKc//1ds/u NKLDCx29gJR6o+EeQa/lV/2Qxgbfc7ZZUAxhsRa9VA+J1MZ5EyP4a5RyVJ+fJ4f6tDvM5D92kWHB DKVyFSaxSiDxJIOlK/YMJjHyVJAXBviLbtxFFiKdKU84t0nsGU8YUwUjiFZIfw05OkjLYh5DcR3Z mt/959EmJ4/XfRFJwo9l8B7OPz+zpdwm9kGkn12nK3c0J9m64DygPShMzGsQ4OGWLQM/AROV2o2z Qx17O6eykc0Lo6UGEL4y8YDSu0iZl9eUq0k3kuzhxMINpl/JJ6cjiAkx9asFNum5+3091TKRkFad Q8miyk9UydnCzcZfsqQQQQriGjyQfyPW5bVbv3iDcO/cE8ipmOv6mt4ihEwp0op8MiCRuB2nUAkL cTr3SdrYFXBxWeQZ08wJrC1ab0B+penLtzE4RH3ojzsbYmae6NKmqnpovo3EBsr3h4oWy1C+Wk6I HDvN1ccouy3X/AqemPtZTrVh1kFy2QDYNWqRbWb4gudLAA32T0cl7iFnw/Dsqz9wQ1XisTa9koCJ Sjobuz7BIsIKTzFIbD2Q9UzkJt9zZQbnLk4ALJDLrHlXc3KQtfV+yI/ZRJMhx+ZUhM6HDEkXq8B6 d6kEsN8sNl1dhiE0LdGlqVoefoOIe0veCN/LebO2uLhu5Akryerkh1tscKb5m//kOeyYjCNJVLzy F0rT7DDNeyvo1176jVXggyLbfEoARyW1zVi20sebP7/hlpopdSuCpD65aRsJpbfL1viEieToiueo k3MChwkAT003bDq/5fxGnn6ldHAEZ/7EX/cVRXaeuqPTz2w1QWS3vHEXmMPs2kKR98qfKa1pWixD lwHUh/tumQETd5zQnNAvK2ld62ov0N9sLRO5lHiCxSXJnrJuI3QKgN/0uW/Oh9dNAFklJFXXgQHw Dg7xmqC7hmeHy+ySee0KOyLBGykasABMxesUKZEl+xdXMvykrD2p2VDTc9c3N/PbfqNWFe7PzCV0 2VAcOdrUT/156uiB1FKVVWmBx6e5v2sAibuz6Zi9M5ZlUpC/zyzezynlw68SeTncsUbuEdvWI/+l cXPQp5XC1Zbs15ITwkaN5yHFXvu0qUuF0c5ZcZUt30Y/AuUYRhIOl7yUb0VryCTNgxCjCl2uT1UO 3yVjKnE3vKuGbankXcg2b7s7+tB/KYxFFxxp/GBGARD4ymOD/lQDYX5t+6wThm4C0j5OYCUHoZPT pEyprauw7MU1yrEJPgewI9vhGt9iFQszSxmIjkL2lOjDXGc0jhB7MRCVmFFscc3/Kxi0prqw7OKn oz5hcEwn2OoFzgIaP6PTCQuTU3oYAt0y4R1XJhBg92OJXF//XFbRjGKzi4mef4rGUCOazsRWTDyJ 32iMWwV483Gh6SfPI1uGQU9OCOqVxIVlvvyE0yu6CBfyzT4pEcYLGyR6ez1sZu1DrpBzaKdMPjbs tGVIpJDw+8/2aV9LfA6yJFOs2u4HFcU0/muauUhu/w4fxXE+6DUahfms8/xARjq4UxaMTBnzh2T5 h2YDVvHR7ib46FDGyKN2MVAlXQyfWtE1H9OSR8wyz2det9gzztqG32TU7Y9wk3zKNUTOer84fVQN 7v9ImocqVKfJp/WAsAcCyR7p8ND4dVPnCP+cJxVPXxHF1IrYzS4vlRfpkJGvfg45uvlGGsCFUNQe wy57QsxwrOeD7zJ2prNdepoAX7I3KsgwB3RC3Cv5svmzd08k7tt7YwlHIa503Kg2RQh0RXg7kO/e wBEXyCQtKHx8FwArD9n/N/0/rIBEm0xcp85o8a1iBM2PqJRtT9TUl0p3fyX82sp/m/sOiYFx+h67 n4kkKuzOZfxClb3l3RsKCVNlwzl7gBAcTRTk2g5GqSJP6hErayDqrhHQJ7i0E8l2ULE3wg3QfAoQ klzq8MuCR42HNJkPI6TA9xrxwa21GgMJRKrXIa8thNKy+FABMCWOUizVZdPQTSeGWTL2RohYRZtI 6DlJFNncgbQctNv+kVolbgG2TYeaMrKm49QabPcib14XR4Oz3Sc02cCS+MzV05OdjRE3XqKez+b2 I0Fa1+3IpaNjIORQ/5enKg1ip7YCcUyR5SBylgiAx5u+7ByZkgTjGzuSYXpmzrGGEo7DwUnpWd5s DihpVdFNOOy5gYsCvwiQAWX0RzPmQqYlcCOsNf5Xx8OJN2V3yHxexuJsQu+4xD2g3sNImiE/L2eS AOPOUYL/ERcAHpoze3eRSh1lsYaX+AIIxk3gbfa06pMqDRsD41i5CYPmMgSvevH+d2YUaksYiPFF oB0JeZmSAC5RUMAGlB/5t0R70cw6W/7vuDA59TZ3oFQQPRR+p7rjfaSVu2p5RJAAKcKmx0xvFgv3 9nb74wgCV3E9LNdDjhGXmTYSvpUsteZChv1Z9LZakKbE1PnFcKW3qPvqYY2qhM5ESTUpSPCtdfOl Jz2JV54pw7V42yEQdIAMU8mY0qONabdSIAQnYtrgQucUuQvbHjN7WP1GmxSuDHSfwalMkpumsABu 5B/S/WcZ5GyP6LHylfCH3XJfnOrx2TBxRF9knqBSLxwpnizKx7ppKVM14UTO2X+Dr7b+/CXOneTi I/dM98xelNKgaRT6DrNuzc8yc+hviG3gyzFZOkHpmN3om4SXMWOnJhufAHkoJsLsTeDhI5/AmBMN 0GONSuxtchpys03l+omsz1eKJq5wuaeoWka4Xvz2v4koIHI6gQNRMOp6/kKtshzEujzIYOhp8hGV J/XsDpaDwp+hp4m2qYe/HJxne76foNmNQzLsZ3aJMJXhYymh7AesH+2xa42fyTeILkzf5q6SO6+w gE4VXN7P2+BI0nyd1rt/a5alLoYWolED2ztZXArwifJ5WoiFhRw2QvwdTPh6LbMC+KmKLyD4Fcyc SQxEiq3Ca17y7Ea5G9zK/xfERMjfEPfNRzrR4cMvQI4s7dYk5NrWVPCDaRytjMLC9MG1WIOqeHWz 8iUOzlZs014mOts9yL2bZY5HQEIEql2SGJwQPEDoyuB3IHY1L7yQSbGzdiYpkbc/rmPlbsHrr3CG j+Is2M1unptJSSVUFfOeQWxuNr8leJz+GrQJVXgM50E2ZEvK8sxnVIdKEW1VrQnwxiYHkKYNXzEV qc7FDbcwUKe89sgjV3rQ8qZdfH2GlvRbVNi/IvYwnbWt3GlCyA1QsLKlqbyPeu44+cBmbh/1OMfJ sq5uYj5C8SbJPlsP5JCMHLrK8BJOdqF/IWPXVLqaq0Gsfrv9qeVTJQp6bPX2kduy3Po0jhKT1U6Y J4i2XQ3X0nH6D3AA4FQ9AuSzJc/b5Rp1UtwD3nQRo21dCb5Sv7bzWTPPbEROGXshVxdW70zFN4lm aDMUhP8X8Ynf6kzUAhCqqoqXSuJyc2ja5pVHIY04yCSdcpotsetm90v9YPVqhI/X4qVDk0b67au6 3uXI2ogCN04mhaJEIhQrJlt+/Q+h8gMvQ5wesLI2cEmvqHSzHuWNSSwB68kJ0so+1fPwxdhdYuTO ZlQfhZVE6di0EEonVRR4v5Ar0FluKLEaO4ty2WKNGau1kmsa5aPhif8MbSfAwN9yAmbRhJTesdhq Pb3oMMlIWI9ltQvioqIPMPbRQvzwNoPgQMm8tx0iEN3TZdP28JPZy1UqvFnl88qGpwmCFVxLYGTl iT2cZ52jIoEi1fxt37RhGjdWZSxG5yxpsN+pz0Mn3uE5taJVeiuDmY4tU4Yh9LiuTzZdQJxL7sUb v6+FxKGIUL5b3mpuZmpGvEkQYWMhi7fcR8AffmIEGFlZ6GkWFa60JfuiLDLXYDKW3HD7U0tRXldQ v4A3rbZ3IEGwrj606wyec8TfZzGS7gCME706GAhgUL9z+1hUxATNjYHnuoMIwS3G4Nzm1Oz1LUWt /xcI+G58kILNz2jkXngYQVm+epn2PX0JE2nNrlFI8mOqBIGDxIwS4lwU8oi8xfW4Fw7tQmVA1bmM b8N+GSxYhQdUNzlYuy9W6VQAzChxaMdBJNJKNORY7UOYXE/X1JttPwn9JbdxmdQB19HJ4Kjbn+w0 AI5B51Ow9OeJh6imnIW9yoLl/TAR5Kl5B+2d1CVIkWv1yx6lcCHXWoFh4v+agXCRNk7pAvw+NBNR RGnNCd8zyUt3+2U/5/Py5yBMZJbGBz281EuSI6qjv/LdwhyQ8tCoqCT4Y0TTUMQgmzY6vh8f0o/H oxhlqHR2iE9xuwg/mawaSLblntwUMEBLWrVegI47OWgopT651QfKzNX1i6HqJi49XGH456lNz40k iPsRNtTYBCJWsEXwnDiaAPwq6agtDK6WKLTZ6IblPv8VgGRXq2+WGiXOe5CoZ46JPV0pbByQIieo 9FU5QlyOtWm31ZVDZJzMKu5ZQIxZhIsj9j2XwhA+4fjUW4oIH50WPbzxU8hmQl9NJp4ZtOzKAtC8 OSQIKJ4wYt5gPypaap+J9KFV60fNfLp8UU7CEr60Cl3DaXBP8Jl2dR+nT3EzdvU+fOJpi0QlRkPh e+AbFmuzR3Z492um1pBPEa52oeKCcGe6xO+Y5t8uOSfiIvvmi+oqU2/+cRJVaJc8wkUK2+v4Tg5Z 6mRQeut3iYoESp7o3aBxo3o4gryATE+dWMvVeZ/5OMgm1M3W7c+0nvVdMSzn1spwvhbXPbC67z5d UanHEicQe/6wbXqsYyTCtmV3VGOQT/Jvpvvz2TMaB42NaKmTh0hVwje4bGoUDE30n7IxvGDCB4O5 vniITZhjVqSdPBm3M+3VLDnlnU5NY4WB2oXGjGT3WQF5KF9vDHJ5SvG3GsLj/PxAX+jvKKm9DsLt A77MgcHe060iH+qIW4IaSPxRpiaSHuEDiMgaNBg/i2nj2ZdBcZYFZDfmLBVBcdSkI0GJ0nRjV346 CKQj6gWFD99OmfTZtU9jmjZsXpp6NJX08J/sXnmmySHeEDE4dAskeg1oFolz8sxX4bpNerCcXNnL 3ggzcOEaCeg+7mHaLVUZvWi8RKScOLOU/sncPNZz8om8i7oX2eBcGotTB0oyPNdkQF/1shyWrE4Z dLca1zefQNkBjF2EFQCv1Joze6A7bHc5jJO8t1OxjnYSDeScq7K5YjOgHW7ccQmmvkEwpFchw2JN QcRXzWsVWXI7SLln9W8uDXXhcU4DaO1BmURq4FmSNP2dXCZ6+MXyMfiR6MH6JFtGK1CKCypBBkHU vfeatKA4Wny375T46pITxnSe34W2yzRHwbfKD9NxrGp0htdu3/Vu/MsgmL5hzUDRVAkoHQdDchOJ MtzjOtC5mw+4MYXonnbkNMfZMDxsaqX4jeajsNneyQS4qx0d2DdHvs6vtyVNarx6EnkbcrNcqHji Ex+APcHqjHoSHdVDnngiUIdNNKIWIsAhZMoDPSYMa73y6hzcQyParquX4r4nbr1Tij9w2fTGBcFR QUKjLwASCnoFo5Qf/iWrYjlRk4mn7qpepYQc2mf8HHnQOJ9lNVjGPd8x+2X37E+yR4Jj/hwB5DLh STNrB2kg9Xwaq1vh9f2Nzy7w4BuuFVRUdFoRCGIucTp5TXQmspU8cN85kQWjZk7z+jH6OH48/nb9 hMQta04j/ft1rtWahtU3M29L3tzgVjyCFfI/P06wGNwv41STf22AOClTlZRbD5TkKBb+vmkxtixS xDsCKyfzbuft+rmz+eOSc85lEvYMQXF7bAaG99cm0ecZ/n0LG+7GYGRfvmEL5sZy2EEc5zZj/x1o WpEwkZR+nnuv9fKmz0/Rn7cQvtXJCwh0nXETPeTStP1AMSEzaSiYT9AeZejVRANhzbRPVZsMbxZZ PrEDySt+3M1gx6twEaNvn6LPcSJsS6bsfCEOSMSoCsD222EFF/tf22UeosaRe2QYDpIofXcOSkey t2O2V3fGrZkryCS8ni3hKxggGZeptHcCQKtlIet7Jx3/LsdKfTPv9PSkxSkfRS+K241WFUMy5PtE 5arEag6f7kOI6GuCvygjBAPYFbLrZqk9yujkm+gtLjTD8RpUyu9Sn3ef/ctrKBqEOcDX5Nc41Woy 8ikujYXYgddYVtuIXpIhu3fhslE2CozTlKuT9btsAj0vPq/2C0ePuUqTfYxXG5PL8QXTS67aWV0E D4iNj+x5oH0mZlGiPhoiBIyWS6IdK8eUwpd3nnFbTvIaW1+prF+I7RltqEcvSNvDTV1jaQgeD6rd thXYfF3Rc3tDCMkl8jb3u3XGw9OT486xjyzeAILMHE9y0ucnjWWVasZ4r/a93fNY0cJmbkx4oKKJ qRJZXTNbSQGSrAAwPAWSH46/Z3wMVJ9HTDR7H3vHTfon4Zwu9h04gqHNl8F2etHO03u11P1aMuoT YugLEfSUyBmdKTJ+1O5VKwdyUB/yy+C3idv8J/APNWBxjq/IXRAhnDG/DuaHJ82luhXsB6h8P2CF M76oU9sOpVbJMEQxi1ILfPb6jv673ACW/gf4FKXbwluUw4geQQAW7hzj1qWC2HgtyyvjJYFTzrTI L8E4Zh1GAff+MWH0zh2qcbn64z0b3gvhhF1DlC+EHUTyffMOLoE4aKW7xWfUkfhbsBCmxpfQtMnD 3d+8zpWkaSOfEALRRLMGpyU311Hypud+wgV4egZcSSAjueT85ZAIJOmadLKIFNMJIW+Haq3aGQ4S X+ZIxc8cEmC0JARAwtFUS+DzOVjM9agUYUlzltZxtZAMJqr5fMXeEf0ktWUUwqmWGRE2yIwASdOQ eiFqeaHeaonAmITq2DNMJAVhDCgOiffcvSDDvVSv2RyIPhY9hypya9L2Fj5t7dxc74+8aO/eT0K4 y6ksn3ehTPXSU9FPBqM2KP6xKpw1mN+c9t6Gh4AC++DS+1J/CDoEHDJKuz15ztOAM2NpeKASMMB7 KLkXuXNU27n1ekBAKjZvHTUhlgGiosAPVvPQBymI5stGRaQbl1WgYvHYzqcRdjuVq6FZokEvgYHy 44RFahAbjj73eZnhUU6qxF62zLN3B3hfRe7zej6prKmEOAtpy6nE+ZNbSQqrLyxEJzXExBpSYRwd 5siOBw41+fykh4938tVVUPP7lkq/iHcbAoY46US/6xvM7+Cu0BlVdqew7PJsQzcWkHu7xnQL9Bdp xvTJsNBOEA2Z8C6gBcXONkLmCXvQAwqFqJtzvoozHVVZ8GPiUxOXAYWs/uiSH0V1+I6HA5pB8eAi LYeUJR5q2KaZ3CdSDU6XwCczFn1XD7DMTf5/mZ6pAyXsFMK3O0r4RvGhV2WJQ3SG0YbIfa2kIEPa vdoyaX+Z4WyT6deu2bm2d5+Bo4CUuv1EQr4pDTxbN8o314yjFQQsgr25AecWaLkRuz3ibzu1B4tN wqaSfrI2wyvT9jta0jrx7WS1Xo2VUks7FEGwJ/cueml9CDM5CrRVEVuL61KlfdDpje0mW/NHFCi9 L56UchZaVix+mHKCogGx4l911x8hnblRKeKKgHh4J/m4ulUMHC0vM28Q/TOo9Qp4XEDFDr6tLwPF lRLEvp3uz+uFIkMt+wv6Zn86Po060xPcioLeJSKNtp05Zqye3xzyEg34oHGDIj9bG3Uia95XuQ1C yEIgEMiPgijcIVAYFvG00zEP5q4w9epDi05SyLCnpRYYBWdBafn/UgSQvk7YAzPCEDZqqSiVswXB /m5ZGzyw67h9qx4ep5GtwXRadP7gvWm7CKWCpQNVMaYc+I8/xB4dKhBPyPKhM/QYPhXGtk9GTaPQ 8BSJXYKWAM85aigbkWDbjT790MkS6CR2tGrNejecPGmPrnnRH1Vx2CHen2u5pAGVo+Er0gOKl0Yt 4RmhSVh215jtWSQiIpOiT6+HA37FGcDh4tzBgrLlG/2hWPGjk83FFn0wLT6EbYZ28QZxNvGp6eVE /Jo5KkcVgl5iM/yVZIOgfinBerao/JdvZvhtOSZEmvG4v183ERHgxcs4tj/1Wy4MeZ/UgyYCvgJt OqE3Iq0vR6NpKH13Jw42JrB8egcA23lmz7CxfeZF84JMSQ0s86Ph5i8C5hWJu1rLX0nymFBOV+n6 3UYo08IbUHooUKOa6z7ZNV6+qMUbfO+UqDaSgbrLeQUcpvKzCOXW+u0xBSCpcgi2CmD9TYC323iJ JnAplGhHwENXLB2QOR7Z9kJzd3gh0A3FEXve5R2jSn1vEmuAKpIdnnKUbEvwDWoqL+z+iO6DmMU0 1cQELrxXgYEI9gyD2MosJxMrjN2QzgcwLei0h7M1IUgfpXaoFqZGY/uZ/R5SQDaYl1NOcIijoCbY PW3ZMAQhyXlWNH1Bw+YFMO/UYB20Qan02GzLUMSV2iG3BXHMPiNPnvTwBF15mKN9rYX0QxrnOZm7 xMaf2QkCpE+tBC0MJrYCMixJhbsJm25faTfhHt/j0uXzBpNHkpJV6JmEjnlpA7yKXpyaoFw4snmg Ms1RpsdGC9SSSSPVs/cQr8Pwh8hKtplFKb3qi2r2BAP0UQNxwoR1nbh4jZ4H96Jd3Rg0pBaBOy3M AmkismoZpzNK4JksEEUHVB4lyqTMvLT5SoU8ah+Nw5qi0DOKpHp61o6d/wbcphPQpoi1LNC/npOX wdoRjcGgAldmQuN1VsescZphtjkoQelbISHuqaGxQDaadsSuhJloBwRu/gFJa8MJna1uoEUsoAjk 7uUi5wH4B/LdOIdyRR23GT1NmJiIwyUU3rY7yLgXW9BnOaD/vl9SoouZVshjETP591RuE1UMeIE7 EGmtychz7EosKHJfff+A9MLN1l9UB2Mpg3CQd16cecb0mNxv7mNulFVN5H0+9zkUTWxuDjG96vmT qJwmQsk7sJlZQxzV97aMzIDun8dk8CnRbgCbBUUbbj0J143TviXY3MkBs39gXIwNeIVoIpThBiKb 25+Y8yKY2ZXgONzNf7x3GHivnkqKgvdXfjXv0EBZl8Yl4enChlZNuV7Gqco7ANkjTaINqFca3yX7 bNgacLfqq0rR/CEnBQoCOybJuNXMnCG9kuGgqXeqS93lYwQDY1kGedzPfolgsrij4ysFQ5sUT9wb m2BmRrw9w6QkHhe/xj3W62qFfxnTnC4JaQYWzvQVzJiqaO4SZmNKinwGHpdlECFFH+A0exoDVY4E xLJZKoJR0oCP/91IUguGN7sn0NHd4rBiNKiJqZIo5tRE1lRFvtj/eBUvsgKaQiZqIr9LkWzrlavB Tac5cmOIFss83bObGGr0EeiC0KyXKJm3cw47GlWEAj4tvnJuX2h1g6WozDZiMt21l8eRlkFbsKJz rIP4n3XtxrfoR9gj1E82YUSfzUBXTQGBJYAPQ/erwp7vK3DsiXq6rnIJsjrrq8iw0X1EfzvBUadD 5jY0kAgXnwzlQ+ozrukzhiwq32+PIAgOlVGDkLTQEpMoBbySM6AGvITWmpGqQcY3rMIPpe1s7JzE AQboGV33HyQmCVVRCda5lerQHyuNSd+LlcTIXuQSJdTLVz++ttgyxzuf2kz2E6XXrIQOv/aahfdU Baub2PKbqKgch+aEcToPKh2h/EEA+x4NCHCKmIAZ3qqmEfvTzbUPReYnB5u0pfQxTufFppZzE0qE XI6A9ZBN3kW5Y3kBm7c51iMowbueJydFYuARBk0ZkBlXZhKg/IU7Agf4UvY0rvIxpnl61lFDcyzb +6tIf26Ck5lGAbI01B/GLG8nbty+Mj2g74lnBbuhqdJm4Gl9+agJqfPCx8TOYM3W5G9eUcGF2jOQ C4/OIjFqIpZoNjjxJmzxjhPaGSszLID87zUYcTa/rg4L10Q2sDQsDO0ZovvIP52ZXBlFP5eFZMWT GZV+cafbT6wXMGSMtQPfnYhTnRNV6cQxXGRSR0JJTGKVESromRsQfdB0bYp9A/JFYWxLSH2cRzvQ WmhxivHhMoM14HYORx/c5m3W+gh8EKyQA2mFCJSXymhL/bcOAJ0qoTEmnViQAcOjEtexEcf41yDt dG4XVA9fUKWOzql5ep3gzxLIIG4RewqzBTKK+uOIuANK3SeMeTJx2Hh7AtQ1mICg9b+IV3b5YT+a YljiLkrSBUdUIwJAWkcrHeesbW59EK4FijE6btRUNUE8dx/Q5K7KZ0EJLcLKnqObNYpb5WYXIj6y LL4Cjyo1SUX7v2eV3JOKl4+ko9NENtTGzTlhv1QvXlnmsPLo+b7xc+ieFoy57xD2VWBIfxxAyr2t vrrw1GN2C54S3kqLLzmO+oemqnzxAt2drqNaBNFH+ao8J/fOmxXNNlJF7Ew7srxFAdz1+vMeUuvv 1+uZUpkt2oFnqg4kZDs0k7mt3gVTZLdjpkhLCo48DzduOOsm+aZciaq8xW8m1FBIbIRC3RcyfukY ENVZjnYfjs+Q2d97HfECuIAawYScLk639ilfOvcsXCRZ9XGUvDrWASVuvdH4wSYb3+BxndLhsWze jExG+MFuS//NHG++60rCQ61cVPiuVdzycZxnQOU7FuvcV4aS1abdqNX5kbS5nUJ9SqPffvOCY3lu Fe5oWMPXPDBEUTCjLqJrQNKIA/t5hFXfvxPWTYFd5CPuQlUKZaC5jdwdu3dS7riiBAdfCx9kYeII CTYwoMoJzE7XO2Nt711yAGMj9xl5puyDk3zjYTew2/+9+USs3kHeEMlFRgMS6xd4F59mblDLWBC6 ck1QVeSGKsfa58l9yxmIAn1aeKT8MnGfLprsKdJOIqO4BzF+VjqvFwrOryJdwAWIV8eurVqqRkEK a7YiyCRKvXNu4QuYflf2bezUw2V7L9NxKfJM4yL98DikXFF3HYl0Tbrz35l6/t1r2DGNil6zF+yt y/YgK4kKJxIWx0gbd5yUDJzto7fQtvlmc7xKOo7b6yjfQiJgLhO6QgCkaUSMJYliLf4fNDGgMege UL2QFTtnJ6GOL6gXyKHhmJY/uu/NJREztrzrp1Wuj5anqlgI20cNKEQkMvw3NBccwMDT/BEsehZY Z+FnLp7Vq4Jm7GkxPj8NKnaexjxQYN2B1ZPruGHo9qF4H2m38IbeFwFg2IlGRbXwk3e3Mb1z3w0D fQCbmxj0KqYmB+7cmErYosZwyQg/BQQAQdGfGXtPLrDOkKvAf4+ZUlM38fSztLFQpCG5JDOR8EAS 4g+3JoZ/JHul9ecSQ2bHSvvyjCQyMMZmcyZfq/+HnaZZP5FBBhI7olTlSB2WSrnM78381KzCF/Dl 2PlZU17EmKh8/KfCs/PjMNmzVN1jNDsf5ykoOzo6LvhTMxGYPOWgzgOjd4Mgz9BuaoReLbAWSCJQ nrffmrvsrbRhOcXPcyT7STBFsVB0/YHtKogM18IjniUB8vS9Gi7u5Dw6l1Y2AJaK8rkQ0mxhpzy9 rIb3rccNM5ZNrOK8OU9LSn9hn0gYwOiQYLceiWk5QMa9QEaG+zA2xqk2TjNMwGpGoLP/fWcjd+mZ PJ4T0QbTZJYzgl5YbONdX7Cp0O0w6Fwf4XW8Y+a9Ih/YfhqiVZiBIIliS90jdX8Oe2E5iG5O34bq YHFdlGfEAZDnwAejsxnQEwXle7QRjrg/c3uZbPr7hpIPD52zcLpJwU13ACQQvaId4eA+EyoThFry r5NQryJjUqf2u/eFRdXHohfTQ0PzofkbPrL4gb6SC01mvDDK2ImC35LRz5IttiRyep8Zv84ynlOj HMKBliu0qY9JjMumZDWObhpI6PCJc0VBtK6Od9bLfdUCk1yvT2+yRf3ilsY9S+g6d4G6ByUxj8iu mHD7XSqZceYMYZgV6cG6fcdUCYwyRevKOdEJNvg922Mt7RQKgX17FxBl9dq8uArzgMpI6H5fXnyt kxkgq/BSitaIq4m/QNLqbO21OT5ReBd5kuHGUvQNcDCtczCERBTBVByyk9vohn2tLoEUFKIe7B4n 40A7Ud6AzPki7exjbsuYHZnU6DPZSKg131wjdOSjT7z7XnAAEdjdmL8RsDkQ92DSJSyrfujYKGjN WMT71u5kAzfpHOOr0ILHqt1wXoJMBJBCw66u4yirtXG/H50mhcGB+2A00IT+4e95+hA+9Lc4Bsen GE9+kVa+YTyqylvsAN+c9qu3vzwZ5/W4h2oSqHsUQpB53NOo1RTYQE3aixMNn3IKvQBcvKL8Jo4r EHQ+NzMEehqiLNSFqV36GDV9ELf+tAM7Bo1+9ZVRkIYrdl9bEX1iy44uK0ReiUOhj98hi6yBlqpJ 0um6cHVgSWX7dqffYpFIaq3EQYY8OusyWaA954JAosamMjhqkSvxBVEt0fPA+fhOHOAF42GXGy6A 8qgYvSYw77UPZxsX7F9mY5W9bQoDJkdcp4pOHtRrT/Wc8WvieNpk8ZYY1xLPv9CkXd/li2mcRRYO LWvQT7HUisRD1xXotYE7eBq18/P4Srxs57sF7+2jAEY/O8LjQFFaoxP5ZVwlLToU/uFA5gDyxDgU oDMuperkKrgHxiRNSEsWGRxJuRucDxe2gM66SPR8Fhbf15U/nbosKcK3itPHH9d9RwRoOuKncW9f R3ooilwHSgP2lpJDKJnXXOl8qPUca/WW917k2zZbV89sspDbhp8DTsQqiBSv/TC1ia7FxpQXVswr 8+hWWpWFsHpCmKlrwOo3ElFqb+8hUvyVDNuF3ho2EFCJBKONCtG8eKbVuuBdAHLIVemqodbQeDl/ zum6dYTrsvwj4GiQjHSX6ZOe+liD8mW/hCTvZAlRak3b18huVaL6xuhJHRjRdh5RBUlT0cuG58l+ 9yYX2v8UPxC/DW7E/9ArGUaqd5MhzMYrcl2uQ6HjlrBAwYoasuDgoxK1/o/31MP+ryxdukfUbljp WQ50yWG3aOTnfivBI5z4YE0KP8WFPtSBo9+bgA/28IIcQDUqxd6nWO7iIAngAsu/VPNooEDyA48B EG0it+0rkNUca+irAIthIkXYBhxYfatJkwDqDmHj5r9qJivFpNBEswVmhVqFsvDZ0GlZ8fUKH8yO W/UrxESIhrPxLOvE3urAa419Rqzs3nfgijeIKcQ7tI52/HVJb8R9fuD6PF/LdZOEFm9mMP17uF9V blbKYsRDTvip3bwHb8CCiucmJg+vvVwNEnka704wbyho/5SqhP0F5j7apA4VNZGEpOxpWkyQ4iJ+ xBefrq5TwKeRz9TIsTgqT/kt6Y05xrLcXuiybQ6Ek2/PqC1NufxAZ6LLlzVcuGFuak8rBfcjKPu0 z0Dkx/JyDYAnKkbNIISuW6pJaNKSwcc24jr9UxZjF0dBfXSEoDwvB7/YN5nf0X3LGc+SxYBrOHr8 Pv0HMl2o5GvKy8hgESQwCAPUPHhUH7XF/uzels7u59c6OHBPI4U5YE010nsEPSNZir21cTWlQbd3 0jtFO5Hzm1ORWRQyCXbFb6YB9w3waFiFWDhCQlXAyu72hPbZcrpAqiINIodgWojtQAhyGtCm38dH 62iQa1sLVgvdKe0UXyl/A47tZMpmv+WgKCCSXY7VQiWxxrGQBWrT9g+YaMjVpB1pPRqb/WgSFL/Y C3CUS8Dky3OTnbxIYPIgDrjNdmiA9kjb9f42UOqFsYOs4pI5qMKZBxzvD2qYEaPnyLtBSJ8nDKN/ 8d8QYAwTn+wLDEZ6KGDMZeyuMKTF7ZHAyRGu8IwhM0zkduUCi4H1u8t9o2BiyaRWI/vkYZIMIIRB kUtmg6WMzWi8YbguqoKTqLWye2EMS83ZBu0Ft5y0Ee6dTMHOzaCudJQd9MzDZUxtV68evB9ADZi2 0uVGuRbYveKjvWkVnxBhWM/ivnmm9JRQS5dLamrGxzRSiFtCMk1UAnu+NIFLB53EcYoqDJASIL8V zXqEt2rXfakP0O/ayt5YMFk9d34P9soVEqHpLjVKFBXtocdym1XCHzAPaxdZicYYqZpKajZNgui9 Zt7FVEibK2BvtDxVsjLbmnUDvCwtl+nsb/R3V5ZwD34pKCRIuN8JIv/yGwmnfTkzhTSpn4DYGfPj 8t97iINVC5rYVdr9TpXDs7Iemt+QeZ8WN9M/9OWA8bs5w2mI3r0zCYLx23OUfIXLeq3sZJ4nRUMv okoHcKugzWBH9rz/hcmlehhRv/XFRamtRBW1zGdJersH2uNhqyK+BfvnhAyri+0KiYB+ZbePpd8n J/V+vUQb6edwK3Sp8W/Pbq3ML87W0yvB+ACeNnTPTu/NNleltZPn+zl8i/pSjXWdlyqMwWst0m16 i248LkN0ZrQcZ35k0iZDqTQgX3hfCkwI8HVphuC9G2VXUEm918UyDXfly+mDudLl1m882elF55aJ putm8ND8YzgatfmmiejsO5jmPjPvjzh5W29aS+G4X3DwsrFItr58sAfGm9T+pSeIuTJPbjXJf8LF D2zju3UJldofQLouBXvf9JFrNwcjXHxdv9q+iNlChigCn39nXyAeQ7lb0QkrMlznlLqmef88OuVk VxMNq/zrRLe2FZ5HmAwq5oIqJGpQhfYJyoGyqvY3n0OpEuYzO+UyIO+s4w+hBPJtI893hspVYpnj pJltb+HoH6G2ZD5SthRm8/4M7athGv5VBwQaRvWed4Uj2eGS1x2Vm2bdprkAkPdUZ0DJ7d2JONiH pmDiEFCYUs5/6SYCoC14Dw1Np7Ob7wRopTbWU/51yhH10+9JTsnFcR4qYvbKMTaRAzWhhSdIxSTH rNnuqTQkFpp1CUHaWVaO8ydY+P2E8XjOlrWsfLkCvFOrDeWWnd5Ezbwdu3lyGVC1jGvTNwAjueRn 7QEr16V0DaSl+L4RTF1CG0M/MnKNDhQ6zlSIS5jFOZnPP/yZhhckEO/LVbD/zFqKSY5vuJiJw/Gg 8CuLq6wy4bwGZOSj4Plk2qVs5joMVrYovUK5A1ki9Jhh3uDJWYT6zgzxikAS2/vDxJvk3UvhtMrq fdjnp69LeyZxWDOaF+JXePvCC0GrXKFiN1J2Vw47EEsEaTCQRISCti3+OemVCTZxz65W0TIQceUt e5TDZljAcZSMHuBPViZNc4+N/yntqaPGBEwEcqYkZDJvXykJ8+BCHKvVDb8VFqVFxzetQmfbknLu qpRI6iiFL9G71nMl1M0w9ferXCe+FFzClUVhj66OvTuvy3IcGxoX975ml3CIzQS0FhJUgzdQp8xw IE/kC44tVaoRuv1MoV5GeOVGARaSYncsJNzlz+gbAz0Pzl+8SNNqnS4Oty1VsZNxVEKHgEkhFxEw EH4c1amp2LEXMJB96kMoOK7JRfkfIsYwaowr4lrrNPEJgW2OQGuVCcuPzmDjAiYDOexrLwqVvyDJ Iv6ttwTYsECoMHQzN5cYY5nI/BCWRemcrF47jwMOC4fwK3Nx7WIIX3ms1ZNCWUkl+If3DZGkWG57 cgh19dk/+dSfq6a36K6LMQ25WrXLv99Uf+IIhHMu0pBe5Wh2vRojCTc7fH9x9+KWMno/MPJjZsxg Noot85dY9aHNBHbuLToNYe8TxHMilvbqVmrlAQJuJg4n/mXKahfW5gRsTerQYHKSWn9KF1ciq182 rcKY1t+y47WsTkZ4G3DBACAQMx0/ULGNi/hssTU93J3L0sPokCFjLCaLgg/l+qqvCsvB6CWHP7bl XAeQ23dOqugf/hUXhaf1Ao4TzoqovVO5fNR/P1TG5ukX51eiCRd4jhhp/mzTuPUrNc/efL1kIyKC qte8SHh77B2Hdk0P6az6vGHbMwc+0/Wx76RKXOF7vjLcy147kFmEXBECsvV0x2KiOnPzPuLMljVm FTb3RwQeen6PWLZkXqb4jEmz9aDIL2T8mjOzXNieI8Wk+8aJIjjq/iqbUcGnJIbJASJPjHtRLZag p6E7H18OczuuQba0rmZE/+IwxxSdtl0JyR1IH6OsQ4ib32t3d3Ku+SKsjRvCRLalIlbXmyLYdN5r cGbEAt4ylUOBSjw4KsLDtLzkyeUj/n6ezuzDMNdvm0BBxmq+77BkTO5Drl2TRE25iuMv/s9URYCv Es1NlWACPrW4xcQw8uiJqWJYrnYF21vaVgVydvZb7zGeN/O7df4URT6Ieocp+hzpxxEatG0+ROw/ 0EScp4zLr5Vbj6L9EPZJ58Uj7bydcaBTXOZXAyCGD4Gx8M/rmtmcJ/NI65SuuUVm4piCyzVcDt+8 qWfkb7zGFQDuBqhURpFtc7DuXFe1sTbnsF1hlGdPL3ncq0Y2/X+VsCwuYkgPklbTskLYlJNr1J31 TKQRa+TvuX+ZI7yDJfWKSxSeJdtqP9vf49Xt64s0/gbKTKPtEeX5Bx70fd88m2QduOKRykzX7n/c GIjcYJFfm++DCYGnjRTS6H2anBZDY0WKTG1XQhWE2Nvuo7hgDmBp4pn7RLRQ51qOkDaPDsX+p2U6 8geYUV99DwR4iMK4ZJF0sHIBcWANkjv4nMHW7maC4hRu/IyO2ZswkOkMQgkw7MOIc6gH5Lcin9lG W4E7HjaLD20CmHphmXRE0jUYFDxcxT79d0fTbR6hljvkZtyoqZTCaHuIMN2/YM1XHTdBt5aJDsNc hriyo34r8welHJRfAVZyJoPad4aLfKEvJ+JRVUvJRUY2FRfOuqD4b6zq2SHAwTChgSk9c+v9kmn4 DUNwDMfeww5p/th/verCtpLXjR8ncYUs7nabeRPcJDXA7+sBHBBvH9xqdvVKOtd/Tv2nKKvPawpH atUGPOZuxUbibyxeRDd5TMD1xwvXnoeihu+VN9Hdx4zAH2fuG5/HVY0q6/FLgElblVOfinfJ+qO9 f+RN/PiQjAdZgKMAgGrGQPmerg6Pc5/bTCJ7cIrVvFLxRwmGV0fwotC2oagv183/6zRGAezrzK5o DHAReZdlF63RUqVxUadYPm2riedcOOOft8p9CZptdgxIQC5n/oxbDGQNY58397SbJeg9D0rNZKp/ pdIp6lSRMzfyeI7CqgJzw6EB6UMQ/x5HcXq/hcLCX6E/YL8W2Caq5KGGd8QawARvZ6QDoGVvPkBi lc8/A/EP7jbJ672xbRFxA6kHZAWsIJTL34CPH6wsA7D+zAe29/ie6hnSMkJYOVbEtZifKMr8VuAa N3ZEukTK02POiWLY2Mtk9x7aOkL/QDz/pmNG/WGMm5kJWi/gKbsSEUcD0pB0In50QntD6xjw34We 7GMTXnVQnAOLI909YxJ53Gk6L8aAhRcXAfdVXADt+NpKktK7nehfMd8chyeKwQtUj3YmxL5fOHVs 9M5+xjH+RZRySCT4nHhfHKbMTB8ZwW3zn2oox+dlOtR+/SAXCurusxKsXnKO+f9DBvhzsHPzW1tO zfCedjfcCqBaApRXtuITLEIB3oDFuyQYrQoRPwFn+I0PvYvJ+OP+as/mbdaaNTDHsBIa00SO+Ew2 86VxlyfBMbNy5OoKDP6xr1c+ovIKOhITlSDMpJ3ZDVuKmMF9HXInbZwCty8TBcMp9AVgBiRIJitD A4oCVkVy/pN6fWjcbfQqmowLkPQOcqFKoF0zP8u74QbaUYCgaNw1HCciiOHNyru11+ojVHqL/T9z uAmOTwpvm+2pzQY4KfA7o0d6HIcZD9jEKuyUJ2leJbh+ZCwcPDNSS9XJ2UlkRwu95w/veDA2f63s dtSg1KK+i2rSGAv8lc9bvkQhajdMquyiIGRw1gsb8rPSyXgVpSpuVvY/iiL610mQYTQ4OJjeS82H jIH+os9h48Ss4C0f0hz9KZ//R4t9UeRbkfK+FD88afCRnFQpqWXB5rOk+bEt8+wV5uAz9TdKCNGD Ce4bDReu+HXv+CcAulorpSpuSdX77epTFSf2CY8TZ7WkmRZsuLQbU3xx6sejK+PDEy9m7pSCsZlZ 1fMyVy4vxXWPT4YbTofTLvv93yzW2goUylj7XIiBbfwSQmDW9UltVZ0MhBV1dMVlzV3pmHLwFTTZ N2BXQ8XkRLh4OMRQKsadu2LflTdlS4nQ6kqb70MeTtstx1AD6VBnrUsZAIG+DndACjzPUSqEXCRz mCCY9VEpCwZY9CK9zwW32s9EWtSmsh594YFNoEkyPRjMZFjQ1gqxEhDMPEoiqK96r6QL540rx9r7 Tn1NfyGVW81NPaYcD+COrvHelfPJnUCrl+22MzjGle3/ngDa7+7UMKZSDbQmCkggDT/QcNbgvrYY dzQqKbgAn9XfA9+N91GzzHMrcyTvc4kMcA0b0ZR+6B0oH3B3TuKa2vD/cdwpz9vR8xzb+b7L05eS O/I90oSLgqegxmbCBP9nscrWXooB5+7C+GEBTT7l6bV0nsEmcmBqhyRDXW8emTS00ghpKBOsa0tb Bd/Fkg7w7KTy3MxxLCQbtIAGXq/YwRelW8HXjeXhymdoM4A0l/OsYTDJSgWY4+0YS7x355UgrYiM TvLj5KGI+CxbrVGD2eWadWjeQZKpytr3XO2+YpsUAFZEy4B7hPMQ4euWbhTodjpInKs2BJtTjULA dgcLDlC5GXM44GBc6891z2UQ0ZIKx2h1pr3BSf9YAgbLQulKCnrLL8BYTn8QopeXnmvN/Y4YN2JK ec7tJdjdqqbCxhs0E7Vs9RfR+sT+Ikt141JExQLBhbjklfdq7IhOqza1alJz1WlCzWTriK/JZP87 MzJKn+pzuZCVIYLv91VjQk+Q4+TLaxQ/cRemjs4/vvtqx3uJLKb1xKR4y9HKqttkcbGt9fkHDQrq 8ttF15iPysL+9fOglvcmwj7YOU66zeq7To6mqig1v5ZMF+L5Y/e8MUsypIGQkkerumEBesF2AKkr bgTOnCWU3oDBq8A+96BqTJO6Q5NHlciI/SBbImsqQqmf5ZKukrsdUSYE1uVYN9Rej6ZxEUZsMvyb ViXoaokm531k5WaUUGwtRoyJAZrw68FtRLabU3ijJlU7W6HDzN/1k+gG0vvinvQCwt0wP+qaonJ4 m38e2R5nzpIHNGZ2roveDyYiAaPq6+EOVLrZf+j/SROWP0miEJGMTcewW5PSPqr1JbeaKIimF2rX EuiZ/7bIRbMEIyjaQygOA3b3dpN/5axB3B2tmk2d9olBtVMHm0SLkO8kGasizoxgNDQYA7M7C8oC +p+4QkfWmKdOuqRiEaZkSbU4uFM0JRW+H1OLCcPh/78vTExZIOq5QYuOI3Z/wfniYfIljv3L4cun pKL/ooP1AL0uZxYtP6+xHXc0oT2jvTW4HtlUxH92Me94/RdJ2p27+t25/RH3UhMWnaTHeKIXCfMD sUMBFuaKZFHMPaWiH2KLT+mnz3kHLZoMCDpuSU0ghFTSexuxEmAz4LXaFuhe+SlPDzJb08glYz2x nH5KM61XWxVOYNRhqa6bv73iNbgloD+TQpw1vadSVvR4Bze4BzjY1RMP3Rq1zrw861a/gBP8Hffo 2picoAghbIhjQ+Xj/WTOqwf7/U2sNHfzzgiG6YBjX3VjHWfUDGYqQLoXr+8vv72MeWTlo4cNWum1 KJHQxh/R6br010Pm6Rh4g7xzMY2gUPmaQSuCHV0jKO7hN7lWf5TLcZUCcsP7mWzJSRpK2OyFjGZX 70U6MRSpGp++K4hLWnnslpx1phkmYJLlNcud/Qu4KQsOW4l/ILcurjWIMmaUQYGKZ9+iPhBuSevt cVXzw0jMBP8DekDWw80zAtnpDDI/wHgwx0z/dUgqocw4OfikWnKRiYmmiuIzgZbjozYPn8uob3td cqMzdVtuvVrrjEPnVp6+Qv/MzE6KzXBH+MUljRdGjBnV9R7P6JJX1JERJCupwbJTDQnoHFWNEkVA PZS7WiVVYMOLqwET2uVVfRrDWcMZV1qQF8DXxIkJq0L0xNJor60+TIn2WejCERrj60orIv3nTQEG pSm4IxQLkCsUD629aQDIauczjlN+ydS2PYCv4o6Pbe+uARCiAYlWqk0UqjdrYFSpMFmyjD2hR+Eg gp3jIoaFvXZOYsn4OuGA8ifncECnnQZbsLxpizvCWZbu5qGB/rRB3LtlNFjh0Ek7D1qDpEuZFY9K h41CD3HBtNIzkXfSY65dYPQmQyB4PGwDJjmOstufbckYoQJ5vxXLDXp+/hNkXg4Owk0gCLwTYpeQ M3cSnuaGVw0FMZyyEuSeoX7Hj1+yQlui4i0+MO//peilghYcAlyiA+D5Y4uI3saJQx91xGAsF2nz 0ZHgkcvEDPEG4dU4R9LvDQ2W1ecSqlujhLwumU/nmjj3Cqi7eNAEFFYFg7ow0dH6g1tN9lmh7brn 9mUJTwRy6TumDTkFtiM3egBg82wsKffVnTeyAnECNm/G38NjgG5sHOs9oaLte1+3VeKjE0ox8N0V ryXWhlBRodxnJW5Mom1xHSsZqsojTLhSLq69f6YcZhE1FA2H7iRVSfqbgV3yM2Hzox/dYdMoxRTx zkXmsRTayOvavOe+ieMBI/+KEnvBdxNlmxVKzxTteRG456ki9fRV5z35eNqkgUPAwcb5OHkktyZY tkr4jMl22eBJJEMSa9naUK9G6VtWlxg79bS6UrG3KUhMAV/g9Ao35OAO+LfUZBMooXeKgVOs8w3u bQhhNgFex0zYAHOVo4TaF0VtVkVfSQxOFmKUFnwLj+gHbYya+6BHkH6L63E1FzK7ZMVHrxD1KWF8 cq3A81DjvR2bC6FghNufPfhtGPbcO2VwPspMHf7G1pNZBXH7Ncf8LeJ2pvlI8DKQTj0VPhuvvmJu Qjkmu6T5PEpiuNIx/IsyVU3MBy6DI7eOSnNGQvNGtLeNrT+yHaXzOKAF2gNwpSeZHA6I9SiKI+7P rKeXtAkyBLn41DEHw4EP0syz4QJctJ1eIqQ1Z3g24V1uXkcUO7nf8CEEG/4uP4StH76TMyc+uMd4 24dXU4qVBDdoEWnfSZHMVDVEMGpW/B9U7OHqVLoULAypd0W9id0XfNO1k0qFWPqMWYtCGHIUZOXr J0rZ9pc6ZaVzI92Bv2cFuoMD3eP4GvpjHDYSu5x489PmezuoduwB78yO2zjfJnCDW+1M80sbamr1 m59bOGVCFw0+Z707EPS44vbu7xEMlTONdr3o03rRnszIBKeaAcGTw5pyaN3R3Tmoa89wKJx2z5XC h8hunFhHRV4NVT8FWPM015NSqXHZ5Q6mn+8ue5yQ9Oq0UsgS/Z8GQ8jC844MHTX6CftQFkXWoZ+r ee0Y0EbvN2fEWbEcMYlO18g516wN3tVwFWq+TmHud/xeAjlyjp0KWHJY58ccMYLxD429p2xTbG7h nFeU0x3DBuLRG5eRhMzp9zSdT/NNPM9E2Rcf9wgNco1L1RvpU7Yq9GBO/TRNIPOneulG3rOhVOrQ xUUIt3GObFAd684CmkqjtqW+HN5ZePp8tehk7+7q+LqbxJw3LyUQtSv1uQLW/N9ksvB/exlN4vH6 GajetzszfG4DszN+ADhyeC3CllwfFALFyds8En4JPrfGy3YZcAG6HJ/9HZIcshvtEge52PNKzFW9 H0HXPslnC/rZetFGxdWKnCk3F3t0PH5Mn8v+/em5dkO69D+iO4cJtIni7cjmoGkTrAvb7xYT9MaG CaAFQQ2+sefkaXXCFGqeviIBFWl4OFy57dC6C8EEVKmJkjnQDq9JwuqVvPnX3Nqeo/uxFMo0uKwu lh+nQJ3fcI3tRdnm9fwrxdKrBD/lEcJuYBy6RDTEr8CFAQew5hCb+YPE6hXqgbG4hVl1mpaO/+B7 FMDc5ZvUrU03UxQiZaVTzBO131Zxx/ATZMgWf4mMaIDH0MWswZmUdn5XgcGd1eUSlG8QqrSEzlV9 aGdlONC5+ojfXCLBk8xj8+VxPp5MRxdR2p29oT8GARRHHASIs1/mea7RkTxYrAJqnlLz5IAFVV3u pewapfjUoysdPBm7B4LH3I66PTPaTEYFfdtElgWw9C53tuezVRfNKW53Da6c7BcDxPckbd8JI84v Y433Mkq32uzxh87hcE7MaBS2U70GyAQRYvfXqr9ae3kyf/ITCT+W3h8IvUpreCW9Fd/C5/1o8TK9 PtiGwJBY52anb/RwW2RLdeYYPa9pt1MXMfoHbP+uoOUWnF+qlmuYTmgvJritByXQqAwDRTyyCpDN 47At33D6UJZkHwWQIij3uarM4+HcYsueh+ap/BU2ulj9yxQ9obzIab15HJg/QieTfp+9BWfIp2Hr 0FSKozakSIR8AB4Tzg1dL3s8xq0rg3juSbZmBTZLXPW66dEI3/w6AFahodRThZ8R5kVdAQ3RLpiv b2AlK2cPcJUy4un0YR1i3r2/aK9E1/FzLrSpuIO6aSwx65DTWcBGbqijxkGlE/1A1gyzN80w6ub3 lYZDIi/Hd2FqDONmXqGM9WA5hgw5s7dTB07IBXIk2OtAOApEWkeKzqKsRvCiV7Ypi1j5PdumZrt3 4XpM9n92uD5IbtY5YjUG1Mg9FW0ziG10jsneiVBNciKhqePdwM6w6StoiAMUaeSGieT20hOVfkLZ yShoTU3ZYy19O0rGdh9MZQeJ+EuSG+f+q2zUYS00ep961/PBaZalrbbKq+HpxqXEOOsRhI+QiU6g zkwwTPblVnDLgF8q2TqxrRbtbsr1ytcbVOBcNeAVmlgXxie+Bmc9NdobURkxbWe8MjQEcr6fYTrP mHjcG82nafWgyZf6k0d16IdFm621zD7w88zfL+sJAr6BbQxmeAUYOZKwFvVK/YFlZY4X73ElkGsG dxlr7CRK1hlcVW9X8qHUfe+h9SPbWxS2UecYhucz7LMX99Il50QLBcBMOM5/ZfSlWODkHxfhLCWE /ptQG7fwo/t6mYulCQWnilAIPP2Spw3baEvJ26xrx79bNS5e2aoSpd3T6tozqKrp08H63CVPrSgG fmi04C/YsEUP6dBuGDkQXdsLT7qfSIf8cbXFHrW7/vDe4odBJ6ktUcWkZu2lzNHH6jTgenJLzPCn R5Dm/PfyYE6+KlYx+mj14jXIX6Es0bKgOvBOeecV9ODosbrGx7/WdgeOpcjhw/GeHC1je9ZGTlgU GxidND6APvNyOAUhCQb1NeTAoNFWmNjLoDF586ntrK2MS1G3YV267Weeot3fS5ZWrSkHWBkuTvi/ 836TEBUlrmnVURK8H/LwQTbxrlm5kC93vuMj/m35/ESbgZnERC5pmxfmYtmwV3+Vu1lgUz7C6/gt gMzwnUVC+ykQNc7TTM6kaO8sWwwMNETCPlmKs25pUOC/eSRx9nHY8PIWLkr1WrTBBFGyzf+NB1+O j3bwh8vnvsRVpnyKM0vIq7XYNvyYDIRO1FVfdgGBoINed7ny9r2fK6Ug1Ou3PTijCqorVjZ96frO f21ylBb/osKS8AuF3mCWswowVbC+BCdAdH82+ckhw/4vWTOWzJ2vHZ3o6IAaWvUI8Onc9DMPHHkB /rS4RBusfcwFJ5RDAf4MzOEisBbdkdQgymOl+dQVVDMFwOEXus/5i+qTa0tJ72elQ9zEujS99H/U WuvqSEHa1i3+ThdRG7Sk/6qsM/K6hWhKcr2E2Yy8KFq0fE7q5k67VwzqDNVlZgUKfOwppmFqYdr1 DIhDhQeKcTv3z2zVnaBGa3IIA9Aoxw18O+eBy/Ik0Vcgns/ycyynlin0VmIFu6ogPb+4fmpe2SB8 D43CpAWvpxgvL7cl3ewvCajKwUqK1aE+Pw07HBPEhQE3+MeALhd+UfZDEc1MXKZh7tZLCNJ5carI ZnG0BrEtdsM9n3rVr7+pVq/uKrASmCl4epvXFLTktgcFwByUBt9+ZKleHR9CLXuaPxMPKluJ3lMU 83HJDJzSmoN8T4vDNBvW+wNCLsVoZ+i/CqJXlhvs3TP//9UCvGWGcbSQs4zkaQnWf2W1Kq3leIDb aAWoGdBJYhonqQDZ1Q3/jYEBi0Fz7pYal+KPSuKspf/qUt1jAHaLO8CXSgKCTCGEKzvKlJaNqiFy ERcHGyjkjLflc0GASbMUnlsoMyIuAtWe0C+LuHhyVU1AGaerDmfewjUtj40mRHpWZG4setTVAV0b iS809dO/cU9xp2dcRw4h7AMyoEGQdZpmjPTUbwZN5kgXiTb9yK7m5dtw3NY9XEtsuNIlkqfAf9vb 8kBoSYbY4hs2teqZOcV7QtVUFRvZyWoVxYkcCa9Naw1VhIkOW2ZOF23xVz6PULrBeJ5CKYkf0Et8 CW42kO8RegO5HzrIwaCam6qLkFlDISNhh/jLn0aCb6n652zBW44ATy7jckPB4n/w9HfV9ybGK0pt jxcqb/yM4e20UMY0Gk+LcH4Za8QORQB3n1wXW5msJRBHqUwhSAtK7i2cllL8e07VrUG5VXMnMSCi 5tB9zlitBidDGp+LyAhie0UcNBP6sAwh4hV+9/hLodP5kp9T330TgGB3E/Ydk+RPcwMeT7ixLRI8 D7vMjqQvpFKh5+z0ccTM3MGw+d00ucYTjMOdzjQozyomj1JiImEdZ2CQsaCoAbG/sKPUGtAs5tTb S3I2+11z4z+zfE3q5Id+eaMcY9nyb9VNWHwSqNbMAiQaAOs7CoYfQw0iXdhXxfV3QM9oj5FFbxb3 iXfHNdPf049bnOGu+CwUzUIb+xhZgOgUj4SrtIy6/Kscd35wOTTyORkyrBXI7tNn5Z9BVJnimpeD t6804EtR/zBQB0YTZhCqdtgKmAoQllaWsOYqF04RI8hkCNXsNGrixn1jGKxFq9ogdnBrWlojZBf6 kncl8K4UqjRL3xse4ZuFp190AAxRe00RKjxHPwCa3yNr9TiV/QADTQgUI4Rgkn0cSUr7+NZfBf/s yrkJFFpOx1j/X9X+/JZ6kwIK+6sEqaSkcqvso7YMMIUR2J4Js1rUiHI7KO6+LGkt2thZnaSaFuXZ G8cstbiLUk9sQSFJP3km/GRCIcX5ltuGT30WjL6ZcufnUKjQkwvWtLTHrb3z/563OngdGfq3rJ+o NDFeGo0+MbVMOHbIYdbv9Z4F0bv5HFO3gX7/hKC9PpmVZnU0YC3MjT0EaZ8Qb0Df3FHkrKygHcrW pL8+WKSqfbyce+i56Namtn+UCQVuGezd8D7+HJzA/rFzCzAvRPRshf+TLeeCzce3u3bFwD8mg1F/ G2aL8piDOhMHjASVJmkg6EYPQuy0ZQVtF42cdCOHjtUrWkFihc7k3I4XbrbAS+CCzWBtVhVT4+d+ n5hY3+VV6d8sRLcl0iwFPZE/VIPB8un/SRDl8cj/epp4QgKHmL5GPVYLKzHBAsVr/le3TUw2feKS PCePxzTSY1AnWlC6VTdCWUjtA4t6H2mopF3y0w4DKW7xRcQrmBv+P4Iw/lUsnQY2a/pHsEkRYmRv 8O+lwInc92CFSgQM6rMx9p/ak477J9OFLPyMzZOD0xcyvXEvi20sa53vB/0INT2X0TWNKyfy4mox 9Ltcnr9g5pAMOAuP7nDnhXmJDYE3Hm2pQZbTrkJq5uTHWXCNl+9EB3VTgQbPa6QXnLNZ3QmKqOi6 ghgXebor38VUNfIdD+pMAk3eCF+ddPJ6iZfcddT8E8fQTRh8sPW/54U38+64oxJF0Kvb28dDBYQB JrUIixl+qGDA7WrxkRSVAGQdWPXNli4HQuOdXtr+Lg1ZK8STpoIQfZGmSfD7Ov8t8w4vllbfI55w NlwkpEGrGjMC/02Om5ppFHrsl6QMiA3XAuPFcB3jrNJY3dLvpe07xz7DJ3dSz6fUIBKNhdC/LWGD nC5oj4rVGfnhVAkXKoc5ZphhbmayXlMk8L8DI5aSlHq3EMFwZNyTLMvGtiW8wyGxlGGnXCDxf0/e RTxhVAhoN/O3TxSSnkKBr2vM+QuM9ZRcABPWsQfwGkL+ES/lajdUoeMwM/pHLPkTK/pCCiDoafhq DWUV7Me70inCVZMahiC9QUrHEY3cMqdCPCWAxGrJ7EwFGZr0pCAvETAU0C1kogNWvAfp0xFx/eBo b36dhEHaC5ySWE3PA/1zpvVD/xKIHoH1iBP1rc6Ao8Gj6g2SSBs+oT5ggOy+c+b061jTjk26adkY VXnrb+us8nVbknrq0ZJwYCgwRkYD3XkvdgrTykaOiXvpcIY+zzVNmRYmI5s3Mo691FwaJRBw0o3m DtyDBzUsd0vBm0tZpmAUKq8Z6lBFsHT4EhIgMu4okNLfU3R5ybn0/qQ4LasEAAyR7+jMbnBwmeGw zkI5/aK/hWwXODhDzwilldiGCbUrKqwbpFMWt5Af60UhUrQMl+OcQTIWD5o00wZsExSKmWy2GSWp iI8OLJu9JT4x5eXRuSPlHE7pex7Q2hAxfix00tHqsAvgGMEGbAFsC/uGVQHM6HFSF4XCDJFEECaB /qexEyXqZKArtJnQ8bdQDk2t9h3Slxm9Mn04BNa84TzELhqgE02QxrY2c/PA500XyzB4J1/1Upkg 4AR+kAebt5tsQvaSz7X62PwuF4aptIRBVC66G5xpzJvuDm71yJB5FClAaQhoOk3RK0FcPKtrSMon RRKQs38F4yBsPy2t+bXzWeEBGJxZNF6t5Fe/y2dcJXPtt2H+IRxa3j79Yeg1wPCIt51NGxFzE8BA SleRcofcQTP06tU8upyOexwtykJ6Sj1M1xMlEPl5fjENBwNOBiADCq5lOCPfugYbG9LTCJ8piHVt WZauUx1EZoRuqUQKZynHVeyXbftk5yf9SGRlabneAutwn1W+I9Qf7gZL9nwsSiW9xfJnKWoRIW2T JRdYsMJ55oPsgPIclNZMupJASIHimi3/jqmSmoxQSVS1J8pX0U7f0NNNQjq1cyFuvp4CjN1IXyLM ujWSfh52erqvGkkK9bVwNiiIjFYxc1l1qldNwGavfkzaSeYgwxrL6xBxAQIgFKzlacuKJUOrwBcP ekrOtSvEYceDLRqFgy69qUAruqeCEBYQgwaVMlPETTLiahKjmwLsVbLi3w15CLQI8XwL5jnKZvSj ryREl87N2GLOpPNkod9iundtB3IgfCAgxT0zuZj8EV9ri7i9XaatK5ZICX5eaOVgrvPnlm84svu+ wg6zV0lDD5zmGLSZKw1jCR2JHVrLe/vCnwJKLKV3Wx/LTvc9j0I3tkcA595ygWw9WSaBqEpy3GFX inZ7U8C1b/3lfYiaXdLyTIEEZbZ0B1UP1Go3bk/GmK4+19Gkvt9OmVEZcOPd3kGQPg5uUgcJgJqr IEZ+BgpRLpUQNswaiDqtvr3ZYJpad4nIkfWNmniIvrnhzGEomQDTCBf9lLFpOkw5+dd8/1ZFjLsO PyP529piyGN0UgUYggBgzkTmCY9jFFcagg8y9+GjNf10EPq0RNsxOED8eOQvrada12HZA+7heyUU DTPDHRXnu5KwAra8JbrJ5LZFoyyxw+iy9S2Pi//MEbBn4a832oFU8JslZGmlFfSXaRxo4tIhG73W pWcSA+S2JiARsCBYadCaUYNmAYOvqLVqxFWU1Rj44qdsd16UdqG1mgyech9poTSCniq7jfQ7FUCi 5vY/4Jcyn+ZqVGV1mJuJi2miZAWQCEkXdPDDKZmpPii5lAoFqQdA20cHogkocDd+6mmuGceA2byL l/PDbCrvkYuWH1iX8zuiY5+Iei63LUANxGi01bm9xnPWzhZ60cpO+WWuEm7VuEKRYhkjVAZod9xt L0PvrlKxdVJ4dwf+Dh0EC6MEh7vlh8qX9dN/khIvwHYnDAmdvI8qDPm0A1K4jS/X16XGPUq8M62V zFFLCrHkpEqVtqlkHH+V4bI2Nn5aRW+K4vYc0557SuoM3CtCWzAsjdQun9UZTHz9+0QuQJjFe9k+ /4/of21KmAlEA8Fl/kdtCb5uDLzbmcrYCW1zXX0sLzozC9Me/cSPbvseC7Tq6zRV4CmKCIpTIryX HZZkK8mnSw1ny583uQxbLw/16DfbzRupNCMynF/CKOD2S+CFgxp/Xb5aslSRqs9ZMvj/P+tynSe5 fOsPYg67iNA8F66xZRfzeRF/Pr7X8JrAxS4NOzenFBZvmd7INBMx6V62R9cPcqwVtuM+8TpWZzph G/7MSpyGzszrTo0ShaourIzgqpmDSQWnU+U5v03cZNa8yHMnvMp3xZCd6s5nMmSeOM3tr60qsubN /W5ffpVWCP5ndbfzwhNap4Ne6gnPHNtTpkdJUSo9UN/4Gm4c6ATb9A05UmVxnjZj892cW6RHu7Zx 6ptZg2ucJznGXaRJXO/A/ajYbhR88MheL+V1hrN796Q8PjRoUzbjnJUykMbc0J0sVY6t/PxT4moF bc6NtWUCUnEg86J2LVZfJWrAg660PR2OiazcJeoFb0g1Xoa6RQUSFV5ztSXxgAGfrEpOwC0aIMDJ WPvTcPgCAeONZFPWm3VEUBZ4xHGSoTQ98rJXXw59vrD4gndMrLumq5PSqJFomDFnMshNdlGQ0zcl tUCy8Byh88Vik6/s6Loe16azX2ux30jG/Ojk5yn9xqxDx9oG+myuNYpNKTlndofryC6ur6QOW5f/ 5xRV6jeag0tplIYgcaf3wO7xnV/uFUHtU/tF7xYiGeuLhHY5XQS/aboq3GeVSJ9vWroPBiF2EPak 1iN3pzIeU37dAXVw9Kl207/kiR2XWgwPpITnSDesRxQvHO4QNj7CN2M1rotC13YNg6C9InYEOTN3 b5mW1vlwGURrrMjeeSMwDvB7sneBvdEqmTV4fV2pMW1wx3KZsj9XAqN7TchLGJFPH8v8Acimp6pi 345qQ+knEPqH/HEophN/9a6vEMB/3wPXGAN/29DVC+sEZg7mn/dwQDDaEV+F07H1P3WNNuq5dkc7 JVq9sxVSOC6YsFrc+k8MmwWfkomd6llbmFlX6V/BCnaqRC/c1GnCh1ItWU4q1R+TpTiX3/wECWPF +2ZmWT4WtnjQ6fGsOkrpYkHDXTLjxzlWr3ZWmYiqq3TdiFZr9M42d2GWdnWiRCF4f5apTqPEYMQJ yLjWhVgAqwe5H+1hqOCfeqgZBazVDRWF7tvnWJmLIbR5aycta0MxqcE7KmExufdih6haiz5AWoyd +IWPtXVedyLMXwYOtz0JbkiScB1dQbGXQCqIRlRST9GZdoEqxk9dMicIHtVeLaawUu+bEN+AMHwB +71mR8prJYLy9xzKG4/oE3V4bAu1EtcBjf6f0ZyZ+Y6yr5LNCWbL9W6CnlRf+k987nu7pxtBvLvP b5TEWRTXE5HuSTNSFKRo5j3HJi13it9s8PJ9Umxo/b1Jy9VL/COWoudX0Wllr4KbBB3bP8+bZAKj B5EMtH2ntcqC6dDkwv/0T2cYmk7OUCH2XhlPkNqfoRTZb/07dj/GwJrAzL7OVnIORgkhwySOU1lc 0yGHwmJ0Ff04e+l6vnXGsAaxL598yExG8/9/BzLFWZnOQeTsrAdxoehi0AdKcC8/Edz33rcLf8of zK3Tgk4FXf+E/D5tfHD3Ws5xpe5zGADigJUEYYu483eF5PIL+j9JQxu0DSWUXGLa+0jKBVexKn5Q QllVWjwcNeZfAx+F35n2JqWrQzS+SNgMQ5XglZB/gCjKXE6u3PMGXaZe9sUWnkCDcfeEQogursNw u8SOrAkwGY6gNCCMrtd54bUp8Omremf2P1Kx1Kuy6E67Zi9k2xLcirm+xQ6FcZU9Yb0wSEai4ZfB VwmAON6xxkUyaI8/k5+phN+dYDlhjuC1FY47XrBcX1B09c1lrnTVCBfPI/BLV21XTffZaBxwEPEv AvtH/OO2fS7MSzXu5HjpSVF/9sHF2KTGID9vp80/S/dsAVYvFqJ7d+dSwPYij6Hag9ATbctmaF81 cC9qDFWx9jRpfA+wDIQZ4tfLkSA094hKwWAk/FNXNlBOV89G3zmTIf7YWdnYKf1e9FU+JiCPpDWc x1zAxlZ5B7L2vTFGCVo2CfjDjuJnnj1oif028G9bXWLg1ey3SrLHusOVLTKJG18h2Kpxi/FdM3AB V1z/2+q6Xkg3LI4uEQMj6mdRgXdjt/S8zqfxLXeDgJloGJfwmoSafKPsYKDYl8MGj+IZkPFRBHAc jCwzDlpQ1TdxJLq00HcuohiaA55BSQ6D/9YWDmuDBj5Rees82J95Fqa7GHIe8gLNmOyWFo2/Ruzy cG/SFyj4TUyp0jNzujquDlV8WsKYKCy71Q9zymMiYOxErfGEf6VXINs9Nj6grilCtqiOxQPiiNVD 6A/a/b4Wn8+S5lf6Ffg5Dpe7E6TUiaGe5+NhS7fLZ9h5YAuiu0rFoxukZjbNEJNixz7ab/MaRjaP Z466gvP/Gptae1JK1GU65L91wSQ8pbU6r3Xztt1p0qFZyWLAJRWAerhVVMk1iD/3gSc63vgWxtCa T8E+f6WAQanC9qtCtI2a8OYLIo372E6/nfsGSH6yRxrFhs1y795sCYd5lvRn0uABDoN9Tv22MgMS 1rk9+rGH1W4u8u7ag4Aa+9sQHP+EjHcVY4bn2FyMOzJsBzo22ZbLFOsG1MBCf8bIPFhG7GE1vbKV 8At5kBpNssiBTltFb0OiN2OVolKs6n3uqHlldrYB/ZUduR7MXB88qt7IOsVaF4m6F9daVIjb+Py7 IUSORtSazcoF9nEIxahKs6F1MtkgZXPn5bCsi/uXBTWN+PxePZWjbMl4GzWzd5dyTdTNBrls2o6b x1ZfugYI6qTPoWLBHMdVii0WfaWg/N0H8CHNdAFLVqyVJ6FYF/RBfgLy89v/GPvoU5X3eqGocnFA L7IEztELo5BYVIOBUzBUs8gogE440OMtEwL/b+DSglkYenaJghfufm15Fn1AzuaFgulrdDehUUFF j/GfmC0FpefLv1iKxSIp7Jk6YdVjMOFVPCin/qQBuFRUMHGR/o1nMxtieazR59BsxDRzChnzVgRE YfyvnhdpxSAZf3OSdWBT+KuADV/2SwvE3tJmcOm7gi75VrRlOZQBiIXOvGIS9u1/4UzjE4FHI5MC r89+sk1ZGmqjAuszSS5EvBFF0dZPtiTCD3DWaGLoFOFzzxmNKVyTlY4FUhmjGst0zWfpQ8dNR9N5 pG1eOBhd/ih9LFcTZ9du/YospBm+OvC9v+bboJOossuBbL1GAzp0+8vy69hUJ2GGh7mzCv8rYrae jOEsYhvvyqIsRs3iwzdflL+r8Fkzm+rO1A0bosk00y0/pEL0zL4eFEPiN506YaNkKGPRxJYGIUwp Vv7S5zj7Y79/MVx7DOVEK0Tq3uFg2nqiIHt/TcoKHRBC/Oczs5rswOPJbBnfutylCBYFxSTb6BGa yKREtlCp0nOWqcT27oBYMszn4AMtospSn61A4ktln8f2S6sjW60u5ofceb/C7Gs2OLCF+IlSZhNS IPud9J7bbwZU2Y6MSPcsImVoP/4JVFLOt7KN5ZTuvZqk1EhG3Aq7D8rX+9CsnRVRLhWntlKNOOby /Vv1xbxhVAJNyCta09TkzEo1nmmd58GMuyT7WcPuRe1Krsgxjzhkvmp/4oCo7DktqbpiRhTTRbbX r+N8qaQIhh/aO2PTwX2Z9fDHmOUSLOVE7lGxSNbNM2Tbn1E3JyqlNdqMhjNAJ+of0bz5AKPC8Sgh d9WA9U5TZIpVKHJVqR26OMlibwMq3rVAFk3nBILtO0Qw6RhhlzgdIt06l1H1WQvsRDCyszUh9UR6 /Nb0XEm2yC2J6rHfXf7rQT7+dJQy94yQVw00Q79FcZVNwWyD0ZtaWwh4LKR6GvgBB1HuE6v/BcoL KKGqCSKJXaER5O0KDO/UF53KWh0p1JF68Wvz/Qq2BC5xmUiD6KoOMFbAITE1bTIGZLSZ5O3zROSW 931gXS/p70HMdbs5vUOh7Ikt1ezvdKLE4Z6fkHEWGf+oxVDBGSXT2QWeMFSim9Lu4aYa+18ECGsL E69qXdullk5wcgFOlGAdbAuHGuXpIgE+WwQ7yPiXSLzdQ+Ao3I1i+y81zZZ8UaxxhSTPDtv3ECAQ ozOuHvnbHS7byMMPMcnVyFo4u31BUIkLA0haPjGr9BJTPBPlDnn/95vhShhNm33dom87Czy2jDh9 c3yn8guEkT9gKoNU/Dxw72WaT+ouB3BK4sQrkoSi/4bQIN2ukTPIwGKtMXjWQScayqI3OqwCSD5e E1EkukKXtl1O8x703WigElFFfY3bWsWHafcZERKTKZXq6/Mk/5MlrBPQ6bS7oCmk4G/4gfrgCt9R gIWG0Vmk3MhlQuQCx7ttczjxQzFrt+gI14sBcixLV7sQ61gw5CiGdI/sHLU9APD/LZgfenGY5Faw QEuhNOBWkbHVrfHRg6sxo/FpMooFOZ/TdFruZrA5FL0bgVIN1D1roeYoBnegbi48Lw+YhVcc2xIc SuCMqrUeW7Va1n4+A4180qZd4GBYujZJ4UpEEexcmxvx4O2WAumoQRQiQdt0KTskGfTd/eQVf2Cx WNNKygmTwILYYKdRxBGUWq1Pr+sfNawMIIZVTl7/rWTCq78GoDoXFhQGWUx/K1FJ3tOnOb0rzy+f bYJqqC3GnIafgmoalTHlN4JqZ0MaqiVgt2+cugBwp1b+APA2kKf6mqHJIsaOdm+r+YRoL+sNENNz 5/Bn/VDuIvI4hl1BGKiysBkxoFtxEP2DvFsL3fE+dUx86o6hHIECnyDVl5BAzVjgMP46eiHk0EtJ xIW4q7dJoE8tXEU9KSH6jEGSPiVbM7hVfwVMghGie8c8s7gyebxYUQ0Otg9Vnr9EE0n0Y3+zFIyN V8obzDydi9ru2eMc2xJvJBY6MAvqdgcN8btDBdnEf5ntrCYedUeW8jlCgChyzWcC8yAXz2Yq/DfF OZ3sLpIHt1f/OVeDhceecrBZA/60Ds4JxL6pT/3ovXePbegyt8UOKQRlZdLZjVC8y2/MJ1nw2mCu C7GqfJrzCwf05s0XCd5guazUGHm5nfVNehb6z4SfykWFiktc6fbNP+KbNcDQatmjlLmmRsN8oQqy C/OSCf59Whzlqygl+pLioO95eVYDvSKJnwflqlRemzzADRSxBWhZlTMtOw2Jjc8tj4lmbQk+ygVf UAt1w7US5tqGz2W9BvAcInktMiguuAiCWv9EKbYbDzIDUsSpL3Zcpf8dk8lZ8ahcBC55u3zgq7qM np/bJ9OANw3jSjDwKun38fBLVRjAbRkusXuOHkEx43vpan2X5OCPNyFn+NkpSbdH91AcwJf9fFiC orIjEcqBBroxTezxElPbDSDvN1HxmpNw3hnFLwHpu3OsWoW0dW+ckgQOb7yespcTx3h2nkv/SAWa 36MYuGEbfswz6ewRsQ72erIfQZ2yTzIla7LyWINgqTRLX9Z6zli+MeH1kufR2fZae/CGnYTx5ncn kRT4LM3kR9ILq83Q6fpvBAwj97tVwM/gNjy1smOEExsHPcnekET5FoucBcr/0O6HBEfkEEdWjECy guVuyxJfVJ+Dmij4zBqKad/nkFzvlxz4Q4582m8mEiDy0kSyOhqluAEZI1wP6dqqOxdYXDtH6Vij a7aczZqW0F3LVDW5ASFHGZTEQwr6m3/x+YbxMFVn1qAxK/xZsUK4ABkdsgqup7TghRcTNJEeEMFx Q3egHa9FsGUuI9ZldrqKxh6+VyYdLYYpTrCD9JKzwoTx4F/pLEq8jdrULShj5aVIPgFrn0hfJ6Mb 7CwvTi2rh8pBpuPBcBsUqo36waSD50Ap9mlngIL2BzoyNvVqjy4aCXKNdjzPm2u/UcZQzkFRU5Yh UwxT3ps4rRAf24yiEfw69RZrPfNpuJdfG/Sc0rQ9AG5w58DphGK6cDlTrtQQlGHLcKZNQZMEe521 vvdvFnEs/L8QRBB/mnkQD/sR0UM0x9UQYb9KbtCoelc2M751H3XUJ2t53KWSUxykliEp6izqAvIf WIkDN8VASBq8vt64JQRTqyOHlAg7p65Eaj8DcTlcI61yc7YXAj4UTADFva1J5YN+nZSkOVPBhfnB /cAIab+WapZEtlq00paMJkxxbYAFSSlgCnJRiPDTYnLmPtw4c//jOQ3EoZkbWGDNTDYOZ6Qneeon W/+9m3ZHYZponNZE43+06W8uFXJ5bGHlRLfP3wxIuJ4KWVnGckeCqVhYVUZPwU3ERFs7C87s4RSy tZjDjUX+CBIBg1YUIT7KZPk61Y0cXRrLD4vTqRFyy/MBUla1O73W3c19sVpkQkMZBYASIy1/ZY3S q0T2FWS3D9/PHCpg1HDG0J+aw87z3WCbZ9KE7J9074YviYgT2ADll12UN+0JyMgAxEP96HKVvzLI SLmx8MddGRJKirEiLPTHFbf0JgJKF85VXatHTbVpwWY3yWVtfByA6BdIANN0HYPdKy+jjUNmhhId 5ociYrxrL4NNxjh37uHZOtgAfyE2ZXwdYiGJRd5vjeVrYAE19SxozyiGgkfT6vGzx6sx3QjH0UNi 8nsv/msRDsWSb3X8RRA2947kx9ANDsA9c3z3b0s9l3KQKZoEOirKngPc90bvg/vU6x7PaaHBFnie lUCb+0Dd8eyM4jzTrw7YgctCQWG2xHW1AamW64FnFK6lprodpnsugU9+I00rtsMqCVk2whFWaS6D nMn1zNEJgN/HhP/AbSGk8wLF8pTGkZ0qGn5c8jdD1lc93uFGtfYf/+zI6djl34mOEWJ+ygn4opaZ qKS7+UmjUAXp37JLGTzPU5Bu9Xw/VbHCZAUSwegR0qsvns82PiKpZaVMJnTmK0HoVALJpPDAm2qr ElYWzvSYg+Cs0ihC6y00qsOFwKeagBu/ctHKbU17rLd9b+mZZ4/Q8ImZFxAtJvYTc5A19VDTQ+TS dRSF4Xw3cgpP3ripDEPCPn5y4JAYjH+dt4l1vUbWTG0cKygvkG9La2x/hlBXGN+kDCEikPTXfgDF X5gkjS8WKUlX1kxgO0wfCPkBmamrzjY0eRXtrJ4CQVn1g++HbEE2gOCtDK41J/PbgBOlRjpPWd3G Hr6i2aYdE3wblgMbIKKlOWqpRINrFu/3yr8UUeLqbzcU8Cfh2fLrlams1SjR5kmJDMScDiDMVj3z ACYcarvgrdkEYOYItzGMFxBBbAZZefwGmxpJhXaCS4NPeH+B1md32U0vSkmpDcQa30C5GZYv85Gb djumKUgwBhMBceSSr7bFI3l6dyhtkPSr9IL9ipGG48fwz+ZXhjrV/T9HShxXesKhSnqx05Yv7tZd T1OGH30/2VWEiLPf/o/oXnO7Z/4ARloVhtg1QrOAvX9oPQHPepZmtFhduIjXqglGLclcH5TV/Wl2 nLf/Kk56YQPRCYitgrPm3BpQ2kUqwbYerePSYZWhr4SNI1AthX8pkYVaLyDLSYby0Jav1xcuQ3ol BnYXD7Bp9/ZXZYu87Nrny33JN2tJY6e9IPLczCUjIvtx8+UG5DtIoBkvtIhF7YBaS0bzX08LIuTs l3gh9Jm8NaAxS4NXnCy+7J2r2B9wLEG9bItF153icPL3/SYdiRHBhNwncFPZ3/QAQHADWnwLI1i3 9TqyKC0XkO4f2H99bsSpw4TT52v9AXzS9eGqdw5a7rAq+Zs7uJ/A/LPw8F+b43RinaOYD3K+o8Dw 3WccuoWDNvwoePVnWN9jWKXPprFsv9jTLPSUPtFuW4tYEQ/6Hs8ukZJW75YOiFCccUyL3G1ApZgd Fg1JhjZtql5FJeS5UqflGkIdjyDCK/4LtY/3CTAN8K5AOq80TPHJnhbtKETyzzQfYQ+aGDcswZqV /Q3bjVzkT7A7KI4K1Cd+Oc4+F+dIjet+zcNZ5YDZBoB78xut+4tC3L5FhxewD8R93VBbY9RyqaDW 70f7w9ecKzXzJphQS4O2Pz9bUpKacQ8xhJY433L+8KYsI81Dfhb5WRfzi1z99p2VNzmZgEsJqgW/ +1OFRhNlLMNZsi8ccbAywuoCap6nASREH+TyXouYArvlNRQIG8D1hJBfBaWgC1SIxSKMXQIyOZZA HcCBn/QYP1lNKUFkrwoWQdsAd2WcJKmCtpk/zf4lapzsNP8/epoqAyIIl48f9yZdIPlCvXIBCQH0 Wt2lxemtX9pEoruOBs7g0Pvi8JKDuj6uv1eHQlVflibqzZpUOmYDWsE/VITv3b3ycwcSvlm0tz3Q 8bUvMnHM1u3BIQfKRO0GvzH04XUf8cFhxh15BfiuaRxr2aDx0xWVog6E/RsR3qTCDtJ2HBjUUTEI pGdjNym94n8ClvQbWwaiMP7Ziq0eXsMwiQlg0ly6m41pq/aS23mEV7GfUm+3vwpE1jL0b82o4yLQ 3TpNh2r7iAR/biqDR7QPEqbi1HPn74hhdlFrpICEqmQMJqTZetvPKNkRI4FT7XayJ+NHgQYNitEq CrwJvnOZGgwwK84rmsuFBAtvX1E5rYbuRI224z5q5G3u9KoiM0zlRskRKZ1gdkvCRshoiUdULilD Gjl2VH8uPjzTQJZVoirv8uDYE0YuRbJwpptXhlgPaSmSmCcG0yUGRT6QEDwUo2wDYEMxzzuFWHgu Yb1qZrBw0eXUCgHNU5nzq73blWPk5p/zCHbLKIqJZ1DCkFb+fUDSEbl+LYrkiqB8P1ym5c6Jh93q VnD/mxAd7FxH4cOaChP8d7BKmjers9VAsNoAcuUkNOHmlkx4IpTp+jBRu0FgJ0A8LL2/UI5tAOZ5 uMhE2stMi7RH1D7xZZDjCz/w1j1Tz20Ql6zOtZdOjtKYrpr4ufKNMI8WVmBci78ZwDYD7f30YGWP Br0yjiCIZeBk+gSWRsdfmPG7NSwUaAy+Cue1jtumRamHINQ1LmL9BHV20/Q8+awqQsw3DJ9YxULm WGdMmZwSi6VmnSl6jiOhCl5xySXzjDzpAIK4hE2WDo72vOTYvBkJMfiriGEsahVZdtXJOm87Ng6s eP5w0+rwiV99LbUd1ZoI1Rbk6O3TM6ZBw912iWKsr+qfDP5nqPpJveqTbnkWp++QtGUq4iuULWhy A5q1n156ccwdkjF1aR1GS7iQqQtmefAuVY5WDP4iF7dQO2xZ8pj8hC9tKenArv8vCSodHbeE326c WIStSw5dVnqpdczlkXsdBPEV2HQDAEDQKhq81nBz0GmFn5AMLdACV0+UTPFm3x/7nN93OfAqYawL iBnsqXcvURSxKI1maVQfCE512ZyclIkV/djyFejt+gBsloMbw8JFeJOiQ8YQryVeOJOEx0Dj6ptI 6GcQ3EbLnvBW1vZMfisZefSHFOmbtv+AaqyOGdpmrerS3xBXhvG6fyQzEDO5uhpiHMQhbJxjunFU lzJ1789InVmK9d8yC0fcs/QUPl0jyMiJpZHWlSglVhqDU/vAZL1dEPIJr2OLFbUHNO68WG6yysFS eDXk6dMxGxfRB+v+uHYN0azJIGAcfbz9nYKv759pV3v7GD9veEYQJAryL5j94u+YB2+IPaRPkMKq rtHFFCaaOMfwifA3zp/sJ9j1wIB86KKjA1lXOqThOyPn8bi1VlAq8JmlnJTO+t6CoadgfzNYPyFX 1QynxifpYmluxJgJloVYlrFazAxCBQfa3IuPNct4Mol/ePe6341YSDyBD74y8uzsqYccs+xnDTmC 08tFOkvU0SexB4YwFEl3/++SCBOT1dKfpXUJwjNEy5pbaKf9zq2L+ibjGgQVsP3p4mVvxxXGNeJj ouod/NeB6OcKxochmfgK+cC2taT8RwheaXvXLyDGtUb+e0qGA1FQR2sV0lflnzEJoScCqTrGvDPz dKt56OrOU+FfumJ0AmymTgRmrBrO/ED3u9+v76A90LExWYG7aUnnOCZWfeyoxdP1/d8WpQHlGcqH ZTILaRo8dxGE5xASho8CmbYLjQd2nbTApkP9/+TVdvEbuvRHPhvv2RDYrRB+lFmq60+Ks6W0smPT sqNic2hQLNDIC+cOtgABkywgz4vWoHFN2VEUzOBhHmnSdMqinh6PlflOWkn4JShF0ivJL8khA097 wZjujspfMQ0doNs6SO1wyqKmh9nm0BQWgXUpl3UeBQ+KKkUUFZ6R1RozUqcnt7fTKiP+3tXYHgCo Ma0keIMYODjBqbkDt3kOo2MBlntvZkXfbOUiEfpQ08KkKnndUVFBREO2VP0SgWSxVtoEPeSajeBq 6TFhFIHMFynDK/QRMUCD3IJTRRq7FBO1SNCDxachWGTvEaqOeK4hqEhAqVIzI0XmKgNIeSfxNgUJ 6b+hrKpUkF5Fk/l5mV+bpf4fW9ai5mX6owr7OOyTFrzsi9A2UagTvHdEfxuHlgUMmRGsjEQ2/RQy mvcLunwmYzKyKNQgrkAzxAt/9U6cDEkLq455LACP3i7NYfhjrbkykxdYf1kd7tvHac1fL8Uh9nmi oYfHa1iQC5OmKmqcstNWrK71YclJ2xOnF9HLw9YzO5SQWhVoo5c3Bf/qAgLA2glPu9ZJzbMDlrr0 RlCzid2CjPvaBBXDxYR/4Zw3j0vXQ17jo+tA5dlPb7DUZd1vmrN3SQxJoNrcEaWnixUVBQPQK/HI Dwz3wuID9mYTiNtx3u2Bvo2qA+kJw720hcXz+8wfthPnD5EXLYHlBqQ9+xnn4ZjF5852fLaSUUPz az6XHClI63nDftuETTsZAQVbvTmohqkhZLre7GC9CXb7YbElJh6Fs/D+lNutGVlAn6deNBlfd47b DzRHN4H7vKBHkXV8UopRyaomuoXphXgxGhox6yY1h90+e530fN92lMN/eqPWjUCobF9LFpYnNfLe NOU9A6ey1QDqmZTqRp2h6Vmp7J+dRo52LTFnkAIQrzJappSKPIZGCo4yraoFoy/R/prDcyafQ8i7 uka+fY0f2/y45L+0rICZiaE/cDEMIzGqOfbeRnoBfUUAw3L8cfbp2yjitgHzdhgH3nW62ebSj+G3 QF4VDrITwRJ2WcPIU3k3G8yjrYKW0z5pDizDQZ+cJzOXBBxcEgqKisEjfppYNcVJEnldFkkBwJM7 TxWCr++d1Rgwn6ChNBH49f3SWVFuOIbMcK2s6Nu9tXG1eaS6ELo84rQ3L4OBs6c1CkbsTgR42nuj tRqmcW37aDxxwmGdvGMs6TqXwHdiZvRULiZb2eLFq2Q6xkkO+rc2hqB5pgZwlVgNf9foVVh2tQEn JWTuA04Naad9mp0cxNar08490z/IuBr889tI0u2SOcHjESibBt+SvHfukn9MH4knxdsWtHe0+wYr ZsDqs0xepvqOX+0cMxtkS7yR+UPOPGNrMauYu+6/8i9Qmie6nzSEFAgWqF/wuqW+FnKZWVIzQEF0 K4swVnn4JxlGxXO9ECT0CvH2O9285KUZ7vcHzVmoddjx9/onX8qPrdVPVQ7WjgYbzHqdcuhbztxO Z2m7HLGSKbTMWzomooYQzOQwJItniOGxUmifyfDB2WCMQN4nvvleUvvG6xJznsOzGOujQBJ3sOOp RCDU3RBGYsGpHUsRWnkyJodWw1CGQxPWkC0ON3TmpvWEqjB1DYmQTYFo7R1ayvPCJoEWCYK3zLhb /93nMo5YXNyzZp3J1Zxr62Q6udtx69V+GTsx3GgTJggPw8QkkjS7G7qKxFB7OvrtAdOQ+baAIAn1 etsEskSsbS5D/KkkdVZiiXnNPgyD37DgigWLGdIM8U7SIupqArGrq2KC7J1aSYe8GHiogf3UhyMq VlJc4AmPkRJocyLoEj6nJTEMI1kR8McmrA7cmPTi9dRFKSXLP17Nrlk07Lchr7fxH6wvJEDvvdj+ JHBWICgZUS/u9JkIJ0JEkbEnlPPMU8ZStbwMFLnbrXiYouD8CUjA40hkm5OhHYRd9m/bt1ocs2ld FQpWXW/R6LluiLVh+3KkxyU7G0HiP9DcZJnXBytA07zICnioiN2Y3ppyluSuaLLt8Y+umratjA3j zcQDj1k8jL4x3/NaSZJupOctIeTWjSUkQHs9F/FTIiu9I7W+A1BD1bvxBxPOCwEiv9n9OjxWreJl vQJrZKvv5pq7ADUZ4PEcPWJTd1LjtT+eQVuHseuPQHM/Iubo852myp8zt8mz/9qXqdQu4TFbN+7M RGAnx0E5S6avd2J8+6cBVJ32dCrn8YadSSCded7D7+eR6YKQMhBlO4U+cJSZOmIN8icUqfJg/DZX 8g5YD5vbCTf9RK55N9NdD0JYLeJGi+cydYkoSB6A3LW3HpqnwdEyA3HA5Zdoxs/BMeeZVGY4/PGS SxXjzCN1OhzOC2dM2t2o+cWHDkNH2D3HOuxjuVrdoqCq+dfvhuYpIeV7zviyWC5iSqGlbux75zjD qny/1RvahMaiYtBgMOSWaQ6jY8kusjbnjFad/2T+6tb0SUdYjPOQnaty7q0C8R8Qg3lIpJqUhDVW 90FoR2ikJXzdzOMncienAdDT2Kj7KTeY6BuL7760G0yxfINbhl9PbmOmIsY/7dz2WJt8/rlHIE5u 9CCNFVuJawhlb4b6IQJsYJGCKMQyBt5ku84pVNG14dnd89p8+uhlWHRUZ+SwQsjXhuE6zKCnxEEf QrwkF6dg7keR5IyjkLPFyJjOk6tnPH8mcFkbKxj+wShpn/kvkpa+v/D4CNFswO7+IQFmhDRVfxEP N8SJ0B5JOrCdAfnGU9RIQw3+ZWUjTO5BE1ixUqs7NMFiL3TaM6LDwKtPYYluuHYKHRQ8SmZwfc7S T/isIyERCpGRXincg3ISQaMv37xPZA+OLtr68srZti/ycEDx+Vo4lFPtKOKzcgrQcyLTA3GnMIGb fjdsVse30YOTY5dVcktdkzLeWRHKSa0i01/i7+s2pV83ftGGIKvVYqeJEpG5+PWyb/1rrCGf0dZQ iRL9C4qPWOfcRwOx6xJgJTdldk+p2gqq5AUit9NxMmYCT4roOb+sNfwtSFid6LvBQe/jfJVgSv9u l8O6OV7u0BqwSAejFjYuO9OR4luhTxqST+U1RZVwkiWPh9VaH/T3b+9bbqr56opimnAqiRf4JDqx xvYzdiCQa7fjnepOEEmEviU2HUfAYaK60kSqbQIZDg2L2mibqtMH+s6CJ83KMPn7lkNo4H/jcLak RSXtBZcDUTnOBOGUsjJDMmrXoOZeGe5CkWFVGIoKpJFbWyi7sgeitSCSBKXUHL5i90PYzjAbu0Rv YsaKdnadVJ9q6lTKt4hBD2vB7cp1gUrzplXaMJNQsx6VlrXaMsEGuInb6LmtmdLVrEZwpMi8T77a 4qneh5pOfFCdrkhwlQLGlBTZqVexO1p0WKg+THNDS3Xr7xSOVWiWQI3SJ97OcVtytQqBz73JhXo8 dxMm/QrAdRC/aaXLAKYbqMxjK1nDvHb983TAQnnTX63IgQ+AU2DaMP0c1Eb8sobU2wZAOdJLhTyZ Vr5TOt/oyR82zP1LyyPI0bXmZDu4UdCs+5Ev2EkM1ORTPCY/bSgTwSvH9di/0pKADlieO+RvuOBR 6Wvcx4fGnVW7N+rvw3rWcwCz/ueVy2fJczimHkgj8FdA6yL742QnRClr1ks7yyzBeIslGVLGikFl boqHtZLVqhH9Oo9WTe29t+j9mNak2t7PutbxDuYozfNvLWLoEt+sH+DXgnw615FhtZbMpBWTu8G3 ZIJqVImaenp1vF0POH/JZTbptILTbnHsCPmeddL6oMlTSQSROeEn7ABYlD0Sx40g6v9eStm/Z6W2 MDVPmK4xzSl9XrLlZgD2hxznjjpmxs6fO+KUwaGUYm0KdYXLpklD6k/J00sYI8E6Ov+0Tk2jlE3J tqDXQYruA/O3sRvXh8qS1UX9iCN3DMlEPKhdkCGgUHTYecFLNbElW+23tkaKd5HYw7ncrvfBnZw1 UPCIFW4G0Nd8Bcjv1Y8y2gHA1Qbye2aRH4GZ3goQ2yI62pP/SU7Y/C7s+ztZ8a/2FhthttCvozlx 7lXXTvE1HQG6fNDKvddB2vHL9owREZrTJH50TqTjkEmTcG0YtecLjZ4vtNsNL5uDbBWAaDtrz5kz 19woURVKntQSgz3t6hpzDUaUvRL0bOgrvS8Gsuah+xF1biUvoGz4QHdTGC6FAQJgD6Y+qJEkTyBt aM9pzStyjN9R/i14wA0Pu/NkjKLfN9SnYOt80vM2V58h6XZuw+q1Bb4GL6OR+n711SKd3UxLZm59 PSbDAwAIat1hYo/B5qWK9yleFofGiBpw0mMgjizadWSozoOjlmbj1x3FqgIkMTqXbCYbtDjvYQHT WHzwgg/8CmfRjLJCqIcwLJA9/Xpr4dv62VgTqyZy7ROM2I9FM/3CLFnpUqy0NwPZM+muLg5COro1 LYlM7T0MGsaBTdM/bT1Vgs8PxLPYvSfdXZKOMR8tCLwP00rl16UKypvpkMAnQOBfVZqYBjKYQxtv TyfAGjffjkM1KKTDCCTQ0kUrebEqTg0EMTT61uKroLnjp2FQdnYh6ES8UV2yZK3PY4fWa/non174 j58HBXntabhTrlqJAEalsyqmPHOW4QbRN3bnMuuSGYPx0KcraGG0vloGADih5vqNebLD+G0Mb48h e2/Fv4faupxdsHh9oryQFkyHxB1QYpTb8my23ff1DPSwd/YHHpHag2yJsgD6Qr+wlIQU5YYuKL1P hVeUqWYZiZ0M5GDMiLoQxiZJWmQsY2lpVGMuNLqZCTwNOHQbmHLQFbTVG/ZMa86U6Kw2nbSkWDUf PggCUZns3/Gdoa/av0tJHaUFAdWyfvzLRqP//TfkA6lR4AMmxAtJ76sOBPfqS7IwX/1vOA4+l7Ou DtVDgkBDXvnkbI0cSMh8VRr6ry0oOU4cloMfV7ljc2t/aJwC4gmoMMqnsJrW+NxPEAf9uE4TZrkd SuyHdDtkm7rUCwMieEKFWQ5KEhk7Ylo/Q0CnImn3nv/wdAD8wHV92S3rLBlRyakBabneBxtQvOfj 7LXqno4EbV644INyoPotPd72wwhecjUtk1RzTD5nTVAKP7S4vWk3jEHYjr9cndZa8D96IyBuDzpx CdO+TPpsYUrBwRWxG0uRzN3N/HoXZRAiQhEkBuImrbGyMtKTmaXRSWypyzB/v8lIBO9KZDy9nsxz tgMaIqCbKfDMDSVKvvk8AwF2sNJxbqbdT9iwFSwcNK8NXbI3+sKYTvayR94vSHF8JsxEg3trw48C QcNV6ka4eufzsBX/N82HFfJ9Q+SGqU65kS9unwiQd7+tsYAcxq8YIsG47bVw+3CFZGe2Sevr4YEL qYp1Vh29Z/DeJDE8Za1SV/Am/nX80osKXfYRHhoDEW5yhEGv0kSWWreliOHbLYat4fdIipiDGpeJ njVThA3q7GJBt25+zCO+A8wv2tg6gWjbhwodvpQhvdAa19/tkXWEMwKKXCwFDIrM8LsuV+TtNIwh 1upFjtgC0iLnRVMH2t4nvD/iECNyRyb/vgeNfsV1G4ohsj7Egb3mUnHrhWJ0DFodSNB71omhRHiq qlwS/k7pFnfkDeBLmn8n66VkPPSIoUq0sDpK3tYFqK6tg7QKhPdvECZbzyy9pVjdkTIq7tfTOYNB /GymqdqSWIspaEyqhmLKJ7kmmKRcXcqgI0GoOvcElR5+/8I+shstaZ4QxMvtVcW3kK6yntG36mes RXqqHOuRCCfMpnKpcVN5K3Qfd7Fg7gOEi1s92V4XVTrT6b4LmJBWBipchF1w4K2ay49eokew9uHM UFow331G1reCtS4LdtnZnmwsQn8JInmNCmPpGYo6cn8Quqq0gcTKR75T18KN0x9ugRTBFQlJ5KoB +TNHhim6bR1dhG1Zg89a7DMlP6jsie3fE2Jt6uFJYi8ZGdqtjN4GnSfPNqd7fw8gn2dAWWedqSLm dLX5pzUbdqzMTg6kgm/9fca5Tqg9rXmykV7uWkeFfHCBT4NJyKWScIiP4RixIFEe0ZUh+j13AK4u VyV+OtjUOKxNbd7ZPFV1QkjphlM8MERuqWoKlUATgWsOaxDgnCoA8FKXzXQq+5J5Gd4V8zzf7uNy 555lT3hgLeP1W0Ff3BRnnzXEAi9pCw9XG73kawkCXTkVaP0b+bmHgT4OApcWttyCktQXavpq1Tmc koQY01xJ7erBZVActRfVWwQ8GhQsNom6AlUg0a2w9l9wATbCWU1v+KF5OGJOCH/CUHUu/xa7y6x7 TGEcphvyiT0sVXhgLOH34gglWTQ3ajt8SKucaovw6BtB3CbIhXvv3aUY+23QwmYsHCR52sPmCUff TMbTmA7Qr09KAWWPiBYbPmBNZZbZllHY4WAWrIcyJSf8y2n+6caNYt8TDZEE51HU5/uHkxoGc5s3 fkvIb5myax9nSmZ19Wt/+C7+a9+BukjkqxGCFN1Lo6f7csdgtHIZmKq3UmKGtzwcO+dmEwf4ee7D jPIpSzUbP3fjtbjcB/fJNBzLoHBX5DEPhug0TrdDCATv/RT+w8LlJ13wFyW8j5UP3YsVXwTucHpD RN01E4dM+15RJB34Zvw4HGJ4gVy6GMDFF/y7jWg0AW8eX2EjngGBQaCSL4n1xrWSBo9H308YCDma rtP2WCpKSi1JPJx7kOOwySiwlTIOnBfcabrVa33YYHDTrVwJHefxHpt5cMM4eIf4qw5mMIBXQjAM cSojTzYzTNWU7Cf6Mdr9he5wfTUVEQAqjVMglLOrskB/AmQin8Br6kD17JHOJ1lqdJ1X9rWt8Tnp ARkcoMG/E17TeOvtjJzuZRh7btmUKmb1bKkhKJMX1ZNkpxtdhcRs3SZ3pplr+QR+d6W8OVM6u/ca K/n+g19/EVONwlX3hV1fU9U9RBtrd1fYcUgTGf/D2a6Ro08v1B4njc9eC2VeHbDYFInAwkwHu7Xo JkgaADTcpSgZYcXG3NSAN0XAz+nqt8vthqhovDnjb2Whz56rNb5lXpvpOeLExbfgzGM5VcR6LL/C Vc1AZ7zUvTA6jYnOVJ7Jfb0j1nesFPhwpL06jhSRA/QaJ3KPPIey4nQ/HUjZAyK5GrGQ9XlFfZfa VUh31OKTZXkpznzmRM47p782lDII9cvGjJvL9WcMlJmaTgoZ48+TQ9IqZnj1sfLBskCn8EBX6IDQ ChCImlmregjSotSFL33iHd9ADmmQ3mIyBtgTSb25JFY7YP1C9YrzmEeZVmq8/IV2T0OqoOkLbMD5 /it27ypjF3/9/e5cy8UFBxXYQyIxJ07LVm65MhvaltxdYhnSysn532QTCyly3TZZcXD0B4VhsSxK V44HzfFIdPHVEJs48wqsuTVKn/l9JtiP9QX9Zcs8zWJUD4EbpGQqdof5pvkBBxJlIVPvFcpOZTT/ EyV/fDYc9lQbjApL3hOrCmxuJn3o80yA2W90C1ZyIrQ7YTh7zjckbCve5n8vMjT706Dqj0apo+ug xabVOP5sO9x/2RrRYSrNzyPJfJ7cYinaBSm3pr03GbNYt4EQtyUt/byg6Duq8JuoSlcY2C0BusUW 4kxSTVIHUcuOcH3NQQWoyECKaMNUttFjljyz6QRWwFO8d1eAhEh96jbNuvKQLudd5DqWDws7VAwQ ef7m+w0g7ot0Ny1nEQlbewIwznDrJXLIUZJ6YvC8MIC5M5BmURl6c5bhye79Hf8sdQY8PT6xjGVC pVvnqEN+T/I9fufz53rb02/7HkacOYC6ME920whaF3+5hyTHnFPq9QXobleZQrAr0h9XVTSjP+O6 vumkwpNFwgKvILPB6VnUFiVQLmAq3XNAfjsDmgpIvKDM5Js8qthJ1fUP7BkZUHSc5VNuRr0LOfig kUG34m6Z9ul6ktlAQ69NSBYYjeP1QmPI0XscVbS3EJsFE9qy4vG36n4sWtENqv5pzpUgpO2j5bnL M28Le3fILbvkdDLh78/Fsf9Uv+VEZlsE+4Aap9NjSDWR35090od6Z8KLCj47CFl2DZWG3sGgfo+J ozsSvyvu4xEc97cYDSEtDC2cDmaMxiSJ2/Xs99AnvYP1vjZQ3xP9zpFIRcV+aARM4VhVXz11iuJy GkGGmQS395XCckOK8XID1FD92M61JZU+kd/vBn3uS9WGh+B67fRSeJt0OQruvv/2Jj/Lef6Uhxi1 p4oDUN7TmWi0dn9K8FLx/jq0YGULG9xc8D0kvR77sqI26uds+9H4wQ+s6c7xOFrBGeaLeIV2HIsu 42TQu/nEe5zLTwWFA1qAmb6enmejAFzSEmJAnUmTpmAFwMovx5IaxIq2T6CiQEomQxOuBaTBFh7e M38Jvr9zdaZ7aUSFN+C+ErtEbKK6L4LcTw4UcGK64n9z2JFZ9qsa5fgXB3oupM5ZSoIqKBS/CZbA WjNdQtlh2/FYLkKxvrHVz3932qzmvLIR4P6gaZOFk7ghkK9MgA6sijccbqwxbRZMlW3Q+/X/KHES Xa1lzgyz3G5kgLXzayF/UQJ5OZ12Qk9GOOBXwwWNkj4dAwI0lQVi1RCR8JTLrywLNBEP9ioyATh6 za1DjL62eLSOtdaQBmXUwmPDIyMTQpKT6CU2cSyz1DQ+7KFt9HsowvuauDjSOjBn8O87SPGXGcJ2 RKNdf3cJHw+LI0xoOpdxUE1VxDxpdI5DgLN2DBsmnLxWvyA20Z6Dxtbcz///MbTANXxn45gZxTwF DrBVbTTnfxoWiD28ANCCFpxFfL1d5DJNuhKMXHfn5f7B7SXY1aU6nHeYhl5eWfK4AwZQQdQCWiaJ x0UUEHNS1KO9nBlAfT3wmTAvKcUtXGroxlL0lDETfGtz2Zpr5E1cXnkkXqYx2mRTthfebZ83n+Au 1FAWpWnm18XGORPYOFDCVtOtk0/y4UxPBY+RINWGMBY7Ixh4qtOsLbf8+mQNYsftfWtEtfkMHCi4 AxRDBnLi6FP6yQ/Ox/s3Y8gzfBRA7zymPMY6sPINfPIg1WECHYZICWYdQ8P2A5dN/M1Sx01kXbjM jlgXd0zQHJWK8OlieOdj68ZPWQPqR4z1zaFoUyrEBXIWWDHlRVdc8wWlmNu0VU5qjzzeEftabaZr FFMBj7BitR0or3+KbsfXl9lLreLrlZpeMvrkevA6hKEPorkIrP6PazfeZR32ilt0vALsrtwxDzzA VRlPkZxd7VlP7CuCXnx7X9WDy/jUPP1p15SQuC/Ui3mPjVOkfe4mmU4+ExCO+oQs00axxAeY/ov2 7CIvG6SFc131ApO4GUYtRl3MrRobM1fQ6HFFU6vYL9VifU037g48CIky2sNGwAdbnDAd87Nk01y2 RU3/CvAQZhH18AcpKqDjeQ0TSOZJodKoEZBbF56QXt+qsM9ijgDTcAWYIethU1rJKLIOwhxmCIIw O05xzdrgK6TuSnBzISmT0c/M3ix3T7lB1Uqq7exvFyLrtsJf2cp9X/8Wr7yKfsORN8fRKmxch5dd gLuGhEQH5bH3fGpcd4u3QIhptsPmgKwrdmyJ+NZY2HrZqfOEDDIejjsGY14vRoVNREuAquSMDcu/ dTcSIx+OoD3kGWicJ1jpr0E11ZrP4lvua4dmHntyACkjn+onhT77RUuk6e7Wy7STOMaIOx4vro3e nNyN7t5x/RkQXUKnPQRdu2sWjBtOPe0sMdyy+CRxVUkihUWFB9rQ1tGs0O9KCVw0gJ2Xs1nU9LSv LZEkY+qN84gW9giklwVVZEKNNihYx595fFvg32nCeKizsE8hoSohAeqmP3TMBzNYR2gw+D6c99de 0Im2oA7Wez90N1eWq/7RH90JgZLFm2kBAQGEeBcvOA/yXzgTen6bkRihs69rN5m2NVxLE3tSV1D0 InV5hPf8LmiRc0EJ6MhL6gSdIIVTHQFKGSxtUz2a95mU/G+j07JnKVdNPolgCwY4j/vv5wGSwTN9 xR/SvFX4+6UMHhUMd53gockf4ECV3YCJR5SGCx4uuWzSJlb9PGauL4vVuvTaeyiBu4MttNHCQqnL vNC5ayxIpxEsFEExJdiXvf3b2Y/GHqo+GXyCLOOH6xgF58Wepn5RSiEkBAUwbMfXunwgFU/Uo/Nk jCVEfzAKTUyXlRGImRxH45gsD1ElkCkym+rVL7lnoyXc39ZA/4zfn5kHLPpU9h4jcbr/h9G8zw6X KTxO0laLKwiU4gQadQSjYOgG7xRB3GV0BGuYjU9jjfPmoLMVu+1MJyfw/fWDcTDXHwybkGmvDhz7 pNLYDOTGl3ZUhDUSsZ30NgOVizENE5yVms+aJzyt5fIkIsoeFz9xXnzGP/DErXMmcjTU+U2kTzBE OcssimrPlbb4fbivwAefZ9Or/+kwMuXOkzaQyLLQPibpAcySkpq9Z0WpqCu91hOsmhAWg0NECzOE y6VFSoieWpFmckqAjlJaxqHx0krHt4BVksrP5zDJ94e0gC25bYfMlMhAGHVBMRgWU2FDXgEg04uq c8YWFhsCEUaIUIQwYCdaDcZJ8DwV9uvXDMnx0ekrIYuJJr7JfCHyjRMgFeqANPhC4ML6zVnQAcXM 5vOaEk2w1BFp2miAQ1kOLeD7RWNv+wr7ltjE24UEibAD9szrf4OIJw7Y/wrvLTzm74ZGHYzeiqc4 HnXU5XmuLSxfMruT6iRTbeBY1jFjftHvKWI5FaTL50q+P7kY8Go3hISNDTaLf8pdNliIg+D36r1Q fBWq0WYFkPE9v+su7gWbVKMFPTGWxMVqcQoSS4KIItq186baf52eJG4V+wx/M2pyekMQhGciXNmB dYEK3sNGiEMd+x0kfSKoG1wSSd3hOtF7NBx0T62Y6E/+ipXgzM3avRIlINa1w/TD3+6mrG5zITYh U3FYWCNT+v/IC8DH3l0FSfJPGLm3N7synf+6V+akqxJuYvseFpCd3VwWe1N0iAzr5SeGnxsph1+z OaweSVD20kV66A5kwRXK9ptTYqexvXkHu5BcDMmYaPaGtMoJ/znh0tUaspJ54bab02J8Dg6Cr3wK ysFh3lFkM5TcJMhMLiSsqP6oCNeOwnBjF79xSrWeezdtqvV1hnopE/fgSmmRwKABwNlwA9A0TBAy sEM3b3fnIIuzgbTm5Zbru97aiY+ZmnWtgHmQw5MeRyQG9/VWA9sKeAqLDMpVEr2hyuQ4IaO5FKXJ TxjsOAQTzUoS9rA2KhZsCGMf9nDL/QZbyzfl1RliSw/PkBGDbrsVBnxWh63HDrz1Whhl29n8waLt obo51nW0uycb8DqMYN3IbQb7m/40rXcrC3hPv0gEjntnkuXleR11iwnKv47ZrxLOosofvMnQS0Uw mEk+Tur0+Z6wD2Vug4xHPrCYgKs0tF0I0YVBNl+zetKdj5JAd8pHSEBYSVA8xMsxtm3cF1R/eVSn yV4zs9JlixKrxbsH+/rUQOVjBqgzBZIPD1QDNeW1GflyuzrxV8JtyyfaKmXx/XFGw/FgULz90ysp huL+SMX3/BwTJAKzSfm6MEBf5Yvk71CqV6WM+0s/ZiQicybAKpZWUce7lOJqsxBFemp7pREu+Sbo wXgSRwEq144O++Cszc5ggJQymYHY2wY+K1JNQM0JWt+ibXL/fNPhOdfANyNhtg4JpeG2LLUXSTJu mhFjfzEl67nXjreBsg3JgKkXlYjsWQDaaTqr27HcXa0DYXURA30fHumL4i/He7EHWtJRPvKrzDqj OPe35aKvaoKQZqgVng+++0O02LN3JuYcE+nTgeVJiCxLDmEKJgIt4kKMGc2oXv5aSsejRDWJbaN/ BskOJ0X1CYZmrA/eagz9WHxBIugdVcoRPXQa+3HNOjCQ3ozySHfBXNeKghLmP4RU+HiHlfoxKYfH xOvMN5VPwOwb3NXyc7OVX3Ag/rx/yCkFeS7XTWa1RNgUwc86H6ITJmuaGqJiIcgkh6nWi6aySZEu kv/Kx6IDx/0Q8BcjbSaezaCMS16ZxHdAtpYFsjjGabpi4m+URMImBYGn6rpyaOg+4tZZoYFLGh6W Sm8wPiijSNNrD/a2bea35mhtS+nheK3GfXnmivy1T9o7ZkVj9qyB4F1E93+psh0Q1uq8qfl6JwYd uYHNlu65aAYc+Lcv3YtdnI+9r78YGmnm+SwO13DxLB8/OQnHRcpyf/nGwwhl4Tyv8ypd6agNIqfb Lo4W+nKCDVoQ+WdsUtluDSq5d352nxZoU1u95lHORpsZw5SlpPAzmT9LOPrDd7QZPpVjlk0GU+RJ QB0oV6prsvs0lGRoJECuXBkOBKn3AFKHrjCmvqbzjVpDMagrxhA6qBe4v23FVX2O1jgSUL/zkqMp ovYSo9aeqGUtVFdthwT5OmtghjBiiRNimrDnhyVijInhBKXmcA2e9/mPemk1MuKl8boOYwS9NFRf UDhwwt2kOg+MYhVdhtDAgcB0umqDnkM0Pf3CTTJynMrDEBYAVtp4fK40hAuZdXhfKsFEXuTj7nbv VHlENiyVNuRR6GS5VU4taA4VtxLIDWU1xnJRhsIRaCngooVB7+nC48eUUoIj3jFcGPnp32f80JyL JO9eW5MEWxPbjM5MmuOcGKAd9p5agGulULy0yT867QYrTB2v5WgCPKQLuNGV/BH/pN1D6tC0qd30 /SHVPodMIsMTWrZa0I6FLr8OJ4NwTz1m5vBb0PBZBB6TkWOjd0SqDrKpAuvYEW8Nkf1mmcnTCFVj oigQaFJpTSHIOJz1VRIPqvoFJXbVS1TPhmu70db4cvHOyc7vfDpv6/0JgL/OqZHBtEBYNUECcTqi eBUFGf6KK4QB35SX9kfNdyjT1b4t4Ka5paHq2KMmjnVBSBw+A6pFfR2Il9DPTyqQQwlcUXtWFQH1 QKyLhvXt5ydtrYmMJuZzF6cZHTigDk4CmvN84Mf2xS+lMtH1hKVxRwIsxfMn2sue9ugsyjvDLh1k HaKVxcsmZjuaDyu085ICplv1WPH+tE3yLiqM5Qy+N6vRwMxi2CXTNPsLZangect9l+cHEFo8C62S tZrviT6QWNWWdbcs25tepIq6WqOuWM2q4pAnIZeUMowOIWSdQe6T/HFHBLH/psKC4ORC7AFrax/o JyvuKk9fI91kf0tXmaAHtbGeaupa3w2N4XjfhNg2tSwXxgPBcndFwmhsqVjE7MOuAmnRpO0ASih8 RBTv/+EvHwIfgrO1l2rB+ulKE3fH/Nxy4QqaliLgc0rL4c3ts5JqioK1fVGJdEdQb9J1BNF81R+K SYmP/2RF7jZu8LTvfx4hZbsbdJZrekd7Q1UXU41sCx5zLavd/cV5nPBdIM+9tBuyrx82WLPUhT6y 1aWdWwCdH5tedCe+mTgk+dRsaGTh+wOk8hi2MccyQJY1AWebpmrWx6z4RAyUqL9FZ6QHUoDzIznQ CgO+CEyQ1TPQSFShqr2n+u5wTxdK9vIwb8c8bizjMwZFlyHjYqTAA9gKgQa7tbOZrZYm6oN7CkGD OvlCt4q1Tpjhp8/qdzBWnwiaEDuwoWMgp7AWRGXfZFLgpXOT7akJiPHOCH9tjCuRcecEq8c9wH4a rdYsk0xjUSPCs6akwLpXyo7mTCoJoily+U8ryoyP7eh8ciy3e2nRoZOWvPE7WDC2mwq79DL2qDLE KgYduAlyACocMbcAwIk+e+Mjgx3lWZk4IazaNsRrDust5sg6t31EinXX0YUDL0NDSNb75YgSar4e MEbIMLgWhnGaHWQT2dFR9VGmhuVaCKIKpO339g9ZxF1lERKYS2CDQvvBWHJOaNtD3nh0/b6k0PFn 67gbvZhjTr6qUp+5zxKFtZlviK30G1O4Sc2SwmUQkzoj01PIs2DKIIUup92nOrX22jIbCopIFMgz 8NntvuRddYDEhzsMVZ1HTCSo9hrfPqJQbIfkARyDtJyQI0IcWHgUnrgomQt4y2YvomlxQIxa0nIh 7uLMofNW5uIsJ0SxjYx5UH4BpN+fPJ8bFwrZy6QNqanA6K4YJUcKIn8u9wj44V0SJNXHizNUXJQz rrNpt+4EfwD11+61hpgg5ul3902ZI4+clJNcYMa9fot5A0eHhNnq+0daLMLlbn305ACi4KHfr1Jt MU5jRjfDsXj2udr2p1s8ElduuLLQ2GVMqtJpIFgDzgxke/3EOZ+nqwpzmUhDMEG7QYClK0R5s4/R FLsITGGpvDq0N1IB3OeQnCyXd/iMG9MecrmhAlNez6pPvJqXsa6Asp1pUmfJ06/nSaXOStLgaeQE dX4tOOfE40K/p+3mi3na6Rn7qPhRCnl+mkevCiZZM7tb6tORhvJ7ZLPFzDpT5kDh96bsZKeG46bz tbftIvylnw87g3vLqc0b3AbpIqUBhTfFoPH7uoL0n4xg2IJ6NODdgOK6lek4IMzIQbuM8R3+LPSZ NV2Tn88gvNouEwgSbi8UkD1Za5AlUqGlqBDS1uS7VcrFfJhG8xiSrcYGXMvORSB+2JTr9CgXf5WQ to80Evh88F34mBknVmSl/7Z6znI6UI8Cd9W67IeSQaDcy58gUDwhI3MJi0ZRV8dvKFXo7Ty9Wqp/ vXDdyLj3z8QhV9en1mmI5PSTUhEmrr07Svo2VNwEBCci7WwhsggwtsHssQNi6Fn9Ove7dHN2G4s1 Vlbd2uLtkCy0RnPR9shVfhZk0yuJn0EJ25psXfX8KKF9jyh6FOxR2yI7kMN92o/nHSGeqBYFgcm0 pNaFaBiSJ6hn8PDgWkuf+YPhxgpvvFImRbjvAzNQYQvxC/wAooiDTr3LcQMvVWmuxvy9yjwJWDGf 9dfTQBsm1J6tSxxqc9Uk2/eXqRpFdCmNrsoFvAKK8ToZDbc8ccnaizRe0dEq6P209LVsoHn8wBLW FfueUM/TvjAdJTXtykQuyOtaOxE1F5C/dDDFymRGAsuiHDLGQdsPC/NwsDsy4WzLZt8b9L6hZBmF izTHc0lHCNg9uoo45jhEuF5qgV7U0rd073gH/Fti21zJ0ICTTOMAcmOGQATXaFNNoaF9ONnN1PJQ OSngcmTyfSHnafXiGr0k0iyy7SJs/W0eZYrK5L/HT+v7s+OztZHtG058cbcHVQvZVLINFewR8KM/ XxED+sYAME5ICBPUuRcfcmKXtqFA6WSLWew2eqRRgQaKnpw1t1e4gHCMTs1zEBbk0PkO1mGa/kjX emGLY4oTuPehVmBITR/NMqaZF6SAwNLMHyuD4rR0J87bUX1jQRaeaw/34Lmo8yiDJYC7SO2sdjER wL0QdwDVbHI8/d4xc63QmV8d/uurr9d81SIWfAfB9cYxNllDgJouYthfvbvSTTMWo8yvAiHOrUry haCWlS03YQC3evIvNcbXdMHUbBP5aRvbXPN5KugAlxdvxFHIhDctGOHvhQUK/yUA2Jf2Q+EIcHs4 m4ktd3xVzIPNPqbNlPXavw9CwUcN61a9W5tz/U9+/+Ajf4wGJo0l5hu7S1oI+2MOiHPPSfaNAfhv MFOfPyxhHJYdKQtJQHDaUwmOnSRogC2xLAzjYPanMyUQ5ATITfOEjs0LUOQ8Fi1EAb0mIgUWPuUu Nw6aRnU9e9mhvWMwerhd3lCTSCms3kJBjVwWVoKyvM8Fayy8Hjf+3QuN5vmyofrCeVHwzfksvoEO PvEWxfwQCgUTmXCgo77DxI68zmNsOMvv7vBtSnYqltPrJprXc1uVzNGPiQ+zDKLF1xawfv1r+MlZ KPGHMIDghqpRNJpvTlxayfP4TM4kqIPn9bPEPNt7bbWW76gkG+nH7oOUZFlu1AEo3sh3eNmEqKDk xwuuJAgOkSjVm6dozYb06rNbzyfRRvVAcghmrYTuyubXU8kpDt52AzY4ZbkkRWEx0O2J/GqvsKw2 8qsQkrwz19TEHUS0YfzaExGJrEv0rISDC3kJohfbpsfIkMCN8svX9sBNDH9S1jat/XoLMcmfnMM0 xO3t0ZHqgg904H5G4W6Jw1uTBc8mO0dlA1a0zrf12NkH4oCfJbgeAZgbe0NQaGwj4ouweQrPISKW ph5pydCQxFR2/BqcTyKIM2PBX1PddfmpyqmFA/v/fP0vLK3iSfQgp6qi0EtNpRUGpJPrZL0ev2un d9S/hGaX9pXkJZ5L60+3U1GaBxdDV3wPuHoM/SJ1h6FNV7zDTYGamCQ1yKiQb52rXvqq4I/4OrOK usD9ROBpp4u+vUH85BVNoP4im0VmeDEzJde89fdbZ7KxEcfL8IRfxESfzDnOGgVtJul5Ti4qfjXc l/WkxrDJQ3Qg3+bD3kZhNxG/1UuAGKj/gci28V1X3KLsv75MBF8J1S0pgBVQ0na8WQhbhELk1ApY ccoXpscG2W8X7xRsSncMc0k7M2dj2NeIAvf7Lslulfqlpta/8i7TxAAY+vMgwhxen3noqC4EPjD2 dPrD00rAxLxQCiCMhjC+aY9/NqXFVeN9dVRLKVuZP0Ia8kfgrbdVlGcpJ/zbJ0MAmQK+a85cf9Ic 8nR1ywrKAUHyVjO1/NBx/xfdyzIr6wJwQnt4kZQtifML5yjh51ttj1RU+xIbe8Prz8DtdMbkEegp 09LXKUL5BSO9qdx14Pzki2Hm4xR86gBXpdnAtEYQGdoxCBPJQHJCJ4rp53s+PDlkWNZFKxfkYvmC eV6Xkcrph9AGy/Hk77ozm1njPjiku6GcQOgYRhnZ8hsC8L96k/bcrO8M+raZFyhbJvFOtiuJE+YE xreuwWGGr7V3vvLRjhds9ZsKdCAnIiKf0D03i9IcbEKp5551RH0VPGy5VyUX5v8Nzo5H3EpILmKe LOPYC57avF47eHjr35gUKW9ZpVoVyelKHGjeGnT6dnbMomkASM8hj6Zbzm9csOSnmUBXEaW1fvAW jS1mhMluzYF6HIC4sjR9n6up85g6wfWZQuoiuOwllsyS3FdkuDuuuEb9BrrGDWNRSi7UmKHeEAOk 9wCWKtHB/+vQfJGgl8pJ5330oQwG3s68NcGZlQSPxeX3NG7Dh3JMs3upLM2HeNqIpVfnRBwDGyup 8iGPPhuaF3OSTPuJt0sZU2P5ZnqMnGiy67sWkDVJoVzhrs+e0WDGhFQ5OpEdr63J6m5BiOK/dlTH jlRTxAUYnsW6SlhK1d8/wQXNdSUecoRih50aOYsebk/CwddKtOgYgy5E6dyDZdteOMOQHpT8RqQT eI2a0Ls68MgTzfbj/92WYNgS2NIo6fb6yTyC53ChH0+oL9+pNdqjzflVknhYtIMrV1TYZ7ZMVl/7 frwbMwx2ARlpB/x0cGm/Joe+ZA3jgMKpYTHEv3aP0npfrGFr0U5TD/fX5YoWpQx2fDO17n1SuQON uosIB6a42+K3WWX9K2QlS7VKpc7F9nCB07cluQfqefX13tQzeXoo/0J2aL8UWsD+mn96oiIpEl97 BJ1iZKNmFti+NjPPNjZ2vLN+wAHJ7PRbuxOgUDnf41zv6ugj9R8ZKiXkN1ba10AzkmHkkVXjc+EC lLyPXo4AKPf8snvaj0/2HfEUBhhhv6CkPlUlWzi6+S9pxOVNYtROlgmOt46FznJevnuNksfECgc/ fTD0pE1SW+8j8Ui8+FDZaOrH9/ONUGdSnG5KXNx0ghbcKU9MDB6Xu8HrT1qK0B9SFJJclUcCfb9N j7iqcseDrQnJSUOU/r91FxyCnqUzOQgqrEJGpwbtTH1f/x6z7h5st4WWiMU7xjrdzK+5I/U+yE1U RJgCQATSWjvVt4sKYV85uLFjhj8cHDPAvAWj2YQL2Ga8qgUTGp27Dy688Rk5T+5YP1sl/sPyk7Uj I0dvU6Xnp0WfVA7DRNKREyNItPs1AjXMu7dvuKVF4gYnRlQRo496Yat79vFMMYPGk9CB7lSA1qTW BladkOEC3ythW1wlqOWfBKXQ/RJAnGyQ4zU5Hk0+jl4kI2yEc0Wb+/T8n7yE2pnriAmWlze1jrPU h7Il8D57Y/S4Xx1lOMr3pa0Y6a1RZxg43FQMHSCMbz9h67ZrQta2wFgQmF0a+Na8HE4x3Y7uqF6c WHnunUlw0NX74xhTTH14BZlarRpJh5sT1iqiCOv1h3xD2PZOP4W+j4C7KYEJNQWkp7hzfTe/Bc2w UXvtvsTrqYEezHRXfCgbhLW7nyMmWNeOY/Efw2V3n2k0EIDJAf+rdNdceQIv1/vj5wjrOqX/05ed zMV2zUgDeOZf5v3AcJD+iR6b/NIrSlI5hHqGt9AjCXgrpTtzDGIei18qB66fIFgex6dJB33NzAlq ngfO413wx5+jgMw541YECTQH1zO07cdTe9S0ecJ8z0NaZD0k3E4kqIHdOE6b50Fdk4JPlyxBQ7RH nUCohByKQoolj44YCFsN1Q8cEZOYr9CAHcb9Yqk+nnjAOMPR8JR/u1Z5Dby10jY7VoyCj4KoNQqf skPWnMbfFqtsAQ2EPKb2ZWIQTfuE/jw3fjBSvVQTDO45GZ0zD5IGwOScIxgJYwZF0pY5lI26ALUN BHVFrGy2oUBxgBUDUJHdZbHBUUNh+dgCZk8ZRUkvU9j9y81/5e4DIvwg9th5nJNg6I3cLXX1Hfjb TSAxzSJFVAuDGT+WtxY4NpIM6450FnT0EnQEKOTTGQqvmxfSfExBr2sDKhvbnY9hoUNN+sIOU0Dv Ub/l+SmQNX9AI/Hy81WJiIE4E0gL8ObSaCznEYaOviorrccfMIoWGg4SsDvQ/IGgL2pdREOe+Uny wVcRfWW9ogKuFWkQn4tt+tqu/2Sgb9xu5ABvoy4XPqWK5YBktdSir0TNLI5EwqXFeMrsADRLBZ3d 6cnNbiDTBebalbBDmPT4Bnogxus2OwV96LoXne1oV7jBBQHZzYo1404aVl9oXnWyMC4Q37lu8qRv 5YslZj/4o3UQMwkza7iy612b7lwWm7KMVq7AYVjJ0sJZncDMnT3A/TUOE4gfK8Oqmi8+9Ig2PHnp 3OwSsU0iq2+zj2mwfBmWwqnVpcPQRAUvGqlevXI4l4ZaYdQ0/UXO0PbQEjendNZvZKoW9VXjwNfQ e7u37rN2+N4CwnJ8UgyBq3vC16wkybFoJ4LT6i7lBPVQUnAn5LfbZjvOq2Nq04WvvX/PtDJT9cRk z6FTmdrxaSechsEa2kgpS4JZgI7624N74ghM4kCR71yTZ3XXH51HNzehg1i96QRzMzvAwaKAwb+o y0Jb2AARmjgLKdeiyQUXnONqhfr++IX48s0b1WqKRpdXiEJxMOgya1XydSV6wbn5AngvnuT2jbPG 2EUculwJ/xAnd2SUGentA6e4/QxwFRQK8r9FSjVyw4b7xdHkKPy5+vNPVLwjxnFKSdQ8ghBqTgAW frRXyw1/bgRgIsWD9tllQmDjwGd0peAz11Xp+pWa77T16wfvB2ubwqBodnWfNU8OTnkZBN14Hgqf ivA0OZc1ACc3f8m6sUXFiyHwi4xwW2W+Y+sW1ScUzop102jMQo/yZxPZyxTH8Tr3j3EWhJl0J8/s KiBj1ALWGSZ44e+2g2KP9yQQ3fta5VV3ulxZQi85CblGWJR+cgkKQZ/uovzlLY8bCtPz+RtucCVO O0PXT6S8+aa+K0CKB/3w+bY7s/E52BWjMVdFFcnx/veR8TZS+XpgY9gFVghvxrQSfja9c2hXr7Oi Tkq4qLPQDHa/CKF2f6pJ1IX4qI5ZchE3Ey8/gNj6nbDmrP0fPnw1dMng6/chTFGxZs4LGzfCu5Cf yXTg0UnCNSfRSws7v3YcCu8Fl5s88HqkWGZQvgaJTRBpin04eCwMGOxPLxitaHVNwR+mCJxxo+rg tUe3kr2Xbu10ncguuUWDZxiuXB7C1idpiss32hkjn2KJrWWT0xAm6eVf3QeywGMNQeJC/N1yJN+p KJmPw/HWULfv/VNwjF0Udm6ZhoFoudliCDr/N73smhNDPhrA1zDJ0Ky6UJHA0Bhk+CdAgfCtvbyC qgcecEultf/XyuvZuepGERl43jBrThlXG7QYvHZ6g58Ez6UVsFGZskfFQSaRJ19oiut8z4MfwUK7 FofarsKm8IlW/iva6t6oJOAaVLmUHugT75A1FSIBjEjntGnq2tM5/3CCpPVWraPNOyn5gO9N8iJd ltmmiVBH5diy6mIVYvAY0ZJNk3g1jH+Kp/gFuqJXh5icx3bCFxwVTS4qytbY3RhpcyS16X+3AAU5 0rceFVhE8F2Kc17aB3k/5ySc2884cYZocPWMiJfcJ35y95bzYc1akdBYRhubNxrZ4Wb1KCN6dpIG 6MeB/rziw1+X6gR6f0Tv+2q7NwT2iFVI8XTENA0Y/upo+LFgPIaYUoyjvhhz34EALpztOU3vZ8IZ 759XLj2yzXaINJAHh0ER2H1qnBuaUhRInAQcvnmRzuRYDSnIpWM3VK5Nxww7ip1k/QozrZUhKn3Y 3jivZUZB86lWh8Ekp+HbbnOinyogn7MroSTH/3VlhR/xwovFtyadorJ1SITykZpcBhiHwHOzAVq4 j4tnLw1W/VWAj4pR7rxBtL7BoYqC7NNwnuym/q+I0pvLerw+pIrdhVgFd28zxQ2eMYU+eqZsgEtl 5QX/lznVvmhb76IDVESSnI4ZDzmVryKHP+jVadc7WjlaQfOqrzZaCs4vpdL2XtzpqYXlS0PcYmEL CNUtEEwpIV4ON7xTTOQUvMz9TZ6LyDaLgyGiaprqRg9b6h8e/EzGTe3/maLxt9Kx1vg1F3Me7CoE 9y6Geat9yUgjWA63XbBKpmGSJD40ax3FSUqB3bIZqWt9K6xiRvbb4C9cQVU/YtCUURjHH8dBbp5A BlbWn+aX4C2RrrXVhoxT1RJq9oKgBf3WLl0ISawntWcYDRuIHholfvLNAb37igYpEbOd0B/z98Yp 1NfvbLMfXPmTUUpkPaITEoKMnxLGo0kX/f5kCRIIWmIeayDS+03pRttC/Y3qHBOtWLkMO2mBQUyc A4SAu41OI5PzYXoEyWEN38/N+x4hNvme03UMxAQM8w1c1R+lVmGEc96CxH8JfwQNYS3mcNuLc9Qr Cm18CNTmGPmNAyxEiNFih2nAPIpS7bZwekp5deaI5lUOJGf+PPREJjQH3oIntja/LbwKx953W4sU rtai5aaiXPn8t645iFoBXetRvNJP7cByzQ5zHcw+YsfHKblQmw7QmRIAXbkhbZimhmv9RH+ZccVS AH2OLiDtVzfWSsObUiecB/N0RJD/fnrKDTKdADq2+J3Srknw7SFRRU3Sh591XZtAuWF2v2wL1FEu 8vkh8BJnFYXNjAPx7WCrZoWcpDq56PFBFSIzEoU5tBvgHJHabWVXA2nv6GKmM22OJCKF+Y/2fpbH hJRuVK7WmWoYGbtuGcP+4KquHX02+wHnEl2m0yrcQoDxenNCj3nFyfv/JjohSwq4yRjChwvJIGOu lasZk07Ba7fwx/Oo4w0iQS/+oEWxyTAblUz87BojdfEdhSJYGU8JjwocteVhHl//s36EHj0cFw9c I2F6Sju2geQoMo3e0f5wMHO/rzrttmpeBCVwqA3rgYd62spO4cG1OgZQisq+8dNKm7aj+Vu5WssF ZzszoGWurjRSuL34Mv2e0mjgUFBNm1Xn8OihMbWC0YYjTUR+dKGsBDV1CDJgbn7XG8yugOxCPP1s 4lEWYyoh7MI5YvldJzSkAp7pBCNl/blGOd1ToTLW1u5hHCQn+MtjaUX/0iRLs1JaGw1o2mNGQawG Nfw5DmquALX7gQulUJS8wA5RlIZ/WAlTKQSUxspdlV7dhEErFGoL+hFRczqQEfHxRkaX1AgivAsX yqs0zMfqpQFSZjfABjqCdkdsGySdyFsDG7m8iNOlFZgm/oGUSm4v0UbyTe4/VVe/LlzC/Axl0lXW Re6Ka8TanpAcH26VsClpuv6mnDx6Rlp3ywrxyrngRgFYdEBb4VBySVza49iEWQK5xDICfeCpt+lq YOSPD8dVC/alcKPZtEC3PQdvQYI4PpiDZBZfz+rKUR1U7xfW+bWzIxzNJ4uvMNp4F+dBGJo7eyn5 1rBKf/Peq5vpgajxObqViSVuprKzhRPtxJMUsV9KWws0Ai0egkAOrPva1qN+0CWpd72Lx/DzJRKT bMwBfXgl/PyqYJ1uaTC4dKxQqwFy7FBAjSz8vNo14/LvHYlOvO8fmGcNK9Hal9GrFVC0i/6Hv8nz gi2mbs7grXXgeZ7bDcm4WLwewzDXf5yFnYqF07dQPjVc6nkMpRCKK7TdeLJRW9NDKTgtMcWQcXyz b78qdHl4Fy5oTDkbUvf3/SkD/DDdUgUd1T1SFAc6M+X7kDamtY7wNl1XdryrCSxJmBEc5oLaT8PI dnYQA/dEiDqFlWL6Uwpi94MF+bvm/9U1CIoPE1CaA9bye5S2omvSmlrBaeTcb39HYhkJlsZSYrRo ZHjr1PSs/wyuR102TyqpQWnKaO8dxAaBBqVCFO6urJj4U1i25HolL7H/qhS4tfGL3OR+xDV0m0KM mqjMjs/7GakTNj5LT8YBKxbpdot6vCHIPOjf+BalpPira1xlUaLbtVe+LOF4/QyX74OkN7rUtNNq T7z+fCXig0HfJuVZ7K8RFzchVHh0Im2isAYaiG3eYhtRr4/GDPgg+Q9hYrR4V6Zj+jMQkUJL6+Ia qzqiHelxAhHSQys16h2YMRRIRoxL9XHRrmNSXEzKJH5uP4d4Er3vOm/TbpxyIdMiGy021xLmNLv+ qtADOU5okHOx5g2v28rly3qpVUHzCyCNTO1uXoz0SKkYxJE1NTI83/+vXcIsLdzvEMNtJ+MczkC/ PNFqjvm0GlKAVgOknHHXU3Ukj4Qp2xK82l/UUlMRj/8BoJcxpTNMufCyhInQUHXa43jMmRIr8NCc CibrY1YRMa52236nGu3i3aGkxo8ZRH4LAIb3GYFN4G6MYq4AGcDCWjoA7Oep4uEDcCI4a5jMHz1C qW8Hqy2XkgtzVvsD+gGgP+OKQP9VtbOE77oyPPNbfjHkF4qcGhxWRKTtI2Ibv2L7Lfsg9/ZgHxcx OLfo58Mw/kIzTeNb4sBJY0KDBKioy60zj6qmXKXYILa4TjeFgPFS+nk5AywB0+bNiffeQQjKoSIL hGH5D+3XkViJMbgShVr4lg5fEn+eQLy9gdhcDYsQRpTmNqt52MnkKUfmXirPLhQiIB0pjUiF89r0 2ONbe8gr/PdGu72V153PiRNP8DvAf21qs2J0uTHTDmfFEfQx55yz+QjEzyWGNzYtraHC+eu7g6z9 OnlQtTTaYLkfGWyilGGsdwkA/ggfNqrqVq1sxYVfwcQo03oanxx3XxAZwjNfNG/WoJT00iTRJOug v5kOKk7dSTyhQbbXVudxdOhLK8Sztw1Tj8+O04rKAnJB5kJFYE5DH2KT++mGHL1MAIO3NQk2nfsE Cybn2ZhD3qIooVxtL2iSjOsw70KaCVw5+ei9LBkcvWAkldyU/nQLcBDBveS5y0CfDhjEvcgT9Gkg dwgKOxvkTz9zzVQG8u6M66tOAGDxlwsL7kqyRnNuOCjSIa5h+1ctpNl87OaYd+Uo6g5smUXn+XoE kYo1dVj+DbBYEju9gnrSfW/i3IX0M56iC69Jedb4dUaH+iSKYuI925wd3oT07skRvY/uHWaAUfIB LMUSYDTAhrso2jQGg0MbdHWZzHbJZNDAm0EHr435tDH4UhVX30YNKWZnleY0tfW3oXFLUjxbGDdW XK6Na2LOvBRS4+03ypI8XYQAqaU35izbso4btC4WukKYfJd8yka7yZ9a8IlXUgiAVrhwicEOCVAz gJyQuSYc+Zq9fYM1QSQBGRjB4aon9OSfFLy1nnVtSlR3+G9NK9UqUcCUa2L12roEl1dhNHqPRL+t x1DDFFZLDx86LEP0EuvOo7B84iLU0WJV7jGikauWvp+Plbmkjw9ZPOClgVM17UR4LKG6aRCmQzwO 4favnCyHGarZeSXTG4QUHsK1mm0+zymdTi3FzWYhS0orVOFes5Xl9OdVOjEiev9a09xPbDeBDnDv g/GfiARwqiRxayENnV4BToq1PWgj4bi3sXYqyjoT7HE1X+DbUDrP9tIprtq4f1vU/mDqQkfpKM5w 4n8HKRv4lnYue8TIgiLTPwE1z18F6Ln3s+mnf+yFnxL1KNXIHsQJT9zirHX/Bf+V2CbsRQ3jmEEy lWHDSyRdvfLNJDwlAFgQt9VZBSlbOOESnw+RX3OvQHFOWIHvyIZZLOoLtyk/tVjFeFe5QlMC8Fnf 5KOrlfYd55lmKinIg0qrgTYuLYdGhKHD41K7bBVXn2b5T3WUEfHE5xPdru4mzwpRBHZgN+PrkypX 8trn8b+MuQ4zhPnte4BDwTFVIOyxXO4tTSquH/teQSuV5K17SwGrXUlXySonFBqYNJXYy+zvapPg G36t2jHoNUGQJUXfccTanJ1nHOLhP+Jy1lI5unqdVkCIfTz0HtfbBTSKgNFPyN8Mbx+nU4lVsvrP 2yVdlxMnm7xWTvIiUoQp9ytDIQFoMb6dDe4eh+TbYEIsv9/SEFz1UbmHpl1xKXB05cuA/kDbcbsN I6kSmDW/CXEasZgJbZiGp2BR1RJ9gnhhI1F0UFwIqcwNjR76qjcRGF8XBy0+dZ6g29sHJ57n/JIS 5zyf1EuT8QIB/6JRrqHEVczx3DAs9juxh6BR3s4HlGBsGHsOviTyQGVqd938uYpz8RvlppWh9v/9 pxJDzXjMWlY9wCUbogSQ8t5rsaH44WSTbUF3myL8MmaZr7/9AY1p157DncgmDlN8l5ouloPMRTwN Wez+t1d8OCGy5WTQ66CXI5rdXDPJcBUWdw06zj/1jJvf5ZKsOn8uIgGkCgGaNAY2EBTcvhV/myLk E6VIM5OUnidKkCM0rnUwDUd/X+SVivQWfwTAeTPxvgUtzENhvOz4as/TYQAWigmZ4VchbT4PvzON B/p99g9nQ++3opvMj2i5EE7873ACjOl2MTQQs2ypDT8AicHXWzHfX66tUZqSXB7tNkZRhswqBhbt 5VN/62xUfaskRHG/QPn5TzzmCWcSY4dreJDgcA8bI1WJJB+qZyvp6dNVkQttFx/5RNtXK8UTrJJt q+xY/UDjK25gTqdSXPkiiwMGh3WrIL4oa+b1ZeayIpqA8cLhz3EIOIg0kqdTZiu9N0yRv9EoP4Jg GZ9FRmNOisNm8C82J2vX7xP17hr7LBijiusSU5gLwMiM1yFSVW4AZ72z68lvExu+6NnZSCnKc0gT sQFRz5hTRcOccsv4V7za3ud/ioQI1oEygUucNwmsKEpRD69RcyQuEw8fOjqn+GOBJAbXK7W12BIa jsjU/nAU4/QKPZybFNdL4PPT7kZnPgV74ENAsOsk3/i/FvOi6Vu+9I1y1G/+hsCseXZzf0w+FuO1 mJhtMs6PdGzN4y3cszxv8JGbjTsMunsQaLGl0TK0qnb+cdngi/QhY9uE5eCbeg1uUJlj+hhPoi1+ RLIVk5wKSkbUaKPP5XsbJq4QyMyUcpFVWpm0SSwJfDYUoXB2J277tl//2WeIKMl23j282yN7ovXX D1tJThjo/YZi2x8G3FPnyDjxpsDx57DAjfAi21JnpzVTcfPXKcCApD9EG/lmEM0GpnL7rgMxy3at +0/MIJBULfAjMHV5xXQGbF0LZlYg/N4GvJZiUC7jaoWcGt5Wgh8loHNrWUI2IlbwOzeGBMHmvBs4 z2Albs9bzF7AefzQtamz1N6ftCNBzknBmmmzFo4pT6wiHW3AMqPqeEhZZnDYtmo/76WF1ac8iPeK ZJsjgXUFOhXCkeYFuQ1+ciovVBNOJjdd6FdZOFUYWoOB0RrRVj7L6AtGQLtVVlS8gtX3Hiq3/Rjk wtPL0bMOLaPoVfOCGkMKNdWkPFUNUTshdvJ+v8Ggo/VllEbB8DuZeDIqcndrZrMsyFEhThqfamY0 gKlAjWcBIyYVddNMv6f2pySWyNHlOoXS3xlDR9eNbMeP32OO8EcfnYSgsEyJASbE9bju3xJ2Os9n Gun4+JfnYitznMxlZ4jbjNSQqFzo2jIiL86SUBtRmI06423fzS/nBPucQDVNZqPHGp1Ev8PC7rWY 5zhSMrwsf7zABzKUjajfJYN8BM9yH/LmzOwltHCOS8w/XlmjZMUHVwtZJvvZ8ASEGUZQ2z58QYP3 NJgLMHnGbo0ASMRJF9TbIW+5aw/1g+DGvs9uUigaDAyf+UTZAUvYpdlFnG5Cw02UI+1VrucKGPpF wFG44PRVb44MsGnlRGGxOk17ngutH6ZSg1fCaE0hdsxIEhUhxeqJwsu4x57F+bi3ZkXE5pkmYLOF sbUHaqKivfTs4QgfSKxYbJc9PAd2ojMmPUj3AueDd7AsG96ErcoOkoJIi/Woe63O4KOrLP9h8oKA jj45dsV8xVeAxanN+xBGcxh8Jtvlk2L0WcKyXyHOZyRdBA3qyIJmgaq3SPffDiP7EmpGxAKwWvWl /V1rJ1Cy71SYWwtgYP2SWLPYO6fsYZh4wIgmjzSjxw93EQicEiSe31uJ2PfAsBExHZofH71+LK2p p2wgf1i4UqVSWBkhNCYz1V0nnHTbBu2DGwnoOCGwCFpxiFSdRkvAXExixfFqrkC6b5SMSZAe7nd1 wq8gAGSjoZRQoq95BEORnJAgR+FQq20oF9ktxvKok3WtKs9q+kKaNgIqPlOqNMV23xWUktn1f5zU rFjp3y/6RxL1OhjvczXjgatmWxchFODjilOIOGzqyETeroDJa1PGuLpncqKMOcIq8hKJUsYf+bv7 X9yME/ZW1if4230j0yp82ESAxX4JnzbIrOmrLqD5/tWVKnTM4y93jVgV7rDVAzOdv24nauE+YxRl sAP658dMa1fdq1XiLgIXazlRjo8hOfNdVFMBJij3okWuhLB0CX7TU9OaN+l0maIvawOItOB8uTJ7 cGkhsfs8HappPjARDqjT3K1YPdZBNYDmUpDz8FmpKKjAggAc65QPMvM2HSNF4dZadmYVHBXxkfx1 2pkDGLrBz8EhZXRi9qg9sy4Zyl/M04U4Q36A5+SSFQwvH1gFzNakQg6OWF9KjA3k3LIpCIY7q4ni B0TBH1rhg/h2gf7ACC/eZDE5Ae0n9qpOJxKDw6vKtBUrl6FzpidVIikr7HSTjCV+KQd9aHl2ZD0N fpcIW2bPp9mBUM+7nTGavDyU5W7IU/NYAbCggdmkyv8X3PrHNFSulV6JzFjy3bD82unmiS3VqPBL p6I81jj/O2oYT3dzlkJDT+6IcsttxURYF6m/n+PJ3CY4d9vy/w4IOP6WMhX1WixW4oOIgk9zpDJ0 9kSJv3WDDmlW5DgkYt4kfj4kmU6U8cvW726qJdUbTd4oQyDc8xmGzmTDgq95qlNPZDrduBih7tgw mpXAuNMhWDZSbqguTsz1uWOGWIWBRrUd5/vMAI6feCH74I6e7GMz3dgulz6gdV6teftvZZn6mQkB WDREgJDCnP440f21mec2bGZbdjBO3iwmjkmmG+b/nM0YIrMg65PeD//w+uoZZa3y+2/ROLzUSPW3 m86TCnkYGUm+bAU48RItUqNV5YOTQUCu54c/vxr6lN0NMWULm29wcWtWf5jc3q9ZhOkczAWpNYXT zMHlKlSYRWGGpRBqSQhFz0wrC6bOBt7AkekwoK0A/xHGdclwfs9IK3kXnUHVeUhUDgArSdNf1E3E UeGDGjmAPUd/AkJAkkAE0EE8PhzieVxANnqH2FdtVNwZeYK4Odrle+GwHXs9Iivdpudl0Ct4dc2G 1ub2hwo3AJPM9UztV8YHHGRNHYmzdSiPpNdBtxNxvQlZ12cT1aqLPehSrIHuK/rhtXo90RB0zaXO p6qtlU/ZwejSQYU1l7XS/9nHAH7MjQdmP5IfslAeeMNg8VWYaGaW0jfexnUL2A3hAGL3dXUIR5B5 id19iv9EkuoOM75GYJKfZsfTglW25X7KwiDJlMuQFDZ6Xq0OSo1PC9eP4IxGQWs96i76sjNh3Mo1 i/fUB+YN9IqASKYIwhIWWDN9xbZdFWod5qxPD125Z3ApT33BmcMuP3BgjMDBlTNrwh90/hx5IKfE zIF72NBvo7CiJ/8He0+vyniAKib5eiAMvK4HbjScUvl5uNWne2JYaOuoCBW/hlCAbRz18DlgaX5z Ow3Qp1puHvQGPqprys369ATVxpCm7mhIS9EFJIFG183XWJGb9T6t9xlsvevNQ/d1sXpki2+dAaPJ NZpjHQxjbg6cXh3rK40n0TcmYLTZawmnWQGPy3Nho3dQ5nDyK54lsP1hbSfbuSMNlJj0fyODz4DG HYk4AYFr1YFiapksh5psFQRoKQv2UI83ONWpz0+kBMnZdoJRnQsiVsDhLITjq/T/woLfDKtrDxfW Y7ryr7c+0tAujgmTPrHR3ICMrmlnIuk8TykPrFk5ce/CYMzwVIv7SlheE1uJdKBxKFSUhQYkONsa Vprp1PI0VT4WbRNh4ERFUqnRX7RBGleqOk++hcqXEPfclmdn3fzmlrioWijbNMp5XMI/KBYxMb27 89OtDIMbjSMkopUYFAWeT++l1yL4U3HFOHxQe7DyvYdBsxuOAXSecy2pzmX3g2vTT1lfOgqG52WL vYs0EELuUgDJ/O5CPqk9dRnZzj7Kg95Sh8uDZhdGJuZbZBL2ygCEVhiZjrV0WbR2wwCjElpRu6Sm DTGvKawifaKjBY4UtjSmo9YEAndOT4dvih0unOF0BcQrpp8Flr5H3aAQODqhEjZOw6kljXZBxRFx YXgBgwdgkwCrxTEB8ENi1u67gIQfKdmRj14hP5oDV8N+YlgRksQaSlub79bD2uuvfw/4OtMfnysT Hp1viQwsfz9AMLZgC/C1OqTj2M84lwjhA3/Vsy3BoB7THEcj6eSQ5XnQnYNvRFNscn2bRliWr05U Atyt3R1uEHxwAC6ME3sssWltkVRCPn8V+yYEWrTBiRHx65HcyYxUBwgijYmL2GTCHTkuEIqnDSIo PeiUgYJ+fcPj0duxiL41UJ3azVteifl86IiAJNp2oBgIXN2CV0VEPobXguT16FyyXwwkPqfjAVvw QF6TMsEl+T9gz/dBiW1Ow9pY1ZYImnacaDvtClwWuV28vWSEuAkW70K5pgIvrne+OCTvt5p3WSZj Be6iXLFMWPyOhNegIdRM8F0QINbksK+yxs54rqb/G2GV3n6ot973nKT92vIdEqWZPNSGqC6f8295 IVpmbCt+58SGO0wRibRZ22mbBX6m2u0PHy8ByOYktrNYpXb7365M6jlVADZW5HSTEJhlcGXJ9K5m YQu8WZDwKlxMKSTxznexv/7ho1muhvplVkThLq0/wvIviCUqBQbkZ9RygDQSTm61Wm8O45ubybYU X6JC5FE8pUXs9owOJgiI6UoqXgmrpObdbUkyoeR9eazr6SJbOOdK7cLFrwkZtPLJie4q1sTBTTAG NKWQCisnAgvdd2WMKsiD/35rJviE5HQ+n3GPvno+dL1TSICyNLXty+pwpr9UiMdeN8gGpL9YVB14 x45C7ZByMreRE8wEiv5mb6923XW8qxgAkct/ehjJHobGGmv1TfkSGcCpG3LpNTmlcx6DpCHT7bV8 3oByHx7w/6o7PHyplQ9N4W4F9uPW7RwbZl8/smKK0uCoV+JKORK3u/TLqfzH7oUHMonuY0JQLOaR dlJycPdKcuEIq/itiGY8zbZcJA0KgT4ooqf2/i0c2Mp2zkRgK77baCpbaG+Cr4Sy6jGZcP6Ntrpw lpKDFxlTP6hNQdUfb/ckC3Nxtapx9k3E8aqKyXHkMJdrP25tZGN2pWoGoTJecmgII2vTrUrdJ/r+ IO4ZiwSfbVSVB+bfH638x8XYJYfWu+j8VvW64dEzrpBvJDwSVAQkcjNGKyvz4sa7Cwr8/VVRR9ZI JUCrYx/LGUmyi9zCNUYrBKIwE48NS2J4QS69GE0aGdRO5gYLeg4QHFDCD6tAgh+6veEgzq6rX/aa Ioa8E8LXFBQyJRka1OQ3rToSFdzZcmErA6tFEdYPoQo0xJ4bfq+sHKDOr1VY6OjVVNrW2IHZgWFE J/PRvaqrIsj/QRr3+/pCglrA8wKfGQUg3uDyqBvmsb6gI2d0s1qXQ8YhXIqXjcEBaiPjWy7IBYx0 HT9wZn7+KopKxMfn1pY6nrTvs2290y8PVFVkfuFnAxaRFMwDzTvUBwGb0Mtnei0LkFX9t6jJuICb w0pd/PabFG054t2nD4PMkjFVE5DE/RS10kgsv2ZTreZGQmBvTpGmh0w4Rsrvjgj25MBjHgItornr wKhHaiTsFavt9BDvlod+nhq+tPxtBM9q04aRRkXUor9MeC5/kEkWuZJGLawHddfRxykXDOqft25F ZD4o79TjslB6LzwHN96auQAmIlt6eOn9kMTsUmvpj9G46M/W5p1RYYFgNfJV/1/s6HgtPSHIQeOI +ox3ikdQqt51wI7n328v4pT769S2fZC1PCIqUJRiLTT9tmmiNgo/c8rKNXlZtEYu2Izu6vJKdpJ+ URfjN8oQKRISwq+joq/3d6L/rWJGMEpicyb8MgiC7PVVL7B1z0ymTmF2EAV1lLd+wUjb3N8+aUTX QFRNvAo02QEHcl5wXvNPkbkCIhncHPoclTYHkgyaKLP+faWBFEu7bLJbOOnc6ICIbch+nVYRs/88 YOI6Cec6rMVk9Ju45sXTTmIlaBkQHOg5FAUXpLGLlWliwcmZzl3svsUeZU02kPfsd+wda4RxA4S9 qJSoMBVPj/JPLYuvvWM6hLZ3nEGPaXBbJRUhYJpEtHTHhJYyGE1cJi4qsHF/WkzDU3GIQ2yms5cg OS0rDBW5Nsh9nPbJ4MazQ7oE+Q7oAzcBmoVqXEcwVbuLfyKgOt1pFiDw6yvnNBFKSBbNCqesUFAz /x0KGiNhjs2UiqYSaOzBiFY3SJPQrfT6hQcwxOe/nQDwMXYH+5Nh02ip5bax0ciwlvaYxYDNnIHs JVdcxKy3Pxl46GvrLMSGspwjJIFbwUw21aDFA2j/X7bSHjYtw6UJfFNznY90UwfRHNCazGboITE0 uFNg10OAOrwGziaJ/SpeZeDm1ABLgClpUjOFg2SpunfN2Cf+5xM0RnR3rOKQ9eMJBs/owVDDlVpm wiO9PIXGLbhn6QcH11kvKiuVqE5Ni8H/SfDHyTiQi7VRpGG+ALofDx3a2rSpXxwXquLoz6iWjos+ ogd+gvpfZtD1iU+NkWeHvPTse9T1YY4QqoC0SwxDeeS+sHqXtBWolmaNWrgJEpb+TL+zJu/sipRv wIEjTYSEmkNeqxx9U1JB4PacA2BZrSpR9+zN9zZaNlO51ls2KXG5WQpBYQ8yX+uYAg7JSbIoPYnc jd6GBLdkXseDHopf1XEBOaqucKZe6BHW/q2LgdmM0ce6wgWAVOt6vdRZ/b+b8GLAu7OAtJzjce24 SBBjkDHck6TakQHHHeWrlMwo7yNeH5Ck9G23FppRZdUe7Qm3AdpGptubZjEsDM1DYpjxHXiNdX38 ocu4Pg5NnROyZYr5q/6Eg/uRBC4uaNWjJlbIzGa59Ex6l9d0ghauvAR0P7+N2DD+267jNN3/IVm4 A/BqEqWY/2LmlWZ6WnmxAhnwvxf0SwTy8SU1fbZyKY99a+uZMru1olD2Tq0U33awt06FzM7CVhBH DmAahb3gjBnsCplx3FpLQx1IKKSEn+waKomVFFuO80L7fticLdPm2gTiKj3K2wjNdv8a9Pn6O64S F2/EXZu4VejXWloNr9OSMTV6Gu5BXC0Ds8CoZ6P1g8d6uGoz8GntJTBt8DcUNdsr7SsIokmU40I9 HD5IHnSthfv3Xt5vcF503H1BqQQHlZFz7dwXUZoQgBsVfn6XW+gGl9dAwEa0Nt2eR2tVqkO9POop gsoINwlTXxGPOt6c+DTcGf5eKPq8ej4JJ/Ms4BaOPNmY6GeeY9V1DDb0TGnmS4TNYfBdYhpKwP5P MgQg1fXXU2h0g7Y94iMJyTHtONht060M71ptQ6vOuchWFM6gu9Z/W9DWkAQ15LEQUokhIr88PCp0 BSc+7xMuYkJytvu5OQ7o6ua5FBbGjU575lU6A+xkc4NQJyzL9PNKSlpYi9s/YSnw1Dwy9dqXQpeQ YZVbj7VjLFq+vbwu+WT+px0O79zwFm711XmmjBN7LfcpGJfhCd5yOQFT0NEbDGholYq+2y4+WJQf ApNd2c9eDIKRpQ156Dr5vnELNVfL/lj9+l4pAnjY/xTzsFOzyMwkKIn5oMHYzgv0akdUD/SG+Bx6 bHazDgamUVe3r/ut0SPNzTpmPAPWCgRuf+JLrAG3bet0+IqU9++uhvfEocQyUZyKPJdvCJC+nXhI OmRVr60LxF2MoPEby3TGK0OKqiVrb1W7titee4FlLNGz9uZxJCgq95hxqX/zJSb+DvFLuyKRX+Ux x7deZHtEv4tl5WTsIVTS0iE9YqRE9F9TkWbhohaybv+oKNXoYkSfyxrzfZ2vLlsX43ODdqjGZJZC XMDe8cAUu3lKg1AtazocXevJChE4uHyvfUKjRGBQusrkYjUginVKbt+ky5ukKGAil8cHU703uYwV A945e8nINz5yP8AWzTc46am3Id4WxZtGI54Qa91RjXlWEG5Q35vJL9P8+rUY0uQYZAjVKvYtBa1c T/IXL6vLo1kD7+vWqezhdnj0Dwsx4fBCrDKeGmJLPww6/qNCHhdGgon+pxZbtDj6Y6tv1m0bst6M F/snE7LLFhdq7SDJvEN7vH6nAFkg9+WEXvLFukrbSJj5nDg2RrlMmK95wuK8Ao0exlL/RewDChQj X86saM/CWbDMcD8Nqye6nFfEGmfZQsnwfNWTGyklUfhV6znRbnFuXvgRSz4WdCnwyLNJiT2Ic3SR huZ8+jCQXnFOzlxnXgKD8W16RLrX/G1XhDJt+7/6ukeutjexX1cwXI8Ohq9/2u7tpL+Ebb7pMTPQ XifBheCoamh0HlISc1WTeUGRCou4NIbEvUwueTU3lsznGgKQFdKzUg2x1Bfj8QCG3MLWmPpbFeQE yYw4RRAfozxGwGLxR9ns+Rn/ZpapHW6vqYCUWHdqbpMBd2IHkpoyEY/QK2BiUf7EjiWYKk1YODDr ZEnPEUouEehu+A6uA3q183/6Dn5FnsfZtshaXKpW8C0eQ5Tx9Vdkrnzy+5bfDdyaF7cm/K7b3U3F eJtiwy4bjpY9WM3I+SEpUxoQOLWPt5woDx5pp3RQVyU2k3NUxOOy1nAtPz6h7Uiu51HA4FVUM3O/ I3ZX6W+DNVTEkjTZJDNf67uJ+Ler2M59+tk4hirhVcop9Xf87qjLkq/XSQoY6e3eYC5pZ9PE4HOj +eJNe1OmuSpI909p/Rtqi6d9aTewvTZyuFqEWV8pJrIPcxrSbZwEpGBYQGGgGGpwyyFg00IQZTTQ JCb6/N+MFfIXjZy9nQRiZYmvfAqh3mknLfZ3Ds1tlWYBt6PounPsV/8qAHOkwYpLLUX9llqJcapO yO3ej8nCDW4LD5uGo6YZYelYtapk+8mIxquMEMiNAbz472UWx1w3VhgnmJMXoA5UTSDM8v8v87IE fGPY459hUnuVqsngE620agMEAuIWy3EIiIImhc4fjvjednhEKu9Q9szFqXVi0iuE8nJ5YyvcfVTe Z1rpPpBG5KrFb1UK1RAtT1L8O8YN13NCDRjCgjKwpnQG11/ReB/yynBJkoqCeZMrTvBzx6wHuHs+ +LytJEjq093fmMWfJsfKx//bmckjTWPFiXTejcq3k9kAwuCqA0v9/dLvJO30xhHuytUM1xX3iVUl KW2J5nz0Sfm9VMdfN2C876LV6lW2mzGeYWc79+dH9tD1DRMKtLBH82+32+uJcpWHTViwkQ7zu9Cn bE4sGXydCwaoC0P2QQvoGiPhInWRnj77z//nCsMABwiWO3JhWS1p80EH0LlmSd5cxTM36Jw3tt8v yChARKfUSpESt+H/XGCljZu0NJwz1TjCft5EgOb7TAhHqz7JSz6gZQb1Q2Wn1lagc9urub0BTJLo 91cmSuz9Tz6w38Mk9okARTEbCxCqeBs3Nt0tZdNxv+ujr+N589PgFoxc9ep7kdQESAwYeu9lRhbJ S6ta/8fM7dK0swrZCQjjJQafqyFxkX/2aDumxNt1SqCaZWWLLIs2tS02sO9B1oWLt6mnJv3FeckG l/86zwFDnGbT34/92CSPUwdvJPzlcEYGCZJCLPsLIYol4xRIkb+C3VeSSem8ulRUCDMtZFlZMu+n xG5k6IaCORQwC+rGmcUyzHK1gHpD7pB9vjAAy0CNaaYjRedX/iN0QrpJ0BML4/REw4cDnIryJYB4 jQJLyn5xzL5vFoh3G2BVsGp5S8jVGVPQolxiKRuISgIa4cleVtLI/7ysY0F27k2LAVx2oSsMAq/W kNc/wfBhvWBPV6a5k/jKkuHVyGInQx2v6pMQbjBn/L5w/vtEQ/ZicCUWmdFxKHV6QP5uiCxmPHml qOKyLGFUNEKDAAhAkrbdVOn0vglQSCPtV16ITAEl0xCj62lcJZierTeEQ6j7A0YZN8qf7qXTwD6z jPcZne9oD6Wc4wFW8o052IzN1ORThWMYpU74cF6CAXsyqeDz/PHYWqgSfRpONHP3O/OQpWCwwd7I 9Ex4llQixZKbAKG4PlAY8+63AOhyutzacAUhufnUzAXALMuqPBUjjTaHXulVhxwtYYhE5h/1GkoE 37/+O+NzAvDssMf/bvalkJcY22lBKIiZpLrWwW6SHPTeHwo84UGH/7HdIz8xLQVYF9TUQNAHezWI l1IGWJvzVHEyOsDHK5kHNnKgcQKEgpN22Ti4/Ofx6WcPEbB/OhBjLcIvBC72IxFio+poPJcG3m7L A5QOar+OnqL6SLtzhz+jrnM2nSerCngN295EMfB5CVCfF7rVgPkbjAU871EXyQ3eLusX+L/l9JR8 qokRfmUbVUDEF4cVio92LHal1S8ug8YtwBVQiJGdbNhEqgvuIR19Nv51rU/dHymd/ZN6ReDAOI3J Ty9+TFiM+mOeVCm1BqFqt1RTwW6gJseydwd2L1XY0iiSEUz17ozO5Yjc0NfxAuLIZZNrp1fX7vrX IMuWuPalRZvc8mNmdOzzURnx/YIbciOXrV01U9gsx5uA25ignAxnV1wAGEnWRAQx2+dHk9Evo5i/ X5DgBdJjga+qQE7d/sGKQH/XkNgvs120gZjAiXYC7G+9OvDUy3O+9WQc5jE2jAVAKz27yquxv3jR 9+AAVLGh5vDCBwlQJiBVebZYkbe47m1D+SPHyFjJ8VShSLPPcIQDmySpwPvZdGiatIh7omWJ9xiC sFWudNIx516RiWFztFW+8R9tZU9dF840xXMvpp68JkD7oxXh+tqLeCSXfv6nxLcKspPlgaLzAcB3 qlb11eT5qZwO9sdfd/NnLLIQ2kKSpItuLh8iOam6eib9DMXFQRHKsJLmP04p2StD5WpObiFQcHQ1 23AtbatjrCCzs5ApS45C6L1M/satTb6Bbgmzx1ffX2P+WXLCNGXgeJiSqUYvwrFO57C2eXWL4O2P pKeiy6BLWtRDxlCfURTAGJpQeVvtOoXILF9gZxzrUeE6ys3nQHmOZdw1e7Y15vFXikPT9gDZlfjt RP1/wYrLu5YGD6koA3wQQgGchAlLi6vCQnk4iGkKiGHeChmqGVVBfQox7A5CvX2/whrMP/pwMYDG sEM7mvZ+vUU1gXPvhpaWiuFbhx9It0smBio/kKMctGEYE6xBOyogCMPtYIhN6s26CFrRxaF90ODu CT4mUQxVqIHuwpbA/aloSL8z2wXkG/OCz87ABAJjcslEQOmjE4xucx1hU/c1WxOyz3dwjoc9ffnZ bP8nbRlSyYpCzDoQF8ZvqXhOgZUGFCerTcNqOue3Pqua0hUWuzYZu8NjpE/pA2SF1Z2tSktvTsa1 LlvCc/UYlPGwikXvm8WKqBuJCQMR0YLkLbHxHqrs1z+ev54Bvz61s+vRFMK6NKg/2erF1AdeREhF mIbdGcxbNpKc83u1Caspa6fumQZX+Qb82tHAoJmMoNBsw5qBMamr8AMWAxHyJnbkWfPHsDKCoXqT EqztFnUPVtgrF68W0+Xz4YK9hHE2qVpDXY8SSkmD7ctoK32kB8YBMuLtCHUvCn2qetWrXMddk4dT S/LPDQ8nR9+Zv1MetRTzdcEYa1hX07t+/t3zZ0188pjIheHmVeUHyP9Rf8dYh3a9Tr03uaNsW2Ya 2rHONRT/+8MBgqkZZQbhx0yOfncTU8TnZn5vV4++TiP5pMX7tsKV1g/WWYwWQhGJ5294e73HvYxu QweryVtROiyNMppw3INNGNZc51a59cLVgXN94+eOqo2pVeNd2jXjYLW3PUc3JXySuWCTm6gYv0kt 9PhGSNiaPLphDUjfFqOLJgzyv9vjZtlimhAmoHgyAE0YxgPBeZ4CihxMKReo9WnRXRkGtukDJG8m wEm5N6xwdEDgo4wFR1kSnZxu5HF/Za403d4BGOIRevw8MbOqgCFttSoBh5GPrjS7kpy/e+wRI7TK VDrANM+PBiYO0i3czz1cpivz5j5K9XTr0w6JuiNrcVfW2SR3taf61BdTy+f30Ir5ve+XZ2m0bD4h OdDZnndL5RatyDPBTJKUaWwCkxOYtxiB4ANFZkb2E7HasKoo7Aio423f+31CV5eyjV64btZHyDAl R5JeR62w5idiM92atmKOzJaDrdeqGotNqv72RYLiFV5aL691wLM2ykvCkK40mp8sCKt8dK2FLvZX RnEMByMvnq/8cMKYZjcDi6BfryWG1ouKgD3x8ebUi/4txHOM8xQUXrJJ7o7zgKSmJwnIcVAs+e5Q a7WooWG/NUuEozp3nNgojvdIBCMUo5Pv0p4s/UvU9yrtIs67ar4zd+HObEXZPZ0QahC7Uo02ulxt VVAQu+YVbqDv65oatRY6npKJiTmOBDaxoGhY/CUsGOPOpiZH6c1atLM0Z5qY11FRatrvVaqjqjgO y1+TANuiUcWtfKpI2MJT4l7MWZj8VtpcaxqOCBgwWtWfXpURh67h7OAmzNiP0WCig0AIPBDpnOM5 vog0ooyc4yFugzad7h6CVjV8fWD4V/bERgaegyVld2xqjkOn4fMa7Gpp2tGkq8WHGViuJMtRS0hy SKO+baTj1/9mnq9Xb9KZmyz8WCXDlBkjE8bUQmwBPpCgUrpJdLgaMZaP7gg8cNZHr6YKry2MF1TP OYZvgKm0E13+LrzZNs1iF001f/47XIbRIx5vBynJZkDzHZeJcoav8Jbb1njoyOb4TXe+XbnKvIkZ K6qTlCInHY8/3T9hoi3k4plAS5uG6wH5X/MstC5qKveMIUmm8DAuydfO0nAEXHF55HlSpIMp5Uib 8AfA7PBzlxV8y4qAeFS9DwfXOij0+XCnUvII7K5iueQdRYxXTJTdn/u2t/D1LUErbZjQeRcrCMYD vfyMyXHaZhDpNcExPaFzZYezZoO0fzTcyrSm4Zy96jK4MHF0wRm5OW8czhAZfcTbGI4NjKT3AbJI 2NPNs0gbrVHw4hfIiRNKyEcCi2WiHfa1/85WbCOF/hSKW3cZ2NhXGca+qto2or1te5dY2nUQ7rU0 3Gae600jWbZ4E9kNGg/uR7kcI5zPhM/68ryLAdHz6soBuOuOzxYGkLzW40LZFbyw3p/8GCnlvUYO 0y3riyLkClSudBZg7DHu2lPC+LRTg85PEIs9KDAQyZKv5FAkzhMwJi3FPgDp2quACPYqhYCq4iP1 lBoRFZrNJ9RzvRU8qG0yTvM42B/tEpLIKUNfk7EIKmCnUvjRqdsVylpXje4PONtaPGLBggdxTrKr 0BKvFTk1P7f7E6ru78B47d6F21HyTh9u+2n3uVVF9QwdjxbD7nUlCUP86bR8fDkpJfj4DkzNI+92 RmkaaTyc1wT3ddVX5Aq+Bm3LXOdPTeYrztx4p+VU8+DAMJSqBW64z4pH9nIK/rkAHCjwRlPHiA/p 8iM7Nd3VeIfuNhumPCIYgK8uYLXDIiIlWopbROuwa++micdu07nts4DxfvnfQjo3pLCSyzhEyD7E iiVHa+zWMGVyxwbGbX+BLjyI5v/9mGvRNSkR9CQZiGz0IOo+38OFT/hfp3fY1v4ycH5k2S/GmMQ6 fd0VZduhkvt6/q69Mau4Jyj4VBpzo8bO1uEmRMeDwXZoLjhJ/HLZezocor8gDkFIAciSP/QfiE1j 74qutJ7GQMGJhGtiNCzuhH43LTkn1AjCKbDYyaMzZUuWz2ShLMgxboMRtxFFd2v3pECP2yhJg8WY bffLsjMqEMts13ClbmJbyDve/IdStgY0bL2kIviAdMnj+O2NGtAXrebGAbVw/vJUqkNxkAF5CRPA m9Gb3iRmfqL38Nl53clIHPz4PAdpFGVG8uT+/YN0zPlqPRAYTFu1KVGkpEBft1roXyw203g1wSh2 99LpORFGhlFdyqgObLESRdV+bru7IgGJ6sr9p5LZU1IR8LwTrWkWixc3u4ar2h78HTMyCwRnDCIN 0MEr7WtNryoRhD+cCgyuKdRUJL7Y7YagO61TY3X/+h0zArnbjiaVh00WfXPxrKfBA9z7HyP1wpvy e/e1XMfybvcnAiGqI60EhaDjSCNYAovXn1rpajpGnr9DDy0sS63rU58TA+Ftt5J+319SJQis3P7O ivdY5lR1UniFnBkl2YXbYjJ7lQFeLXFcnFNk8a9bCT0GIRImyJ034Z0nLw/tetQjjOz1z9K1Ld6o 9+5VU741uReDYuRJz4QG2oROoWDO1/LV9HKdOPHrgh2G76NUvsoNx4aCCP0pfj3cf6zsM68WBeUv 1+/ItbVMdWqCxIPzlKNQZi9vgph9XecCB0G59HBhcrFr5mETITGsnq7gmIoom/EHRmyCX77ehUEN 08/ihkRZBsFMQ6McACZCtqpfCr9p04Un5uoyyiDZ+QwCv+IP1vtgiEi1K+yNsIKECUHWZ6Pvc0kv kDc3ViKLjKt56JV3fTahwVqlFJdznds2Xpy2ZCr94utkZu8D3+0Rp8OFrOvWZWQhz34+E7Ci1qh5 2bRDz+BuD9g4Y3YAfAxLF9KJcxWxdh8pog2hRvwyLxkKmU6mf6kobHnk9weqHbegstqX/cAp4kl2 CYd5cXI/JQFouNrbVSnFQtEAFb2NnJrOAhxnw9KbedK9L98PtBSahipTU9GU2nibOv6VQhUqPgOv 2o2oxumVhjc93gnU44/TYfXmBbE6eHw3JD0ickTd1oAI5/m23kzpKFdmzcY7oR3PWIb8MxopMjW6 5VIv3z8ARC29P+Bqh+iH5EkOJpLn09DgQ0jt5GFnkv6F2GAEtijqGyUtFBMLAJE2glQf/LYPtOk+ HjEtoXOlIraWFQIw/oAWe6NW7ENtfz7Lx4ZhCt4sQJzX3sSINg0G3aSKDbDdWkQg59/vlhBjTtKy fNvABuVl0nwxbw6k5rjdI7CbxEsoFW1FCIBRjurnvjeO87vDl1Vs/Nh5hrEsGRjxJM05wjUb9iGr p08SRpMwIyobi0IBFxACLfTyxCkQE4GUFct12uvffS433eCzFkdZXILrrUTj6iGEB4SwXuwae+lk TaSPEU3h5HIh88LC/R/Sc9cZ0VCFV72lW9sN7xm5L03i0hNrNEAosV2o0qzPGQmN5+XM3VHf3d+Z x6w2M1Sx1G3o62Juo9eXwT2URJnDLQSGqImh+zuHI/fbo0uYrg5rUulTEKngbv6CFVYcFT0LEffp WouRojE8j9wE0HdAQMVi8YP623M6isd1RMcIVk9J36dY4uD54XyeQ8AVSBX1gXNM2BmgQhw2pWaU eely329AICSTKCLHmuPbRV2xU6sVJv6Ij+aHmde3nXnA3NIVSr6wPwjyWMoG2Lz8uxl86lKOLHsi xOWKBtD/CCirh0L364lYdi9zjg0uvVelW98mWeKtXIhMPIhBF2c1DuTajcfWgARXPr6GG8oufcet 2FJCl1GWwEOtLJzdz9XLqKfLiEDpaCWa1R3tqGNVQbZk3yPvRpEDhjAW0eRF+TGw1rG1hj2uPbLK akAXI3svyM/XksqRv45EPwwGxhAJZzE36gyC/Rh01VZqhIC9tfyH6AlHRf31TkwV8nTmYo7MzoL7 8drxYTn9hwWnN/f+ICEI9FsFZWUxR7ldg1YVSHMPcMTcxk06YW+OUjyB04q1eXCDSiH6Axt7y73j iuIoeiQFhS+zNvUK4CVR28I4ZpivFEuCu8ecfUZzx7sLRU6jHtiGugN+304yxGWj5bQ2H9YMHV5+ eSLwecn58HFxjAQSp8mZppfK3mlsZ46I9WdCxOP1PmpMsw4KTDuN0Hw0qWbgRlyaZR053KAxaUKB UHw8H/Ax0iiGllmq2OGiyxizfwiZrOaVmnGixFcNhpfn2sSOs9vbLuzOqsagvkcaR9sm1Ehikpcr +Po1rxbeEts9HwdPwLR7HNp5upYNaW4T/YMlQVys7lkRx6FROXa87kam1w9IYk8o5PSFmtfIr80O 53KU47tn6QBjBdCvQtSYypjhsEzQcq4owIK7r+2tgK7MvS5/rIc1Xw6T0rhEzIUT8YMSBVfgN/gP xPgdLnDSEVQCikDGNeCZ+zITvz4EZ3KoxmSyUIYGH3qukxf6w/xinAz9/SnP1w/82QXDxtT9GGHx yLdd5KPvaNpVYmpf8RSQe8Pq4GV1pHxA8xsDJcVGycooTN74DWeq6XXmHex/eiG7j6Ww75FBxXQL U/ZXxLygCxwCxsEzX3z43//ofNZkhPpfsEcEkFNpYzvnpEbqmFGsa4bMUhXCABtDu5wNRytVt23C zBNnpZQjsyoqybFUhFtLaxfNhwClac12TIt2H67XeZw2ngModCrDwGze+Qx6FQqwVI6maDV/aFjg ChrHiUg0wcyV8EA8XUxjrWf5qSkcbtDukPpgH2R2oXf8fQfdM53RzUFaiEdelgpcuKtQ3kpLcq8A qGWPtCZLssgJUx3HlZ9O++EwO/Teu0tOcoWx4Ft3popc7YejnDUZX7LUBuBJ6iXfzkhbKcdEjaHy 3bNSaTIu/ju2rM+08emfwxVlVC3V7Nxe2tri6J/66tjNrOpnzIbvxrH5yIIU3pyTzWCqDGt7n1ZR IDRA9qEvGsZFbmoDBjFeKW8A0+mDXBxqxNJ7joEMa56Eh0SRr78gUkUErvm3tLGpwxKfW/21roFb k8NiWl3OpZB1mXMN1d8PRem8ucm9kx6lQp/aG4Yv2Av5KP93CJWxQkpkqFz8wAh0+73xsg8k14Da V4FCfZHOCGQjaIJO1Yl+PEHdTFTuHN7QEsNmdbWhOOBTw4I2zevtiqubDyNk7mFvexOMHTZFQfCf vCHvmBxLjaNB0hTl89vx0dun4kIODJzbLFybjbaP8SDUojMqxkhO5u0cb7gaiE3Hau/Kz3Pw44aY XKH7YnW7BzCnTLZ2NYGYBp1DlaMjxYEN3rZ9wee66fxoHQTodLH8WBJdaC8EnMiB1st4kwFY7M3y IgWRRaJycLwVS0hAztkqDxSgum2RSe9TRAmcNkIbjHKI5rKdTAMseLSMW5bDmnyBtzP1FeaFyHWn 0qZdGX0x+8z39szWhkTPzJB5Z3nwDV0lAS8ADWZn82n5qYCvx5+Fw/DAIuocVp+SgUIAr/O2Cy2m i7651eS2WdzcP+x9Ll0YXymsnz1WW4QsNPc4chQgKT6q8A87Gvup0lF6Sf1isdL1FOyIvD6kMbak pm2qM/xCjdd0wTgV3j2ETVJMYyiKXv+8f9cLeB7zuv5KEXiBYKDfFof6xyKfdRK506sQ+2gmcDJR y+1TErbZCGAfW8yclmkgLZxfdRoVBvey69exosdmwwoK1JJSNgKGuULbKhHYCEKR6swI9TI2FrXp 29N+9lRB0Hs9ePW9QHI2HXdCWoueuNB04OnIv4sdz3qVHToq0oPgNvI6day56ueyNbLKThWE7kqf M4w+G1kPCTbgEYQ+xToJa74amP5I787aMkuNpjxDQPhpy1v5oXQpm4TsB920JgyaQ3tm3RDEIiKl CWns5Bx0NrZyi/+GzAzZLX9nO7MB1ApCDdSLfrPyeO0RgP34j4mcrDjt9iMEcdR8uRFNtRJbcyl7 XoGqmS4lY9i+DXKkhtCydqsWj2e3GVOGTp01/Pe8INsaHgsJmZLGC8b4XvY84ovHQstCMhTBzFhC OsjthXqyytRLwopXcGFOaZlHzBhyncVh00WTRf8SFivgfs50KKc61cIKjF3J1DvMaKigKgV6Ak/K /e4+Xp8/dhQk8X/l4QhbsQR08Em4sDGrxXnxcAM5dDvIY7O7TJglD0ZqSJaOKxpMAdwmY9Qsggx5 JThe+BuV1IoqUVr/E+ud2IjslHfjipu8e4d/pkcnQWMRJLK9jq+q3QAoUZf5YdHfyGz35B0pxnMQ FtoQi0096VNrRMlA5JhM7dHPDs2qKUqnt0tMVlbvjXVK9jRDRpldGiSxrOisPvvWESdS8LqM+H3s IJISwcQYZMzVysVTTAD3SSLupQKOr52LNKSnHIueVjEVtguGEUe5IMfXSZV3YXpATbO6bRVrCSi+ 5GJUHm7Z1Ijtaz+6zfJrMBhuJSW7kjj4KoGT5WxhcOQoOoCb2yzX7ikV1gQHLStPvzPIMJUx+9Ud wmdgnPQomTShfgPWDKmbjTVWorJeLycTw92SuCjSaAZIh4EXltoVNwABunq0SZrAkLVC3MZLvmf4 nfdMjmOg8NQdNgEDUA3T5fV9LEYBSzNSIa9DHPyNCL+wHhViZ/nzS5ARAZ4TqhunC1oTjJ2Fa35i yRFMUOYFxpV0uJ9Hgy/4AZCDNgZjKMVaknEYvwOkqZygsVZIIAanHSmiRjyiPuOe4415sT3ZNTKO bbXmdPmdFAX89o7g23+SOdD+uxq4z6SMi9VkB5CIEEUoeqiYyBy9Z6tNI7znZITPIJDZyH1KmhvI L3O6/yQcTpalk0MyaYqdqfzqfJ7kGLp1G+IvWmlnETx8uyGBD/z6V7iqlMWB3pu4BvorzAuKUDK3 FpP//SSRf8xO42Y0z7KJPuXihKL/J6EHR2fhj4Pl1PY/v6jiiDWXJXmRZIsmiHSXlbQKrZSeo7g2 OTU8k34DaRGjh+AELuSzsFOpF1ZjMe00bjRuM/K2+zhBbDbieNGOXukyGCkWbrBul49Utu9nVVZU 0RHh0nmElWIIcSj1pwdijI7d0+m0N6lkEEzv8AA4yG5KtkfUeRvMCKeF7RUp6eZmyyJFjkH9xpss IQtTqlgHZ+kU/I12EQzRMhGe6Y4MfSYXUWBggwxyuKKPlBV4mFwGffR2JLWbZpIP+vdJAgWksm8P y6nCwafz8+TlQjtGXKN17hVg2jjyacpouZvkGN1WgKwEazVrpQBqOQRt0mhvj1rP6nJTan2f+arh aZfEeimp8jhVecaKjQ2aX/cxFWXtHPN5qOxZ+KWTIMX5bwB/ECnq0G0vJjwL7f+jpRrZOAT1y+Ku 1eGlMbmfHVCPLUs7tPjdZOzunCk1wBjOOqFyNbGWPEVGj5X62+E4bTuCOnKtE01w5+mY+fETKugh WCRMZsZ+WiAa/gw32S4ySfAggWgGk598UmMyAwRzcTf928gZNhq9UdLS2JlVR4MBysTAHNW5CsOT R9HWRKx17kPh9WP9jsASFx0E0W8kl4HrTz3XPkdTrtlU0/rM1ca2zwnR5KyKLHFCgas9+bKWNGBv 3djJYJ8mUlj798SZDMWSSpzWmDnW4w3DRmeh9a44Cv2pzhzjs+/6kAhog3E6sJySFddpnasTcF2h JfjPXi+MSGR9s/KEZM2U0Se58dmHI8t3ba13/p5vuw3bcdXH7ULDrGrcJvmfYJ0B5s3g7KmlTLKc 6OTyaTLQdSH6PeevdjXpqGujWbLP1tbqdyGWXF+BEVpAUPVT+D3SWsAPIGxH4p+m9LeNDLgXlIWM VyFxViooKp/Kfji3rcpEABKe17zqSWFuNSeh2ev/S3twX1JQ9bz0CsAgowcyZX6vhOhUO38MpwHu pmwDJysKHkfuqVqhz4VoVVwmbHJ2kiKMoJivlB00ma/BusckkBY8oml02EreCKwYYhA8CNPHFMwm gvfeE7F8Dukuh2fVvOnvuiKfUVncPvxPuyRfhPmHGQ6j0bj2U8nHhpmQSVyde92KVEwKVi3NYECl bXhgj58aHoO8SlL9b4CpJHZIT1xOPCEWqSLR5ouH58wcEc/egpXGW29G70ZrS+Yy+cMuEF6QdjSt 7IZnTRj4YdZKUIbriEokJbDlTMLjRi6YcuD29sMTi71NgKRgMx9z2QV73ILGSljOGqAml1UqA1Bj NvoT4kTf52AfyuurrtK2/5kMUa2YgUf/e3pIrT4nAaXvG+2XPrNH92zFgDaMlnDBNkdRzMPGsT13 WqxLDoQFbXO1T4FviGqgCAUSygAeAkKn+w0bJAerNszYZcMoU8wk/DIDJ3LVda7H5Arx6r9GRy3D ICwDh2QMRGzWgaTMutXew2JTVhN4AygYWVR1Gtm/aKm5FRP6WAn1w8Ig6SAvf5vN7LI2eRQJ3k9w F1cjCiB0UxDiRVnOgU7kRE0WYR1YT8M3EbiZDFy9rfIiSYaJ6p5ZdIvC5wulo0oD6U12/Jy+XMFX FFMRqe2nRP46tHpo0lNjua6M/dyp1Jc+zQAQdZdVTpwlDy7I329qYzd179sRJ2LUGNtyYpSHq1i8 7LTPQWTCrXJG6DW1V8Enzi+WwQ3jqL1R484ihzeKuOUXwhZV1aPAn/9sV0WyN5q2rphABI+UbQXP qh5/mhcifo48DNsQlSR9MXMjdt0kw62nqTCAeTO2Aka9cHLYJ97epEmvT5U8tQP2Wj2goe451E0C VML+d2urLBRYDhmeaKTBZ4kZ0Ku4Z7lTscrJJBDXDa5AtdK9vax02N5FTlhc5ABj4LC4B+olgRQK xCmL+JrAwZ2HmC1uxWXk/lrFTXTx7jXokyZhjjFrYt5CZtWn8/LfxT4Ii0YBHhRUarB7mB/DS3F4 xSpGPK88f2/bvm0YvR+LLweq0S5uqnOkss696F7CoRvqXkqmCFtqF88RV/0rh/70LkMqo1C6yxq3 8Va8eZf0hsxi00hGINyez+bXwSzSe+LFxStsyKtKwjK03tyaOwG6IAyyeFFgsTjUnaQwpmlneZ9O indDYAYT/b6Thy6tX3JDOVOJ8/HSJExJSQim3wGStafmry1gVwixDwe+tzkjE3Gfw2XxjuMyEU9w tbsj8ljDf8ofEf/umgiVS6bqSTW06ogvc8dNR4E7W7UtlaH50Na2e78SkluZ1sjZqEnzTvRa5pJT oy/vA3tZ6Uj6y9pc+1w8Er8dfcGaPls/NCWQ2QM1TrJZeaDHsKFarAMi6VXZhJcvNlnGz07syKiH eCvwOJGXucPbp8U4HONVhHY/YTvnbe1i46AhOeRkKBkql3dEitlHPeQ8oKq5GE6IZFt8pqMyzCz+ hVD9cPml0oD2tBiJO9UHHYnXsABkxTS7LYD0q1jUx2FYjWD+sEmN9ee2Yy+Ols3bgtNn/U6dQR+m FMY2x4EroafKFvTxOaXMFFkujFZ3tDBvuCxsbV0+l1oct36theqJ0Sx8EqSL9erJ76L6ALps/p4F +CTt84fmWwQtr54sWLxfvIDYMGSQKOsRaYDgKpvqGWkbKz6NhkExEU2iTnJuyXFTJHG0v//dhg5i jLaetAK4dxsjBYaMgQJDd+Q0q6vKNPbF1zlk7wad0pXnYA/OA8dapA2Nwm3BxHCC0+p3nUqxf/3c QaWCLcc1j8T0B6JnBj6UIk41HS1g10L1x55TYGBPNR5TDqyKyn++PPnGKKS+xGiCe2o8vI62FqE/ /VUbYAg5M7cXXwObwmRVadfSxfG7Xly6Q5dIM5//q3ljmQVgU/YT5fQsw85t7MpPcT6MkwkcbJzN TzDYDxNnc3HufaO1DMm/nHmwmDsmRkpNK17iz1upQ2OaDgnUZwkgzp359TC6E7XjndmK/0t+GWbX 1KHsrnnXe5m3s6V1r+tSzWrOWQZpNz6nJGgP4pNTKlnuzfwHp/RB3fHR+35xsETfU5IVRksYYhoN 2doClI9vhULc0BvSx4sg5VfpK+PMfMdQFPJeG/skmn9ep2CrckHWdxVxoGkPYhpdR14ZBgn2zl0C 2taFw2azf/UtEiftPfSeltehVVT0TA0j5GboCNbvrTg5qjVct6izMcthtTTo63/YVreufrgT3rD0 4w1lgPmTlA3HqkWdTa05OpU/MzFZ7sj3jCYH2LHsFSTMc0/ZF4ydVKE7gRoQ4pMBh+Xu9MNfUkrJ +kGEYW1CWGP0B3qYpW7uEZE+5zdXsMyGLBY7HcTiJ6K0C7GleupQXXp+g7mmr+/HfkZGXHiTuJew 4VFvFinU/AY0i0OzdB7HvaRhic+tVpgzrFzE4p+Ko5DnLS+lWNieNLMlc/JZsDw9aZcaKXkdIWbq nAisrq9Eh1YaJ8Tfy3Pl6iOu8K9p9HOXYHkpTBUv6KE1HRZcuGYFJwWlow33SfUoILPVuKvfmTBj 3YGuIJhHZNvAZstkH51Hngoh9PB7fberw0rbTrnVxxwij4Zik4d6E9n7UmVU4l4h2DO2K6IvtnbB 9W9ESz9t4PwpmWxcI8GYYV0cEjO4JbNRuLRszLVCpnKfQxV/MJHgLfC8zJhv1DwGO0/iersPAP6i dYEurha6szHBeC6LyKl7MqrwCpNz/k0n9Nko91gVmjz4WWakcWhEt7S+Y0LK9cnH6eG5LbCF+Z7n yoJoqaF3wHFMdZUGG+UQAqJxsDlCIrfJtbXYAQUKQsaxwHN0U16ZMRkWlVZo1xAjqYYgjJ6ceUd+ WpAkvcxgvY9GDuBo3OISCDs1zCtTNm6onvSwvfatIx7znkoxPVCcQFSqne5bCErXIb/A4GzBNmJI /LWCVxgcP/4HxXw3eq3qUOlcNDf5Ek7PiywzsNix7lJV4Y7lpQ1Uv3boJOsS02wdON+TfsziKHm0 VgErCah2sm/JKA7Z2gKpTtQwwsZSCh36AmRb1hm3/TUkVrIrsStVW3Y5cIWbGukJYgJPiOimM4/g kWEydflfHzQbqMQArFN/1h22GSRXYn03BAulQVwp8eRyhTYGlyTpC+g1+rdR/F4frGLqOV4oG1SE BVyqxu53biJ8H1zGPcILr7XMVgM2nqP9PIY+0i70ny+3pSbyZd9DSlElNz2M0qpsCu2ZLAUzijFo eSEUpQkwqDSSYGdBzfg4FRf7gZRjeepwa8z73Vlb0dM4wBLbSdAVi0lBVaT+e7Zj0f6T+Z9Isdcb okITAPaLdSENeMQvYEvFuvaouPc8ho4u0DtG+kgvtWihyvQK55FDxpC/4fXWIJYR5yWvz8qU2E6I zfmdAfLk7wH1WDV1Du5xJs5ouqh4mk556WfBlMGZ6JCom0GYmb0L/SVAE/Uklp5/M9mq2T0gtjmf /QICOeKTZ0j68nEqspf3KFHbdKI+bcGt/DPkceubRHhF10/wH1+n0hRmawwtjgApVVHQ6dOa0x5t PHcMDp5kmJjuUSZsOuZjCGqtCY12Z4xcKHyFyYebMXW04SigAUrpMSmWzR8cnI97/tNCdGLdgvWR e0hOY1izknJLwuBCNBcZKJCB0ZvpOgFMqHemh27h/aSBa+cI/Cf2i7Obnkw0RymAe4A9aPnbpAoE N8QD75SsILpJl9t/jvluCVmlT7jbMEaXq1M0+OA7UVLhRg59WxSlBB3bepvslxdTYXJ6Vs+ywREA i4YlP0V+7jjhB4LcNn90kNdJOzxqbt1x+iS3oI0O62fRFcZNTFm9sDJGg99VI0q0ARTXHYHMBXv/ XAvXfENFCutyqn4c8pMnQC5E+oSqC0OpRq/OMg+eUbCVvrwMG/gN+xUXfuugAl3TAO6fv+tCb9S2 L3dT3hNaB932O54DBKXhBvSckddG6hQ/OuEtKC9EHuM35RPHD/9Kc/CzKLnVCbWSW6583hDv/6KH zmtOkgpsOZjCE1TjdpjBAqxtd2m+s4UR4U6PsWX/K/rRGQqIN4XGNvvCb9yCR1CZAN0WfHYCNtrG 2k/iQgZuut2muHexkko/J7hwyUzc5ttHql6usC5+tZnk0u3hIjYGM6HtZxNYRzv2tL1gaf6IWFLp Sg1eFiB/27deZ4xpwUcaw9Xrj8e14URnjAW+WnmAmRHDprTHZqT0ihqGJqCKRlZWHg6Xu6aWZWQL kunOxJWa6kpyGUj4VuZ5UUitdMXZ+ornEvycASqqc8qJtXsOmoMRSZPGdc3z489WRbl41lFlGejo w3UA1m4WxQo99/55yKfmdPdZKpqhqBoPHpvUWG2sEhf+z6vmS7JMHnjV6PP/d3Xb4ljsSP76VFKr r8eo3wH70nbUM0CG4kQNRiA+ma9/RqEENkrHAY1+9/7hTZRBf5yNrLfEX3ki84SKHG1NpAlSoHhL XCloobxXuBJT/ai0pTrnsFr4CUA5CE8elYKjKbSGU4YgOmYB5kO6TRbIuRuP12xs8Iqikt/vwNkN KuVOQLfsTd7oEqxxOs1tOs/GTqbNjyxVBilVs6qEaih0n+m1gpDF1okQUpZABRdlwleLUcfOQLId p4IWOE00EBNQnGRbaMZWGPUW5taWrC5urSKuu8tLzJWmx24K+QJV/t/Jdzn2t4hoIezVM0cZD7d1 4iAvxMM1PQuW3jk57eYVYoew40LJk/f09u1TgTYSHAkRoID8ZwfldGL01Dz/2RJRV28QAjvXPboT GL02nXhP363MRi9ogRlrAC40UVgw41TMvpGm4XVVx1wcH6ypfElmHOkmJgidaF+8fDLyt2CjmwfB +sPHkHHrOACqOircKDdgbgdMRelRlyCTJaJ/24bu/qj9P1A3y67ofn8Xqzqk8uTZ4pcw+v4O22WV FB0Ms9lhEWRj6lYkZQ5Mb6po3/ISvwDORgzEBaI80qH9/I/7sxl43z0wQJie7GtKKHgdhWU2M6YC gqTerTc8J4p+O6T0CUCDRiIuRYg+LRVZO9oc0Nx5US5e6/EHAyk8x3VhTrPDuuLooq+oUZWi6OHG A0uD1xjNjzS0ajXFv7jC/CEFgAnmT1p5+FYwo0VR7xE7l7Iy2xlZBLhrghVykoqKHBXFWTZLzpQi FP2XGXo1NgfSodK91iFxKiat5zLHVVtCL4sNJtuUXaH54/zM3dhusM+k+0mmJRKbtpt2pwmyXP04 H1xPLYJRDrxeaHd37HKTPTqtvQgdliko+P3QAXjNry6s7Weew9M/NdENU+4POJchhbVqCkfDTwHU CVnRURP9RSewwtqvWKUbK7JKQ7gGNnmkYGNXwzeCVUBPKiZIXa4jF6zl23Q3HqeW7/6j0N+yHRe1 rVS9J9u2dNNXlf0Rlg+aHJ6ZdWy/tiIP6JFsMD2Z/wA3hJlyXew/5qeGnLFJC8yC2T2Jwf3y2aDU 15ivDwk6p7B3eF7uPHB0TAk4lTIof5ackFqEYquGGf4IJaIBxAyK3ilUA5ctCvonFPuKab1GVKif Z/jSM6hT90TcgB+0rhh3UJXkXGiYk5+GYCmwLzSrf3xZFUXj4qj7SA11Hj1ieNPOCkfkZGHTu2Na zvGfGuGc7UTDjSQavKhwzVCcxVRSmjBwkfZuQY51DHXGXFzXL7PSyGmaUbMibfKomxeaeZvCiACq 8b67PfhHkajTnzAmHjXGAriGH6+pnn7mUnbYMp5lp/bBE6mUc/Pk6+wUyEHTVmD5nS4gRG5aXNyQ A9dKjdhjUt2z+hUywob3B3moMeqH1vVjrp3l4zFiALB3RIxpg659duAQBzAbyzRElvognBplzWjC dOHvR8VQHCncVitQyykU8YWKfOtDkpyOa2qMm9PAmRbp+lEtH+Ujd73wwEIxtYyMActFJEvSyXqj Jf12zrfgE9JmrLMLaKxkfNSkrwQeR26WndlShv9qdSk4rLawCpl1Drq0xeoqMIpmUL14AyKknpxA Uw+x0ddoptE356XD2kgUsytkNuY4Ksfvkj4wA17cysdb/d7DnrNyPLfID+kKi8PZ+oy8LS8Zkv8S G3wdYUligIzzQEHz2SibCFe1KBFKN8aNrXjcKVWLanaphzCHPwFFidpCqUcYJPF0t0Uf6zFIbai0 DEFqOqylmRG+WLBHtT+DDFsqmW/GabohIas6FbhKM2RLqfX4/9DJqNLCmT/kWRnZgfazeUQKtmWt NDKlyviDo24rhBmnYOinHwLSqiS0XQklIO8Xi3y1eSiytpVbWa86LPNiePTrP1h9P/l7/qtangUp eNpojIx3RjmgXY1M7evAiKB5rvNnKrgcTwu6ZsCyVt8p8WsiaqMox3QCgqfkFtC3QEJmc2i3wHof +MU4PrvywYdAhNTS/K6z85eA4Wsb3eZP2905EVWeTd4SrA27DxOI5MAXIKVbAtyfJotQsIII6JYe kl3CtEDfK21SOdhUWVdu1oSpIpgwJIuTVqehubQzl21+y+OifBMH7PamkLB0+rN+rw3xilW0g+Bl zQPfzA9ooXG+5UVpDa2aJ1zhAyp2dCFt3OXz9FdDw9jtfJ5P2BEvO3++UUWmATYnq6T0rAajnUkm vfHploKDVghX1u6h09mXGBa73JivLpeiSN04iEAYRa59W/dzcZvICtwQAUR0Zzg1M0taXuYw31pR h8GUaR28Mhm857x4oTwomZ6s1EDg5s1prpj2e/2KqXFinkleZmjO7sWyN2ApyEoatiUrlypm4AQ9 btZCpPcNCs1+g4k9TV2ZOzlG5iEzXGq6mHAoBPHiAYij3iZa2TZQtkksqQjHCGd6XE8I95gXjeLx /mz2EVSrP4rjCSp4jpuyRCc4JbN4a97F8cSV91yo7hhFpuqqptNcwPSxVGuzVjtZUtwKXcrYvqSD qZIdIzUQME7RcWFF9THQbJOWB3fC53NcjIAJEpXiGQDJi2tFFWPhdBpubaq7DMM30paurfuRxSv6 MNrWM2H2HV6dtjHQVXAeb8BldJOjKETa9q6Reh1ZTn/COaL51KlRuQmFALS7keDWqk8Sv7WTN/H4 Jjv66Xb6JoUhn2+CnTbovXSjysmRk2ebJkjvzXFcBB/zjuSnqfT0y3fKT4DnZFoCADfbgG/il7h0 h5zT3NL5xKdGFE3wSssoIdEb2uIXEhNvEFfHyV/bihQ1d89u9oTg0dXeJfn0jv1HcocjBScjTcE1 DU17eYYVBxHRKu4MfwgmcaRQ1+/ohF75rnTUbXtABHmImBYSYB7SQIkGF1PjkMUy0cw5qW+u3tjx 4ZKPAam2mqS+e3r4ICgyXImub0gOstyMwKZ3srg2J06bg6LyJq86a7VHoUlCqT/W89H3FZ6JzFfl jQtG+FcyIaUDDH/TDKkeK4c8xG4afTdZqp8+KHkyob1C9xWlD834dgDvnf6yIinS9Bh4cI2/zK5Q +P5gaXHtANZH2Q1k+0kHMmGT5cAgD0rxQr3WeUWyNyinl4ccyQT1Dc6dV2ZafhkkTgeQkjCenR6L eYlabCAcnGFi3gOI81UUfno2byMhjR1BKubcmk4jkd41h5oQDlZPv/3FqqDqeFcG6OqQ+gpQ2Sfw OjSjLjPG6Sv2zuJ8Tkl6q3mx6w9/A5hFvumgJJVIfq3QsgxhKWAmLTaozGyZZ9XvbBSF+AxyJtwv spjgwRCPU0FP5VEG6N3UCL1KkBnxZBoS7FycwUhPadbxov88h3qHllmhZrY/haiqKaLnWqz5QNtq UC460pouQ2/4qHtrXgpCmZ/xD6jVn4TfLESce+O+YPV793GYB+In9qcvUC4fGAqP6oJ/JvJDqe2h a5W6wKKMUkanWzfWAWsETIfFZe4ng6qP+k1xhe27XtPPBUnqOJzu7pXgd7wB7XIHTsIjdBwcCi25 ma6QP6wNAwFqFeXfuSjtuKpoZj5fPJpT0GI9FmxjYRUdTFBq/cCNImbZq8/7IXplpNXCvxf8GiW+ InfHiYJPl8qXuGQs0lq5dgK2eT4El2mEjDkZhGiqOD8yvHQIuiSjjaJ5p80jagpasMqh2k2Psv/L AJB+5tV3o24eePvtIQ2t1lz75mipZ6DzdxArl3ADLBz6VqcTabKyt9a9bUJQSZo51u1ql/3wzF+C r2iquRaCdi1svk/dfuATaUTJOGKuV1ols9mk+eDT7e6CIS+Rp+92DHT9Pg+E4zJvSnlsD2LxNmHz Pj1fzOiBn44P4/Xj6YW0RblgePQ8aRptlxy4Qfkujokqy+d+DS/nJi63JZuFJ8AQ/ZQb0TnIr9jW RuGnCTcJuw/SVycUaUJNqU7zf0COKxNLu82DG8w6kkLmsz/qznxcrEeCIw+qJfl6G4xzs+uEE66+ GBPsAT2WGr5wsCC46K2u1KwwVwMJ3CczNXXXlZbhiL52AUxGgLufWYT0JQ0OXppPY6KIIKqQSHJu REJ1j3j539sAj9G9oofZwrj+y++KU+tV62DfrohIKUJPcoUDQjFpJ/PZfBs+0WdOvQZ92jLKBrk6 6p8XZEnOZ3b5W3tCjdM5V5sxMrW7jwnn5d0ljDndwOqMkY+G1y9tnFuuhKfFkHsNXZJyNjU5N1Xb i4AX/qrFlXax4KQEoIvQaMeufWPIK7k0IEjzjfwkpXnqYhZAj6TTnpua8lURrZfAqPhxREGqH4xZ 8bsK1Gu48xV0Zn0Q0tGa1whFZlhVUgKLDP45QB44q5k9nhIyEQmM/vDdpvfjPQrVurYBfygNwcrc mBig2wJXWe8hAMktj1+QII6wasYLf0iqQ3tmoTYVRoMZzR7AfJYDP91GwLE3H8c3Rqh3ftu609nu v3lfrLEl6lPuyOvXFNROXsQXviCgv/EL9tDErD2pEJoTmQAidaobpUi7KA4CDLd8W3397u9k2mzN EKz+DKD2TjjA1gpbZGp5gXHxlAhlWdHTwL8pHj3DDF6hpX/lUDUWwVszVJnMVecIR/pzxgunCqYi 9dRBK8YlYetfGVyrUM9yf3vzCV5WSICVZbsff26ofEf+ioFmCZlEiFMOKPlIes1N5OEqP8oG4MbN 4v+Jo8+asqN4YD1z0spcx/qquNPp5/Y1Jb8mAeu34siQXHs9zuRFS2sKphPgZDv0uNA5KEUpvrKn 1XTBRZ0JobyzsY0IXVuBTepdKBoA1bluhHMmNbYr86LfOsu8IaZem3RBMDo9efB3gv8ZnfIol1Fd zqZM1Mjog8K7k1kKnx7Er+vvOeeRmiu3CJ91QLVrxI+l7Oaoc4OxkFs7dT1u+NQ/T2SwPeWAK/wM i6bJRnNrSN3XRKTs2BuGrY5ezW4+Uju2KCa5no/angdNw4FA2T0NZKrQvqbr/CYo20HDrFMaVJ8S OOCK1P03waipnEjpIkSHg0kUlPcn/zPEjzJedX+vFA/CZ1TMX0zSYQOWxNgBpCHU4MflJMaWOzJ7 kHUqpPSXz++WaZiyiJLycJmFQcYbi03OJhOYMtdFjF/ABww9wjaELtmo5yXv+Xoe4PoW58P0vgVm 6/KT8/mcXqJ8O/N625rG+iMMs2UP9RLgHhQW78fdY2iHEm7D39PT40ve9i6QMg1x8X3Z8wvK0OMC QFyLAGQfHpyiDg8KUkEarYulyqrm1IgEWAP4e8JhrtC4QBh1lobTguhtZdHMqIdqZW8eFYqSpDlT xAUgroAwWyK1rGKvdOhUJgD8lBC/OLj6HqIwAVjuC4KBYscm2vSeIb49iCV5Y9V7HINs6ewVuzX8 p6su0j9H00x5MdhhaZCUfqDToTAc5SM3+VBmkEQ96QKs3cAulQJu1MbSPuEqC41BSikJzwg/RBO0 HvrXmODQQkbuQZv/4K97qXfEA9UQallX/tQfqyNZ+L/YX5hNGQCFZPr0bORrZgztCo51+4VIl7Km BhnXulVrT31jtQgqiBtMxABcrkyR0zIkHSw/aSEAEGPfOJ6IRJg5Ba+/EUFQFNEaerhqLrbbjGKx m5YICO8bhBO/X+JN6gKvD4Hkn6AHupT1DujdpFp4IiDp1xSn1Qwr+hsUDaJN1RGu+CVKTj3wRNZ8 NEUIh+fgydYp8d6UcsFJHe6qz6yZA1Dx9bvRzUAiO7Ma1D69NbMz5TU35qkCtdCQw6AuHmp1KPv0 80hQLGPXVHjXf7r0su7S+6HfR5/ZCLhREJ51Ufgd0VB/oU3sqP6lxqGTJIZSNg7XamPdXLL9pxg2 hWQysGPOZ42yOQtyYQGZPG10lgeT9l2QTW3s7h2IbTB1BDC9VCsRaFSa2kQN2J0mAZwethqwH4eV R93iALsUqh9XI/yHEvSHI7POALuIyJ3DiRUCCA9CmNtqey/YbZHdvEct44gCdHh0MfkIEVxodFXs 6sRayuUXi//jPUSA5WPJwy6yZJwdzMzksxkmt1N+5lnfTive+7h/AW82MIyZd+dffhp2LZcNPjQ+ 6IrriIUS9dYbKdn8F8jSOXkut5bX/oQ567LGhOGNxyybeQWKwBit/A4vcv9AfRhFRB8Ur4YEZDB0 qaLLev5FctW3yGZC7jLdSKv7dcKnHwb0KLZriIjesCbafUQ+5qsOgB9MS4X1CSqFMF1lOWqzDBB8 1q2VhPpfhfk2dOAw01xzYpMXIQkloUkbTfMnN7NFWCIaQ1Jc5FBG9a6e/cJazaf85bMBJBQI0dFf Umo9sSeljiA090LLIcwMx0Bgl0p1SUMd8VW4/C4yhDwAz2i+M3wG/1rGC7Bwm2Z4KVjvuST7RN+A LwDJybHGbrTM82HSH7dQPWkjBT+UV2DD7qpQmHOtnzvcOZt4M+dl08qYIgot0zFD5wiEQH8mtbeC IliY03lIZVUW9qdrYmOlj4AvotKIJ1Kgs7QiBi8B8QBsWb5+xultO4kXx5MwCpOQdPds9WPdELXq fafVa5XK51WGP0WRp63Gt43+y4ce+nTK344CV1ExZ2rGX2UO+HpibC6TR3ohPw1cpF4kDZ9yEzVM YKNw3iRJZpxMnmi4sMHAYPsXy9c4erFTK5hG0bWrfcmFhw/Hy545Vat9aEkIf1HeIiVgVIwUcLDm vkMajmnmWJy++aQcYOHLhcmkdZC39zJflbfFw6DUvz2o4xDSH2wTGgWIhxVstB8d4ZkErVzZJ5L8 eGTP+5ZljQNDbEdRmMsvQwUOzO9S5V+LbZMPi5POCRecOjwfO/pycqucLZi+fRe3a5eFD8f34zb0 anh+J3zs5EY5R56v6NAalKwJ/I5a1sa7wWxlRYSiFjM4wcue/pj28CWELUuegeXhFGa7XqO7I3R5 XXiJFm1SdOPHDeiAbcOpq/jOiHtUSMft9UdhFJMoGPs1PUjIrdAJ21JyGBfmujYkAsL14CPgBKBD Ys02P604BdCfQbW1K7cFKJs4eB3Lt/lz/VbfBACbqm/kh0XqmhQO/bSm/rC+x3pB4gKlSww75MYy oC5F4M3bs7EHXRI7wKQJ5/TkMRF6AQ4n2lKk4FQIJ9Dg7XaxIry4nlIT8NSG25B8HoN58BE0zcGH 9ujnjJxYo8fxzmJ+yPL8gXSc8xM7HUyW1bHj/Ar+mkosa2cgR9MZFjPiJt9xib3FtgoqFhx8vnNj BhKyntSTheEInaiwEPTld6LnmmmfxITpdsR7OiUzuQgj5SQG1W9hcPZ29ArSjpo7oYqa7YCcygT+ j8O0WmtYTkq1NTVASeQFGtZNHbIQZopqBcn7YMwiPpC2R7NyRWWiA/C7ENj3ZRV21dCzKvE+Q6Mu 2qSkjRSzerkZ3VdtUeTGWLRg0dEu5Mv/sWeQf/vyy2bsV01Jxvp+xTcdnVX20JQBTm+oZxSNRhMi L5GpreFF5XlXzaKcWyEuMz0X8az6NAIMBPGiwKKydfUDcMA5gRTDsVA13by/b1Xb9h5Q86oiG99O r0yHIoPXWGXH/NRAPrlLcpO76plDJDRgymBoXBFrBz0XeoNMDJHXv2A5+sP1o9vJJFfGinfGpBMf fdjBLHPIN/7oQbC3N93hgjpbr9oypbjbdoVuwUrTe0b8B9FJP9hhKjyWMLBfMYjRGoO3pQhEkdIB lXFix42PHwCXiiFScGdr8vKPl//O25/8Z6qyahvG6+/8VoL1gG3emYdCHcR13lCHHd68+yXCL0M5 SgKPorEdqB9N2YxFRDjpRdq+UA3hQxkpZhIvWdL3IO7TlwemNoeZC2rW0V/AcLBhD7uzLy7DmNv9 Z/6c0n0KvHOMezvyjxhjPgpfsJX2mQCU/sI66vY41xGOLFswODWzzzmefv/vv4BzVeK5UrxJu+MA hAiOUfkWP3phhOJAJ/Hjj+tRbqz30ug4oZMX678ToRdcNW9Dv9Xw4xeNdryPubTk3hrsV+5KJWlF sa3Q8UQdT02chA2ZGo18fXod4xUWVnzHrYf5VFTSBx7C3VbXu8Wd1fyxxdL0pr7bDgnANFjl68tR 3QZnI7GNIxqGbbfSNRJcmK6eW5GtLtkXlZqaH2rNgmjPqDT55QMKaxgsNwpaMDob4iJa1eQDCBIF MkAAhduoSlagMJcCHuMcrJy4nI0lkbKTFrriIW9rbFkklqrf1i6PFSEZ9oPx77IfDG5H52RKu+pu snFotQohU54jiCfUd82RpQZgXzZvJidbAf5cyj07F+WXlSJKyPlSN/frolrjK7PeIsWdpmyZ5CP0 wmnUoJOvzr6nAbIWOxXxd7YBc8ajVBysh1comxTg/gzfch25ry24ocl+sEIpMT7u+Z8Nhbr7tZs/ X7SuoCG4kmsSweYDMG/Nwvh113ovamtEcX21XcXJEfz1s+cKDtry8yuSrFw71zS6/YuHM/3M1pR9 SR8tOryD4R6Piea/pxUIFrgJj1lUFLyOE9ZkcW0ERgJ62h2zHcdi8iln69Vyd6DPUBzfoeyXyecK sEXBDfFF+UQCcQPZ/NI49Y4iagAbqTnfzk0zf3NQHW5rytCid9JNBPrIoL/kHnIGwk1UG6GILKwc zXe3xA1kE9NkhwxGT1RdQBtSG1cV1icCQ4ml/pouSHSzknPJuItw+chG9tZhp8szu/dGmFRt3VoQ Bl9P4rLB0kwVxKxd7RXlldX4L8fy7kNjrO2LiOgyqRnsNyxwfp2LbjAHx8dGxm70ZFfF6CYH7TSs CuLcpOxP9ECk3L2/+IIU8nx5jmQZx/lIOz2efj6UL8iJJSDEPUNefq81szoM1ab+/HsVF42wXxS0 l5yJg2+lYOMXHBzw+aGBoNVbpkjLez354bH+aI+htZvUlR2RqSHeLQO0rg3hMSbWiqf1FLGjaxxw BNG/smD+0D/RaPBsnfBiIkzzXdysjhOx3wqkBtZpkILZRunsdAx0h/QOhF/1G0B9sRZPuHWggZ8i WbcOY259had9bpus50GYhLdVzdY9wlQrm0WU9kBJGvRDP9ICWiIKkjyyHCZRLv9AEotthJ+MD067 IFeuQwOq0t797Xz3hKS3Z/UmEhVov7ki7ut8lFuU5CXsalIxvF0TaxZ1sZG/rbwiNZBFv52l2Vzg /BD2nr8Jmt6kh6jH1y/kbchdCBAN/QWoHDsw9PtQ5l2jNRaQDl92kknkh66/pIevo+NLjJfYYhzz 5i0dHNvSqT2pvrlh77nD5/jcGgGNdrRCQdafXq0Yam7i/kpn4iDuaISInmrHYQvqijR6Nrz9TqZ7 qVgeRqhIYLmURSvIb5Aup1xrw0D3iY0Su+VCnOzIZnVV8+4gWHzX8lcv+eZBfHYcOuGUGhulikqu 6kN7iZppL3yPyvtV+3aJ2sFwapqbAagKguIJHdmpJmOB6PFs1o7ExndV1wOywpkW+RqmqF9X5xS+ i8hHk9s79UlkET/tWV5gMpDvPOhl/s2VxNR1cYqkHRA4wTVlJh5t9YpLpFuCZzJyYvtiqTjCkxan e3tYYCGmTP5CkD2EVbkyWIi/v7kJRm7by2Dw0fd5xHnRwZZtbg7wVNMwWrq+FpuA5j/rJc45ZZ6l 8+/+kRMWtGWO+vn18RxKH07bBpu5kQj/Y6qrrivhXttqQ0vQHe2OiYFTLoCXIFekSJPtCV87TF87 BMawc2FdZ0Nty208m2ixBAxh159z0GG25/j7zRv/4Lhh3FIyTg2MG+6Qaw53vmCYhStI6dkANgvY D6KtqfAsgVlo8AKWTdmpPGA4t+Khdigl1MSUr6/12G79W8Te8dulfJ8Xf/OyU3DIMLcjq6uewhqf EeJf7bnAbHabY/pPB50dXTyUxXTiKuPBl4/HEqwjD69IeHxgsU5N/KxXQNddBiQeUqqhfcx219Tb oBN+rUX8StgODEGxa0q9jiq/HEhm0JqttQ/QgtVLkTm9MC+zXt/fDZMhP+dAEgRxb6y9tcMnBQr6 oqTxQw3iYSu7CilOgqq5B8BH6zImoiBlLgSfas1Nvv7dxlkVuYyVokYHI6yVO43jw29pFv6hlg7f V1SDcAVDx2kYCMM2sfpU9rNqQYbEOEfN7ZXHziaS7VvNr3YEZlXrVWIsbTeS38YpO7mpwte3uxo9 m9oJO7l+qSJYSROMdxKtSA6WnlEO2wliYCo+BwUNbheWNYAZAe9TuborTqkkqSsfTSqEBig/8oUX 3HDDxmvEubg+RB9lomw8vZW80dZbrMafIkGqb+ztsSKId8ALxHqmMrn4HTZjiNgzS7fVL0TdRmgk 0CgeMtDGmwdZuCXjK6ySEQ4Rf2LLnnauLC0vSOD9BzPsieVfaIGrNjnzgSW6+ds5v6nY8RSdbPtw SKYCon+BPCs8oDRQMF+IAcRxnyFQ5xqV6kjnNN4AW5S2lfL5IIB+u6TlDgY8Fwdg3iQ7HBLu7aqQ g7+hbSC2uqSz0uEDpHbRVCtnmV3hjtF3wVmc4+Mp23mB2VHTb4GMMksHDKxf+WRSin7oUs5FGupG Gld8pLKeA471P0yQFqsjDU2hxEPLiL7LvOJt0BuGBoxZ4inKnF0ij7zgCJ3Qjk8jej5X+597VhbP WKI1gWROv740IRkQJdP0J+ge1gcKeXwUpNUntL6fxkhKQPhUYGhBX3iEzFhVnkPHzIYhXlMrKyvz oRLmseT9MTj8HWsbFUxziI+vZGJqJPWXwsQxLs/YWqEiZepRxbTm/CsUnu1FDLq30PWOtPtGFaqE L6VE4WreIDOvue8jVQh391Mvh2pcGC3Rn4jfgoYsmHelgKovDbhemuWbOv5XTKEkAsJwA81UgFFI UgkuJjgeq7iMnZ3LIB8dTOQSraRCG9v4KL/fPNjjgxDb6YapMkw3yHbgSjEELONO+yRK2dukyaXs Zrdcp8fCugN/53zkCkKrm+JVunihjlhyLzaHn8PsvOHChn8nXffDDJjDxoV9ojmUvColj1FxGwSM yfMqzY7PWOBy8NqR8CO7F/RGpX+mvTkGbtgQnrZFPkwWAqRfWwGD7ALdz3fV/q3owlsobuY6WET5 OkBVH3PwjzT9qArMBexaBsWY+vdYu81BIvW8DjKM8bT6yfg8joKkQFFMjLOSSQ53QLk65ZvlOsw7 vIXxciQ8ScQ3FPf2I/91MhhaI6db/nISYbcMTveYVaLWBxI518CKkMrnCk5C/A2ZpxFhS0ROoBEU VhD7b0lpCupmBKpmj+X+w5g51um+XpeVNLv7j09oqrSIEsxNL49eocbkAvYYKZtnX+5r0PVxD1bz vxrXfEkSlQ8pqic/7HWUJ0I6kU3uET+ZfAkGpXSD9+7M5pRbvox/0QiARJik/XROFlzUSc4AYU7l v3vg9ngu/6JZP3Cqkd7dtZKGfJry38HjZ+azS1ai31efTVQkssTg2pShKWZLs0BIzJ0rCJgomOjy OVPWSTGXRLgrNEIONaARJfeCAc8OpNQcoagt2feanT9xGevwjHx/pSLItXa+ToEXzQ9E6hYkaEwp l+UF3bjc/RzKPFvsw1HeqxhIk1Uwn0cVRIfrQu+iWf1TtNzA2voWKe4k9ElUjoYZei/iNAi3Ceea DeUwF09Nqmwd++7j/4HrUCjUrqUtsgT33bmVK7RUAADFn5nRHXw3upg9Q0WtNiRTEUVjIiuP1yMP ti/zhKJWZtfLhPXAEy9YOaOBObu2W1lgmr/wdLRnIpHtdYFpvhcqFeRQ54mCZBW6/et+mdwo5yzA G69g8U2lM+0C+OM7QvqFtx4GY52m5SNQJZJq5GDtudjnnA5/pT1T1iPSey8SaT5OMPr4+Jba3Mxz pomi8eaLnpgapw/VRA5vvDLLgs52O/BnN67v1DYA2Tc/lKHs9qPQe+q+IvjVqCtvIsfUlgKV3dn2 mVR/GACzeOFjfWg+IJqzQGLUdsQwrfXKZmUEEXFixPSImghYrs0RO7NEfakaVbEVusvBuz2q6ICo eGiSDuIz/jlHLYnCm2cKHOCExTKImIJTza7l+8sH5TgxHPPIUKhoLw6CQ51w8LgwHo+kigruytt9 ZJyqAcM18lzzHkswwbwYG4o3MxuZT3s7HjBLFgkee0NFb2qS3QOBnbl+NgBJdz+eNoCALrkD8cjR ssK6r3BdvoKCuavCvuR/hO2QkphUTFnyiox+ElUAzUVvtJCvHP6SqriZfFcU9HFYpPE+u7EO4gV+ o0sbTFftkniCqSFHsgVwLcaTgUhMtCl1i1Xi783iIO/8eFBxHecfDEIX+rXYvqMeZdwL/H3lbv8U yRPRqhnLSnZKgSLCg3qPvqcqpMPydznTwKmFYfLak2KRp4d/DdEW/kj0udxdarl+021n5jWbpXMG XFi9pBQOYMQciyws5xjiQfJGbW20J/I0DeaQMbWEG71mt4bCSKuVgzRvnC547vbSCwSxU1C/LjxT jP3SI4De9xyF1eKY3WK1g0MgwmqAE14hHQUxzigIdHuoYm70Im23ZYtoo30sj/syEGlzovS77lt8 UPqQeKgSDwQqBRjnutqDFPn5xDiYls93xpIOkdgPSg4z1aORV+T5P/JhkddKqVKsXHK932gG2adM DBLkEUxiEV2QrRCgTdF3JAXXTaUecKap0fRkcWn2TVL7OlFCgIB2q86D6hHK7CX7KQA9RzovNNEh VIAZl240KS6rFgukz6tbZXk+Cdt3/czyMPC9m7NPpBcjJYxB3aP3Uy6scFwiz+zdgUZbwl7Ldi/H JhOuD9cbqQXlhHPqZtyh1St4VQopTV1u9IJm+XSRdUeKUJdQiO4PyliKchd/uXOxaDZg/quUvs5Y ka0FD5/w04XOwc7MH/ttsD2jxlCfiUwrrzRT1MY0QLlSIpmpkQNgZ10VCuaa7CJvxLg16QNoMqGi K/hjYLZpBTJ3dKm8u/yksGCJxzGpJ505e8ksahMfOruwyARWxSHIuse0cOuuDBbv/bGwTdMNsC9G RC2+8Bhc5qYIR5y+/MZSpgaOM4ooVjJnBa/BvIyVrLSZQLFW10dqYkRSeXwc3csxUoHLbdigWzBn kIDNJ4oB/DKSwXpMGGci14wds5uAc8THMfvjCYosaidvx+mLW9vrG/3IHlWPOEOoSm5Z3f5Nkgfa LabVPkBrm0DmRWsKLesp/S//IA3M+WZR01DEhfFZXa0rwmHbxkRuILLAFY/OvN1UuVWeVSwMhAN9 1rl0KLA+d82IlBnDspLeIPs2dgXdq2WZ7dyd6llt0F49kSmg48lW7tTFOao+f9hqDecxu7o+1yNQ YI5mIUl2ASKP1H88BCamQXrK8G7iVrqHY/05xAcFUZTEBIeoZ33FlSobPFHJjFeL5H0BjSmt1/Sx INKMZcnEZvvukD0fIQJwsp5fq4b650fQJKADw7TEm4G6zG0d0UNjmnnZJM+BKFlkFlyXsEJc2I0R 12cctKcto5m7kQXHyCNMmsSN32LbPAvI+NRzmyDmUWeFJs3ntuMKOfBZd36cxfZe7+F5rFqbBel5 I/TA7dpoKlTOCgAwxg/wYXFn6qJlh2PiH7/Dy5/fndtfc1XXVYXks8IyCYCyLFintfag2Ot05KoW 25pD5ybP5JuL1iMttfbnfHQpdrYIN8u3Kw2aLXFLzB1ZIhZOKv/HVRAz7ViBuKeqOfPK+AKrwd/b xk7swAof/pDyL58nsnTdx1yZ0mpoogW1wbxMNz3qKwlnhbN4cj+juT0Fm3mOj2ay9PQUZpHy+rUk +mRj76bgFtKdxPyfWW4K14d3Ic1Hs9SiqBCKIZOn6tNT3kyj0tA2p1GRYSI+eOxltLd3ibMvwDIg 1AIOJstn1Oqhr3SLgC4BGWtX33TFgYsd8IOBTtmCLVWantBOfrPCIcUn8yMpoyHBIwA/RwLdRcVy ojVyz3wt19jLj+f9SItFATuyquhfyLK1EuRyfiQuAg3vO+5+nThEiGpQGPw7+0PTaTuAFtVKG4Kg 7V8MhBxhg+ao1DhEh6deR3mpM/dzD4oMf7LCPYwFUllB3CoyYg33BUfvLUPPgCgaQOsySMSJx/jn fUTq7mnWZpjmrsx1VRpWAlgXfdq5aS8I5b57/WqrUo0vjFSQeBnunO0LtVuTXGE9P4wZrhAlsS7W QMkUPGs/xJAo/zkEd3K72yN0pe+oXIM6gdq8zycmiHz9xsbT3nUEW2nmFT9qM4/dAhFBQvXR1rzJ yR6cUS9FGqja+8476xyALVPGsH+JE1xRIft5/JgwVZvtfjOvX5/SuomkHNuloKR4T0UfOWjTHF0S vjwQ4b4DoSvg6Qh6tam3V+TLTofBkP/At2QsgFHgb+z1GCRFdJa5MOcHqbGGkit7hskBWy6g7NGJ mMpnuuqO9i51y/tUs1SSzxpVbHEVVtfxIhD3QWehvdea5RYtCdcJ+3KrtTdXxSlTadOAWfG/IHg5 I2iLYugia1tLUklodeaz8e725ibeNakGw+UwN5UxYdzfGJXeINa45GruCf/kWZ50O21SMwhQktqp 9pZZHHFawN1DdSBRE81BvqU1rTde61K9BhItFgMp3BH/B0+JbzN3PJ2/nJuBituiGQtpmtoFVHAy /x/ChZnBR2nexDriRPhtpKe8fiHCHGC04Zg3ZyTClfh9UpqKmY3t15b8LAhbvC/W1w5KOIgBfT/8 zbTZ//RJAQT5+f9KEgSae9gGzPxmjZwvt7kyZH5zN3ADdGDc8JMF2GyYQ7qhZbGm3P2F6papgP8X HH/eKegTfIqNO+KRb+46C04MBygNr/ZLTAxaVX5FOpNyQhAHTUydFSeNuboLT3PyqXe6gm/jx/iQ Z12k3m1da0b9GD2zi6RrYe+JpKzdrFeGGzCikQu0u9Yb5Xc6d5bwwebZzCLL2V9jLndMC5opJwsO nHF+IiXaT2GbtP9PfSuxnmxvvlVHVRit8VeteAWqM923FWtRHDSYsrYeKE0SiO7MQJPUn3v8l9uX h7NDQnUbF2/sd+MQb3dHQExrVbxz7U2qmMBWW0Q2f9ZI4JewaQ02e+h1l9SOev3ym+qHLp3QbBmI eW/N5FNj/TbVxLZexzz9moL5dnAGfzJzph4e16XUe3O9tYrUq/sO36Kl/3Ai222YD5TRccnq5YYG U00hnRiCjpXwoHGjBduiM0m6hoQYUTLK4klzxI1u86wj4Ch3+sS9lWDARE0rEMKX22T7N0fLzXl7 21ArOFfmOZjTqoXtgBJx2aEPjiJ7CeTdJdDEpNQOWi4Isi4c2EkBXG/iYU1k8RWUgHNHR45770Ah C9IcRze44Ju7y+uhWZ1xU4kK3BfKF3jjp7OpdO4Af8jntyahs7X8EMNXc7gd8eLBzLekDHXhyvxR fhM78IF3Ivh8m12K9WP1iiCq9W1rbh6tjV3uKt2m5s/D6rXLAtntU8rgNWtuIpaTWIHfI9FMYVL7 FYK8o9K8z5vjFtX1CZr6sFrb6GHDhQenx1W0bihlev86UeXzFcupTBYLiAZUqNqnTTLVSEWceNEL oPhzNG6onNFwQFSkoEiGJ4EbCDLgIKtI5pdUzIYH/U08jAk1qD/Jxe9J+3ENemKB83wTRPU/brMY ZrrpQiYJ5RO7wA1kufIzQXj4z5RS3H/lLClABQ0cuW03YZc+HAlaFKUnfoBJDyIP3qO947Y+H/Wn Yvf4dhmo/XMOj0uWYltLS+6MU5ETUknptec9WmDTrRn/jLwK34wNtr5+m0Im7y9FJhHoX/MzOqBY XCtX4qlOM6nstC/2NvjtvMDaPGGf2Bw8+A7CtsTP/5v3WEw9+a2FKjdS0pra3/18PK4cDeZ0r8Ga SO7gzaCMZOPxtmo3bNIqH34bYHpnl/qXKKMwhTYlPkD+XFqNEzOTBdEiFZWTryl6IeTnyDrgaDfO 3XYjrEp01fTTaasnl6xPCeWpWZXOEr8DL2fenCP7E2zBR27rh63rZyrIHpGtssrdegWz/xIUND9L XI8nFKfHavHeG6DJn5UUbVg+prLoJ81EGj7IbgwefCOxNu0AWCiK5vUNVXics4fG7mVTeFZRQMu/ PDvpNOqu5f+SHMHBaMf8mlc6xYluLtZGb0BWlOZyRFPz6gyNGUxq04BpQ0UYXd09RNbyerfNgMnD qqqBcwsHp6nZbPhh1lxT0a3RjC7mVJqkEXfRk0ltToK19Zq8r2qLrtiPbq7wBTPlrH9+W5XFyCow DtwOxN2fxCrapWwVmGC68lXstmC02z+fZZpXaV5fHw1GPcRccuq/YJFwBbKysdSuMsNMlpE8Cw6o /PIStznYUptXgPbf8bXOIzxIgg1btLZsUACuQjQLVATRw8/UDNgttoNzK+JvToLKm+zhfop6rqkW BYdpX2edzp4Sq+g+ollYe9vJJkOLQVMa7n1Ayk4wqXilBAjyhZ8ceNH54AKEeh3ea7XDuFmzMFQw u1RyvguKQewptbXXmyTh705UepDk5bll0K5xifal7/vgtme0jWBT6ZEGZTRR1tZE5mJb0bA/XvfS W7PWkIa0EkDb7784Ddk+40SX+7fA0CcBFnqVaA4ex269cTEkkJPhtp9MR+r426emg1Za+oHu6yu7 OSL4R98pvxRusYib2FLpqi+UWaHHRu9pVPR6W7huQVIvPuAsbgYxKoqrZ+7pISfYGv3/PKU0MxN6 BBMGpRzg/B3xZ5V2owEYHUqICKdEWmdtavwgoBoQSbEYKtQet3Kb4HeGHp3EDHOl5a6FBQtrXPnh nXEiojR7W57Q1cGuD0wYtlh5tonDOXCHeqP6pDxtq452f8NylAjp4OQF1KnADfeIYskibrLvfrbQ DVMgnKP+8B8U2kpTgRvPZvf7r2RHPfl1inheeE1Y3ltzOWe4RWR42HTTlonIAQ5CJpFmJSBZzEB1 Y4aujCrY1D14wofdGL9JrN1LUKP3iHEamt7EGcwu8bB/w9OjScyPFA6iuvFohhp+JJeGK+gDzY/A 7AAiKP0PlfS8+fQxxRyrYgNGFkWEdKA/EvBaJYydT5njpxhgsCtv8uUsAfZE4/19r6OgMBn8XONJ ZdD7A4MKIJ8hPBTQDzfTLgUvZXzSR0UXuW3fIll0ON7Iuhl66c87RfOumi616eOWfVFDiRVJaTP9 z2X0xqiOztbvM/Jwgsf1X+0LCqzC5cw55wrLoBSVN0ft0t+CZzlav0Z6uGetB+pnsN+YOJvzWVg+ 8xHIAs41+5QVZ0FkNoSHZjARS26WpLFpyGuZ9gYijkWtQX0CxujK3uYI29Ww1o5PYiwBZzvvAOQF D3Smo78i7WtLiTmnm9MK584arSlQoGoveSUS3VNtyys23rPRyCbk+z2BZSfP6g15r4K+qGas83Dj VnGZtdcwpOiu4fajHjXPLVMpklPv9EuIewGOdr6S3t8kTgLKBnl1VYD8zQ7x1fFRk/HhmbHteMPo L+ohn4S3ZgZ2r4szKq0V/lKe8BJ9VWFB9GPEmB5FeyXsSxGsYvod0kqs70JizhQbdCHeij5i3mPY I7Y4h6AH4LkqhBplkjDBHvai6NqHppJIESnuohpZYtN59XHCs2r0TsDPPuQGBxFqP2QAvFSNkvXC kNjBdqRi2IW+u3XD5AopK4P6pdRPrx8bNi+28d0Oa3BrBXvtMpDGyttsw3+e5WPen/SN2NiwH5vX AU96akVu3rF4NEd/sIJmhIjIdNfmYymzO+wv3eVYI1vMV94XzKgNATA8dgst+Wpe1odjerBHHRQJ yvyyNo199pxqy7zp8gOe21ZPya3istpNsqfGutoO6GVdFlpeYQqldZllAuFRAlB3i9GU3HLtoDKE lFaizkNQ1QaO5Nvbnc8uMKvy5iIaGUxMFNtJcP3ev6yxZYhZ1yIjZ/jo6sBM8Ya+qmmyakxZ+/O5 lkGlIU9rBvHL5yUc9btS6cR8J7wFsT71A7rgnLeRUFyC4KOhRtLM+UAb8cujtTtRZ7US28Dea+aV 8f8iKBaNjOqhnUoHWh7Y8FFbyj84Sut1mG9dVkPDY7lGdUWH0l2yx5nZJSS7Hs4gxALkyirAoygT z+tpIqKkUlkuj7EUSJ3XdADhW4Flhu1lLkIJEnViB9cKqZJFuJaVH1gR95DB6m/mDercyd3SsS4k Su6HnArdMGS8NxTCHwVelTur+Lz/Kyn928oaAtRCk8HQr3M6YAOqgfVTXktelPnzsZ/X/hCxPQnr 6DdBfXIq7obSdOqIUjhQjnqxV4O/HLcW4rP5KUtINyU2PiEdmNoMM7qYKO6IudxpW8lc8ffTKnpG v5w5aCn5yxoImflibpDkQ9NZxBpxtS1rgmFNUgDwiMW8PL7mtMjznuQP1Hb1vD5zlKjDCB6/idI7 BSZZuLjSCEGV3vxnkkOS8fVgKG1Cwx+UAhTQSklmfh8eAUWASYxtyUUNIS2v0Rtz6WJunlWH3CdM s32iX+laAOflQGXC+WnBKa/OKPbO2UJywY8PlPzHX/EDWRVmekVsA1gDnJFWxYyWY/lhXgMak4CG Ef+2dIQB0NLJ8SOQPMHCwrXG2ImtNqsyiTE4e0XTx9s7iI7ssktoX3lqxS8vZF8ggibOg+ygO2B5 koOkkzSnCA/ZtVEyDceuja6WioQNXFZicmgn5VH97FmBnt2bCJqBuWVWVEfzdDlvtD65aVcTtx6k oM55x5EkOHNZyIYVr4x3I0/N43T0W65VSDheGHm8rKX9hFpzzuf+m6atHdPuw1P/Bp9m8XZ3v27H eGgoIgVjfdLe3nb8xx3Vrpxb/iACFa6G+/dwB1JlBfpINDDhrXz85DKfJ52osFNghr6C+Xv+2S7N 8mTFwUU3OnrsnWqB/NJWorEbnhCvX53A4n8lVJ/DFxfXs29nMSDDBEEk5KGYgmkOIO56q0mhF7Qp 3+C7bLBy5WBjvLpykVxsGEkTFH6MdfnNA9YwAdF5Ga2wR+lh+GZ0cRuG5AVEnJnfU4VNbVfyGWjw sBEY4RgIAaOqi9HvY1ScHahKIhW1dLBCgltHk2ZF9QAk+NDWhGHTfmtMeE5hve7F5XN3iki8zpxq 1ip1kz1CmCd/PB0qECtt6ZYoBMxd+zqMv+84haeBHu13Mm8mnRnYn09q/P9mH5kujFMoJkJuO+Wz 5LuJGG2IVQrKeYrx4B4nFYpOVZCTCt8pvqiXduRlMWsdcxPg+202cXGuLILTt5MZeNrzlZIkEDc+ fSxrd4peeDVyqRi7wT5xRbUmrPuGMLrqf2Mtx+D2B7vdprVVEVYuiihOiRgJ7KnvBgTpOF3xR8cp KQJCpl7ja1G5yZ2Fn0iu6U4OZdnfr3b/P+7YGuZ/BPNttKMAyoa8Apc7KaofRZTiaK/XJtZMniDh jKzDA+vDa0j2TJQb5/yzo6CXFYNlfqZOLJ7il+6lv9P+GkrGQeA4jUW8lgaGFsuiBHrvhpmcDb6b Hyx7JcD1u/O4vkZGS8y4Vl1i5PlIfGj6Qc/8vami6hkR4at2ZRQTRvpFmHcfuoM0ZsqonIBw/JmA xteMLJ1sl/ayzgkQ6/15DNUnz0GSh5io+zitUIuf87OslACLL0vMFC+/StWSTP/sj+DY9sYzQZHO UZ0zNYCY3GwH5lKBgVv1XCfyC9bmCu84QP/e1nyeTK75NMQnrWraHvfrGfwbPw+DZrZAr0jLSoh4 FXRM9fTOi7b3zOjv7JGPR0YzTl6Q1DEUjE/Av3tnMq9NGgm/XnqOnLiQPuGETr5+QmV5JeGoAs2y SH7Mpt9cRQey8MdWARS+aDof5Dpr1O/K6aBQ2Va399QpDfbQRXRDetgNTfOgruR5tFZVMiFrh8Dr z04UO4ovKOFD/gTMun3ArGgLXCX9dcZOIEX3YhZgglyn4KDgA+rE4szh+iavFRsS/IQWAE2R4fTK AoOeqUK+HClhnLMXSIvdewhoNlbXGcQEeg6AlXfgMV952SaTCPDLTywBJBSoKT9sIzAtfNRhQWAI 1Vhw6fyYORwQ/XOdGIyWMKxb3a9Wh637CysqGGXtLTtEy/OO+B2FEh452Rj7iZcxLfLWp9LnLgR5 ozbBjSg14yWYwNYdxfps+eumriehzSyr6ifIfxSdGfgaEKUhNPdvXMs1TR773ytFv8xoGpz71mN6 lsqGFHRxtubjWINbbtpcU4nAEkW7cA1+LLZzqHnl5NXwQq3lSKBmpgGc712F8jWb24VYrWyOB+qY F+yjDE7x+xhxUeomXBmT1xJKGM7P+7K1G54iexy2q42NKGDlwEtey7pWh5Bm2ds+91/2Bg3r2AEs G8aeC49aoYgbM6O5hgUV7IyfObk0W8czU82HqJcb7UQZu1JAwcTXVHy69d8c58x4+lhBhYZNm9eI 6owmCcCA2q2D1LgINSj5ya+8+7ruG5MsKU3ECiPFoQV2ULONJzuAGiUY+0DGUHoVJmN9XRU6nc0Z wlf017E5b+ttCKkd8D+xX9wG56L5GQdNIMkMt00dSLFj45Houjh/7ABtG5h4WHhEHl8ECSAVXJnl a72e/q5B+5nGWXkpqKt4VpYm7spwB6pi/cbm76+H6yxTWE9wfggeVUXvOk+QL5ytDQjYoVSgv/uq ptgXyIjoitOIFnfG/NeNNxJIgY/NN5SJk8/eAvNYlRsZr9ZKt1sKZviTDIsxd7LYEfS9P4tZTV+f uvKel6PX6XnpthOaJikBxrQE9p0ahIl+un54GUqVI5W0AAmvfq8p2jylL3w2EKD7gDGzAxWayptR a+u1N3ciThvnbVQOkII5esqFEyknMrZSg1Lv8+z8Zfxh9QE2xNli2eaflGwUmKgFoR4VFSIf3N/B gr4AMHsO111d+HMVaOw2XrYUzhqenk2DU2Q7OvNdS6lVL+r1t0YvA8JRAFATj7H2jwevZip10eb7 c9DYqq9qG4UdTLVuiRfDgXFQMkdJRx3WUUxiuxI8HKTP3fRdifqOQiXYXwFygWUbaw5gMwk369k/ Pm1TQnD5w9AzREOar6jTsuVj6SaYNSc1FaGq6bJjwc4AXbyvxsraLC7B628FTDYvi7jwr4u89mef oyDkMqFUcUrZ8sr/OQtxZ+xQVstYXbiRbnUDj4U/p2JkY7ihMahY0cttv08RLdf5llm81z3BksGS Laqz8V2XufM0T3ijtg91r7+/iaBPd+mOQCwDQLQGVhkb/HMBbkGCJriVYwxfqPNkyl6R7TVso0JR DP7ZrL6+uBa7wPCWRpU3qsMArf0IqzwyGm9MH2jNkEJkkHvSUGsiwmOBTVYDt/C8VwmgxBsp4EHl Ilsy7EG1KOHQWd2MfBzNZ84i39cmGQmmJgyxs9hr78m7UBesfPXpb1oNthK60/sWkfO8DdE0Bk9y CN1GLH/ymwvvZqF4OoBNwyGPdh++RKO0JUewS0A+jsLzHJLGYht6ntLX1vilfomdzV5u0LQWbl8l z/SAcVCGVsTt3aT5U5yEQOMsWsc1wFL0hmp/fJhXavtulCNdZI0j8fzvLDN1uRZeFBLRO7QSaUIU 9+UB2SrXHy3K5p8b8ia3vCvJOfG6sZ/ozbXB984CBN/mpTnZpl26oxclN6URmRTVU1k7wPTvCwYF xKP1SwmsgWkLcbejz26iscv6aJ/8NPRewR4RZY82iD/PZhhd4yaF+bF9XAPfz+zXiBDuyWAJ7ZJy GoW3Pkrx3F05kjabk1en3ELVTZmyOxnOog57UAfjv1hAWsm2Lf0f61LGfeUz/hqSQWFlzhN8I2Bo uzc99VGufLdQo+wbkelcoTloU2rHUS+6h/EX0i3LJR+uNOGD2Unxu1i1VcFQmnyCOXd969Ti7OQP EGQ4pMfy4BplxKW6PHcRCiRDVbqDEPLOrdg5MuUghsqwHyRz4QzP40xP+Q2vlVGnZTKnuJ/ufYox KAfwpzjljiR6PxMSAE7lBeUI+krhcBxiv5w1rOgahvfF2BEdj/9LNgqbV5/wwdSYxHHt/MeTMvc9 pLN8ninVDJGLczaYZ73VeV6O7LCfMTcc5z1McC3ADGkrkDfTiGjj8uBaRfOOLOAFN2nntOOMCO1u F1iT/UEtaEdG+951SHFFYRXO35YfXUnmjTlzlQvnVneb1RLNKpUEbSj7uoh/Sgm6gCFAa/AqwvjL c7yPawDMIPCusULlcdJQdnPx199Rc443xhvNYSJ6Ps3f2CV3bjvogNq/zPzcKs4muH4xeClh3LZL japeVI5X0bIQwDwp87Bngnab0UvbImvvGBXljhop2+7wzGNI2Uh54Q0i4+TGdf4dhFdZNYRFxUUQ rwul7QgteE+2ThfZQl+G89HSGZI64ypy+1J7Wj/5xCpAnr2JFwJIlG5YHyk+uzhqKgXbCcAqcS6F 1ZI44d5N7z4yAWxjqIWACvS202Zac8kIuLQOeW8cJv7MiA50xqOwVZiCGtrhSe2QYb738WeN6Wu8 1ebtSx6cguU3TfR4nT5LXlIU38dwBHeJHCPVK1Xdi94zKkwEqvC/DU+87yd0N6WXdlLZ176Dzy36 I5W0YcfR8UWvgIqAG48i5CDbURNVvaxlWfMWP0r6PHIiept/AGZo9qQ6MNjYLwUBLTVo/G8jz/BA 5Pg4mQUq7a9LyjWQGx4xeQKNm5VmqWGNFfxJmFEtI6iea5uW2tQIHPDZ321VGiRCwUuVGXD7qNa0 XhX/E8vhOPVqCl0uSMkMcYj53ntHuFpeOupa8SAxjR7OYH7jm+CHFikHJ33ef3GbeJSOR/njxiIz Boz8cJDsFPAukYZnnbXA4Zeom0SAAYFGc/jrv9HrcC1meXX+3kf/vJ3oBK2xE4bXHlvkTJcYcIqo TtMN4/nqij3XIMTOO2EEzO2OPxLOesrCSyvUWektLkcTyTQ+G1X7ZtapjE06WJiyvWYuVibU74Xs QRn1S0Fy5FDGX625X7/mLKKffu8wSak5wPMkN+g5Gm8YXQwFBDcByVhTbZPSkANFow9ufPsUcTOx 0GgFiWmT0kzvODQqdy9QwJHdWDKwR+z3W3noH0ZQTrHEhno+DWsYpr9NmZQACIj/nX153lC4jU+N NOUoxdtWFLDVtnS54IIA+IApOoIcro/goLYLkg1FrKw/I1CChgXW8QTZ+8NIFp8Skby4yFxn2aO1 flp4FvT7GVuqb+fCJrk0Yh0LNgBZZYj1YrVBg1CufszmcKzJ2S8Sj5/R0qQeKu1zQL5+1wk+Bvx7 sPAbL4Kq9EA7xjKlq92GIRPwg0c/y8V1akSMIqWs5/JjtP+WOQeimXHibaA2OGxu6gRCybMltxcE 1Gt7sYdTauDtHm/PvgB0VQOVKrduybx4BPmsZZhr6eSzHMTxVPA5vI7noOPLFJIhtdwTs2oTa7VC s5jkL47rfOyobC4ZI6TGQLQkvP+z6nzagdOElT3OF9FCjzepa7RKRATY5XRjH6AmgnfHUc77wWJl fd6VxOEcShmAE2aEuSCpAMw8rerQWKi7ZvOeYLwpyqkJVvDAvvWTdRb63eiMKxVehca2jNi/1aVh IpF1Sz/aMxG48EnCJD31/VxZV9WmgUzqs5RGmgHH1VTxLmUF/+4MpE71as0kQklFmlPX8MNxkfSa jMkBSfT397Eu8AmqUb40qdYzpBDi3sqT85P36k1+6IXgqBiKgOgSWM3xv8ZGjG6o9RuGFP2NOqMU A2p2NL7PWQd1ocfJqWTiC1fCjJs6eCj+ZNCP3b+uW7SDq8qwIXA5EEdOZviqwNLed2/pRxnbd/jb D5VAd1McDwiDSNJJIx7WlKDfCSOr4cd5Xrl6zvIF8f3IAV+yX4kvvybv16Cx02kzvwYjfqKRru/i M18Kv1OoIrG0u2yc5hPqvgXvBdLHikBvDBbw7rNgDN2NVJMpMRT55uerWmXmxZXTheB4kSL6IZ9f W6x9SkAxdweepNAIw4BVAkoDv23r1FKVQwbe15lOS/pOPkw17UypYxXksjV0P177Xw6P37i+iZay yMYOBVALVMAH9f1yxoyXZ3geLN/mxRwHOMVIr3gLSyCvzY29RqAYQgp1rM3H1qSAIcEZinoqTQKS 9z7IR9vzHxAR9pX6vk1QC/npB8dZbxmn77+P7uoz9Kn7UUrfaSnDyE7wZYJGYodj9MXKr3XvXfCk JmNuGA5LkBye3YswpS2LqyC9eVZtL8ijPTac5wrWZj9O7yuqgLDc+ZEr1AlmgRhMf3AL0M9Yxo3Z ndVbpwwskOdIMKtt8LozzWwZBEoP7QZg3+lQ/wVBIRkvOYZnkiR2VFFcYAoQUVBky6AFdLDJSaGX qTVZ7iRv27HjxA7M6nPjYUdgesyN8Kr3zca0h9INif4AkabcoIv86cLtTWomCFAspXFS9pg13tUx NLZ4gwrUsJ4h6yD3OTQbjADebx9jwrR1Tq4OhLKAdiZ4px/xcp07BP5HhtVQFN4jV+KIaF5aeA/h iMPa6hXs5+Mg0WwhvC8kD2mP0euHli43UCt3BD3gCVEZnOhxIJL83RDcN/WZmuJCuI4Hq++PTsDD 3UPraFO9CrGOGtl1Iv8h06/f/NrhsTZHRWTQtaT+gsljTo8BYeBw8xwlzpsalcG3UATUaH0ClEeQ dZMdIbjp5RPWXP6Z/4W+X+tMWi2a42f7zSgTRPkoD+YcJMwzrnURn0mFWBU6vVr9jCMag03vvtOw 9eqlen4MwvzhwAS9KyiJ6ukryBiXEL28qJVgruURMmLHOqxPb6xdovGMNYLeqVnfkCpu3V2If42J PZOlubVyHgispjeArKaQ/FUlC0Rp8xENHIwNvQM6UVytvG9SyhIzNnK3H+RF2cePQZgGXXXURby3 +n33Do20RUD/JdWK0pLJlswnSGgCIXy8g1XLXybO9DeTylxAtxIuGzpgWnQdCoOd792anfCmKQvB LyYxRXEUH+yPmnQh6/Kx0nbDGOQqKHdpMKVa9w2DE9NbPyYBflxFp2thTMm2xg+MjQQvQ3YYq48x m7T14oCt05gTfU0UoQy4mA9JcLLr9w3mfjNhEk3Ikr2tRcBAbEAWDKwKEHy2HVpo2DrEC5EBinHF tj5zcuF5X+Qli8T6SSmJoUjIwsXhzxSMYnYbUcDsqxxqZ64X1ZImSknAQMYNG0uo/ZSgE4UGuRGn XA/K/MjzQrkwh/8p9IRSuref6hKW27as9l9oEyTJN2XcqwgeLeMJHMU4hKrUgOlV2HlMW97Epne2 ICUvt7aJWrtGlGhvDM1+MdQDJp9yoTGofsKHoR+Cb4wL/WewDXepkBiMWZCEYmzFAOFjwNTYG82F SegS9wYeFvvnb3IibhzcomdJFFpi1Ko+jfAnIAIS8KNMaO0XRKneZXNjgrdu9necjwO/4o3V1FX2 GIwzpZgwXfamU7Hs39rGfQFNer/DRGxrfQpQ4Z7XL5VQSEwOiWmRMtkfqqAB+kot23dI4B965gBg +xPM9HDB+RhD/M1b7fFWLXUj48TcnCmQCbP70Zkgdr2Oskw4z8CCN+Wtkl/h5RfzqZ+lVm6XaGAk a86ssjkXkD0EB9MPfNAHCKnqeBBv1EtlCSbHsrRvDOzmkkymg546/bseOD0uAWJFZNIrdjTp5O6V /GDwJdaPy9ZLmAOw+CDEq5fdbDwAEbqlYOoN5Zqf/SYAyiUxkaiyOK0vXQMVekekDdIFJdPugfWx YNp4Q8LGtplcQRFSMKR24qe0/Ipjw2SOJUmfe6QD1kULnotUVGmuxNz9r/Cvx/aAqW2HPY/5jU12 wHZOAWg8FOQFU+0c3uyw2cbUlfYzlYdOixlg5NgHfLD/4VUi934DYJSc/j0vBIhfQEFvvfi0jUkc PMtzjiFkIyvzSRmfZVBQpleukX/4orNkKsREPCeJQvAbGGAQtAXPwtqK643JPCWXTc49mVDX7sWo 5ozzmZraq6xtbISAguH13xEE2RIWD4VYuRLZBlmPWit+RxEN/RodsClJt+4H91PPP+lq3pRlF4cF NuY8DKCQJGwTzS5XWJ7ymiWZTZU/15KFXp+M2GzN41547TcOa0qzKJKM8yj9vTX9pQ2pgDye/oZp BwP/ba/+IQNHy35R9HkM5c2408OpMYDdslzz2sEnu3tGB65jkvySvE2MmtvKN8xv3VqGD4w8zRXV e88upc3Qt5/ri8cT6kKp+y0Q4XfV07fHFW3dwrarUXDrqLoc/7xtSZVn+i8qv3KwiVMxbVj2JbtC +Rb98ul1OKuUAKy0A4+nv6GRqsiWhMoOL2z/hkQ+iCd/zNKGYDdnT9vFJPkQsM2KSGF7ITL3UJeX DIxm05BsynvhOWCQrCATAeGiifP8Z1BWZxZCjsFWJD7XcgpasU1RN64txai/e1ZQdY1VXSmwGsvZ b3I/Z+Ss+Z8R8Vjrq8O79F18/JAef//7aEi3HNtNNhHOnbpOxe7lWlBnA+Sfy8pdxC7Yby57oxBL bTHzgtaqVYbrUpIz8Ts0HvukttenG1feRPcWWOJli0N29qiY10/bmawRieAwBT/V8rflfcc6x5wo IGAGHF6jxwkJu0R3pud0HtcQvCMSLvobGzkmcVT210Ob7S+h5hehPxTQY8QOqGGVaER9F2xR22GO SlHKIyvl0IgcHVRJqjt65N5/bE6mlUoC+fPNGOzUNLdsh4bxeS/EHCpv0l5bzDW4EIcGfnj0+5Z8 CDA/ymz8HdI7DHAyDcPqxJBHwVZQY+ghJicjJDsEAmq8Clma/vIoHQiG37WyxOPZHIjxDIaniwQS wBbSpCQ2geLKewd/aLQ5W0Rp1X8jCvmCHSTMZHLyxkze2ULYOf+aFKxiXOHOyhn6NmUNk5J3zIIu KQzgdTAl6zNDpu/jui0g1QwHlrp9hiEiJIZjPmVy66hzRvWmfc5KC1v119+ZB0DBG++QiIV3+iFq 3MRRctVgkv+kJlkO0WSBhwwzFUB2seZPtmUFxXdFAMxf1vLzRgCJahVEbBb2LyBm35ejRPoqgwxY 0Rr8+kTmKpb5ZlChjWDgOKJsIixrEUO2Qa5iKEHFBW2byTQLXZi+zBAMxlpbwP3P4szEercirKu/ phl0ho+ICq2Reb9c0nJkewZ7Vq6a1cV/bzdMKU9/i8tmJ8LaEqyY0SLI2DFs/UVnrgXF9kMazcAY YpMMA5kKSYvtL6+pFM8dAGFM1ilE9T1YfwDCtcRgN3jNjIZaQGt7Gse5NV6l9aBAq3rpQRf6XWZ/ dQJiU0tEFIJD/VaieasSJ0EU4CHbDEzlq5kPVSt7P11t/6odZlbaaundNiAW74jqZlDYE3KxZ/+D XJcdIFcEurVKhmkpuc+Rez2YaISO1QRTcryctlaGz9BRbUAN5NvZ5UhEhS5OWJXGH7IM9rEPOTTb K0ldm4W9W6r7iWEoPIHDbmIZ8OMw6lAb9shmecLE0vd+d/tI+j1wseHATEbeA7kxQtHj1A7dgZJ0 PjgJGCqLWPH9T4YWBjs/NZwkoK4OGnYXo1ncbvcKXNZoGevk4PpKerSBYxY9FDh/mMuR5od99RI2 mlMWUckYf3TSroqsMiSTHHXfjjIUzLC6tanxBJVxvKHAmdHr5SS/EPEvxSp2xz6/bMpG78qK/K3e ockTOWyFuWjsuFHEh2tnJI1N8UnnrTPUP9Rc0z64XQWH1VvKks7T91qSnbSV+iUwFuALy7pBKN4R le8SHzVgvptIIQTE0vzHB6W0OeohRuqbrL+1ONMCuc3JgGSPIc+Rtb/J0TJodFKWP7eCNR6MKD2o I1d0mM5HeESgDvhGZSaxYSnuyhdJ3xyySP3DIv29COT9jylQgY8SCOkifR8kgMsA130KwQrmJZqu o/JJgCs3eP9niaikJTnQkbHxP8L3ugUkW5e/ul4vE4hqTAPOqgKadGGGeRZkl90VY9OFiaUOFWcT wb8YAW2G/JJL04vRTIVkXY1FVJdYT++BC0HyJU8kJP5VtdWaXaDrFBpz4/e3GXSi3zKcB3viIXsP lXRHLTTLsvnFROLnSENY3T5RfzBu5Efbs9L8Nf14C6jdJEqfNMo2jTtuNlr6SCgWkPZeqyaMQadv vtFyp+RWwnDqaqQ1CE3lfY1I/GhZQMWpkvKLHvIKZbTcjFY3ahhb65zb/Y413eduK+pDMjGyApOI 9DLuOIcvWl5lUY++tNObYrJVXHy/keheDYx5ZTkRrFbxnPMcdpWeCgsqduxM6vl+2qd2nlcIGKc8 OKOVP0HCY7/DmCplY6FHjn5tdbwjSr1rZrqehaWONOxCLP+gswS5Gbjix8nvgYQaVqQovy96s40J 3DWf4Cz2iURQil/CsRLWkVnzRsqtl8CyIQsixgh2keN1DJBgAVSSBKbKUSLf79mn2C+ytcWGl/8n CczqPNbZVzREpd6AsODgUc9cBxwo0R2B9qGLEkGvSkBSvfU/rcUyJS2nV2YN8SG8TrkUD6PcQIDI kNaoUiLxXPH8R5/dmMScjclOSKR0KvM2IG/oSgFijr0bGkzhfSaFrCtfg4x++acuXZSYWahKcCJr j6TFosjPKhK5kdTMtxeTUtmf8sVHvUeb79LHECzLr2YQ+9hMxjPRT04l92NrfbE0KsNnkzvLeJRA cwPWFBDcJIRgSvhCFNLQt095ZTM85UTk8UHgpuP5z8DuGFOWop4Rp6q5B58R/yZzwn83UJkWU4ZE UiIbH+H1thQF9+iyYnjlr7ryDXMAw2z1Wi4y0C+rjwcFbPPQKDgVEiRkIyi7z22sXeDTmevqYogq nyHq/u+4hmLvqdrbaiyaiALcZ2yF4dP0EB65asA3MG5dLu4UADmf8XKyWcAv6l5dOm20nS8RE76F LpiB5nndx/ohD9QtzC26lXKMDQbY2vkrWA1hziCk0K8eFTzWih2gQdWgZ5VTLaHNio55tUeu2NWx 6yziqQvPFLW/2ptkOG1M6D3FDaJYbydEQAe9bqxVSxU+YqbnPrbPc/gGW321s+V6KaKXYjCJOcKf 7oTzIhm0m/Zo2ghMsr+t8dev2o5MUH22ImUnHi/z1i0i1t+wf9xT6JJBpmv4W9+gPPUNtmR1uysa FywkpdncrPFg04BRbXnjmxSrgwWW+e3dGwMPAk1JgQfp+2p466oNyxe0HZirc67uVO7UBnIgJN4+ kavfODNX6UicA7yZorCMNJtlQ+4J3CY0NIAS/t0V+EJCVFDSK+yPcupHJavKg3DClVrP/mmF6MKk eIvCLeY6mjPdRS1lySkmOegYbeJEcY4NfCQd0HWCHCGIrwgzNeQnNEJLs2s2jXZUzwLdJo5iUgDY n7gC28fbjIWGft6NH90Nf4X9f7EcjaL49/1Ut23T+MptMkZGhs5VUhJA8sowVLwRUoL42rjupIBZ 4tnhVJQHdXoGpt2PnkVw+rRSHQ+UhJh9n3f/xUw+Id3klVPmwko2E01qHkVwnLRX+ADsVjAXqvZS mnLqDZXnaymmgufmOtcWg0IXABOXtr9mtweCI3tCasL7ic7t8OEcCVE6ccll90hBGvtSbwvDiMR0 4AgPhNQbkV5B1f5maZYfhwZTGs9qZO7Y7X2Hpz79k0mxCVsqY1C8ZJvnE4k8JFDWV1ugWC7dRWOX hn3PxaL+ZcGlH0mS+1i6nm1ryi21yv5pMX2eWZt04ppWCoe7eE+LBe/0/rAvsv/ERLRR54SNFCQD Clju+U4KxPK52kGgEwbRcfrYfZpiCht2YyTvOjcpIY63eY7x0ARw5gjglcrWi8ojd7xcliY4eLLQ FmotzOv6NuhFxyGVI01aD1mm+bPohuS3gHLNSXIMts3Etj1QdE4U60lfejrEl1M75aIPAKvjZi7H e+ijLROxh8lz6XSGQHptqB8asUTTEqlKlxGro2g15rAOspQKb47p41d02Nz+WB7pPLTChmxHum03 35x4Gh/Fbk0Yk4lsm0MHzdzLsUxGETkd/x0IPYKkP30fr2cGFGhviAQXUhGR5zwJ/Z7hKFcfxtHN 7q4kWV7oMr5gq0OxCBKcchnE/rXZ5Vf0ULswRzQenQh2zo1iA1/+VqnII3HNJ0i/tZwGOvhMTZXl 8D/mHNllvvXUST0u69kXjcMnpsgsgemvKC27vBm8fm6E9lqRWL1qkRK2glyse0gk2NkK2k4A75EI n62LlQenynrdAx7VViR/Gav59NTL10bSEmzN+VLQc9oAtea3YobcboSObnTOlJUKxnRijA5apPVg a6Te7/RUMRbuW91zWQjMBXTNV2dLZl43ffZskgVJZVWmTtMZRqJjijlJyk+c9RIVnBVP6aOJubNO B8SLpWsAKCz+iabQ8k8Nd0REDy7ZYgfZqSbK9WCsZZvHHx43guQW6e5P4lxbyBwdpM4rQodFLrWv r6BiiqynQQK1Rk1FZiMJ8C3FKR6uhJJ4HtY2PZEG9WPs7R+0j7ZcgmkipU8rYbS2ibwZ5FaqgEPQ gJocI/Wgi/PUQOyf9hdzs0tlBISkhab03n5e8aRjajSfIv5+pSTEqrb81NkPWmndko2X2M1uov2+ +V4Ez7UJK1Ta0tzOj76w/CKaJY/yD/9GB9/cqk++86CejqR+oofS3I684rGq1JmXCvsSw7RoeAND oeX1QDEQopBLNmq1Y0nwsJ2/jp9sPAbf5sRB/Qbw1XXtMK8k03sG/3YI3T4BwQfeDFORDn9HjanV elxQ6iTsoeZFV2emLuY3M4689a11AstnfliHf+irJiV0HpH3A2YfvfsuEdGR2ps6jnIA665PO4zf 5LUsrS9O5CdR69PKX2qcx90YCpqrsMqr7mMfJA05o5qHIAUtTer5jeOsAD4rO87FRvZQeU4EGuow lVCnborN+Qd461kr/GpyMcwhvbrRQzwX1/P/37UK7dnovTD8crbo5BNyR+FIxI/Ansr1gm1jW5hF XnO0oysiVbqFyshhn/D5BV0W9N+yesRt/0hamsbcstQW+NLQnN/rublvINFT4UGgsqZuq1zB+ux0 aPPshZmL6Nwi4Ve6DRx1/DUPL08TqPv4g1r074l7vQ8u9mwpSeRTXo4iq1VegYu/ZFXl8qyEDzYh HO4s7kEVU/SmZChGsjZtQZLMlpHxsrCsEHqNIn3z5emkwhoUC1n+ZnqiHbrCQdQOQ2gzjIekBtqZ wYbT+abRM38T1pZVtdCvz17DBIPIozWA/g0eeZkgJ2Q8hTw1bCPS6K+AMvj1jjZWMOXhlX1aRE2a /hM4n8Phexm2I6QPrt47SiENnDmx03oFwcb0x8aK05Fboxcp/r8siwvLxyIUAdI1K+duAG6QjBgB uwh+VaijMOTbQOZ8n8+AJ/r8M0der3J2hlM+DFB/nSES5KW7nZizEkbBbPsIl/AxKzCiZBuEC90S OmWH3Qf6yfVY+Q16DPbH4geeAReRoqxYsT9Y0HrNwXZD/kAxAOd13e9WdxEFiC4Jz1XjeHfEIZLS aujpX5vfFDEUNT5+2Vb/An+NuAL7D9wgMEaWiodOTYnDXDoSBOgfbdY1wl9+5Y7PdcpNCbo9mLuV IPV0ASB3pB2Yp5N8TGvbJBOiQvgvIOgsLYdredKX7qMQk/pJ0tQ9IUYCrg9co05sFNvWYo4uNHJG X2CUBrsWERApLaWlkA5iFTFe8qoLsSr7m2dpvXBoahY7j26pD1B/kfnLywUSRE2P+N+BXnzR/8hf SHqesl2U6vN3Uzc1twGN5YtUgk47fuRqClLy4k56KskU+drGLLUzOJQoZWD3SR05l1hO8+BaiH7W 2DIoLCQWtV9sydrQcZE/8TPQ6oGlvB/tNJW+2rKAzAfBmiODN6qo41U4SmgA0caYoFHk1JY6m7BO MovPqtiLQmVvwCb5FqtHF1aEHcrD0Td/s+2vp7B4S/ovIw2SB8CRf06ko4hBo7HOUYffYM60297I 6Pdi/8p2142kft8MhAGQt2fnrnwLI81btHzHJW5ikewjxN27h76mCxk6A8vGRfWJbUS6rhacdTvG CROWeDmtT3BR4sgFKIo7Lti4dYBB3g4ZM/ZtFGaiZ293nEkmf7E/sdi9OUwG1cwA9O+RX1lWBmRR 8oW6LDblglgaLRq8uqz8KFoZo9Eo2vEMoZGxRmuIbqZ8CDpkDzIFeF8JJFbjm8yIxR/9NvVLUWUp VSrCAkt2OekoRhOapKRskITKCOHOvZoVbEcQVGiM4ro5xNiAdm7BLSprDO71zMg+BnWKLSD5UFnt T2x0kjMRerCGxS2JDjZYFXxRfhD4DNhmWPbrf/R+LW3sfrKJNqhGNcr2xGDU69MlDeknv+YJPfBD /m67cSxVZfRbhZspQTmPfT7DWYbOD4shvQzr1vNNfEP5iWYkFn579oGmTElwkpFow1pQfvUuqdZU TB9jaiijCZtVvCoqeXqanouWHUGR3SEKFcmCTjbBHupMcjKdtJ+PhzP6W5eeIyLJP4GLfcTwAyCd qdYBcokR85Lk+Beu6OBXgmJb3FQO2XUSn5EfVfQjjelOwg1JeVMbioYhQr+K4W72DNYORbvMxHUc HZYWUaHG5zYwGB0ZkSiBu9Xj3G/sfVMxNMVC5rbhvjmi3M0yJXD2Hul4c2NXaB6kjIPsP3CzbCN6 oIb5htdzvme/UmdBcqF7lJNFqv423zjjJM2Nd3C0fLjfOr6arZqILwtbqgW7Q8sDvUa+TUuJ/TK2 Kcnqn1oGKT87SuBF+PHZzkxKflGHqKv5xlDqSCG7KHNVEY+sDTP4q6HGk1DCacaGwFF99RBX9V66 t9oQiJq5yIf4SK3YcSCVd9E5PyVjFC0Iii1Bf21SDW3YaO7BvuCadxXAlvDmEdJ7+hSrRwmL2+cq n9M15ByaUaDBi2dDF3u6fdDcWD9Qm8mkawUeajaLkQDMSpj3CaigAk7eu5hW4QcqB1G9bpKwqJ6t aA1SeLTPpuu9/UOFq/Xc4mQEKYGVcAPOLDzrA2omxPw01DzSQ1tI9Op3X7oEbawcQdFYbot7mfMS BPXFuq4xisVGiLYYBsZXThO0gF2OYEi5S4Y4hDk4Y6yw6OgxM/zIsS6VDCBG78uIVPEA15iTEhoC IoQr7PQHxzhG2Mkxmoq4RFHtfbkCy582NzDAG0Dv+1NNI94N+PeRH98ksiiTneNDbZVYo5XHQ8RM /IJh65rZNWmb5BgD6GmuEEDEsZMrNtoPS1y7dYW+Kbe+Cde4/Nw8+gccOearC6FHTtazP3ll7I4K KaZbWdVBKsn4FL4oM2sl63DN0AyTKlzxPPjO0DUT3mPN4VP+PlODCc0pRjse/4Pbxf/3RufU1OaY F4xZbPNnyTCG15an7e++dOoAK5yA2x+QFWfD24rshF7JVty4Lnqyh25PBVdIIRrvXehdPgK/QZ3r HC8h3bhA/JzJy0XUaaz8dBgByzHAv0f0nN6YMs3gl6s1jQvXCmfw3VibytdgopnGGlRVVGfoYLV/ USv5lZmzATEZiekEmIEeSq9P18II0Hxgqyq0o5q6lBKaetyYDZYlUHg9J+8XkVMVjjp/vW2xZk/Z edG+x3iM4p/32at5LY5kM3qSzeG2EAdLzGi6TttD+SVSBps21qDhryWCNG0bT6fcq4M/a4kikH+F 8NSrrQWEaSc79mF46AOCbwM5kI0neDtK8vVhrSm58r1vxeNUb9UAIM01XWfCvd8hkNp4JXV147Oq bdqVmHxa8nnvtjCmeVyFtS7D/MXh/bo/5NqMNAM85wx50LYw7j3hMWr30PU7yqlGpSxFo0bdnEs0 DuvkUWRg4V97Y1urQ8rwyU7lDBns8/7Cxd1f2fz8OOjNVn+sNgjGV78I7wVdWZoiF7CHqhPs+a7x aXNFwPMnSOcHH4s4RwJKAm6oVfgq2yGR7Yj+cLGEDCVNqo4GJZlP5Tqp1d2zEhehMvfz7SznTEK4 ug+7WZP0rEe8bmqJd71oxQb3Ae7uoK3QldcwvCSEqc+ZVJmjCoVPNiNYnEaZrpDu1IUnpbA8xT9e yjJIlI5sNwEtUqQoEid6HOHhjQvOcLiVP+VKIgK+MkSowEYXHmpJlDDdkp69t6p5/OAXLHjNYF6B FnJHnyRrLXmm9BqhRUlsJ+qYYfyVN/7nMi7YUwa9cJyIA4pUL5Q1BH88Phuxq814rG9R6xjjb2qk Yi+mF0W25Ly5ATEgSiUeR393J3zWSYWPW6naaurdDNJzToY0tEUUzuvxk2t2o+hwEfT11jFqu5AN SRgCABiyMd9LXox5Ntj0X4KitthYfvjw1DsVm2QL997SgxZNmIoVRs9M7xkLPmPD59YvZGymaG7s /IJ2jiD+A7jjAz247ZZkc9zoEbdx5qiAkJFpyVusY8aVcdz65m8sCs6JuUJM0xdGEcxJZkvjuJ+w bLyLePUbM1nZgAWg03fes1x07VX849M8cMQ8/TjKLw/rlEG23J0tQK08ogz1gj+0I8cixg7NrccQ 9iB8BZgG+5NcZxqTr3BhGPJg8vM63Rj0I3+JSikfZIvy9LB1GGos+ZNVex5AApnI7aOSW/TQYTDJ Yp+1sF5lDg7tFKEbiuHDuKiwicWvZlrjgwwx/3xRXdEbrQFTLbB0Pzq1XowyC6deDTlxuIh/gD5y fdQrUDNMuX1OYnEvaSxDU7hsggLD7b0hRGZysG3aGITlqAPADRlH4XpIsgg5M9YL6GGTjivpfJZY 6lIKmNSsYafB7Wx35ryXC4+J84lHXZ5i4I3JqGYab9XuLeGsvyrfMWROLXtfM1avUkkKCfzdPUXS 7W2AWnMwUZvIrJMEJygI3MyUweC5VUt+M7XidZG1AkyNEUrQBtpCI66Xf7xJnMaSzWrPdEZFcm3R B3ewQIeMakvba9p8pBV9u2NIuUgy0F6I1NL/BXQRdTx7f6Sb+SpJ7xnf3vRMqK3O1v+Qqc0XoKWK /Gu+/hs82WPAg5MuwbkZy+FNKjWUuf5tN3LfpM/864zBwMHq5gDQECdMC7/9XDa9D6lDyODYjXqj dPBMvSuI47OZW6fk3Cq4pxmgOjLRlU4MW/HgfvDNgOnKLlSq+bTxB+sLisFhma3nvN5MvodPIyG2 zaQq8PNTZAb3g5BFUBMddI7nIfm4YEDlfz6S2v4GJv0zWuArR1uSN9c35GLHrPw9SG4JgXWLVQWX MUxfuhXfwFtqwCOcl4FjKHoa4RqzS9EQC8whTW2LLX9aSZTe6IgvIVzdLkOv5+W/xGrRmgMrLnSi PDpGxSIVy4BnV3WkHK5KEc0SQRgAkFJQcyDCBAvm3DT6rXc4Ye16//bqsNdd26/yrzQWbXK5ZAQt T47v5KwZwwA6J3eHHp2jOZTCedWjsxgnNrbhJ7PRS2XQV7rCXjMydx9JAAAnME9xQU3pgZEkQ5SO bYXMwWn2hBVJTwHRTGfqyOpMJydoRjj6fVilAhIUcFApZzZYnNG6GNKLcJSZ88AaJN1t2I9Z64IZ tWLCcMfxVV+lEImBRgWtCzVdZ3Fewp4yTYblgf9oMwl1k364MZR3Qa/ZjLwtNHzTQaoV3gwjJupM cVgQ1IRqrfoPTjOWEtMu9GPa1ZLtGwF12DNF0PmabsS3GApb1rta6Y04LvVE1MOgP9rHjmuNLaDF tWuXgmVKvwbtetoJmHoe3V8oIvS+d0ADhbmhUWzLxDo7ZlFjsqVzJT+62HAJXXhLo9fAOCAG0/2h WM/+RBkbVqAwCTfjoQZ4lQQFOOAOxLxWTVEWKnPKalSF16MNA4vx0H5awFQz3sm0ffUWykzG0xtZ AkNrwm0clYc5Oy7mVaVWRe9Op3rgnTg0I7IbCnO4rAlyzDpgecbDSoQzSBZ1B6UcDafA3nR/LG0P eYDDmGIjjX6/U22fUNMLbmicW9M0waMgZxD/OcO5U+qvqg76Flzi6PgP08Y/luexuwut69uq7HeX Kx0RvblqxP28QtT1In83tgNPpwsFxloc0En2imT8OHFxqKXQmiomEhxX08FrkvfUe9RHChWe+/gc 65VJK5WvbQutTBDXeliZu3Vth06o4R8k3iThMOScFKjp/grmFE/ds2Qo0kVPpiirlOK7WYbKBAuI vOb3lpb/DMyqo5g5E3s21xmP83aZfbIf4ompTR0htTPMEmzEEkArExPM0a/cwpuuuY9L+vzBbyD9 zYyemAGFJDsmUGYMPAsrTe0gArTv8g3OwVgPDKUBFkjSdMukjPCsrstzbJgjMHe4GsSxyADS6HAw EL1OWM18SstX1zZlO9m629aJ1TXgue0izI0UOWDpbi7p/meYAPXDssEF+Llt66olzRN7pErzDDRe YBwZHO8nrpCP5Pz6QDpJz9fowLboiTfAv59OeU9RpL62yWam6tUm5Pt5DrrHP8wMdmY7BINchEag 38qV7UKCfeBOxg30i8KtpFZR84N71/l+q2eoN06L1PUxaR3xPI+JVZXyRiYfPHS91NuzrcYjld9Y jSfu7FQlpaAW9bxUGC+h3/OvE4wkcOaBonqA6pY49zGJmRU7tP7tIt3JNNZujl4+/vUYyZrvcoMg fzFyNRVFhqFw8mpHQqCAW0tFabb3k2R3DOlZV0XuaiYjWSVmyH29dd1V4dRWShu8sStzGfYIkBSB H534Y6B0nq5sWPCSHde5f4MbKTL+cVHGznIVFwmig5LVu7/Sp/NSTMvGRZ3SJTmvhfWyqe49kFK5 80+9Ep8pHLcgqk6RQT0W7i8mzeCIom/kh6y5vHI2+gCnL+dtbK7g7rDz3/wJGbHH0XhEYOlKiByi txwD2z2C+fq+1dCjj4d0OfsCEHWdP0Mis1CW3TtqoOEmfv8XANcAFvEtQiL1EklQjX6v6TFEuXYs pAZPxRo8OVh0BgkZl1Px+R9J5cQLaWcCOqeeMmdpwz0ViR7xPKsHRBifs/2LX0wr9qaLVDvq9zbI lq5dnhXosWUQlp4h93OmJ3PSQfKWBpDmw1UDTMOalRW2Em7HIhRv7pYg5ZGvu1coeBPerPo8+MAv aI2qUTyOWAE+IqeHcb6eu8lo8FYwYvvkduROhgHpUpROV501lPTLATC3eLvq3u3+apdeMu4TN8TC gR8ydFwfhs2OEey3R7I+WQcsQ55hiUm4zEnouduNQLJKtyhF0N0trnOaAJSiKO3WhBRQ/zvDr6gI a/mb4WrliiBxY79PPdwTLL4iRQ2oAeEkdmoWKY9OgzVOI4DmpeSqvOerFulzIEFxr48Ve/97Ue8k 3Qez2lyHN+ZaDcHBF8sEuXx6R+zY6CodqPIw9wk8oR9jWIXQv5S1x6ZVJ8Id8LmEMMxvbP9Rcb0F OnUNWR1ZA32SsTQZjZa8KVuYcZIodY9MR3uoDQ35D50nISydawBYDQHR9Ty7r2pbc2MV0VJHKPcW 9XIfJRtMvadYbZXQROiXXI2F7Ytt0vUCwUiGdCWDNrEuf9nhBT+Q7eru4fgXPuyydjqbQU0MSDup 0ga01Za1NwEcMOK0ixbo2n/eWOqmJQecnU1Nyl7ri1LqwusDpQWxO7SlBqOdDA2PC4atpraewNCo fBGCZvqNaLz204coNTHlr8O/ZcgxSePDEIYhM7BxFJXF8URUkoBI671GNBFELczATtcNz73lqgCH Ru5AJAHxQqo2SRRyHINqSuoBXQ/zufwaGURcGovkAcvEIxORk8PqbsQ8asn5TR0oPX4LYPgg6riZ vWtkSncr08yb4b1WczNTM5UYFIQI6luXZwbLhjjUKu8opcueQIz9AsB8/bAkPPSf3uMnuyvLQdSR UXHBwip5J2CkHa/35rzsVlk2XazxKCSDn+RJgHmpTSeuJz9SYiYXt2pSVzDf08y/v3ZJSFPeTaGw 9gW7L3Y+XyEyBVGuKxxGOocWJI8S/BKQWh1ZYupr2PDVYcJm8iMm/CnAXwQ24RAgQykJNB1b23+5 33scwc9xxsV57dlLQHF7WIYjeeR/e7zshQUVmqgA2Ozuf6g1gp2uopitCRauSF8ZkMYTJhqSWMnS UpA7gFNCKkc90VJ9jZgv1XHTaqVFZqP1MEPB1UJPwhdLlsoqy1OaGWQhyT0Qgq9fWbQGedsVkTrV hNsO2wUJveAbdk7wmVurlhdja0IgVP9mam7aVHUbayZsiDleSTVw+Fs4YPcgQAWbOEv1ko9hs0PX rFm3lUgCloTFlyilFSMyNjvYzOcJCY8ebAmF2W+HgzfFdi9w8ocCW+WovkiEW5XqOGE7+y6ImphZ TKHUTaWWvWRJwiLvLAV58NYXcm49q8Ow6lXHUKlBXmoJwouutAiVvxXEMKuavSw4ZMybk/VXPV9H NGzcXaa8FVVCOsf5O1fZMLii1wQ+HfbXYy+TH/AaqDEpzjUTsukhMJlTXiWSQ1Z5jwbXF/Hj2fAb bkftmJGvLbFPmAeAl3wthdHpHBcjz4usqOmY8Z8k6q++h11/gjAnu6L/bLlfwiMw9m1cE3AWfrXq /ChLmBdfvK/vj+ikeNHJZqxqEa51LoJItJE/Fecs6VCuYzIc/tB5M3po56yQXyOKehTycyUe82ig yTl2U6nT5Kw6iFL7XVDIQJZZlqIBtg+ICdyw0/T9YpNlORkAvrXqKAeCIE9jYoQ3DEnxORLxnYNg AeWedGIkrbmiz3vTkRw/ycfxDEhc336ca3taHzro7bRG20xX0cy1eRHTGi5fSfw1phI5hvCvmWSG EL6+qk+8abQmEOIHK4rZMyDM4GCpHt4ia1YHzDr5b/hRExqjnW+JEU1IpchwekG/DfNbiv9BD8dA i0FOnxjyzUcNsLKEOuik2Y12eFxLF/Jg75Efxqf55BfowE19nOQBaDgPYmzFI9BQkIw7gB5zdaaM bzBzI7iMrTxfLdYhdW+hbVpvfqfyoLZaX2Gfoz3NtBe8iKCAHLCYEGV5qO2kROZ4hg0QiJ97yDWP LdRJ/d9dCdSv8Uvm8hkbN34LPYqFbnBw5VXSPlKcEuRr7A+giTYNsyIpPqal+PPzsDdS/RijMTpK hhKJIRIqhpmambl/S5eHSx1GlxI93g0fT/EaSx1wUCGWTdh/nI3ZXMuOuw1UvmLub8HuRMqJ7iYD 7QO25lhhxAZlmSkYWmCSr6wFqwcdTaFIpYPBIE2YrXzHCSzyzz+zwOHnITakgqJP7eaX7YLgcV1B RhSHOAsPw1Y2q7rQY7qjL8th6ZBTb3fZehHJbZ9X/g+RcFAw6sfA3qkmR9nbsXwR6q9dhVXyCRue N6jq4svMbxdrSOU1smRTp/rJ2kLjj8UqoX4Ecr29Nd8KnQRL0Fz3ExriRSeeJdosLrmoVQ4tq9+N xp1/mnojXoofWhdHW64NjSBR2bhVqleQ1b6HmsBRwolawZpDUuRliB1kf/8aS/a760e75CxMpc4d 0ISkKu/v7rYa4NIbDEvW5We9Imfq9lpDZb3SAoU/vFSr5y1KXhARTGzpXadriMF/UVq7U0aKo08C SqrQNk742D/DmBYzz9IfXq+hvFowfJnd6fZ9pcsxrSZp+ixhPukAtamVVutYwnCcy4PqcYOgVCDK Ut5pCqT0NRTUGSiaKEJ4Dq7+GgNMNmcCn4xIqhWMkcK6+/GCPBHDzEuH09Y2MYkCOQ2/zuNZ8+CZ NG0nXJoOcPk9tilhFGlGyA6UY/RtTAkg3sYrC2a2z5uwEFPeY19tX9h3KS3JtyxyKRa16h7y9NO0 8kQlvDTuaCrrpkqUMXCEQg/BBs4bWlhMJ28puaH0mHefbZqiKhxtBb7ziBvCGd/5KMcb6Pq/0cZA EOPXhJPny2zWeWLnsRQ+cwocOTP6gRAkWgMks/XegCx1Jw6rxPu+H1T1UaWyI/qVSzraNwNa/9h7 kPzY33IWNpMMK9lDFzslJ3IVTYyy+K3zUSDR5UJartF7wpbSIDJt/jsX3q9JRWmJNMPrTwB2rrLt fBaEy1yuPc7zRT+fmdJfZGmzBZMlIQRV+3zdouEHVrvJQiymZ1xNb8F3I8pFS5YMaEt+EXJ4nzMp HH2UPaK0dB+zgvOMCf1ywwEClOGE90KkwxvEEC191lTPnKPtqOzfPVIAXQ9WMwNZ8p3p1MOtM2Mu JaoBi/yGEAR3Bqf17up77unMPdOyg7khGkKAtzdUOoDrV+ERgcToyRUZD5sJ9UaJRQbJ8IOGMX/K 4dJrKEQus9cLvIMPxGchHGuJXZ9ytjp+gXTfpcyLlypfCI8B98HUAnj0RVP5kocwsQrEwwi4prng a4mXOeRIvZSqZ5vABOEDBSzJ+Yz71xizOCr8TzdgEdDaeZ2+3MJJfHNAJxzIcMNsWB0gTen23qoX Qxa7d4T/zNMPnXEg6kkkCCvtlri5xFAIJIB3Par8fuQchdfkNY+4ZGHd3QM5woMxpi0mgp1I3H7q xa/6CKDnSl61G/ddpO0/FIKukDGQzvDo7djNpmy3B5n4KYnQpkQRnfoDHU3cI1OYBZyVfuzeGWF6 gP6W5T/4sjWSWSQ/RwPbHq+gsY4WTvFNLYEysWGYPrgGrw0DPhvNzDGBJ3L3xvGzKaXYmKf2hVKO xnpcPCELCUFkX8GcL8n8ZEyT+adnOVbWkuC+PPucyevPlnB33B+r487BCf01j8l6tvJ/DQq8WytB J66gqAa26LksRnOVeLgLo5g0XKDG35jXhI0BT7FVDF+C0uPNvTHEBI9befLPw6/dYlnaVvNRyjAt cF36N9ymfjEpVHybdkuonaI2Rk8AUZP/uMBI0rzuqO2ix0G4x1UnPDrem0t0NMniD0x2amZ+QGFH e1jnuFZNYic+wUA70OiNUnq6KCMOA/M8mBTH6+G9lNngYOGU7brq1fmQt0C6h3OObgyHZGWhttcH S46A7eRzKUyLJP4F2nBlfMcph5JS4JauK+yb77APh7tLH9yoTc5dw7C8FlzYMslMe1inhJwHykjN tvbcu9bpjJP1IaT0afTSUFRKaZm3hw5/xPKeMjKqBrnTl9qON5OQ2cABCIZxXLdEqeMDr32veCXK 5E3JTavfSypvixmaNuDVZQOxQxw8GcXqmmB3hFX17BIIARESwAcslZdaYMG2qq/bmYXsHmhvg248 Yxz/30XFTEsCPHv3a7QN1frwhCNT/fHgq7Bf/e7e0bTEoWLEpYzRtvKLjFmigkM1nFpuX6fiqIuk EqzDzsM/cO3qcum3mZ0uZwBeZJ7ajnhda3VopcAPqBefb7NIQh4I3VUItRWtwthelQf1szn4gLk1 oDUF4+edUgxMCPL4Ot51156af7/Qeu7JRHwIY997OjBLSdYlxVWaCoDnb0LKSQ6v1lqbKsy+0OHP momeol0FysssQ6pjMqHZ9QBhXM8Wh8UocC5lI2oRq9p3kFAjvSKrPpDXIW73ySMOQukfGK/xRXFg CQlhlzNwuaK0KaW8bZ1SwjphH31Q+up4DuMQI3rFyeTlTNsfrevfD0wCWNLFq5DbtBdfU9Bk1aoA K2Eu114KfWTo2kcN67cwYtllKOSBlBGr7bPJryu6h0RC3hBNOQ5B02uq5IWPpgEumAa6+xVz8a+U /gvMR/xNKj0j0EHd2nZNGqRAjFLnveNkSVQDMacKs9vyG0zjgt8ZUupuIle9F1IA5XSaNvC+DxWP gH+bBMpXMoPAmvPMYnMqnXOCdgRzeOrGkSa6W8Y+/g4x7HVFczriQocxT2w6uuOoSU0CJgsvAZGs sbeVDyKLJ4sv2o/4aZyiQe+ne1W8dxgPfjEykt1RQCWJo51S9LWSLW3sO7t+f8P45jTexe2megtH pDAdt32QHInLVRfJ31j5rdPo7HrpsLwroa+BWjMnS1VI+TmoE6+8lzxwvdnepDiRkFg0shHf5rjW MJG6gDjb3DGPTX8X//KZ99jruaBYvveYAByYjtLWtI7ERae6CZ10y+UjCBIhkG2IJ5v+jmb+WADs kw1E8tAQLbU5ywVZqSMi4bQZLJ9S1ksR6SM/CXbfkCvx1vj5tJSLzTf30WqlOw7D5i5vScrreuaz oCpGrGULqlBiYt/xnj+g54shnD4ISJRqARyct/VFCPvYUzGD8gTLIqLrW3nbiUFT3OwQpZSiEd3f zBySJQIdTAtGnlfdlTG6gEX3GCGeH1CRq2F6b6qGlXxgt6+O7zA8ZVsYGFe+8LAcpyqEOB321qst v1wDJPWCIsXy8w21NvUXSAkIwfly0UQyYJ2/haDSHu2IgNsJWG5/rNgD4qHEGTsM+51rKMFuggTW epn14INUIQBlHGLilvV3l25tDkoY4eCBYPNQ7LFiuXEHNQmebaB6VL0l4anwSXExlnzLkrgT5hfe 8iRV/wUEmjAv0FvWOp8fBfyTgiRXrY0Ukw52KHEAQU89X3F+8nSq4ORi0UFOBq2c6lAFWTh464vP cqP1ieG6wOTTa5ki9KOqpoEEQAs5WbI4lg8oSDCHpnKpobIIt39e7TKf8e0s91eOpuDhypqlOvx4 KQbkIX+zjMDDMEMPhxcXSkL0hIcQQ/nSBhdticnlLgjLj/yJayTalmMXIzhX69xAyQUIWA+hCaq/ 3a8qWeNZn4UgiA4Py3yAaP8yTnbeCBawErkyY4zlxwTMcMlne823eRGWDXno6qFs3T0pUObJOMgX N2kfb/juMrhCUJEh2pJVXOiSke6KY+V6ufMmj2ZmG7B37OxZ2pw1fFjlr113ufosctZjGl9jBcdV ZzLS7TX6M1TXpaykavyCHoTji3MxwGkCnyiAr1rD9k71Zi8no08n51GRok+SwloLNzqF1xViQ5QJ RB9my/Yct1rJ3Zio1H44STLYwdLcGkl42MrANXAm3B7Y9uSeZXu3+F+O0v9vhVM5bgfIAQN0YUUa gnfDNFRJ+0IgOg2rzybbuqMApLHs8rvWl4Oy97d09fziKsXIPqI1tZfdZnsCvXunyIwjhL3TvMgv NPVZf4+pp0hWNBUaOHyoTmUtZiLlI2VfZinIc4HybalrAnhv+ILLoDPQsCLi2htlqIxqmlWrNfga 60qww1QSb+9/OayHRYQVUA6VBG9aI3bScTkMmdGN8tOrneI7C1kOTVBVkiVT/l0KmugAz+GLrFNr VM9gJY0MM+Hp1Q2kkwnCyzTfVbcvq6tvSbBqoQ/6decVTY0hSOdGwcHWyWtHB3jbxr+7CJVk+IRN /EgU6vSu+ko+HYGjc+Uc9GgWLX552KfgoTGbVzJ4K78cILY5batctPTGj/ULhaalJR8909afikT2 xNYw2khaMQXUC8NJWgR4OiqYq+OY5NIGWBbGU00pYd0aNrU8LOOqs07WZD5aehCwYM9wyXhl3d9E OKjDCYsnQOIx45ro5IEPQb+af1wXhmUXkxYz2vcBqSCqlywfXVY1J2uhtXIzkreD8NeP2lgzQP5I dR9pMEVUpoZDnI5x0pzq8mhibAUA4t6rxj414z9YtpscyTFSixIpbKBVnfYXQ1zupXK25w/GTdnO dysnjZabtlb9lkn5IbttiUe850Ksaq8T7mZCmll2GgRFgyK3hy+CMYdUb1HSiHsjxCzKQ3H7Gqbo 9Zi3jrA1+K2b+IMihe+82sZ5InXGvvdcZA9EBHrZ8NOSwUSazVLfXDF6jVzusfpliq9hy+m1U9d3 vK/PeAPHuyajZE8NoYVwVaTUkAIrO9PRrylW7dH4kCW3fZdyO8TiV0MnEhIfghoAWE+zcEaYdEyJ N33Oh0rEIddRap+RtG2i3T9xLzWkSwAwgP3df30Pqn+uv5EY039CJcEQibCIeVgC6cU4F7DbEdvK Sh/cGmw79K6ApnBXCO1UlValdACWh2pJAU5Qg0MFIqUZlDvieZDTGFvCnUWMdN6tRH+Pp/w5Fs/F UGZWWlxlzsbg1nsY0BRuG1nHcMB8L5x019OKuwWy+SqVv2/v+PggyzCFee2GFxAHsf+B6OiYO4CZ RXEPqxxai53a6SWJ7BRvLOEt0c7JXpQfV9jUBJN9UTCTqUB4qN0+dKyYDKkbSSlrCiRLpnm1iMdL uGzTvFRzNcwgAN175w5I0ipMToB3WxPiN0ESexmqClDWIPxRvL8YA0S03v3ruOisl1DSoIIZC1od HdZzjzG1T+PLP4XvW2VBWh4BKC5M0KoCpMtXN7+UIC/Bte+1qWrjtMQz4mC60zYK+uewcSVfwUhg edWRHMgTPxxPOi67t7abemgLcqEuiopGkfTAgeZi3TZVBOp9D/qMYm+/79MgOMaOdEvoIce57w7R d7QWIzQctDE7Iw2yMKx0jiIGgpp0K4r89mRIAcCzOa/kh9TEKyd2dEvbmRnP/2ucAOVPKhmFwcbh sywD9Ha4GZBoYFGKkSWp0ajq3L0LILFm/PnO9OMMIt/ZDs36A3yvoMnmxuZEuiLcDQ6pTIcFAtuq FoZvAUe3xkVWmDt4rrJO4+pvDee5dzik37tlAhjBBUlchKElQ7xQM+WUSwBuRvw6yeTbnhBD4ctu wDZKilfpPpgP0/dMdih2sySzja+Kg3/i2qGq0zgYETIp27e+/p764p9S/8ZFE1cJtuJVRkIOyxxG Ofp+DdqaGXSvG3/e3Ke2g3pzL70E09K2GGl5Wt9JDepsZ/IGOobWxhbfYVpl1vYiGq0NIjWbHyNg RTNT0jXLYd7xrxmTGt5mlQ93gZrYrw9fS/r7A1IE+HdcbJHy+CQlC1+67p3tWCamGdAS/qZEidY2 SFXcMLBb5wfGAz0IjGpui7G1Mplu10Z/fgQDstIVzaFo3exeBiodRidCQ62e+6lBvNVJiYKmeB1K RfbBYrLe0OMsHxOphy2CTnJTOKi1BBBhUSldgIvLs+oycBsh3jZFO/SmZuncPlMcgQGtUj77OHgJ HQoaa79K5QHkd60H96FDKWtj2G5V7bl7E1c9dHxBJlHj1QVb8/mYhJE98+dlZfXHLHm/FiVcPa/I ftaHQDkecG7r/ZOBErOsOCEBPTqgmM9RrKgdv3LwAkMuqdofu96q2hMzSpgKxy/sSOj0LoMkZplD g+cM1JUyYAawonoeKrts1GNuOsSsRI88FVyKzh7CayK3OTtkVGJj7fXTcYQnq3PaDlpreY+SRKxp Dcf8aUtEicsFJ7OQlz05KAhb71nlnImoy2Xn3Laz5Nc+MtrTBSYHRYSl+xpaMMYRydBXOUAnTmrw HTNz+IrYW695gS3wXsYTcA8HxMIFbEuXiscRbXYn1Ak/6nIBNRq3RuWnOn68vLFdTDbzB8tXf61L zivEaaDpmiz5wRKu746otPcxjsK0uLiybrz7YdgmPVXAso3gZaGpyFlEp7jIks5Z8RIaTmqg/AlY eC8FPIrBOpc8cZszukDtkI7jL4rEpiBKxZAdICpzW4bl1KroHX1A3FOUxKMRNEFEoh4VZoDKoMoo s3N6L/cPe3pDlSg4g0seQ9HGAjIxyRE/i6nlJSmKrheKNxqGwwvEEp2DvLNSuIjjsG2/fHw+mXTV 8HGMP3Ii7AgISArm++4+MQEqSj6me5icrEoHAhkdpzwfjk7yRdYeKUkC5LNaNKlrVUIZ/F25mklA 2X1GTvY/D/YD+8j6/YQZqiuD/A2WHcUIwgx71FJvfg2LOrSV8FV77kwZV9D7hAKUwP3z9KizBHJ9 lc/oCPptbKWR09vXr1uZVSLiymv5LtRUBS+du8dO3VnqyEtDR3RiJ9vnmYCfg7dRWZf8RWnli79z nXIsff9B+6VRWADOzXUoTOBdZ4tch/XCj0OYGpUYa20OKFLTRd1bX81+2wUsrDt355pQfi/NRjQo rw+GJs7cCrS6tRY0ApMGbg/nfwIKbn0sqGrkUoCuO6HraUXSTPoQI/ybkVehkZedxhQFrq6SX2r8 eQTU9MzOXTpFYqCVlhDPpcO5Wchv87PI0HkeEdQedUGMCR8YJNzlBqvOEO2NM+ayc7cL+1xocdkf syvs5zVyKakgnnYa12L4hnuF51xAVXZJMv6kEnElPiwcfvrluKIODpBr23ExAD01wx4z7o/vD9tK +GmrcHUbwLyGIBflkOtW+uQANV0MpKpJ2BG1GDZhl9qRVU+y6Tvi3QlUanVfxh/W9iAzuI/pQIiH vNwT+jiYMYs46nRvFA1pUVtXRtGmLJqWR5nhMl/qVHrtq7cZT8fn5rF4IywFAuOn97DaQfhFE3uf sgh8UhUBKbRKR0qcX+T+5aQygnC/dGfQiARGpA8FrWkSThfsjvQj4Jy51/qXngZva6mGAzOIusyX AUcPo0D6g411XxwDGlV1Kv3vGg9sNoaYHyglVz+a3gQDbz4UgWIovrFwBKaRo3tHlZtbdvq4DLuZ EnW+ejhVlVE4RKQYJluG7qc8S3KRLwZB+SOl73fKH4CvnoGbdIYJrHejTkbVH123Xey2gNl887Xw wDrd5kgq/CC7WuiHEyQJ6ZLK2C4KE/Smq8+qiYBnrPd9UcBC63azTASSE3KmdyjJSy56J7NDEY7q zj1hncRIarxWOunYfJCEULEgD/P9wIKwFSJTOpN75R9eTrswgCWO4ftLsAouPJ56LPq3TStt6i09 nalIMMDBE2IqYZhLrj4+YiTwS5kvwDEu16Ig5M/hX4xXXr+wDo0OGWUDAf9+b4+2CCTj11VneVbV rfbLj7BhL56Qh4WKGbYMs/I9hZRJIT/ASDDahBwAke9kLEcuyxPZKgkLd9HiMg7PLis01olYC46a nAb3N041voD11MfqeJ7m1ZAnA8eRHp8ZMLf3obJcEixiGxGxGoJV/pRxuNWGrwMoM7Mxr+2MS1yq 2k++flYqecfkm43a/zVy39t4DA1WkqpyGckFLzMvGn0cG82uKVictuG/pQhxTreUDBDDwCYDPMT5 XJs8+q/0JrFOa/sibiERk+9qbqxryEZypS6t2tsc+p4YTKmuXM2PHufhzucAdyAeiq0gtALTEcso RZXiiwdMdYokGJr40wyfnIRDYOohnN87cAJGP6vnU0qPaAyaZtp0ho58JuTIe1FWsFj58cFyEXgi 9C6yRgK7vidCBYGbzvr+RDrTgvBA2RxFIpchw29C8ELwiKazBWi+S5QPQHXlqOm8OKnwVpflBbxG 8fF6MbXe+N/Q7qaWYxQ7WXvIgWuWQkxF3IO5M947PsM9N0dvPZpdI12cYMFC5//d3yrjSwjH+/Qv Gs8yj9ZvgHJcLWj2YJNtshQXOcjcYqr+g7Oz974ez7aLvIza63Xl3vbRVJW+x9g5SpjKxCulluS3 x7DYUTiFo8PwpXUT6RGvGJ/6cMs8g/DBRgkDvwQlLq5qXq0S0PoiQ0ECQLsGIoxJF67s+q83/shO Bmv1C/aW6iCL1DXjfYlM+ArmjWQTcRULDXXzGE3Mw9uXp0xydbtsHhlcK185SQ911X83fi80n7Jz Bdco9JIqV2CeysmGtCQ3wS2XkMM3J+BsP3Edx3KHCiNRnz3rpxVBLGIXS/YoA69BJ1kD0qvQaiWF Z3eiJF6ndrv/G9vkWNvSj08YUuqfnKqX/579Kq2DXMOl0rvcNENQ+mK9lhNVZcvMuRf1rsvqY51Z Z8hHcgFiEt52a7ACy5vBHSG/tem0lDFuffi4uUx8tgFvrByrymQsbUwEzBXCu2mpCINVv5Q1wWdz 2o1aaSLFaav5NN9uBRRbqDpq2+yAfgFoVrpwIVMJN6CukocUCToq2QsSBCYbd44D8YB1B9sZ2kn0 RVC7Me6pVxOb6GVfeVEE+YOb8FYnNKWuSMzy+cF2Ls/9mxNk6ZwpwyCC7S1uldxuyagQex3xBLTN dBT0Tdz1lt86g29QMcB3hTtIQiKbGHOFZ7r+DirAoCs8f+FVdEclmsvxBORtU/abBhhM6XuwKPpc kgJVREQYmaxpkjNR8f7tp/V1f+Pld28KgOmrFvpqPnx3CgbVXj61fk6qd+FDG2Uz6RWR9XOPrmhG PgwInMccemAMAX2KuPhDQjDrhR3CucvzuGkjAP762k8TN69LRZrxKq3RRHoa4WBMJYan9qcbJzBs rz9EV1aaj8NTQ99Nx/1d9qdmCem8lR63kk4BsnPJMTZ/Z8M24teqbBa8BX5hLba3XKhNTNmb/6R/ QBniOkebFZ1Ozn4EhpTyDFp5XymExvEpfPl9TlMJk0i9TexwnQhd+P1r0P37C1SIJ+A5bbqfpyBg aVingt9p8dV9zW/hY4pIXGeBxNC/WnJd3MvkuHxVnW07Umx1TS97v8URdgS4RScF1vhk9CpDXC2X QjGxjQ5LFNtBaisZkuqiWQwJwqw5pXONN20l9jMfUXm3L6rLYhZHUk+/eKOjItFIhJRVyAcXVYil a8tNF8mcE9MelQpGs2FOfjk7jgwOIaOBcrPLpRZQlbFNZhIxLJIDD54LNc3a/dphFeviwIkgym9V oKxK3vucB0PGimCcr37+DZjdb0wtvMTfJApYUdCKsfmIvG7K6UjuzVtf5MZVHYKy62mFq3i5MqwR +fS1uMESpko8f2irCk43c+fVCc3RPEosye1ZqrgQO2J5B8KOcZvI9KZsvmj5G+JdXV3ZVWeCesUt Rvqt4BfS40w8OssTDE6owygD8Wpu2WKLHI6MdGxCuPwyrgw/QxdddUCWSqZUHqya1z7kD23nvWsI YhKJY9MfVGIFi1eB3h87QhPGmGj1IP4eN1KCJw83ZA3vmAWcOsNiC59gvNJr0wEQOMLIE0JkclDj 4Wo0C5CUqnIMJv0V13I10SJK6p1hIZZo7GZ+IYos4LCnFyrncAUjG3n8czbhIkQe9oEL28a2qBtY a/LYJPCbU21Op+BC4gutk+2kyD1TwL0fxHbcjBrtPOHujixjJbC4vgiLo3MbrcBBV0uyzyz05APc nF9CrRcBI+2H1Yt0LUZvCL8Skgo1eTVQSNOqL6opMKjgMJSOY8iueYzNwgW5lwigLi6t1S2qVdSn QS1z+m+Cf2RHhJufdswA9T322JYFHsmqguVvGvhoroMAV/Fvpst7FX/gkvZx1/sBTX9kREmbVGrC 9rId/0zvykcQcololKlc/GBLbJtB9D5nAWu/ut2JRkLHGakUAF2KYK4CLLBqfbk3IvqNzozd9egI tmsC+sV+Z9UpvDd/sf1eIQvfHU9Y1XlT8V+Tr+b1FmXp4VW0Yj3PyABA5kw9txl38Y7WCPcp/7K2 jGyz5SyHBaab/2eCuwS7MiiKEjkZvpxN4LiPvLSGpDA/3leYXy85tN5i1udS5gn9gq2qqqwuCNS/ bbofhLZyhB+cAdAKqWUpTMVFJgzCnsbJ+NUmMKoXOGAmDd4f9NN8TzgBTKIhhSbJQV4G+TFuRFGp +/O/O18WVaF2SR36dN9QT03ONpkzzV1BOKWS1Zhz7Wn6VvtC76jJmXoG2F5YWscBCBlqFc7zs7IQ qOSiB4QfDouFHL5SmV575c4XX8fdMgao5JxIvnBXtGHvV8dLdkjlAceiUq9qY4qhMe5vTReqyXpX XjygoqfA2Z/FvL8ouC9gRoCs9d1q8xJaekGyjRvrTz2wSykqeceJkxzPAl3q9T++2hel8J0jtp8J y5Cf8qE1IC7gYMyZfBZzII3K5qPSYWXI2Gj/Yi9+ZccYD4285zbARMdp+IGsduAD+BWzRWzmGkOx 5wjCJ/LHT7NeBqwpVGq1mkwj8328HmRHmJGEBPE6LuW6CdmdoThGFqQarEDn/+6hku5mSyzMjrH8 ly5wAPphorQTkTku/r4xHqs2ODH/Bh1Urk3X515XCvuk80UHe64Fvemgw+MUlrU8fwGLNlhQJu/J jENUnJ+L795yqXURhag6E89kHEtb/Y1eceRFyvWQeiLFUJlA31rc8vkzzJeNRu4qNFRodJ8wmvgt 9DXcjJ6Po6tvJw1u8ofRSiYV16clbQObLaw7tuFoNgByt4QmwZKWHo2hN/qVkvdtYsnoq98OS479 bTBWTkCuZSVD/CjX6eCYDDunLqFMb/cqMkTZ3AKMk+q6No5VmHE5uR9q+cvBQs9yQ5B6BQkk5Fax RFGnObTsmIRNvqUhXROQ81VhSldUeDc81taSfgtLetJhk+/ZpcFb2+3bC7OxqS30nx3W+2y+cwue 9mOHR3FgwIGaDn2zAZNmEPI+vqHb7MYwhqMk+zXBKuAB4qRMBfpySNtfFfYSVVvQoFD2C8Gy8nVM YAMeg8RM9xA/sr8qznKX7JAl4wClhmW81hj7Bmjps/yDFHto7TgSPAzV3E4BScq/kMyfQfnJf2TS 4NsdpqvoBZIWIfNX73UCasvMW8BBtwTvIwxZfXjG7wHcfGUS80434ddHr81WaTY9tVOUVqJoiCkI Kdx1jmGEQF/3N6pS11Oh4JQeqHICOhMbuSNiV6B9t3TfU5uap0WLNwJofxFVWOoi2s2xfQoJGINY 8+E6iSH+0zBKsr54VUyIt5yhxooqOWSPd6WtmSZ8rmeKt829geOuYNYrSIrVIHg0gcl+ySbp2H+H HZH0JDC+L+8NxJOvQSREnEO0rw9UXf9Hf7VrsvT1FpZztnPMvC5HxWLZLa78eZr2KtjEyVh66PIY XxW+PN9n9qaS3bRMUUBlcIDtPQYFOkMRcR4TtlIzv+lukrspdOsYrAMRVaiIXyeu3ZxKXaK2W7+G cEJ26ricfRy/BPVGtbcFdPRMF0DmT3AV+HlfA28uYivd9S8AVwkdhQ7ZRD+nwAW04GFxFGOub1nJ 5vk1bd9J7ExE6YAPuI4taHHbKAuxq5HArq5LcIsRUGwwruvDVn+vPbIiNJn1i0M5IvRdKwK7sLWS RGkvdmuV22P9R7w+Zpvq3TAFtFVU/oV+O9TVvVwiuF+PaqcCArWaKA2b8ajIc8o/m5AktIUVvGaY SVlsFexGd9Pn9Cw/WE7ziB5lHHv/Mcj+gjrf1l2/5xSDijHdzi3CAUma49fWcwjuBnLI9t7J3O3B 4pd1l72OfU1FMpmYkR7VdZfnf3Wyv5e6kx37zyUHJxJly5vlCCO2cgcRmVZ9QFh7e8DOBYkiuGnn St2lPotRUgbx0nJTq/fdB3+bpQbyPCw65VQoYzaq8EqSAkqN/rN/ukq/yYTshSEyfW5ITXR29ZeB hCXyp4E1qIFZKZZZKHVSzJyAjtdDrITbGHAdJcPSVB01zyWXDOEgW7Dl4kYITdf+kX//6xcLQqJw YEcgtFXmPIK+qFbsTqF67EYGyeG4w3NZ+OuRWYM0oqlqFGJPOEwlx8ZRSWqjdI1CZYQyx761twzM uKyo2GI8IMf9Tjujt7LdrHKiXEH9R9HPfrxuAheupoofro7eEQC1coUqxW2hQOHgBRnTS2aemR5f 8G79fbEYB1ou6Ck9/Y3d847d582CzHeaRLGixpAMr909uaz4LPKarSKB8+09Nxa8vYxuxTgEG9Fd kQ6VWO93c6UtwaJrUmR4BjGr2s+0pd8YnIVrAwvtmfE34M9yUQTuRK/zSVXc78XRqQJV9c5DqMao Tiz7FC4xLwGW02iTe2GIOKJXFU8E6X4FCQ7pPY4e/uUZANpUlF2wyr853JH/O2vFjfoXxeyFFR/T u4JyVqgZvJQCoU60AWL4KuX+8fhLjFwxwbD43YJ/P9TLl5fbtDbro40+GYw40CYWzp0XMu5tVwzw u+VjtuAA+mpAeVgo6qSPl69RLpm50OxlScnI/bqC1KHabAjmtTdf2TVS6DZSp7Otw6CZ2O4qR2o+ 833RJbo79y4SNcq5PqrKifd7hDmriuSYabbqIfgDoV71nvV2WsvkE7BiS/fWwsbnR5PkeVvaALAL i/hJh+eh2TzMM9QPCzaM7LcHGfe5Mrl6AIvmz3vUV5PgZDqIiNK2mNcCylylpWujuRxRMQlK5rvu 7eTPQarhErmvcZv3Xo6yJkvgd5ACA9dSCPOe/ZSDB7y48WOQneCIT3KNsHGBR/y1jN2C/5BdGRt6 2dU+93o9anX54w6CDQxMj57gOFBsui3SbA1dBgQa+ILRi6JhDRvFAR1hrJPWXf3008/2hXVGa5ZA 2xcfAm63czu4CfjMderrb31FWQXwUwWsbYszS7uzWx+HQXHbodGfLFpeexz9okc6fB/SWth3rVLs xMr94xhX7IAFBq3a6oHqr2s9puRB5gWCegKqcfEqGNZvB/sk3q+Y4fRT0WFfiuyycCCLzbtxnbT4 Sx/N0rJYw6zMduy9KZ9elIotPWHKnpRuT+0aYvF1zEkhTKwjhg825MOfIv8jY9OhoAI1XtryOToV lL0OuaCai7aGrYu86HryAVy7TR5NwTa8GcbZrc3ZlS4b5kmci9w20mk7iVuUjXzoiecH5QTlYU35 hC98+fCdbfIcqkFSKm1qe4IJ/lxCEJfnTp5q5HxkoYn3hiTZpphMTY9ygoAzKS2ZYj2mEslevuEC GSM/m8hPjWttW08b7YKpadA5bzvwISvqsObECTuI/trXblB7ANk3M+JWCbq28mp80p6oDSKnmsPK jJhkWlkeoouqzX0LMxpf0JBGbOaFkraT6UVrJCqIMTq8C6NQGq5GDXN5yJLgbPV3hHHaTVxKVxLq oP0JmcFyNQOYWmcY2PWeg/KeoFLULBXAV1JEa9pRt5n9xioerlpX/E9Ydgk38LlJb3gALl0EyUV7 b7/vjaV8YFsO1VKopiCedX0kWlfxVIVEj8wMjAwNl1wTEa9lOsJXI3o0s9QPyYPyVT066o4K1M9T EfO12CQJOnOO4qc/K/MpIkLGjcKcLuh0ZmrVEIURqoB02eURBUBCNevvyNB3MmFX9RtHCoAQFAYp Elt8A5ZERp/isqTLbHAgo5LUR33Q65ij5pxlxg9UK5H2P+NXWyXRXlU0Qf2U9qgvGdnVL3YUUdlI YoKqS7eN1RPo97RKa2216zc4I8dS9C9lbF1Bc6IQUY16AA0PSXd+0xvDH+8ywSMVtKozuQsNDIBV OQq0IyrrYn0SSfvJOjfqNw+iy1fYkv983s+pM0SWvxunjKPMIr88V81aAxo3FswhkMFQKxz/LpTR NcNqOB//FAQBl9OwIiwu/TtGGhb/w4KvFlRIusqMoL9N2jxcejGkoQJMF4ITGSp9ZMjS5aXR4p+K 5d9tA+mr+XCfPF+aWaLUp34hE3Wy3yIv+uE86RuUetLEyw0NmhFQdNy4n6rdMlhgPo02TaHxxuwC UXtCcUEN99lSIK7MAGnCbsgU7NnRVs+6ecTfWSABWvdSb9p8D7oyq0Ct8NsPh9nXA1komp4/lP3C OhFggrmUkvlsZVxdTGVi4PEQME70ra3ZB08gp68JROJ38eDks0Fi5A+87OAD9wXjEG6Yr3g4x2Uq 5qxPd3K88WbynidGdYs1gq6uOV3F4mxBfpfQnCGyyS/NfeYGG38mttX/4H0wZCv7KP2f4wh8uaj6 1mRMAb8HBOzjfaGicbZrEcJJ8kVt9inLz9ozlt56KJtlU1Sg23C8U3KgnE38pq5OazVey0iIcP+y FFeqkMBoRqUgOSKB4HZ4Y9MyjpLQlG8KJH2v7Hx5z2jOWTJWNXzoq1bT/L0lPHBN1RcsE6EOy71O ecaqhC8XC5NFCwGqelzuZgmAaGjcxejFETFax8mmKOH76cxCUtsSl4c72dF2owt8wTofwi99koPM OAERKsmNsbub5OMqrrgeVxq+QOxGLbed6NVz3cPPScGcMkhYJcOumzFCnVZQH2jDGFQeIueGTntD iN0RdhXVoXVGFsCcz2yYl9eljo1HxfBxceJ4UNO7E+yOWiyYlHYUUiMovvYSfCz15pltJ+ZoIGSz C/J7D3vco/HRn3xRNHQnIOlLQJ4to0/ix7+cqmqv2vCUxrg5BXQOauB2hagOfWPwDmWXvvpuWbFd wSx9L+Wa2/p8dmjZrnxZAjHWrySp+5vh166hHQZIun68tqln5bqzq8euhv5TCj71wyWF2k1eqXvG hOUAZP0yH+Re+w9rnoGs9koSLFreJg2kELQC95kCjKKauVueuFrNIH+B6uszeRVQdEEnjm3tna9z 2or1Npnag3tC7/wds3LO+EZd1dYZEMujd4EacHB/E/h3R9Qcmk4DjXAW1IEOyDl7Wr1GJY+3uTk7 ZgsscUpRE2eTxFATOyebc5CAw04uXGHG86hRiXpWM3EOmDBWWF3lsvYw1NlNI9G4nSnJf4q1zglB h0wJfwLl2mA3Hv+sxrJ1z0r+S4+DwIySbPeKcG5xyEG+aSTcw4xj13sjLZWuBOpr7YV24ZdbDqSC dtZlFtEuSOjvi0vblu5pTa6pito17wh6yJ5KMBv7c7HzLluC5JGvOaKgeN5TqPRaFcK0iVWSlZXr dPYNmlNWSyT2MvYkOgiIxoZhxn+2W1fZazZjcSM8pYZkoMaEmorxkijBU7rpvukk0gvhdd1NOHa7 +C2t/94Yls9ILsdpHuafRf4hg/6LallO+ZRDh4AzmptaaX7lwNRT7UDEcrcmZWR1MegIK/rD2XIc RcYdx0LIyoRQpglHMZEqcPd8nTpwVDEDtybC7Knav6CyIkLBEQ/TCeQ+/Rq/7t2YCAVNk6ccTfNe ay6E4OaFEhCRVlCuUGrazP5rKFx2bAzy/DIDNbOpAFrR5v2e7UwyenIInDHMTp9gUsZIhJZ4GJnc BO4anUGnC1aiUINXWGOg5OlQK+nSak29u7EI/nMOhvqmrCddUyJyCe8qMVdYGM/qUy570VmaA4pY FKuGIDTNKwGeDvtptO2t7nnCy8/R9R+Z7z3Ux5nDCnr9c4u90dl5r8eZ7fWYD8imKP3UVWsQWOn3 fOhh6Bx+S42gZQux3hJpTCJ+wx4HHCe80lcqNQi4WCMIxy+GJl/5C9qzrAE2TdCikJgjkqVGn5lg 7XMgmlQvqOQn0FqD1dtIuafdSRS6z6UnIbDECYuz1BevIDjLHUwDuVZcmJfBw5pLQAP77bO53JPV ZCnEZzJGs/U6a+yEI/3R8FGo8wT4Y6uajQWVspc5ccH0kL1XPg3tOwQEuiFOPCXWEp9UDmW7Em17 4V591GWMW1V4+AKbqAdzyGDo1NWC51D5mdOHoqYiJDgtrLCwD6Ir0YTOXi4AxabFxIwVMIEedtCv 1IoATOOYnqulayKc+0n8P8e99RuysFMS35UyTCnJRnNjf37LXMPOn4j8b0rzzx4zkBSZWaK42kfp nFdw2nOd8AFiL58VdfYrF4FB0gucVH3pBPIoEHtRf+f7rHZnt3S/KeikqtQBbJht8ZGZrAPjD7bp v/KzP9kpISu/CFeBQtuiAqlqouKv4J5gJ4yq6cYcDWTOK9nw90PWvHoQ9UlQTwvCz5iYJ8/QbNGY w4aJCJJdpq1BDwgdBX2gWIe4ZHD9+M+TvZh0ezVymEEOa07oR7kCjvgvzCj0CYSpsFjdntkB8tuE Dc40oM3XLX7sHmqdyrspnYLiAlx48ozLDZKaEhk3whnV3nldrq3t5Ery0wWdRpcQd97J6QYcU64K pajN1RCNBQlxHI4IbhuNxFrmK2ufw0B991WvcOahPT0KoO6JFVelzPQX/j++tkBGW/XJhRQYoCAk T22IgcI0ADmeSVNOWza3/0o+tAGLgMDIBdnP8nxNVqq78rQGFhptsTZBnV7/bd2W2DiN4EG9Ddx6 WL4t+ZtrZ1tCoAgyxD5CkscfnY4JPCuen1o55DEs947Hcn8o6Br4OKNmHNmE4xrl7ihBjeKEud0G u4tnws9/3JpVrZiFZqlkxd4d9wC42/v/16zZp89/fVbQ1K09Pi5Z/OFQhtG856BU/GFmyJwNeGXB imsJzX91rJbY2hvzHeoIeD0PiG96YiRCLMc/2PzAXixDcDZIjbxXA4h7QygjBUKm5j+iGXCswum2 KYIkvz/Obz9Rq4WsvLGiVmtEuU2LX2yY8OUhdTNcZW5077K1WnIJjiXhAldYZLJ9arI3vOdUuwNH zrPVKIJ6R/hWgAw4gn7ONpEzUgUXEZj0XnalsMU5yxpz/1zUrDe9KzamdJprlMdPwqK9aOQXFVko Bfxg3/Ls/SiUFTFNqhByeprudqWGMZ9MV47xBLbYIVJl3MbXgt2FFyUowHOsasMS2jO0doxjoS8c jExNSqir6AftLa9rFfw/IMM1Fjgb5l05ilW4ur4Bd4IGuZ5F2U3no5iyRVof1PXmSxY/gcV04Wjr jVSGClBQg7J8hkakS/hW2TRFmkR3g9tiN/3rzm52hrdjMuSil0LM+era3BDJNsNMD8nlGvXR4p/x fQHvSKBdvzt6i/1F+swvbIehZkG0ycW8HG1CVSmEjadJFlfjGrw9bWo8RjMYxmxLYhyaZO1E4Zfd /iiSpFj5zSOXd7Lt1yyyorf8UJoxTQ8u+Mu55LA6cm3gi4KaKVD8pDcLZx8H/fX9ktgzd3rCX50Y cFZZrWWKPHCcRPFaJ+d9clPrW7StBCj9oWnQPCO2suAVdti8SETpdDd8bpLSSRTrOiybI2zaBFCV 8CO9BtlY1f7LqJ8dYr0i5vPHRNGb/Vx+rYRwRK3sM42KNyUiaWsWlwFUtqsE1l9GC0zpp9LL8/TW l5pn/FIXUnEce9c18kQOcfIoP6lLbRUdBZWCnvRosz/edTN861qXG3S72bCzz5MwAh7RvqLhhjF8 B5VksyT0DU+y0zfQA4myr0nFW6viOcNsw6ATCoxBhcJaULL3MitWt5g3R9EJo2AVmjg+VWKZDDop mhVkWnEHSJTTTQnTo2btoZM5LaSTZIkkyyuUKr0SaEZ+N5rVjXGu0oVH7QuLF77a2rTsrCA8E6fV 3MCx11UO2tEw6WL+CC5XCX16pMIPHdYfVxPTC5GF0YTTevcmrr5VtLSxoQpj8XVLz8AgNmRjF6jm qjymWRXx1hOlZMd3xZMulXbcu+nwd20POaJ8IuBXAERC7R2l4WTk+ZawB1vr9TJGaZmFWsb+b52v tlCTX3/WzBlkvLaGYOHf3rhKmjHni9CBe/TJSx4vAAsj/wmS2J3jyhdkdlAPGvWw2BOaVv7PS3eP dSgoy7PHcW/cse3LyXsx9Jr45TkykvulrkOrVJ/zfLVvRQWDprStRtU6akcnMdmgw1b08lwhp6fh XQr1j9D1hYiCO92NL95lx7uf7ePwqKUoikaXI371nWHYZT4noMM4naJD1odeXzwfKtz8rZqt2aAN UvikwNKtjzwysTsA4IEJagZV5mPum8nDHCF6+9W0qzyYxKAzYXzDJ+tSfS+Kd3DCHv1VShOXu6sL CqnhRqnwzz2lsCoSGige8tPE8j7ppzsBvsISnuQbLj+YUkFZj48AFbr/1GSEfdumyLa8NpC1If72 9YRFfpvayAXvig5TfhrHEHtoPTrbynSFf4o7S5vwRkDG1QB+1+f87wJka7w92iySfwrIYvMbNC1f howlcwFlxYl8qAqc//q0X/rkB1ceAtNWfH2NfVT0GQJXckO/lTTdHOEVR7uLGnks55vtn9+mFtwL F9WgdLccn5EEIzobHLOGLm3Qt9ACrYTUZK+RNiuf/oHuH4kH1iWS4DMTkIpGqzM4DIbzkyNjQFpD bCOHQIsdtvXgdkqYTdjP8VrGuT83Mieo8mHnDFPi/aq2PE/+H/XEdCi+EW3Yr+FkJnc3Cq+XwzYM YDs+bO/LuPayP8Zao6TzQAHCg647oJlfXJoq/H12aU3PrQPzf2x+V0SshxDbDFwCb/B1rOOki208 tSdbWluVMr2BKpnqRgl55LR9tTARdhxKCN/5t7iHqC+OgLVeYnltytFeMlKnPHkGB229qkFf967Z 3ES3+fVnFBMfSqCEuIkDCKUe0Ca1IknXCgruwYZjheJbFeBwWyU7R0fCKoVNDh+gCQixNoxddtqp SNbZrvvhZXog1l/7A0gvXghdvbGxtOPIKhQXuxKOjO5dFBXNcAuWPPMh/f1y09mlsqm7mqEE65FT ni9DEUaTb3agryKKLDRKYAgWHic0bIsLprI234Pje4PYp5Us+w8Dm7HDUoZ86M0cy9YnhHUHti84 iHZyaAVSKv5RygNgPKr785mbg1xVK5GGns+nzOStDbCbwRcBE6OQG2mgkO+4ovGqykzVWxLHic0Z OuEx1nSNM0MgA6uzl6LVjTHzke2S+6G3c13+8l6Xv3RayLKdUVu+zmaknRvdvcc0SnV87tjbTCK2 0u98GaoCgv2ag0DcanwFIQyjwQlSH0Rg+yITsRLfTyFujMh1c5EXJySKKJ/b7e30KpsQNDm7fZ1k DmcnQTZcDZrIrJ067B7K9zrZi6RkPZnnIFp3Fcu6b1u0J6lps9aCM/WXq32P1H/rldIgQZ/FK2vC HCwypIp/zE9ZG9n2b/sfUSkE3TA0K4mguen06IU2zSkALpk/TjFebWW4WPNy9F774mlb7lEwV6Az Z3Uct3bE75notm+I7Qr90FbiznMcdSo6BPcw1VJxtY0r2YEKkjK4VOko59yIKy4jFEE/Y5uF8pci DxCL2khXxakSUpdLaT6cKXPrMfFzNjMaIVl19UGW23z8er+nZKvjYQPp9DoZxLvlbkTA2kVbzgWv skLpQdQALXm/PSqFXfn5755IzTfkTnyMPk8E2pFHTNbjq8bB+SJO1r/bercfsnpfQcBBz/yTjfj0 ydL3x1O8hq0RjYIef4pP2DkbuGIJLmm7mzx4qhP1iQ6kjG3WWz2SbEgSRLWycJpOa51iPg9/9qy1 e6Ow/ioCw5uJIxdNsAT+4mPk+tiZURZJKGQCS5pvI797UdWLBAIwZGPttc44jaiO7eYvOd1r7cq6 zaAUkyO60O60hwQovG4/dxGrsYKz01/0ZEwj526xct8kf0hd4Bh3cQ0J4wohmhGalT8fhH/iqOmJ ycLHz6ZZQvxQQJNPBlIA+J6Zo4p8NAQVbu0xnecgfNzXF+usExWVCKM1hT3OMMf0cHwTlPqNmxf9 +bzQsH9rCwJ0iBiKKUXPdencaUeYth6YUN9iHc99fzj0R8THAV41/cItb9UbVPc8Qq8NDlYMzovT J9s8qHYQTyE6JDoPo2SFgXylc7NTSk7J2GkJH/mVWQ4E71IqxIDWzj0C1nJw/EEWeiNTyECug11g OsVAuLDyPbY8ACtqJn+rQLYLdbzIgdjaKpbgHZhd1BTXIRkQ//kvDsxGBd8h3dsSh5JOtKu40jvc DO7apX+ObeKTzqEaV8E3ocZTCKIcuWWh6/iA5VHd8ylK9LeZLEPLbiCBe3l9gMQkk8iJUOjLNN/u ltXbdHCUOOt2R5/6MybWB8FbECeqvxHH7nawORP4XJx0PKP1/JiUQvCn2Hi3rcN7k4OhmkD4myYm CM77YyBpPiZLFanmHJDURx/35fOPMnY7bI5AEdnj4K+7JM6xAUSTX4q/nCwaXqPN7rO15nnu2vry Xpc5TPOe/su/Ygicfp7SnWdwII6yt2EyEze9UDdSNxmGDE4qXwmtt+kB1XRYwGANj6BjEhAd+KRd RrcScuJtsyWRSelrk4yTs5zAIITRZHNdNiy94kKBsUW4fsI+KdZbwimLFqlfVOVtzfIdWOcduHiu 2ld9qkUYht35SxTFlrkKA7nGvkLhQkU8KkUalH+lwhdZQgqbP6I/0zwI7DVbMYygnSpRpSEP3PmB GwYbKkInm/zeMlNN+HmYCXJIodWJ06gG4vxJAq9iEg7BtX6pgdLAhFurdS4a6sRZL3Goqonv2efV TUPy5zimUTEgKPZuDWhG9y8WqwKfgm/LybOfHH/dNBxtZHMJEzBZrkT1p7VRZTq1BIs9dT3SJSoR 2hmF3IsUfIuyyDhWTp44MHuWaoj5qo5JwGNmiaXnU6m+4csUrWqpTS18RRl0ecGKB6z6wx5aPV4U hpbqk8UaRHuN0G7OxiFlphEyw1WzTbb8aEUtkXSJiOEhA/TRCBzkqyC0+NQ/ZYDZRG9CUx2ccITi fonjus6TeujjC28vtTajMublK4gnJDwUBtNa1OQcgPoUV7ST4mFtqy07RcWFRS7aEKKeQaZRkRsW 6GqpzIYIPI3n3PYIwQ2GN16DQzEJhJNpJ1LvPuxGp1ASa7rN2hkWdR4HjmUdsc7HyuZ/Tg+J3o/s HhQJEJT5U9VXlTqf9cnphsGKftXtAIGdp0AI4TJfxhWl2Vh4FQEL6cEGqFEhdAWc2/Nn6mH+0p7S RrcztnFZRvuRkSgOOEea5J9doi9LaIdRNvZN/AlEXzzg3MnN4uRKCzFy1RcwyMueJsbAe4t8PIT0 Lr7jtEp/IZkGqb8fITQoOrSix5811iQCVI9ht+DeLQ8raCSdVwCrHJ+emP+zHRtu5a5EGNOQRVpb j+r/k9RajEaQkvFvhgzLhE4i8yr2f9rm1b2F+zIhJwNhsNBSb/uZdlALKjDQhVaL8Abpa3UMcP+g 7fa0yWpfq1+4TM0LhkrIcdcmo+i0mv4oJWDv53Gnj7481/1WkQ4gTcIPDUbgapHNgXjcpO03QweY nY9R9J3A5DLurEm5DdGBegogCTpFSBdjCthayinv1CKJPbzCpl3hExRkil2aTVbeF/eMv+qfswXL Qz3RToLp6Hj04dgX2zaAJMIQ/kS4GG+3WMaV8Pz8PHqZgeGeSn5Ob7UK8leiWLDCMzfiVRui4BK7 /fObM9kYFRQdXXM/ouGG7XBbk9Y/HtWZ9xYn2XuUxpd+oSm0+ViGesCWlXA5cbX+xDiTS4ziYcSV 4k1d7eavaYyBd8jVtG7ocr+8jsafYw/EB5TARd8ufLH42Wc2c09R3WBpXRIaefm5gdhL7PQdHF2T fHd9QA27mq2JzYjsrtyMGUEmXAwMSrqkwfGjXldl8ZGzymXAVE+EczSLosmFzl0AVcAD0KB9U3AU 9QwyXcWZspSyDZfSZp8z/N2QJ+iW1fuFzJXfkp4N1v98228cQPDZrQ7DAXjPRL/nfmzxfhBkIal6 +j6YFDROWi7L2fl4LU4Nn2Un+9wu038ciCVlmDzKtpsIScutEmroMJHdH7sCS2LNgIgGB5brnizN qwdnZbHhqC7kEfDm8HDXNis+2HV8LjOODKfpcRBIhsY4RwTHlf7VSQ4JRFrMbChz0zSQjJC+DIiM UQiVWX4HKFYFtPQ4hVLkINK/Fl8zyARFs/lLmRWdyERs66FF1FvVFk3J0v6NSbxqX0OzLF1ri4Tt RkaLu0JSJI8p3WSGk7YnL6Hml4rh5x2rf137O0thwMfKtgNpUrgMvoE/WA8aPJ52fRy8bvN5gpZo HHkZOopaiz8or9ExmCaewxi1k4nWZSJNr/hlkDX/UPpJfCwrqyGBC0PO8JWO5vSYvGncWmck3817 Y+ci0EHAUH0QOUUH+FtVO3LICJlKgncW53n0ClebQ7tFs9efd8W5Amy01E/ysslB+lQPdjaAxDfQ S5sbwbevN2guA2xMtpeNb+sZ6jXLIxPmTAx87TBHYZnOl7VSQmkE7vnLXgUW0bLL/bsuc/mdk1M9 F+fZhE6cJlsFkmb9Q6Hh4MRspStgPpQog4vC0O9Bn6eoCnmTI30sfkSfB2NJxp5usL7F2tBlJ3SI QM0fxtxg44UglQvc1Y9NVCJA0Ulz7ShB0Oc0KjyEpJ4KJjH1INicvsUCk7o0PKvvnp1HKF73K0zc Lais+5z1XmQuGlpmcP9Y6RM90ZVhkpEYVsjaT2VMzN4GDSJKtBxQ2LEMTho5MyTNskD0Sg+f8CT/ JzRl8xPLCiL94qYJyTaarHD2kbzENijmmRTylYhlnY6Dxt3kPdN9vqaN20nG97F9XITjBZIom8Sn cfbUz6kzGVCsJQY+adEczawVJgJ3Imr8bXiSecKl0avi2HTHj6yOyd8zfgl6ko582C1QMl3O6yq9 ata3DjzSLk9LKhaqD6tANqCPi6GSBwp4XvpsPvsx+0KVHXmdQLYLsJWpprVACEtO0/Z62siaAuXu C1pDyLF1pQLJ5iQOdYxkN9cU9xZoob0k8k1ExPnXiXlCqfxlihjmtrIBgbnBN5mEebAwjF9DsZL/ vm2ouadHgWQur4vAz8jkDHmGuPzJaS7BTCxlgrsgvvtItBxPQlTKjqnMJgV7xX7wSY9rS5Bujh5+ O2Rzczcj70m1PCERZiaMRUPIfyObgiK8w7JyFWfa02WOu7Y2Wq7U38uX7W4FspbCAWf86SXyAUTv 29eub7Nsw6Q9L4ve5Hd8VJnOI0LsDeehMC0V/EnBYMTfMcOwwGapo86NA4qciMOGeU6rq6PZ5/wf Niz6V3hoGn/b5M2bPTs0gC5v4oi4V4LlAFrcVhm/R3hZtPSpwwcMaDNlUhiC8ChaGsAF6+YtYGeZ zPo7jMlUYWTETiRvj27tM11IhShHRhQhDCpyqsbi7Xo+Mhoif6fUX+hoMSjJ7ze1Rlqx3T3+sl6y 1T2lknv6IOTDyNCiHTfPYdF6nCF5E16bC6Y1TdYuIXdzu704y0IC8VIMY8cRk/xQ9M80lC5d3svt glJVzgyTE6UyRRPOZW1uLFIqHl6ChYZTU6vYzP8d2QiYy9k/3Ny2umAR0rwUeZvB81AUH0XMCcMI j/tB6kku3EfeUVIoYmbGr0eyoqgyWkdbYyLvfASjdkuoXHXPUzUpsI2qRrDI5avISUoWSsf9RddL MsJYPuiSDyj/JL65mewy38CVv+IFOea1RTRGvkzEXQv05YC6i0BXsPVmgX43fJdf+muW7cxgb01f cI0PHgxSmGBJ80P1Iwe/tvTJ/CyMbrqjh6ygm1Q68Wk9UvOAeSu9ytHxxlkpOTW77gB6alo4XLKA YuIbrtmfNNjhT1RWHE314WR5YxCY4c6ZGf18TuDzuSmnL8LH19BEiW8WmKPphpU1og1/aOMCF3aH z0FIsbUxT0LcOaWrRyuBdW5Ipuz7FZBlUI6OdoI+kUXeSGYzliL5teKiUW1iziyE0hb7cEnMnN39 wfgCdf2AFqgQze7NUvDOB1Ht2/Mq4u9qdocED9cutV8DTW92pvr3rQvi/7Ulf90GGuYuBhiyJD9R qEpavQorkBrnYgoyGK7+XAUaW8vJ1waZE5hkpHR4gRkGrHATbMBU/ibQDpRTOBkTTBTgzfiEjVVy KZSYJk7+v5qJqsrbI4gP93QaFhHTS3j6euNaNvN8z27rVlrBUJTyl3hIkX/U/ky1WQlPYy2Mk7/f t9nI2OWLKfEk5sZ97de+YtU+Q+sMO+36zrxHIaOO5irCObAqyXB4hG9EjltMz2UfACF+q31iXjqC rh1f8GxXRwwT6K/9YMHYvTInpG4YzG/1J+FQuc37nog+EoBS6uaEjiFDXayLb4WmqAS6MNCu+JPV zNyXfbZkndfsO9WsRIt2ZB8j/z7OBvA25aeqPA6OOfPHYkC0DvLMo9LmvGt5pAtaI5BtB4zYnwz1 QywxmOYvTW4OqTuadDhjH+GsrsLA1Ld78brHTYh0L1zdwsiqRaS1zKgelhyOivCyItpQLVM1qgL5 F7qT96PjsDBv8o8OQPlIc31Y7JUvS0bpipBE9GpatHk+Y5o+oabbA48UePmf3YOIUaSFavJYA18x R0EKmf/D5Yi6oVDOhkIqU5IhZFN5b8oSZw1wHONlf5XfByu4akrXsKHgp+D+8OUaMkMi07fN/aEx OwSVpXmK47qdmT9mcGQwj+GEEFUPmpu7krbTFIGhnPKOFXwEc/H2aZNxHfe+R//PT4YL13LyFZk4 pZXDafv5RSykYCsekYp1S10kn9Z/V5Rq768DaCePVTcFudP0S0wZFR4V+Q2BCR+7+iHuDCnxSqGP scfGXfjCIgs1LApzaaEYB8eWyyFIkQBFCrm/e4E6e9ha8oTnsekaenWzRgOYRB5jd89ZoqU7fKNV tnuImY7fQiJHO2O0nDC9PMiVNfr8z7dGCMaLHfyC69IFtCm72Z+5b+CQmtmJWKve69TbWGJXwRDT uIM9bkGwdzcCe02pCg0wToCgosGw2ktp3jRENe2ekosVBbVMBBNtRLGoIOTc7/B0H3xAWm1whw7i Btpgjpgy/Lc2/yP0lKSTbS97JiVqhmxBPE/s4DzgPmNUdiizQswQ0a77xsA4CWqo1WIbz+bQApbr DGp/VlNTlUkdyU6az/CKWwdlVFQmgdU1QpugTUaNedDX5+t0McXLrbHoBtkDgqxbYDdx3NMrFVmx DvuTGU8KMvY87ZkBzj2zfk8db063xGY38jmu8bEi8iaryXrq3CTdjMcH9fyfxzPsxEmxpOAaedkc pPiX75WzDNsybip7daUU7wNy7cTYL5NYYaHBzdwJ3kMsspHpdk8hW8GIqST7jnZ6brkRJ+ry0Pnt 2ymaDzffmvtsDzPIcn3lL0SuKF3ORiXYmk/WXFCiIY5rDAa9oo0VJwOf68CdDlq9Pm/WEu4urZFn XM3pXznNEWn61iBW8pf3WEzaHCwb0JO+nV2ZNbjxsqnFKh/LBjk1Rg9TtZuBM1CN0zBm61FyYER6 Tv6vCXJK5EVBQ7iAwiRvhBJMSOcAblIFf0fxlXUEHs5QLAiRcWsUegfLPO7+il3si7O15cPIIvoQ 1XtoL3OsvdZP1i+QNUIBynWI0ibFZNjBcS9qLeTg9+C/aSWEuMafQp5RIS/Y4ubx6u85t2ZOHxnx ajq5gwj3LWODtYMzIAkqzIbCmI9PX5f5RrBNHh3/acqnmPR9soMNkXqxa9NHKIhW6PTf4XN+HACW 8lQW2IL1ZPVf2sTqVfiYu0WUZ3e3/uV8g0QtBdvp/kvItkZon0PsCae5iZhFinZZ0Lr6a8w31VS/ bc/Y4jBCcWv8RWoqHsdLsI+1catWrQExC1FX0K+ZMF5DJ3jwDxm+vJzaChMl1Ap3VE0+SN/C1oA4 bL21hySgtFRATIS8R03537vKQCcgdB82CHKP6fHMnPzjuNz+N/eHTZjsueWWRfvzAEUbQzih4Ugv gkc+HHi3GzIpjpYTt7FuoY4nhgOMgoAtMn1JefLNn2SXs+uYAOaVwgLsuiTR+1niIHS7DYE/AqCS E4vnDTfwTUKIzr7khJSNw5gajacdkRPqp4gptWSaU6uRI8ztuPbefsG+Ac2wuMUf/0IKuvTJoFF6 mzM2M/y1c3S6hUnYYg7FXrZGG8aGtHewNblZj8kLn2MBm/RUfXgYwjnVvMBobi/L92flCUtfV3/K WIUrWQBpnVB1EKsaVGvXpN/a8RAJt6clO2Sv1SJ8pPOW5yd0pGT/m/qRdPMFhR6WPTiYHNk9NY8S kwz9Q9wuZIb04Eov2ZzAelevvRfQWgljz1HTtny9GiKcv8KKdcV4JtTNC7wZb4xcMIqok6pcF0zT jHNws9ozv05n5VDy8W+VJNQ79AXnq0ELt5XTDipfcoGCQ6cPx2RwvB/+7LARiKseW75OY1mqPyI1 0o8+tU5CU+iwQcPclYc+1Cle4keN0VKRWL6KHO/sipBXEZVrAIOqKtfgy4OE6giJ/v8fXkVG/JIV e/Uz+tJ+XkgYXBuW2hQu1N0/zvKYjq0JBK+ppj4lsX+FmeDutPR0JWMScWxVsOmsbxLQo0NqRc2a rtMdK9qDZoaOgUklsLa23807dnsvvO3g0bxuAZYUcFvXMIcKy0cIqUmWGa0UvZeSMCQW/M45FQOQ 9belK0cvrF4J4idoSET0ONg3xlN4uGpTWIq/IUyYbZKs+WzYPRMM2qdbqy15qxkOPV7jArsl4lj+ jVNrn79JX1830pNP3luZQ6B6599eGAq6F1g8dZEPIFPZgkQZJ6d2nc5tvFWO6mDJiXE7QE2bJ+jb BxVxX8P07+kXLcZgXNfn79sfUqdA5WiJuQnAMKupJPSQOaD5jgfj+9UnHU3SA9PndVs3aVCHzOGL hyHmqRU0QNoeEXT48ZNKkbmOhuBpx6HlkJXY9amABWQD+5gjXbf93en3iyl/sgQZ37m/37tTQsyT zdcofZF/sYNdSZQXKdbU9X4gay50oDqF/lwlSsI2cCCcLT2HYasptyCri7NuiJZWdKB+66SGf3Bl 6qzvYNNpHH7GRyy7f6dIz5tZZ4Ohgk5CGy4bhfE/Q6IWRH6y6yHV0Duaw2xabL+kOI+8Me/Petvk uNuAjc9SwBTtvEAp5elcyXBBsRcFQo0mWr0wSaWsSSnPAFaTt1uoINSimw2HC006qZbpMut2UOSr y9QI0sxSG8MWxl15inDIjFZGFgrDQQPRWEBdU+GGQCShQee9Eg5KFOtGCwXJ3/+qVlAPHorXZE1u kWqZL2j4PLZo0GQrN2eV0/PSe8hZW/ye+msBXBG3RvIV2+JlUphevCblhVY5rQo3ATFUWSq4qqbo XSl/E8CvMb22k9kbwL7xOdV/1PnxUewapfieFJm+XvHWBHcIaooEPuq1/0cH0XpnvbxZp+XNMD2Y XkQGeL1u6aC9SUg+T+qaL/gK7QQLpuNVAeMCfwi07CGwlij8g8m7pcEEWZjemUmEIapZFHNBrBzm LYSSLj1ZWv/sf7QMw1UGngJcUdsBlJDTHg5ad5m7bBSLX2xSS4U6QTkzWSgJWBpT/JD6mHC0CNdO AwOtT1V3t2QOabDjDp0s4SnO4fWrkwzb+cqZZiluYN9cQ7LjhdIBD7YOtLHJkZKHg50HgGd8Vb1o S0aQuRBaWgNdrE9hDr0srgF2uNDu1n7xk1JZ0+JgwjKfEqSqYvOzHOoHn/mXo+wpnLk79w37w4Ey /kriKoLHZ8x4I81y3KTVLeaH/gt8NnfChRaycEpO3TlXQMS77LEOVC3vdMt6/fsyYwQwvJfxzPVh AajFEyoVy4VHqtx5krbjpAWPDmdzR7fhGDkDrEspIyHf/qjCQxeRj2GSGoUn0U5K4Z35AWrWNgDU /slVPS1FPQbo77QknRuv7W+5KhhuMBf0p4Be/Zwq6847Svhl3Bb75gCfsqGf1gOXsD5XBdKxRIxM MzWmFLT8cUvZqsIC8q3hpaq0PfTW2Lner5YHViBWlvj9z6yopI0Vhl4m3pZ8p2F0Rdc7RNtHZwOm 34fmBQNsEBK/y3UUTyBiEGV+FTcrupZyJgYkK1076riLV7jU936NUpguA0MfcrM31TPMU2o69aeS F8hT6mTdOzxSx8Qa0Q50K55FIuKGE84KLZz1OMEbuti/KGKGLuqV68LEC6T/TnNhH9+fn19JLdTp yp/puu5xSYqYmvgRJri1Dm17H0nLYz+qOiyaQ2ZKKutYxe48rnZy1a304vMI3dzA+Ur/m9brhFEk 4AmB7JdwssWLBD5fQnBtWnv6wQtd2197sKb3g7A64QGLu4XZLe1PLKEeBIPAdOfnNsLK61VGB4PJ sU7BoXuw7eQsiHzyKs74C8FZ9oYR1h0QdBIyAReyB5WYfoLjw/A4Mte//B5KgWGMqVeDOHQGd2W8 G6LvMB/Tysa8FskjOtsrBwXJC5SeDrXzcVCyglzeyIWdx7RAu5UibBOEsfzu9cDrjdg+9PGoZsWq 3cQ0LtbKHijrcTlb8T1Zc8Ak+t3sMXaPs/jepyJ2I5g7JNgz7C4FtvauFN5BVaVTPoDrII8P0C13 Xd1E3ToeCyH7Da6oAADKfLhXwmxMcfWin3cYtmzu+lRMc2QZY7ctPSSZFWJhgys/dvW9BZLq78zy JshBDGZ9qpiWMYD/T+tcPdA4bHH8kc9E5TVtmeNbwty6XTiFCiGRZhlC8BvKypeIXPHVYoL1+H8x OVoEZm9Je+zf4G12PraPW6DkbN4F5/3mUq28dFH+jTqkTBpgcM/ScojmAOxmqVCumZzeyy5X0P5v ME2v4Sy2jZsLrwYzOmZicJcdkCp/6lO46TdrLrGGxYy9xPIEr3qMhYQlNmkTXVCwR4Wh0uP4Prag pDEkI0RzFekHHX/rp2WpA5M322ofyouuB5fYqTwigwlfSXhZZLjFSL30Q4akMM43pelnsm5tZqqd saZXJenXf+dzhp48QzxN+cmzSZS43GP2CcSqYzWvwL3Ll8ghyPfA/VQkfIq8WLNVkImrta4/ozD2 TP2tABJ9G8WESpjIJ1/VyHTfTNaGNxdy+aDDIRKJI3gV61BAVas3+xXWwp7Zd6dr49PoGLsu3Ziv wghzRNIdwc2R7hu/TerBh+FQY7gnQQBLAaxQKFlgS2FmKBKKuRJtUvhCqpNLT0UHdhNSda2bocfY F+elQo7eexPc8THJvDWLCfOO4qeGaXCCnOPakCQWLFMcmhlwhdEghkYdBN2EF42Ubn5Bv71KG3tT IX0zqGCOmVAhSqSKnV/8VQOgeWYHv4Lq0eJN3xzhXN9TvJMAzYK18xyze5GRYpjbXLZlvANBfBwW yqx4ieN1xnNyQVpUlWvDzfZC5OSFPT8tqVYknMB8Z8OnfnUCqpujizMGdehgdddsXuyvzSlyt5+6 8nssmlTnPDKeMcfdU04KTRzdviu6i6SZAvWGkwtmY3Bcj1avi5bWn6Nw56Jjf4clto2JgqgzJFMA ijSLyySu+jsHg9JR92gU2fFLtR55GmesMRlOS7hWiuxdNL19zlKKvy0Gst6Cf20vaJUgQXrKeTeP QoyeESBx9S9XOWGk5O17oR31nApY8XSSDNDxTeyTz/hBC/MWgWsy2bQClRvdnBIF4JjTnEcbUXLU KvvD8dJ9yLlRqmseM0aKO1MYklSk2xeNFfZCA0rB6ogd/GEpPsKmCqg4K+WYvNH8j5rmAjWfjOk+ YmgLJLfmj8A8EuaLw5WP7PsGP6G3KLpiLzvbmm+VlPLXpymxsA0BbNa2aDCJVT+NVOaAgPzXC3LY mjrHzSCpuFORJuig4qb5KJFseFIkmXSafNwgWAJl9gn7vW3OK1ny4pf3//t21RsPgS4l/GfWcSj7 vMkGLxmkfPT68FCV5SyTABPH4L3OENLjcFhfCkovbZ3SMW+ItU9XRt4LBgHbMYJ+uNrK/5SJYapr Nb4ffISCe05F0I07elDq6oHAGa6mup9LlhXvovgCNrUYLHQ8jS7pczwhVJAdN4/I+xamgzHTOS4P eQaf00+AqFQXLjNkX3alSFONIeAkYQBcadwZXvyPfc7QMH9d6y11zLR0FK9sZSnEbG8R3nC9NA9m H+ri5E80oIQFMz8EPTLTP3dtuTHYGt/dkzhVjyeUj5bbkvTbcNCdsFUmytw1oDdSHjHddyisQc3S +fsszwK5VSWp0eWbx13nru3bYDZbmfEwDmGPoPBF/BXOAxYA8KvEdll/Ac+Lgd9wpD71fEPa6yIB ZoFFU2TllKEMYjKL+Gk146GoSjAhZWX0nfuv/0FZfwsg7yqdqik1Ektw9uBfTA9RTI8WVWdGmuM1 74MnBbzxnfBGEKjd80RRva2jMV6i0cTZ0lff5ijWNp3qJW9LZkfIyCfXMf4P/2sLdYIb4rl8SdIP xLYWWXxsg0v0J4ZLfvXpvwNCIUtEFukq5dG0syNcMVMKOvnRuBxhX4MGLouHIuangDfQGmVAe16J Vx5dJ+F/vB8tifRvMbxYhJJ3oV9WDFCWGah8KYgzfyhbOBSqwWa2ZwV/Aiyw0R8X++k5bdcNFAJt OoJlWZvJtaC3tR1vKixJJQlZoxWepjf69DcoX6w5khVojA3ORoykkBQRTco0gMCXZXSIqbhz8PJx jE8sRR+P8iJDc06hxNlOC66YofIih8Q7zyNtqKCQwX0+skY6Yp7ys9msmEdXojW/ptzOn1nQxHk1 ubPbLD5SqvFyCjvqoqO/htL8TZzs7gwLFmqtCDW77yfbmiiYcKiRrxH2RjgbbvaKndHS9Xz1nfwf S868R6kq6/tSbcmwIQ8cP4JbPyf25Ut+nrygSOLQz8gYvwTX1Z+cU3fuyTYzKLGuuOR5qTJbgB8H NGKRoJMKMOtw11nxfntYYf0qgFeoW5cYUl34PjbBaoiwFKgPJ7wkBpw97lUOn9jO3+V101U5GGCb AAw23bhU8OfEuU+68jaLf0uI56GgP+Y3+Wc9exLWpGwgVHtpWm+JkR0oAGIbnRfNi1Uughk2+Em4 TEMlFhu4NnFVIKk8HJ/TCqUJSnPfCjNWsoA4RGznrOLxaWnPvGJQ+mTmiUz8leD0uWnEWh9wDyWK lPXUe7y8NKXIDHrTqRR1uGJ2HvKXvI/JICk4F3kjDn71+ELkjXxDgsj4Tk7Ky0WIUwGDBOZSDVis FG1DKOA7W2wkU43P+fwfjm4zpHHORyMMMjyUOnpTYoWToQNJF0RBnaPj5YbHLOS8tP6zt++W/h9g HYxO0uD4o4Z0IGIm2JRLFV3dEkuoYl3F6lV9sFIoAdRPxldz6qbOlMAAs2bbdTVSKvlzn28MktzI +cFi+kCS+CYaBdqh/z3I4kdfl8fabnzorpKWOohODXhAKzNqUBDCqWpWX+6KxmCA6IsBqRHMokol OuutNFNUEmAWMkud0YOIAp4fjZfYHuNrTLjJhOYL9X2/0QZR+wO3iYwk/EA5qOXY/vaAf3rp/qS6 4RlkKlAde1f9WsyjkuOBrcx8ApuR+FAvrZwYDq5aVLEg9iaKzB2r3GwVvKSD6W2ORVwNo/DCfZxm YwHMvjuknnEV9IHfBMD0RrpGP5LmGMvZo1S3ikMO1P7netZS0kbgDK00FZJVjgPy3OMJlxmDrhEC vUMfNJbSJwpHJzHUQxHOuSS0M763bqJ1IKnV2UuTilPl6fP0JIM1HA6KbKlR4ugBlcLkZ3Aw3LpY GcrolI76XbMQZfvQ9bci0f/qIW/nRMmb0r8FBRsRXHPzeI85uj0mXbUL1cfabxBWWYTrIFxQTcYm 7oSyVwrebnrN4OEmgypFI/28MdLxRucuzNiUENJxKRM55F9p7wrZjgzS8ZIdfsIoQgzUlf5YDKPT E4S6gIL7Cl4A0Y5cK8Lvc25EDog/iZtalZ7G267bU9PSAXyrmviqn/MlQbm8tYiBgs4+4bfQyv6I Mdem4mobamVWGxJ+FGrdZy/CCjP/XhO9GBguiewTD65QLqZmqOcRs7DELI9QZ2yuE6oVIZC0bQVe OCNdLOwec/ZUJ4k2+UWZ8RT3U6HnkYBNIF+M0vdQcB4GX/Q25DAeS9lRQ9Al48j3vZJCQYUCl0cg BdY6P2vAoJVkGcu7yRC/qykj9mpt9VTmhoFJo4yXGoQ+F5D7oM11G+BsvaxQfybN403+pKtJbbnS a5qS3GA4kTFivlLwZkZul5XVfwmGH022O7QWG62MRXD0pnDg21av5UOK9pFs0ltNDhGemMesH5Pk f3l60zUuzTpyhfWTYMIJump4jrLSefv5K9KPefejCzG1q7IGI8nfubZKrgC4tevuQ0DGaVBux8tK PQ/+/sOZryR/IBKJlEe7JZRrcbZXNc1q6SyFwy8OOwxF5m4qi6EuCajNtwieN0EazqY3/ggNZMUZ LYwCn09qlg6WD68LGdvQWZVf9nJigY8pYjQqGUvVnpO+K8fqzP0qMJvaWro/v/Pd4mKm35+mzU+o QoaCMhLWKL4zN2ivGs0prUKmhdelSO+knoERDZKL6/FFLlXUDHxQYL52Fxx+Ps/BQLraicum9AlC QA1Z+ZTE4+LlnITcIVB1XXdR++kTezueDoUvE35acS0UxeSKDxYCstLZKPdt6bWwBMUccKgrKiZg MGovD2B+eV3EDb7FiiWfWNmfmRNn9JN9cJ1EVJnJliWgJDuLzeJjnO9iIf3xjqm0t5NO+FML8854 rA8ekPe1PYS2dRbeW1wjlHnHgFPO7ZmR7WhM6VW7RYNNqgugo2lvWlu6XAuulpJVs9RVZkVYCPtX 6ypflsPD2a4bpQQgbctxjdSRdGOwwoJ515/JF8mYO3althVyR49rn5CXlsNjThQmCJZDfnCtLxJR 7Um4p0itaq8wudaN9pITHEjolzAdb5ewgfJVItzJm1tHXlAz/DVg5ogCwz7C9p0bqNlNum/fHrA4 e9pGCzLaDlVzRc27PorpRFwblJXmSYmM4ZSZp1jEIdpvxzv6tyQE8Xj/OWwIQzI7H8E77SJbcZ6/ 8+YGFJ6UqkIhWGQc91OIKhFosk5Oi8a7t1rZDIq+ttFVGM4MVOJMLlqz/fLFCJP1BVdO1qwMXNpp udum5uNUwCx0kbSK94t6xIyXAnDmjF/p1+aZGtc1IBpx/p4t5PzIlMjn7NflqYMatv+pva//YfNM REXnLQEBzFGJQQSWoMjaDWrkhlenRJQ8vD/8zw/5VR/jsyVGA0PErWFDAyDqwECq2+b60mNxB+VW c7DUgxWptcrgwo9EbamcdfiKjKeWzGsEFn/+IcEvg9gkEEVrq3Qzh4GJitUzAdnB6K+TQmTVt47i 0oAK71EOfU8/45wHwOVWZqjSPlB5k//6A6bgq3jP87hjXfmbOU9WkN5PWF848Of6qP03wtzx/4mK 68lSwHhMOEp1ZWnq2adQ9HeVJFmqL0Vj2c36qmbfYq3NIa1OvRsBQjB2HRkRhshTF2T7cyjZmpfa iY8qBkp5sifzzUHpo22tory6YJKBMCoZlBGIZNTsjFzcouBEHTQeIHUvf0qVOD+YxBgkdh3xaWej 1IATMoryFFWM3WjoaxUWieGLMNEDcDySocqO6xXgtgXkEPao7VH2rVxpWPKNvek5DKDR35l9ouVe wd9aCNh7hAwLzLRGpDMfLAjvoNm6JYWwxd9QStzG0Kr3uouSZQH8AAFZEbqJMgQkct2tjKLlOsZI lDvmhIkeg6uhzdPkIwAiTJ4L5p47PvWXv3ue0pjcJTyr3DdET3wW7DokmLilmCqPjPvGXbfdjtjm 1jSDaphxR8UF/qReJvRnVQPtKKvgz9fOZoWKrqE1spc96eciacA9hROSsZ51T7LWRmHaLVrObuvZ bq9I1aCrvMn5x3ar3OIxnQWLCOWLvOn0g82IAoUG4AegA0IWyZCpaHFONAS5CUghR6AkNQU9hLVR N7eAz7DKEs7ymr/xW5GZDMjfXgbp+IW5j2Hk5qbBM3vzHOFVz7FvT+mknE2t8x/0jNAcirZx+0dG 8DjzjezwaigE8XvPM/ohh1AkgKEamqyWe1daN4Lbm5Yk3MTW2pLYsM1syxBpyKzxqo/A+7nT0I6a xb6VqbuIrEYyHdP9yZqogK5a3j+Znk8YTwxKmkkVXGhRCr9JpxAHMk3iZRPAlL9bg8KJShBEp1+E It6jmHypGpQxchaHfh9hILzhsIOcYn0lMgAGeAmJYGOe69SSrNXZwDIEGVGgPCVT3Jb4odsiMi09 xMcaZ703ab36tPF53a6cjTpNK4o+d1Nit3i8oXP5Fq6SDNHyVEuilsCn7HeStcwO2F6UBvLg+kP/ M76+/PpbCt4dhIZUTKbeGR8J+h0mA0gR/SWScRMs2ld+9Ls1EORLICaditQbmhBlQgDTMkRYzvnm Wm4TfXEgP6JBZS4KtVP8yu4KZXbF7uiI4doDJIoaTA08PYiZ4su0+rhY3dkCRjNFX1GFHuuYUuJ7 P/37JuANmlv+C5EKdzg6GbEEQPbrje9YgGXbPbwSEMEynR+F8ibc6fRNDYa0OOvNp1xmNor3UYF1 Dl3PKtcRQVfZZd2YS8cwBkxr9BsfyzEOKy0sVrOufs3qzT1saIPpPycq3fEyMdSVyw7E15rxV3Fb 9/xYbQe+RUGa5m0zgFXSFR7L0EiJDcXCAlMNzYYZfUNk+8gT+PLvClJACI5JFR9XbW/kIdbKcHDy Dq/R/rfdvQhJ+V8NWXf16rdZaMuj+8aCiE8r9I68N2eo8xx108zDdbN7UUeEfoH2hqfVYw8CcchR OWJBK9PQuRk+V091lqr9k1eGxdvxKqk4cOfZzfcanPTDfgMGtMO6oZwxmGXp7qK487ioDxPOWcml lNOCke9PVijiAE5AEbbHFjMO1VKWPc91PThK/pfOdjnzLCCFmc2ZXLk/fHAUOOUhAL+SB232U9JK oqWtbziTDCKwebjgk6fVBDf1cBp0hKxTilCQ53lkgoc13BFpDFw/Ht/PSv8fnpq6uhXPSor68x59 2ItngGiJ3G7oPmnJQtZL9EGUq+tqFrFJ/cS6p8SyNiw9wu1YRMlaxNj1abZ9/L3YbMVNoU4QsPmF 8Oj1URzsxnAR/lxX0r6Jn6ZZof/uU0obt5pe37zQ92f1q6Rdv/rTsFLJe57tAX8V5hWOuV9DbDbF wwpa2TkuKaharRJ0aExMgebzgWN+kcT9SNVTV3jLhFGM1nldh4yYAFyfHE49iRUA9WOWjeWOVPgs nNM5knJwJ7vKm2D1cMRuz2fgEpL4McHk/jvdRgTZjoF+YHPnfaBvhIVtEpyTC5mFYzx59kSthCrq BjaXn4Xdrm1TkiCWmO4+kaBmKisUGCzouXVauBpDi3fwmcXIUAnP0TkJ4d4BF0+5HuhuUyMkybuM fY6+thJxZTD0Thi+2eVPuGJ7rLJbLlcGjDos9PH7Zo7X5uR9WU674Fl30hvwK9Kj4rDPqQiJDqGK 0WsIuQy9ZYujFu2xSOd21AF4g1QsatQootFffGfw5OalyPblp8ZP3LJPmeeBhMIR252Cpe+pxGfN 3N4LE3vkVXNObq5OZeb07iy2/D3WcW+/WhYe+Ylq/nbkIoSS+VWoQut5HaavqruG5CH06czX+55G /0yBhjY4jhjvVjhv6eTt7XadSWqrl5PNMcf6cZ57sKVou7OjZljecLeVbNqB/9mvTDWJXZo8QQME BfY9k4pgFAW/DnX7NS8ah1OvCBNnszH2EgAbEOlDbzDHtK1On27VF/Y/1XqVfZ4+NiAHdCiyVXtc DNfPdikacani2LYEWxf4xDU+HJmqTnIoT7VSmEOs3xVYFvbnXPZSAWVyj9T3EGcmg7w2lXugDy0h tTTr4OjlteuJE+tKLQhY6+OJIxdQqm7j72m75is3XRJ7hwPQeHsPKEn8C5YRQzqqn6ZT/t/Nsg5b sAY/tVoEpUPfp2QPxH/xKI64gpG/w6GIdGEohuPi2JF8Qg8UFsSftu5N/xqRV0rKbcDQW4PTQMfC YsZWfEK1PgdcfQGLjtE/M7WDqH9Ii2t98eZXL44mx6RNva3U3zP3GSydITDF3ajCI3WIYaqo7Zav dDyXee1UJ9z0cUofLIyrSxn83YaktopN25bgmn0oR8ky8L1mBjA3OsUL1CqWhT/knEG+njuIVZu/ 8nhuEghCliUXENBWLK4zVJxE6DzmDt4/8Ty60pGwFmZVmRR0O0oDQpmAg+CcfmyTVCUIoQSSJHms aJAGBXqleFPjJwxaDno7r8XRDE2V9OTyIYU+mGcOM/5cE6EyjdI1DLqkzH/qMFOgZtVd1AuKvqCe arrqcYnbSQ2sF/E7eCwh08PT71CST+D/2XqaZcoRhnp314kpWfNFiCqhLxlP1gdyRrYBr4VXuwM3 tAb6sX/x0rwTq0vnOjLknery1qt5iSMFmzoPquI4NLPqrxjjefJRbMWIWYhjTY88hIUB2+AYWXQl OjVeYlR7EtuCXl8MAPwg3Y/bFJlcHYUF6UvUsiWZzzx7BUMJE7KlwZx+UK2kpZICOG4XTpxGsnAh v9w+oK5MBMXz2RXMH1rFxqjQmwkipLukXzdPsUjBTIIGn1sRCBnXnrwApgJ9k4yimHYz5QmOy7Uj mzgU03wdkEftqpXC77NWi9y7tkMAb4IcZF2NsoYPezBrW9VscB+kN96dehFAqoM9rw/eiWY8e4wv C0pilIPkFiKJ9TO2Y2NMcFMqQOYf75nt1kPyjiP7W0CdFXxAxaI5SxIenn4PEzH5ts06d9MNm3sE 4kdj83hOXFKbx1ljYMds7TFOA6qhb+QGHTFvKGYKtWYtofaOdm47M6ViceLx7PFpSYWo0YymZkAQ A7yDQZxT4qxMNKAEkjW808cJP/D2laXS//f5FCCcQp4aX40dqy8+loJdxCZDmuNeRibcKGCEVnbH m/Sau3iQrkJRDLzTiL1fed0Rhu9b9apbgNDD3h78n0oQM8MXQVbUqm5w8TBpm13XHTIgP+LAcBVI AHDN7WZjpH5I2NHrIW5CGqdj285oChj0p+dkKdyiROEKwQFEtgANpdc8aXbU4rOIH0zX/6LYCpFH 5BBafFfl45PfdVyR92yDr4SEjTsDLW5oFa8m/rDxtWfvVsNs3wGRj28AnWqWT6qWrynMpeRYm9Yc sKUtYC1BpEUYuxTawXw9QOBpamaiYAk+rGTYIhbXHLzMsk834GlWuC6OSucpSylRq0qw7RXITRA4 sAg/gQbb3+2tdPEV16/yRIaF5N2jVh4neHqLT2FeAFV6acipbI97lPsckmezIscxnUv1DsZoSpkW n6dUrTFHYiw422Pbfhm2egTmA/8nRaosyUR/XAqzRIfanLYO3A40+DKP9F8M1k/t+mxJioqoGd2v t6YwB4NSUKBGVyY2w0kO5zoc87jr6Zvfp4d8bAZkjNEDdwNyaWG9BVu7JBnsqDDc/wa5g5QOytQt h+Cgro5uzgFj9UxI2TJ3Snnb+vVRZyNQWMOHxcqq8gw0BBZsNYZdYsS7Xu6D/SsmOQjiaxWf8kjw cLncAXkfFSvPTgrs6wffvcQtmcingY0Ppj3oAvMBiLdaii49MggWPS9M3j9sic7gA1bPhdzT68fL hU6FLieYUkdVcUqHDj7prD3nO2gR+E+Bl9VBaKtYrbONtvpcpNzKF+vNo5EXXErCLokYsAZKWGzD IX8G5r7JN/80ADF6YOTD8yOr0XqnI3wEiHgmuPhKxBqotZwwtcw52oYdP1EVjAbJDiAgO+j80hOI DuEFcNIYzvWXFTjnNrp403Fiebn71p/SNHsJuznLSboBLJtAMvp6l6BwZVf5EI1PyRMxONvwqijk +FtolAZfop+gndZBQr2MEVfwbYVyWdPz8QRZZSjnqETDh8D4i8DHYmmLc5JjcoiC5il8fChFzAH7 AyO3rdtQXVTmzvybgCTmxAEHrmR/A0ViJd3MlTKZtD50AhUfE/uXVv6vm8GBZdfJ7DGIw0d3FmHq fajmkCQ1/JauzfGMaydIxxeSMSK291TxlmGwoIDFDDww7PdLKzQKop1sxcjvcB45e58WwTHnroXs gesnrHgCjHpXeLnq7Eqc6PD7RStOhhn5fRVoAjulWh0nnpmopO5/DDllIJXERcXwBwghYwgdXL/8 GI/dDQmneOs2BuDp0buDbpnC4zvMe5ASyxKi+AjEn6KyVellGbjzw53HUCfuE7laLtLvZAHb4k8s SaOwnZ/62hqWHtL/2wnyA8dsAqzHON4lmm5b6UTau5GCPK1k8dlDoeFGm9+UDQmtw0o8xSJggzsA CUd1m5sQVS42Dw5ockTZS/37ehEAMXkBvXiBMs1X6MVO9xWmvpVFadfgsiKOE0z7kUbRB1gm3IAo E+NEiS3XJ1MQDBfRooguJ9Ol0sK0KNn7PO3H8sec+DGY5dBi7idFnuJvxQQjpmyXiHYyZ3vbDj+j HGnAhl9KiPRzQRiPKU81HCdPbbth1rbv/Kzx1j8si1wShhBdfjb8ToPc70yFgck1DoksnP+yLz/Y yZ2bg1murlSEf81fYrVy/yyDXiSKKJchGRS/+ErPVlLWDYWDKICABsemVNd3MeCZZHIuu9r0efmy KpurbhSTUdYEUSybg/zujoLRwsQJyDY6zlFwY0pkIBl8LRJTIcIjB2CQRc3lMHoXleZFnYJBgBq6 pgsbCrevAfGtJMPzWOMEXg2ZWq0Yj6eZ9dp6W1IDsYRA1lQrB1bE9GWcpSKi2Qjv7pOy8KfJycLi TdOJ3mStEJpjEEJT4crDraWURMcicOeHUmAuGpTDoIKTTZW73x8zIPsi/kgPc0QAWZ7I2rbO+vmJ OLBSRVCyG6u8GRat/AUbAPcm4nlhLS9QnWTVyYH4ZmXpPXQnOd+pJ7Py5tTt9PrHpbBsafR03tMs dB0BoGOPvwGDIKw5ypoozhg3GGJ0ym33vVTdA6RBQp9og28GJGVDYm+OihP2DOjkXKO4v61pU7Gb Ad1SXuntWf0rIuTRkXK/4hyWK5D0zgEYW+qr/2CJ3iO4W2zIUma92yPSR8sshd5w8Me42sKLsJXB k65JB/0acGjwQEbPzEcBNYCqI8tBSAIdPZncNr1QHfppCqzHKmXkHaNRQS4WXZ/84pKDdXo1S08+ iNFTrwOPbodG6rbdOf374sBBsw3xLSIErrs3u4dnfuoKA1vNzBiLoEVrYWtths1V5lMVQCCU225i JMyYHXZpao3PlilCBmu5k+43Ut9X9KhTsQflukprhF22ua7okiQ0uEQ/PgRqceLYELEVfu9zfGaN 4TjBaFaiQO5zKHrLXpVK1Mp1gZKADVw1sLfYGU4NeqLDS2CufNMo5LOFBaPRX+0fYS1hflob8xcn Fts2MyKJFjpMY9tskrJebCaAMWoH9b+wsztve6V6955lUndTD8FYHEz1JE0mbPx+stw4ijuyI2l1 fBfb+e/Thz1/lpnoaQBUDIXLMDvDdGZoKqDjd+fuQj79KPwGMlW9C1EtO2hKub5IrKbE8j7JDKq+ KYMoS+e3RAzrwHu7dwiKL1GX38/1gixQHH2x6Vy7iqVZ02dIeUyFxxHzm9j39RJ8/SrJ8Q6GW8Ou bDOGaA5Dy+ToP1GmFE5P9DNKf0nV+5pR8dqeWKmfL5rdAkWtqOzCZlQTQsPuDLZZFLB7Y0VT/CaQ /mF3KYRYkznIWQ6GiGjvFz71MmjT4HPNlhkR3ciK3K71+22X4NzGIEBU76DF0aPC+SnGnQhpkz4p C4NfBrh140ucDG0Cb44vrS3sggziN1QxRh/5wvi9GwDV60mDCtON3sK1+Vm2M5rYiujWASoTgq4Z H7TGwMav4z8QR3IJCDw1JgZl9SyuS/V/8mITMnVT9+GG/mcs/FOxaVOVWFTk/PchTcxEyMYcmvim PpqF44NmF0n1wbOEpLX70spdSv2N9Jj89aNwRTHvtrkXyO6RZnjQ6DgUdMltV/KR/CCDH9863rNR 4ArObNZOjO6S6J8AuLbRRuPXPsEcc4X/pSdKulFSwGhbSv9Ub4RDEp8ajjKDavPmIHZiyNBX7Qdv FsFv//7c60URGzI5EpQdVqNS6FKo+RThde9bcstJGVmDO2Puc89jzHB3vYQjCNKx0KSrrdJP0xBE VzeafhrLo19zLFq0zQvMp14phnykQ35uUcdfAW7sVBMJS9bqfL5GWoWTufRP20giYG1eYXXqTrhF fkNEbEN65vkMntfmQO2QAs9o6oWdFykVjYHsXjvSVLku3pMrrUMYAeHqL/OwhCOqzC37np6GT9sX bCa9AEwTcF+HaYDcArmCzEdouBxC+fqttT9bAjic3+Flbkc65jtjowAFfbo96xGQILwDY2wfRawD +Mdfz4Ng7ijNe5KS8PW6UVTjUXAovAmKHjRnsqwyPCJcoRkUq/Sse0EpJocgOqpoi1r4tLtENNeI ol562HFVmNQPD2LXA6gGDV3AY3tdcNLTRfXB5XixJEMiHBRm3eqT+YzmTyHxqTpt9VX8T0LRluYf 9cf0GIEjlyfgbN2tgPYbbe16Xr83TZnSAbZIvZG8/3qHburXpVlLAqoDp53iXIojmhjYB3cmfewQ l5hSKJSET3Y1E5f6fDkkYBGhES285XoNfnVZCAZwyQ1hU+o3ELo7E0RuG9GbS5dzxDt1Tqsw0fBC bbvrv1HN1NvCgWbetb2vnlX4OSBslrPevCdwPOxmFjlS+/kNLw9d5rnfVfyRpHWasGNYSsHyZ7BD trib5rkjj7NvOc6SVrAv3mOQpTuvLc3TCYC06tL74UuB+5siZE2M+q661Ko4zgQ7k1EYXzwa5rYx uCgEGiTq8qqGP7QW/Lv+ijE9/O85avE/deabYNzMu/2TrcvI0pHaa5KjkpDO1s4aX6Ff3oW6FYOd CxReR0PQR4kSC+xmub2edRHqhFehwX1Cm8TKGMNJZu0qpbRuFphFKLNWT78xOtF2eXi54TrckmnX erQEjsUojOvYfMRNnxUZS8CbAcwnqhPESumPrL45tadLop9TE40EkIhOnQO6FhDqB55abr/IzUXf laAtn4wpUR8EP5FT+h0T0kof4M9dI4nZqRqsUsyE6HFLbSBeuRG/1CZtH+9rtpmHRFCeqEzWybTH ZQIfe5+YVvPYWVy2OQWDa87muh1n32STtbqMRT0KXPWQfpR+zfvxbc0/bg5bAORsT10j6RGic0gy ZN9BP5a15yplWq5tLj8qzgzmIcpecxcD1PyG3CcW6EiI1LL9OQj8xz2FPOYjPRWInoZTMuQY8hAo IRdgWI51sCTKOAWNm4Zv4HRwzHxOWe7P0IkNaZx9IzRR4vCklPJ6awWBxfF414HP17rf4WCNz2eb UuJH9qBMvLZT9JNBCD5kqPIGq7N792TBUVLXcfCjL9K14EqFKzvMp7Vn8h8FDRuo72SJpC2WO5id 5iQWA/T4Asj63miJ+9i/lxIMPf0cpgelvFo628qCyewOE80pT3dTsPjkbqU8QQoZOiA5KSaRYra5 zoSVTgScsPEjwCoLFLFmXhWuR1/6cMNASRsMcLiG5/q395ghLUiDGO3jYSRMJGMFsnJqvbS5yUKa fK2CuwXjTVqiJqCQkIEkJBKUef+Ttdzo5JRYy9A+0+NUynC7FvZuWHY332MDVi8sqNPlsyh6MAYQ i1/4Rt/7h54oUoQUTxbxVHLdK2MgKQvWZpcdaBH1fw2B9vYjKc2MwMIEGdtLY/NtsEo7VjoU0yU1 dyaLzWjkzaXr7qpnaOMHrOqNwL4EWBWOyDc8iOOo6PQ3zfK53hib3xXxmjT8eDv8Jd63f2JLP5ro 8TA1g7twu19L89whEjcmpvBTYOOmd01h6SyyS8YqBzUvG02N/hRceRCpHEq9AaaQiypj212LDn95 4gUal5BMJqG38eyMh06f54oNo0Dl/7Fg9bkNCuaKRjocNdgI3eNbCQGAWapcfxAEAQpaoxNzJ9CK 3EycntgwmVbtxKC5wGgWcUMvFUf8xi1BYJABh2BnWqev/4x2TfYHctnvhvuzeo1u0B5C8LQf6vcq Wvo2ng2DX387JaRGkEThKvkYmY4PnhN5HzLdQgj3lgAlNk4uLDLuzkf2ywjdY4j8bRKDIKnYtFKg asDZmCSvQTfDAeaMHlP1WvVxaXhn1r9iAJnMQlC/oY2vhiXJ2W4lfpcW05AYKMGwWZfANx9gs5Uj 3ivYduitMJhRSTL9JzUVj2mST6q9WK84zh+r/59FbDbgCcAODDCX7Vek68Xry2921T1lTIXAqwx3 bDpmmVXnlIX7dEVqDOdj4Htv21dhZmDHjYs8DiR+T/RBKB/lSrbujPCi7D/a1lA0AOBNLGnje4VL ZHV7BF7ffJbbKYC/0DUqSa+a5/PDT6nQrNHel0CeFHoaoZc/tLBs+C5FyTiBPeF3kxtG8S/gUm32 /jEauYJznFwH6jWY57ysRXZsWNoJJ4boGwqDakrDuhNbW4+qUlnucvvLAQiIypdQBUzNmpZAUB+N zhnhETLu2FuysgwTKTvkc6iOXC8iKMFQB6w7nnPqyYc9QLijmWIy/rMKiRBB0RlPZuVYeR21j3R4 5tnVrwIT5O7ae2x5unMOfjXtlmRn9hFO7A2xzODEwdJiqIOmJDxlyDw9mMOobf0x/tPZYo6FyDq7 BQcsvmwgq/NDNLfFyuIOISlYYrkPRMnFq0EbOCw1RfHTY2F+TcKRsOoQXgQyacY3lsMCn2iA73CB hbq2BNCxSB1rspOuFX6D6vl4rHcn0DpByjNxWl1v0ZaLzqLE3UNMoM32Ub4bIlhHYkiUmD/MU3ze ujrzVjuhyvWk1eHzWrFya3a3O2BDUCMDNW2RAdpipD4zmkwYOtwVgr/QJiUtLg//OhNHiaSGh05t LsfOLvSZoZ2MOg8hMojZwccKJtyh8Sf2kp+1TCD+d4E0CGqWc7m4h1CkcjvsDxezkokr8NU56s8D /Ye+ozN9UpYQMGPRd+t23zu9aQyB2TNyhAbDdFus+dp0pedhOx++C9PPqUUhpHlmnbBbUin2NhD8 FZgmIr+Neo/9amb2Ns+t1MF3f8PJM/6C4X5WRu2EP/fgqaZScxkaAy80vAhkviRQXodkBSNdmf9e 4l4m4kimQjXmgZyEGO2bJ/ro9vbFiDO+NGaz76Mygz9rKcgR96v4vgofnY3M6s4/0STqJnjTiMcM W6A6E7smzOgrnTPDZr9Re3ZJ3WHSHAJR+lNmbh8cpQbmvdrqer36BQwq+irbQOPtm4/aiihwcDTx duOGeqW9Rt7VAP8Jl6j1zVAHRAxs+z0hAyIZkKbh6tx364AsrC5gyOjz3lu93Hh9fbmJn1pAy2Zx cdkGRIRnBux85JqI92n+57GSOKQ/0wymgSQe8p7rMtaq/DwDvqrBFvERc/pN11Wene9Srd3jzfJN 9PSgkhkMTkjXbX9kM1DrCmOx+6JHliPDj+tKuQiGzoydivrHdAjCE51ZEWD+M2nisJiooWKPmsFQ 9xdr6Fwr1U86s5Tqkd2eQYSAthiggi149wmVGDAQQlVSxFh+cLPvOSE9uLw8EhV4M3/CxowyXsbs 8sBdqOy50lNq49I2xW+3JwET8gBCskVfllpFRz8N05vUMpJZDErLxP9JcIns5i8BAIUOBmWsgTgx Rs/p6veEGMi/CRDqJFxCJ13RnsvU1sWfUdojwBewCaEhTI9M6ONGvRDs0kgjTN0KBFwrKhb9piQL DdGAS00nRhMOHnub4k2vCQ0E+yvhtTJcQ18Ky3Ob/v32fHOZLBFSvf/OMCzQDOvMgmLECQiWXsqG BEk7r8Eyi1G4/hrvjCW782ShTD/TrSz4JaNubwiu7E2tWuVsFc1UPV7SbzvHAwbLxLybu3Oo24yN KvFBwZGP5/5nGQKFZN72WMjbW/TZuplfOvZNPtgJ7RddCXSzh2179J9S7pbTlpY73wQEDpzx3TSM a1n7NFY1xrL9ARR9JkxOR5hxisENA4hu+nP/Zi0nQmUlZ8l83jeAauBIObs9aPz30zwqY3MwNFp8 IuPKsLfy1biLNYwp5EhkOKpK11V9lvblypdcwh0FosF6WE4JfZaTHvzWoPFifYQKsPiUAPN5mtNB gHP4F1CXD87NG34EDzQhEa/pkGdfNrbnek0UCbB2WfbnmjtCqpel1tzktmonKolnha1wSigz4LdL cSKxSfCltOnXqkONjA5Vtg4keCsIwG05+LjMpykMP36lA0v6Bk9rV5EcfT9fhRTJLd5lCxGs3P35 6umYoRTjP4rusqiSgxw6w+T6zQKq5wPETY5PnS/3NG4OoK8mpFaKqomyDfaUiAVak5v02kf3SgNY Hsxn+PFQjueXLPfEnNZjakgrlGdHFH2nheuO4NyjosmN5Ulv9e5aSX3G8YQNnViW3VCg7z2/kN5b aIczcVRBZAla866R1VDzLVA5BkadeFyf3fBtHL28qrxW+QpHMoy47uKHCY99pfvgcgyD0guy3v4X 89hGMQHC7Wujv5vyKj6QwcqzxwPNRomYkYIg7PBJGE3SaYJoor+mN/PXw9cVN83Kb6VC/+5iPs5C mIVOhUa1nkjVfvQsXWY2xEmA0LlguzWiImmMMgZvRELV+a1fFoqHLpWxNcxiX+gPsrygclpBCKCl 691XrAshVWR8q26067x25+7WxQpjwSx+OEgRcrWYIXgq92xZB4DhLtoelhwMaUmlZgMJXQass20s y22ygh/omRbB3QYXj4ekC5KtNR8LlI0IJWWWt3fE2e8AA+3fcU2zgz2fUPn73m4xBtVg8vy2pwFK ouTAQXtlwHVSg8MmhB6Bkju23xZQy2yA2r09ok34JVa2eDiV9L3LjWWinAqmu/hnMxwqywJBem13 EB84j1osuWwJQATr8IWYHwPoNTAuEXMc2IMYPl1+RTbM+PnN5ltuUA1qVN1YP47rdaLsFXENuIAM MWynBBEyXJDm8MGDK0EJWtHVuTMqaKj04g0lkEY7rCaxU6syPEcU2s95DdZ0qQyIOS0Lpp/h93cJ jz9El+Splb26tHP8zqqnscpyBp/3jc2sOdjlLUjXcEQQ/Ypbi840A//099tCle//cU3RISZxkaOZ FurdtRfhySR+jSHo/lZJMrgod2A6Yo2lRWuTnDelrkfdJyO2b285C/0pLGGAbFSCGpX/4Wl6MM6S jkUX80b34yH/30tsruOiDYFNxaFY5kVMh7H5ZiX91FEwyCbiDbh05RTV2GAPbf9FyRvwg1evX3/z XlyML08eWipFj0BFZXa1T88AlSEaW61Hd4YPbJG+GcdmMx9S/x0aSdEndUGtUWQQqyEW5D4wytEO POgk45OEUOB/YOmwyBSwjV/XQN6jj+Zp6hZM+s4ujaI/A2go9uTtH6MvJUzzIJQm50B0vbg4LAPA Q1FduJ40P+rzEUJaduM4FK0c7Od1e5LJUX9Dw1NaeGru5+ZpY7Tt8PHfZeYsuMz0rgquSzwbUX2A q6rluZ18ur2YN4hD3K/KKISwc0OfqQpYkIRHKe8rJWC66CDchu+rDPBLGUpTNFaWxrsUNUiFT8vp C7FqO0KVbPPb9jV+IMPQeVebLBOtc0z6woDRBTL+j3NGrEHqA/0R+DGcSmUjUTbH/Lr6bjaCIM9w rryd9raWNHg23p9X5iF2pFXEYR/DB6rjhWrG2xvFULcklIdHQjOC5YXAhUvR1jHxdGtMAB4A8yt1 xjTDegdyajSyMm9VhyN3AL4KGzYJeR7sHGpHpGMDXwuhroVH2BG/OdOjMLzp0hz0Lxv8pj8tkKDo 84Mj5e15SiQMeEvNSBh8rmDQzQWdANPcboCOpz107bEyw9TeLqKb6w5djTCkAqFQDZSpwFc5sms/ H0g6latb8i7f4515iivlBRLeaFuLiGNfs6Sm/SDbVDJNaiJcI+m2g1jgm0ho69HqUknZKopKgIjy +77EMZ/mianmH7rDUgk6jwenERN3MYCzJ7izhRaiZJH8T3zCHx3ETshf/wpc2kanLfX5Se/uxVt1 sMIEgiYLJNjtQkc3kb0NFvIBs27BwJkVRpzR7+EYL2WBdqyWnd0Zbg7Lvsan8l1CYCfXHggWB8UB ETV1qdp73btx9mV3+IvMK27P8aFa6RI4f3VFvRVgbPkM+VkiYzDe8Zcj9gUnCwBkp1uFTWJG6d0N 1VqGF9ZY97xOw/O+aqyNaKAH6LdU2zR8/3guyQkIqhbnzQuV6bgoaJaZF5j6OeJuz/H0Mw2ALd8F ZY25cGWCYFbvGrhsjjLQVRxTlqadBU1mWPWH1pVPP+Jr4RdrdmYGfr2o6N1QJX3H8AenvjVjIn3f +JImLSnF4g/8DH/bzsmchZGYXjC3RSZWrZXCM4qioASJAOnz3bkl5AT7A5vBr3ji6X9D8xkkh7+U gt4AmN5kWT+QRPy2p2VWgXqOVfz3DoXHrusPPMl2Y1PtxWWBqwnk5v62PCL4pjoBp/LxyR1gvW7Y vIqQH56viNpczI/oxSKXNIsFFkHax9FlDSnHkvITU+1DTnAa4aV1/OXacHe9m/NRm8S1Wk+gjlHp IjVBPnBWJYyTWKOEw1Kr4pDJtqhgUtDeiLCXc6fYTYyqJJzjIeKuXpY/6eUsSlZ8/cFZ7R/j2Oj2 qQtT5vNnEr1oCJDDiLE6iB0J5QvyRo0dcX9599MVGIB8q83zSVLJ41XZFR/LTesYe/Kil7bgUV5R x+tL6mA2od04IAihSekIEKapsvlROq0oHmeSLyrRBnr13bn5E+hV2cA9397OIsN408K5AkWsDaDP ZyBnk8soT2KPvpZ0wk8+pHwbq/ZkaqC5AIzFiH7QLAVUAWRh+pzQ0wna4TkkL/aDnMk/RqeQOp6n AciBAkKwQ60+P/mYiIGTW7o9VCtj/IicXKjAdhXdGiMTq6aqcYlUkzYxr/zAJIV/d9PWkTY8olMK EGyWYKjs1xTIcp+DDp5PgJbceD1Eyav9mKzQQuERyEUePPw1MxK+ATO+uTnohTH6mXfKzaC5Lsen Zyi/rrgviam7fpM+fBDZSJZVYqJnNfEoX5KHoWq2r/QT3zvJaMchzTUH3aC7Xxx+EiJORIWK+1EJ xed6mmEzgKtyctyeG0Jt+sjrLM0RzCCKFNBapQ2A4EqSxoEASwMa8nBGH9/Px1pArlbP4vnreMgl zdGqrsM3sDrdZXfBkbuqTIE7loyluZo6V2qgCFL76imA8sdQHhTgQn04038smbXL/Y34th0eeCwL tkeZ1nT4Bh11rQqx1fgELdbGWfkeLP2xHed76JEs+/s0J2Sbrqu0GeJOpNc6JXtI7QEL9BmnysYT PzzHn6ZcCEkJ/kUB9wuiukQkjNl2uepx2nKXTLBSJmlMEYsjL6cR1V9RquVLSCAQpGb48Xevw76+ Axwoa8F6foIzHl+ZhpwQxTxJBU6dML83hmfd4hWZO8KcGb6uH8PpRpWN8kKRQicW4+CZx3aazSRf ynkMdF+jrC1IUn239a/IHZ56quyXD3A29TpmrWNmmNbJGFLfUvQgbP6XBfdfLJigXsV2KTngIxdE R3YURX1+mLO61Zzuk2beVRXS5ZUV5lMe5u6NA3rdmXo2Gihc0/WrrjzQKGQ4BS4PwPO5erbpu+N8 s2A1H98rugqeBr1+OndrvMJEbBx5SE0nZ3X6Z74ZUhmuoEYGrbOd4/N68bN11cxg4Yhg+keuWbau LUuwvqxntt9QR/iVjx2s8Y9Iaf3GRPa/AyzhNtn4cGJtYkmyO3OP/Fr7AMyoRcoyi9Lapq4NklrL T6g77IzGzAz/lSo0impKzRnVuvbwGThaaCPlnlIBKGF3Ax7ShECIwUWJWdP8ZAXd/fQu5RfmetMp 40fAxvJqTmYxUruuKOTZSQ+SKQRT+HNdiJOYSOfFol45GQqP/jE9PkrMFBf+usk6NSYFLaNVs2H3 SyrTn4vF487qN/vqcT3OhPIWX7vDDowGbn8uUQD5DjpLk9j3oZdGPZku4XIiiqU7AsHcc/w/0iUN B7hjuwERHxrXhH7vOq1XimZOcz3YUTUQIn6oh/vhK2V+P8nNPQd3KAGJXCXSr1kwx/cocJCUFFm0 RMq4z1NnKqWm0x+s5ShQkFNtnIQN5YM5HXMoG5aMdufjjNibrGFknjCze0ZKc7QKzGlinIZ+YviW A0xlF7srZx7fucz/7Coq+qjV/wzVKY9rGmZgnfEncEO5FFLNcIy5tgq+GN/PvV9eI1mV7kscKXT6 zpWWM7NVIJr2SFZmYCCEwZbitff7l3Mjk64J/8QUTfGbS72ZyLJlfhKwbdvTz1fvrPgcf8Lg3MiT hbjHQqUY59jWYV01Dzt04l+ycuRRaly9p/1iLNpxXvLZCcA0F1lr67nJMvyMNMLugDDnES0zAwwA oGSENA419M6F/e/k8MDiIcPZtgyJWM5vQxCkBbgjppFaeFnxHnoMGgPQnDJRxWPcSFVbTYq4y2V/ EAqEC+owTy6ZiEBsx3XB7pmT0Pz+XVU0PK02gBvPDO16c2/A7ESA9s7AzW1DrwrqcfKfhmtZeV5h SCGJSGG9a0binWOGQIkSXa2X17//dOE2hWAUmVj3UqYIbXSCzE/LCaVfz3MFQnBznHt2PjRmfUjT /JNOp5kGtu1DqkAziY1bAV8WGWG7ReHbN57a4OZAn3zWXIyUjFjrpyD8HkGkAhlYYdNlOt3URPV+ 4fH18M03hif6vcX02NTTqFK8AD6rB1tWCbzQIk4UB2R6QXEaOzk4cwIByH+ZEyBAKCckM5OjgkJv jS6d1hsBjvok8VuIZsJw9COTON+Oa25C0dADhyugg8/1GVw6vQsk75CXtGoaQ909GJCCZsVSSINP bS6PX3E5ju8YfjeDy/WiT2/9iflP2U7ByrnMSxoTCEgqSHI7e0KH/HnkpDO10S8isMQKnFE/FEVM 7NddICr2kvEZfW6dNthyZOhriYDVRgAhtCcP4JGtRRGT8vtska1lssjBoy3+uJRzJVlKBmTWfhrh e6tVqek6e50esoKafaGG7xR2tQKMCBV2Fo2IVWtaMBvIUL/SeGLbvX8emXtmIADGjF8FPWp18zpQ 5oL/1kYFY+GLdqQz88e+ZOa8Nm2G/Xc3wAE/6IvYMcKg9yktNSYEP1RXesmk9HzABbpUq/WvEcqY i05N5CZzHZ1hrRDBl/y5W8Ylm31Jpt+nvD7WaMlgW83fUcdaHXWuJBR6qOCp9cS4jpoG8yqyxGYs kI2YzfBanbGdmh+AnJxdwt85q8AzV5gZ3qMWnA8H51dKNftKZd21slEHw22Oda3FCL0L8le42mZ/ YErIXVlfMgqkFFnVuvbJ/6kZUdJZrnKS+ny/aSxRv2cH/ditvPpPvaLkdABE83/I4HML+25Bwjqb ESyFdq9Rdre9c/qONIrzYYnbQbitoCQelRQNnWkcrl+jtkqZu5uG0z6bWILOLyMuUlq9p9mSi7Z+ LiYwjMtq35Y79GpqH+PmT/+fDbYW56tss8mrkK5wXmUa7owZdTA/GkpsfjGSxn9jJf2qkmTNwcH5 WOsXRo6mkDVmtTNqRK2LrBoagQXy0uQXQG5X0Prt/o3RsyZ5U2kS9pnLgz584Ypea+QronULRZvZ Rka77QKu6wAjOiN78QTpLMl1WKz1UVy+ah/9jWhZDCYECImz71FqaII/zuRODfs5qPduW1tQEadV pjRKCbUMj8gzmqaag7Puzrr+eFswd6PFr4OB2NliT/D5b3olwMumY/vh+QHHexteIqLdtk4EVeSA nQVLtF5fUeFzYVWjWEkk4NOeY4RQCsvNuqBAVKz3iQEhSM4H+Wh0b35FP3M0NYr5nwWAvPYgA/rt 27ZjikphoJfQYtkX31iOVGrRi20nNMI8m3ETliAvVtyxg+6PGcSaSqWrr3KNNlos8TTlM8fLXbO6 hSJsdbk5s05fiEVxGIS3IumYvHj8Eci8/co1dagfjqb/OtX5fHWhfRoo44v0OI66B5p2LrzPcrnv hnK9OWUlm+WCqA4zTi6gJbifzpvZBpY7Hf4pNzBer4rdqQV8Uj7PZaBU4YVEPSxdResCBzoYY7td 1dicmsbMp4YiKtySEbNqk6NApr/quzwhXm1FPvTVBoxQ98AxIzNn9dD80o++FCDNhIJj8Tz+sI87 TELJgUS9P2G//thBqe0sCaE8hzb8pdiDP+lvjQDhW+lYUXAJrTl3aa4d0ZurnCgabb4/IyQte5Lx IKwHQCFCQ4c753GXm9RIcvc/aPw7w8THEb/NgN5mixkCnGXpCtRAgtYSBm26Oj8jyupqD7j9j+W0 oloZtFkKqUIfXxBz4b6xDXJIpilL9hpFLnnl6C7lo/nFufSGUAuvw1DGjX05v94PHOCViNwHIkFN XQivqXwfgRd8oofS4oS0RiOZ+izvZ74e5C5Ql0evPmR2MYKPW5nY7V540CCONZzc0VTZlyZphFoF GjZg+rSnj5VH2GFCggzU+LhIeSBBKTgkyJQPM2qO4IDCYLYRFu6FkGYgi5Se17XInzDza923u1IK wyVPviYKYWOtD6x9ski+Vgw0EfRZwBTV9ATtMVMr49HWN7F+1DDS6qCanzrXhmtBoQfjaIJ5IwFa 8bZVlW0Hs4B1Ygk8JYmpdrSEop1GRhcmpPCEib26LCLQukRiA/qd/86gON0+WIGp+mfpAmW0q0i8 PZEFtUVct2YmNxQPxsPFGC9DcBGGHnNJWQhsg4skgonDhAz/TsY+R2TZGv6zX4/4C6M9D2QiVi5L TzHh881w6AhdU6sIOM2Sw3afONjoS7lvCQ6pPOmjFv83YY4/rMSEXSMa0vQN5d0n5eubdEbHF8c+ B3CeEBuynb12SwS9kjUNHe79K4V3LJzXb0zssxIDRsoPZnDrnBw3s84+T3m/sffR8za9UzOG0Mz/ xuz9GIHDP/UH2/Y/G64wAsjWefekY5h7gPS75cLGDT47Y9b6IhznsWIjqMOwzq+0ftkZVJ84lnuQ lpeI5iTYLb8XmgbMjjlqrWrZ3PzVUdfFa2F/Ze+zrwPz1zfU8gT4HaHYISJ+wfIGCMKSm5yy4M3l N0+G5brW7L7ER0nBAw3kmxqqwUgxi04VSUh0KjjkRx7i37xJnhPKrT3m+uhU42W6+vNLYdQtTzkH DZXvgbMHZu9YbGtrKqNsdL3ONUAO0h/djWxttSMSkMQQdgh4pAH5D9WbH6o9aclyPZgXWGOZic51 TXNY57k7s0RVmVjRC9moiDHRLdtwjXo9AjsW0EOB/4aLi6a9/I9VNRHSalZKsmjP8OD88Ag0Gidh 1wTKzD0vdGvyrISKiyrs4Nyno0obyCtDZYpJItl9Y1fZ2QJhfK10AlnKq/nskIdxpGJcK5B0JWGv r/4+A1IH/G38/vytQU0JT+b9+QSpzwoclDH8lPQLB6UwxU5vPDLimOfuJZkCgt2k92DzEtQb4gcB MezaRcBedg/EGWyywR5EN/FGYh0wYwRdDShzVmCI3M7bcW/CrbxS8ArUYpPvz73JBVSRhAflOlzw tK5HOMuSIanHuRs4OOg1/p4MYu0t8BiZkTKhhaAFsQQeuql7r+bia4REgfc6mFdNjzS77PH6f9w5 CN+00n6J86UEDvjWM00zl3C2KihL7S7Gt/jgIRFfG9D2mt1V0o1H3otrkte/QQUzu1LUnKuCC5uI MA5EiTdFcbvogm0DKLht3eIKXpk/EsLDPqPFz4eOgCNvPkoEAhONQuk9rc9OWzp4OnJSbx2WOzcd 2G6+76Ko+GUn/qlJMEtKIWXBiCT1af/T/K0dB/VSa3jlE7lPo4IjEKJIf7RXwRy+u56wCv7UajPh scoPWpXmdgv0i4us57eROLw21hPgAFiGVFlsTq6mG5CvOqUg1BDwxP3wM2YELvpQeMIchY9uemhR ec9VyLLqAyMlqsgSFQKRVoAchjkuYS1ggYZHQtlx+eBNiPMN12h1kTM2qm7WZNnmr7F3c90C1PsA slpYFm0/ws9SWOzeh3VLFqFg9nsjsNSyrlD8SjrZK5DuIs3p7jre7HlpsU4qmRr7Ez8VY7j/GEHY 0BqszxZ2Z1TE+f+COsgIGYK+AoCNDrRT1qhNj7D4zfe1AYBD9KLP+c5zg+HOL65o/c1ooat+51I0 i9WOnREGrhfe6d0x5inZpu5fhdulozzW6hQHTA+NBOzOzkgaYrpEvwgTavFl8rajehT90276bZCn a54nTvNMs1Y+I0ya646l45jkMwzj0gv0bVqJ1MGDz59K/JEL8lulZRyvcG/angToXxNqu2qTHFWO 2MsPP2lnu56TqS57M16m6O1QvfZnltEuOTAZzB/jNdxd8wwgWL6uJrUgJQQMxD73ozooaEMWJzf5 P36OVGysuSx0Y+AQi+xw9A0J2PPVcwSIajR6ROKOp6p4kmz/wHCJVEDI8RBRHFaCAKNT1EXZ/B0e +TRLGqdmD3A0+4syv1cvN9/AJAWjKAEOPbGc4lDOMiK+HcaAVZC4KyDEfD24iKeChtOVzgLMPe+s 8b8BF9h6yebxHTIYvXm712WXltirVAj8WtjYOi6DHxpjMqkdsy8YvlgOBfYWoUt3uQPTxZ3l5RBh wNkLrlkWmgntTCUHDeQxpXUkM88vX0nbNcbDtsyO5gCmf1pjwqwrcBVssl5B9Y1rjw1D6BxCOfN4 F28IMuk/A8qD/M8aiviwRE/qLrXfV/C/UQSaq1xdIjMHFOaZhqvP39CWWhy4HyrX6+y4Kv2jW09u QSUKkPSMx8xD3F2x55GQAln4kx/9uIJMiA/ByemVirpXb+MLMahr8TWwx2ViYfouICwKYMi1KJwH eXphFXTfpewxPkHccQP09qAFi28g8WfKy7PvVputC5/45HL9dhx8VdC/6gEinaOfcCh73Bb4HXLZ D30RJJnHgg6ZAwbHczyvz5oALSQGX3ae5TQjHzyWf5mSid6utfkauQTwf9pkLhfRn1PnYBmYBmlR sp1/raXutTMuRtFjV2EQQJE9q4QMAmIRKCTGjF+DHJLWVjPyXViHHn9fuBzpPVY2vmMcvTVA2HxL wdt5o/3AsIaAJBfvTB38ozIAn/DMRmvnZjg/tbrfPxw9Q5qgKjQhX5+GBBLiHP+K8oIATjJsix+g u/0PYtnpAPhU+Jf1DvaY26Fs5tOwCsRbMN1wS4apz8y2WzuH6bVnNn/aksWW7dq1mJu/zh0EbMGf V0EIrqfZNa9lQUKlFF/8cwrnbZPXkbl41UR1mlvZ96bu9uhwCrMd3vAm6gIVZccM7Idufct6ui9S ZfRezOukL0lf1PIPN18Kdp4wXl+uiGUvsXPdT6DqBlm1j3GZMjc0ZWnLEmEYfJw3z5yFdOu8iqRa sRLsu4K70mlw+f5txYzpwY8iMe1fBZEjtnD+L+IoXKPq0IjPYgp+kT3IEUMaIBVncHNGia0mliEj glNVDNZCcaGBUo6UThxfKoFynYNpRB6TwPqZNWxAMwRG0c8d5U6Cc7cVG3JQnp91nMHpl8PATlRw ohqCmD65bq0TXhBBiJbWVJFQsvlxp1oi8T8XtoCZcyBAFg0gxLaOYvb7kp8l0psv7Fk0ChtFlyCT uFhPGzzrdWQL8TS1sV0TE3yEVqkzvooiHdwCt1KD/f7dDmM+7eULQQLXsu9Tk8meOrALAulbdqoB W5lSnFpFMxC+4bmnZ7tG+TZfaXAIRKlyPSeJUg2ZeKDptjsAMNVN4LpHQW1W1MHUL584mDoXvY4K FQqys8oWZZvhgxt8+1DFhpU0U7VXVh3TymYWXS+Nwv+idABtiZ2WFejFvcb8gH0gpDLXZM+fVp3P ayAPaIcesIO53Q5Nq11UfjWS49U+of22ni0Pi1DY5oz5ev4T0J1588EqqrGPPalV3ld5butjWBPb ilkj1NdlSSuTflcRlHPFYEvhjowQuFC62HucGu64l6WXw2vT+AV/brgx5efGnD5FU17SDhBjrb46 OE+MN7uRNNpJfNfecUjo1oonC+m/dGegMwHt1nlI4ScjipHemdphMihDyLkf09H+mldLDFfmwUtG devQv0nOU/q7YDQ+JxZMTooFfA5KV32cvvpiBfeBAclz5n1yb0zslnRFOBry/1D+Rx686JsVgW0c I40q/0UUpdPLwaB0LdjKrdaO5Vq8mArIYWyYZFAEXhQVSxCSflQeJfy58+jIkFBxbsu8DYgFxEFC BW79Wmk1xE7RS4PKuvB4mWOURm5EKqRXz0Qol0Y3bJVnZGxwFb8HpQKFRqbu9GlDvChUAEcBBIWC jm2n5opOOmJQzIoQbz3Kb/J2oOeyUW//IadF8xFuoyx1deEjL3Z7QZ7/7xrRIvzvK/4InAyEHeMM Bq4f9njWdwUKHLpm3cG0gecXyVcECMtyx0y508Zz/PllDGHaeWua3+QXiQgGDYFvYkDVahPgLD1B D0ja5QoISnm+PnkkiP3qOli/IiHy+/S6D15WrhdbGJAoomJ7evmYPVPP4W/feReE5jLaaKkjUQvr I0Dr21BqcHU3+VuQI9jotEOOPYO6sWHxeg8c1kL0nRXI8bdDayN7JtDk3QbvxYZbhYYnEqfQVE1L 2n/bU9xWXDFmElnXoDD49DA41LHL7PzLcbfWA2+Bwf6e676hk+e3u/DKQMjn0Uj1HNIq3/ms4p9L oouhLILRGp6chFkDuPnXBjWVZHfWZnOWejFYrGhH5mXc8BlqTIF4CbVhSnxU/ESxgqdcHzBpyEX3 JXE+pH56jFtRKMgkjke5cCx7NmeSRIGz+3mP9afWr0tSclp5pTezez4Ou16w1sRfGlHzwyDk3mXl wjF3j48RMFsdciOE/V8vlJ1QaiV9op8H+15B3hUqCszMXk2ftaYjcevuv4ZMVhmRWlFxDsVL5gB3 J5lX5MZESPWfFVM+AfEsxl+2d5s6FdMiPQrfei1YSrtVGanRMWltPIHoIKlA9sP/E5OUGm9YG9BQ X2XbjmWE3YWlJsGesJyPjo6ATEKceDCb0Epi/vv/SlN/aPETTz1d9y3fsxgTjxQ7tvkceetP5b2s 4Bo8r9cyxFMJxwyOuXyS9O1Qb1GKdnXpGEKOdfgo8TvdpqpeaUAaf1v5T6nT0lSiA6+e+ZfNo0xE CjUBTwmfj/9zLYV2AusLESKzG/ay9GAUQxyOCcVx8CKhfzP3vwCjTQePwo/uf2dF0uHeKn86x277 OGktFbK7xnELDrGiwdU+Idcl3a4cHxKT19S8mVxflfeZnxMR4Ntpzmi8jh1/eZCZq2aXWTPVCoJx YMGVnAjBhFvEUfM2D5s735K5MAh0KlMzjjK+YEQsDoV7V0sRk8coJVcuYw+A38gMQLOLIg7iN7Wo mJIsuV5AR6rUUCBZBgYqDPgl1GYTTbvJAEgB9Cbci0tZVln5zqkhfrgtcxgAg/ANgvGFjLawOv0U TkZFU8qRinc+rxRVd6s80r3u22QW62ILC6dkK3Qg+lvjnIQLKUgz6yB7vdsCE+ZVMk6YnbpxmfwW OtAxkvpJwW6ks1ufSZc9TRJMFn385bF+l9CJJljA1krPGP37VOfUgQV0Y4YD1bFhPg9eS7GlRDmU aqTAzPmR7yQ3kIh0If9KUDC1FB+303tfiH4udDWhuFq9zfG9v5EBijDNluu3S6Sy4a1aQ8GQOp8O ZCCny4w1jhDfKyqMDg84e2vBvuBr+lVLjytYtjVFX1t01wFCdOkjHNPNByrlPknLiyoHEQxhLHYh OpBMkNrvbHDElf/xVK5N14Pl09jmaswXAuCgMEhsvDv+dM1TEei6wxr1bHzYeXWZ3P8oRH+5lRce +Xw2QuF39BJ9+X9IniSIzJutUnoPs+aY0mCv7SzV5/cvjQ0hhrqfCF6CqE09axqYMVVPfUCn8aRu XWeH+kAn4ActI9KTAKaP2qSlux9m2jzrD9CR7284qHcEXTw2YZ+dTFF6nhDpsFhjAnGPP0k+K3cp pBmCH7RQm9IKvVzWiej0+WPTTQB9WL/syOyRrtW7TH2YXGyVrhL2TOCxDoQ3PYpl3FP8hJRLb0kB h2v98gw7uy5pPtEWWdEOz4N3I7AN8M/w7gxmGnW44yEGrETnXZ0Vh++CBGP6YlYn7k5qltz5yszO oLgjNRF5eT96ZJBN8GutRh/wz1+s60YMWq+h8rDn+HOEZhmx9VdgQrBi7STi8eH9Gn+reMS7dlWU sPuSkjZhz1paKv/68CWupp9mEP3ypQrXV4rbjyjwup7xPeZeen+CIcefPoHF6nEUhzH2QROn5yCm 8rnsxBFNeBe5M/tBxcUA7TCWWGalnwLLYnyQsdKJsCxqg+PfJLLtEMswJlxuekq5OiXew2SgkpTf vLhequEkBuVf8MoIcz1cy9n+V2YPXuenA5P7x0p2r43Eq1md+dCbMo2z3QKHNhEA1eCvVM4fNRBn THkbIv44lwF2/7uIG/J5y/iIc1vUbFNYEezmxIV93GFpFpfLRNaHcxwVA8uW5c+Ye3BPKqCRsPpM wnfPtCf7Vx61uQZLDXxDSYCoBc7Pt9JQEsPOpM8hRuTrWm+Ogt4nk5IVaNIGNv3TTt2KkmhIPrLn wVA5m1lvFJIzzzvsYUPcHR+CzkiFdiBC7BH0RrJONt6kz76c9pFn/Q8nwgmD6I0kIKg0e3FvNXqf v3+namqOCHJqmcVOHVVZaUT/Alrqxdob7Jd8LCxICHiB39nUsahULh6xLlW9GtpT4BQetKCIAbFd ctCefdQ/ezW/Oy3KRh7LqAAlgx4iOfQkpaisSGl6rJJuNnuPm1d3K4SXLVne6/CAhzGzIbh5Rg2K 517+SW6oSCA8Wy6jSnZo8vsOgBo4/jWdj92f3mDcoG6S8wH82GqpNBG3/nUa1J7nn2P6IwxVOext e1lGi8ius3a25yYQDKmEZKeMQIqkEpfbt9Nqwt3OOP8jCYliIqtSvu5t7rOWu+/8jUmmKYqic/d8 ZtRTPt+85gPhpg+MB4be/N/akhWbuvxLnPch4L9Aox86M3Zx4dQuvasO0VjFAgIcSAns8mD/JDlX 1C5hSnVGdyEUdHWucdpwEuDIZl3MCtXhInfwMeE+OOSA4ObUhL6WCcmZiIPslw7FuiaiBgRFOx4S KRyeN5EpBasWVgwD8jcRyKxjixXotKWC3UHVL1ztmd2WNVm86KjHQAztx5gB3js/yfhuGy3969Jj WlUQw6RQosJWmT2Sq90vDCkLrVrOMXAk/rG7APZHhl2TsbPqjkCXJ9JH/sfYlrXgwcotdcRgGVds Id3vONivTBXDQjEu2q3m9Qkc22F5R7b7ii0DMvEBMAZkK+cS247vJFKXI2oWTCMUvFWhIbJFGLIx 2LqCt6S/UGyFNXXWNNWAryHfrsw5H0U+PpBayG2bNVbA6nIVGqItUFr70lEyA9nIbe0CV6zhwAnG +cmJxlUiC9bxcN6DJ6ZfI8POab6ocDHxnS7z7FhJYv/GH/mTLDyj7dRnylwYY/Na1cWD1B/yzZlP m9Qpdblqlh7Leb/hoZk19RudijCjqY/pcMUnXCxqS8sc4Oz0ZL4Q/aZptevojD0cQv50FMf+yQzF 6mufeBMTVFVTPY3HmehcPOuP/iBUBXk9RMp7oewIivsRYWvpMwA7t1vxzMb+dKKlSuN7R3ju7F6T YWIB7byiHoyhwwDx0Cr0HYqFwabDW/+hS9LeCw31KXEIKINr1Z11b0PYfF4l4BWuHjsITxFJIAdR AgTltVmT5KMYiGiXC4zxKxjNaeGnOt4w5oeDjKZIDqG62GrZIvI4aMO30VXXP72QKrVvKlj/XByv BEJSDSdk7lQZaVa/Ae7hUNCtiXjssNX8h+UFR5lIClsRUKXGUpMtpVxUQQiStmFXOxGfdQzfPRyn Pp86/4XdG69GG/l9vlq71LVXnxnyO0cvJZs00/vKg6gXl4+OwoX2JFnMYjKzf4iSatHyYD3NoTXT LCErrhO5Rrr0fZpi1e34xQwp7CSEE7jOcX7gOzjn49dYKgmGmFDwPGte85oSmFIKyLbbN5zEA6Ed RYrKmTraz9AWZ53bHX/ocIEUORkeleAZ5bSrDFmSO+cq4k3aNsMRZ+Guj0hS2edhuFme8uXS9dvH 5X9lLSDbDEHkkxkpXuVxTkF4+S69U4snhoPvz7zN5xJxHqdLmVV2llcVbzKzEDwdzr6awkQepYtH IPOV2IlrhabQnkci+JYA5d1nVLQmYaoZFsSgfOcr4QbXm3QsrvG9eJQwS6eOkmrps+F0rXlBUFIt URjQJMLFxeypC9zaaH8yHQcg0W+m9X2HE+j41mPJ/sP0tWrqr5a9hVmPgtkGoKBzQEAkPd6mwPea FDJSZkCoXQTf7n2VFXJHA2HG1B4tpxQceGIZtNc5L/hQVc3s2L36/C3sjyfjQEDwIugAa77I0m2Y pdiYj8WIlnAdq76ayfyKvjcHrfSx/q0aauym1EKLsB1ysbrCfipQWl8Ll8moChXSViI/co0zpE4p kImkdf4hGDBI9H6QpwTHr9Y3y84JQuL3xjeta5LpdJ555pD7IJGsGcX+SqbjvUHBQa9EVz3eN2Yp BNo20tNluvxHIXtpYQYEbFM3/MAZr0gN6ukd1B65UY/BgLJpd5vj8nPGBhvCP9DjzsnwdnUas4s2 NABBuTf8ONnnDlMvp4YSIthEXQFiORN1A6zl6ja5tseXHHQzzf5ccym6FOKk6jqbRcSUvTpCT+3g xPxjACQToSgHho5Cad7btB6a6qUZjZjREEDuMY9GwE5uqdDWfuulfj/kmA8OgyFySgRAttSN6oFX YjD5+VxnffscuYpZsQQUva3lx7DESNk5R59xtVZ0MjZFKU5/1A+Lh99DhrvYk1KPFen+jH9Z3Xxm TOEBHO6UW8XbnUvXcFwGIQcu9v6lO4Tdw8Drk+sCMrSlfl8VIX3Kg628903o4E53nm7+A5uvxd9A MQp4iGkL8KAM5Pee5xXNg7OuW/I2xDfZvs1l7BwG1edT4vjYYjbL3Izo9T6wvUqzVxR37IcgSJ95 KNWCbpPWIbfg+ou+pCxCO10+DNPT4XjiAtXktPIjvc8SNgruST8PC0tMoAj/4PMX6njw5EC6tdxI L3zBZ5ttpC0PD60aruaXAZsl3qI5bUpKe0P4w+1NdToUk6EYGQCJCeSUohjZTisXLRmB3cMNtgLb 3ytob5vw7jTezz2LdLbKJWEYUHdUdf7n83stW88Dg2l4x66uGD3bRJJdf9IAT9ypmjUTcimyJ3JV xqsJkRc9hC+wdonVemTsqV5ZTVUQ6ZPuurRcGPrAOJ88HWFlrDdVcwn9nkbiD0x7BzJMRdZFgTau 5Gmuu4cPoCTTbgcxxNiDFzbOdCHrl9JcRR/s2AU3R/gQXafSOnh/OH/EgUpdzoBhAu6LLliHqwzS jw05m7rf3Z4TjnEHPu0g7VXx6YlGx9J2uWqGMyk4ZKXUC1jI9MBXCUPUVojk1cGpT3CF0xdelO51 C2blOrs/+bfElOpQ4b4hDnql/Iry2gK6+1azYKS2pAkn5Q0HQ33an6HVoYePZUaGB1/Luv1hpOuB gK8y1ZBTWy8aqOiGvnXjON6ON9/uDP+ZJ+F2qgS/mMjdLg8qUGVF5Tz+Ock+TqZn/Js3FoucyEoJ /ARjk1PkA6R32ymiDYYp/3xkb71D5Z2CGaRQ6Fh81cgcgaRby0Dg9jjh5ZXUPd6GcHAU2cWDBcaT JDNA4hebP6v94o4EsV5TVTzkF8ICnLkNLQzCbJnjiHNItOGog8YkIA+8Km332wlRvk7THbYjw5tK XAeT/DvgcZiK9k+M8D0D10saS8sah6IzvzjG/h/mlK2JG5898xhSaKEziPpNh7VG5Zmw6C0LiPjC mVgmlyqj+dJPhVrn4aksYBB4Z1lvbreJyeAGW5ZONdrO5JO/Yz/BRd+kiqV7H4JDKI0ESkr2nl4S h17Ou+DBCsuSOXtOmeKsZc7f2W34V6Eut6WLqbz/PCwUbIgKtkF2vgdyfPay6Q69WO8yrwL/Ve+Q JVkuVybhexHN3L+tr3jzDXmEfAw3cLtiE+YoIjzSiZCTYJjs99lOCGC82neMHnVMyb7B9x/T4ueP EFSRM6kNATfm9elyhB0MYW0AwU9J/Ki3k5GE0EYVwelKVNEvavkTl6W/i3EZBisNR+BDCeG1lO2a PhMg+YjuvhEsExASVW8U75tlSQuZzoDsAYds/Cou6Ws086PN5r2YRs71FMZ6/zLBt6MPst5HoWaC ISt29owAV7kT0NJMPDKWq/wp+je4H3/eL4fOnkedL36Zk/157zFjDgGwfYUGpGyyXyvceks5b6TR fw1VOM9uT0+XoFMcXKBybDuoDDlPznHpdT4bEr1+iANvX2ImvrXlEhdxg4ARgO2ttdJyvIZnMQMr zcbjGsql1DLSeFtdYwScDP170KNx/g0Z6CVcT+Hrsq3c8kWYvoZL+K18YmqBMbZ+Rf7bMU+2t3e1 +QeWOjoTNWdwKcsJINPVA6oIHZTQWzo0Hi0agtl87AvXFV+euuWQrCDztY1zVywqUunX21rwJ8so 9FuQn9kDkwJzQ3Yo965q3YJkN8RdlId4sLUmTvjQxxT6gxebvXMAQvW6Hm6Srf8ZFnXvzPgIQhR2 eME14qMdSYzH2lghF5S4JqWJ7HsxzMGEFCepJZcoP7fb9RdClqaftkrAiIMCVfGA/tW7RNCV7xmD pNMx9YxcsDp/mulDU6G8V2F7Cmkz0EH85zbrOP8e4X4jtOVAuznFLCU9zejtdA65BOsFGRR2UpVt ZA+TXOWAonttjq+cZ9SgpoVJyFe5FJlMXHAB2mPr8JyrxoLSPxBhm/k08A/qsKlo5eTbN/nUowbW vESdqOzawiqR2qH1ZHMLTFoUwrXXlcj7wfUK+0CkhvrmGhB6yPHbVnHxaqunZPDLkKnTv3A3yur6 +OomJK9ihlLZNoJiVKDRS5Ulav/fS8Pg/GVo+1p6jJuivK2HEtvAgcq5v6XggNPEr/xyJNG5DWh/ jvq4UHxCvuI2lDtaOD/TKjzHzgyIP47DW2wFAv6F+XaP+V7zGHlKN8CM2ohvjYWN9d0/BD72jX2V DGWfj378omEfjLnmVJDSWr+WxVC8+MreE39d87JIzCc6U2m4Ry2dELoniGKF8QoYxRXCQ4mlAgxq 88DYV173wwhVe51T9sAh2r45bPVifqn/lIiXs+3p1tB9A3JEwsbFJKHYH0YtjPDoiFaJWe5Fs3rS ibzxhlQ0Tho4vdFelnDu2hH9resqLkP8ZpYpxxWrKWs3wh7rIQRPyILV9V+4rD4tyobaofuS1Ymr VriIANKFXn+teu5fxNhb2WUEBUIRhcb13SyXusz8eGK7y9Bn3ic+VhfLtdW5Mh37cxVye3y4ki2Y Y63Uu/6/QyKIOl12+IE1MrM5hRXAgPnL+Yu1Que6/6amfINiG3jTfVlgEGitv55WJJ+Vgl83FOd+ fchyip5vjrXJ6H4oehs5syuHCoxAz3wHo0hplV2dTIKwXYGN1yGQrhV1Fi45mbAN1ZJLphhnDC/v GkDwI+InTuAEfRQSfrQXwXBwBrMLoTfvHIqrm34C/sVWMAjS7iGAN+A0/ipsMlzIN5ZyOW+ZVYzE Z10Lw0NRm0NJyJHSZszItvjeH/93iOS1wwcixDFpeEs6fzSobT63Fzzto0Tq+3S5S6M1MY0wsOxt PVD+HiJiyrGc8Ohwm0+bdxHc0P5B3PmBqeW5s+tQhujwl1CjleQ1VTV0ZOIx0ZCte95/Mkq+mpJ/ nxQ9djR3UwkQTLMj7qoM73PvXHKSBwDJlkDcZJHVF54OpZEcCLOJJI+E6eycmpM4Au7n0ULSkVKU Gpdar7Mn5vCewEJFn93EzKeF/grUY42mbnqHJtI7B26bTbeNSGDiYOXDdAClE20rpfCGHovKeHxT QF3cOhkbkmPmu6TRR5CyYGaKYkxZjZhzEvCYF+M5PI8vqo1Tin1Kk/Q8cC5GHP4+l6Ci6zwKFv59 DH4cn8vtnLf9snIFjXxO0MJTWBTOAE/95A17ujSoOpUfDAjXnE/ixLCpdtZ30GFUrh3WrXZ6OOHZ QRG3FcuY3F/fyzgxF+EsEjcmLyF0yoGBC1XTt9EirrlCbd7wFlOGfKwAr1IWi7iJyvzW+p+vNdke 3wgPosyEr1tacaxEUuYyOx/ee43cm1TioYDnXHsMTwxCNlb9Z2KbjPCA6/+OxlKqk535qr5t9CUM AJKF+3/XoZsf/SALZObyCKsna9P05k1wHhJeMjPeic6Lfjsw5c1+rLvZppyWkokm6vIAudkeZj83 E9LXdx1dG7qNCI1YiuOdn0HQBoBKFBmFtWEWi21arPswBxToJHxRcMxfBwoOl0T2ocui2HSudBmy 7on43SZB85+38O6GdxVsPBPzVqGQv+rdL8pRqr04wKCLfpGqkCk90DGKO5Zz0wmrpSaW5kSVCGBz WTlxUQiNRJAPVq6PJnILN4x0sUbCE54ZfjIptpLvlLjuXdwm3kQ7n1AGSMwYWr6UuW994kyv4+Ty 2/UBbUItQ3O5WGm8PKhPdm5GlExBegoaV7LWmxBEDSneRL9b1vuAiikMA348Ip9G7Z18GfK1K97E +KblShaZ5zqRR+0LYBYqMnEJR7By6/vEXhpb/JeHGPLZqAQeb75wQOY1fMYJYjUZLCTkUfID8VZY cBI9XE9Nnc05cSx/XmB9tN3oyqid927zbbjIe4PAfrYcBlzBgXLdeCSF0H3KRRsUhg+xAjzULhM0 n5GXAd5/2G/+CxOI8puP/Ats/zxuxynmorO07z9oOGf7hkt9H0Y4xZOUFK4cAcjHBpuXJrDu9bWA sSfdAmApECkvkM8NlZKwJWNxU5o/lkZtI/WQvKyxvIhjwteMDh1qVt+v/RcAHApIAHPpQpYzqaV5 qZl1xgAo4qb00YHlbX+4WCEMJa7QFPDZygXEIz9jeAMZmG6GKT/okcgt+RJsQZpgCzxx5Plc1hpJ sdgT5OhmJZFKbeQ6mWvCEJVPqjWgO+ijSXFR80B+Gdo8vJYr2NuD0x9qacJc0pAAd5H0Q4XwnFUR HiAipLg21GBFJk0Ydi4bwVRXRH1y/9e8zLnVJ0gS9Lu1snAF+aKeYQNgFY0dENMLBBALEkPnySZY pgUWwx980Y7DsxBPFpT7Eo3ELg7mKNg0hoGhR2nqxYwPXIG9+B0SRUaxiwaqPZnT6ZfDqu4/829x s40RehVv33a4E19J7nRzIUAsbr701xx9VxnHITsKpkUhPpwvtbg5d2SKRk4RlXj3eebxFUVQ3HC1 ImyB1GVa/RTNYm19EwN78O5xHSj/8IEbEen15jJGmy6Ij5FmlGpn8rCrlPTC8Sba7qOVnGLMxhUx SvgeNxYhKPNI4yGjWWcnWyiuR6DefHEXVcwK3OlEkyY/ItXU8wJs8m89g6LCDcHa/QflK4zsgRdA KZatnEFSlNBsiUCwbZIE4lF0JHM6fTM9qfrOjyDcCSWuXYMeDbihM2kBZYLmL9p0/BeNdSG/zx1A z5Ln5Xl0ocEYeJgrmhhkbdgxRIZi3qZ0D766iVKnF6m1PXCjgym30F51WfCrVAcb1UsjDtwjftSI cnpoR5HOl1Tzc6QJ7guM/ieAstiq9IYGODzb+WDe/YOqoKXgT6UINXHS0QATWyKZ4Lc4CuAJsgU9 JhU4I2zSNGfudZuFHuzedJmHkpjB7igTpJK7fjqHt+hvTg4EevnXkdOOQ6JIAzXn/lLOeOjPRoIG fcBR6VFmwrDRnuacFx+4YuzikVk4mrmvlwxDVhYg24y2kSZVYqEjgBfCBclOnIKKVj/kvgHeXiiC R+CiUL0ozhijqOq9dXyaxtYD8XbX7IaGdPLjjukgUVut6BTLioRcf3aBM71fZs9kFgSYYuRtLB7P 21EVs3FhAlZxcBB268sC7Qnh13uYEYjw779+uS8EbwfXmkXdcZPohSU8N1oUa88Z/kIvsz9SbNy+ Y/flM22UDabjLWqgpx2lz7nd99Gk/+rI/0EPU+gKNOWGBhdO58WBmgbDrTFgu6snPbt7Y5HWLSBn UywI5d2hWGomJGrOc3ty7a34mq5YcPwNPTwSdPzqa+dDhB0AFNhoOHhHTMsJe6/uWcQDtQIDukTM iUdgDySccRzn6q/yqoTffBxEEFaMRW5PiQrwjDjvg85tDI056hDjcsAis+YZsSw6/SJr9SVfSWMd qh584i0U1t4FG/XQO1e8PMqnqXSst4297Oww9M9MI6slXKOnN/Wb8qfksKqxjnPaHvSNyMp6MW2k wyrZdO62v1EYxMowI4SMtJ2Gj0bzLMGZGkEGpqxgMtTmO0QM+JQ/fOKV02QfBOJSyVD4GfMMb4BZ aDDlHU6vUIt0yUwooK9HPipGnYjKOnSJnQ8z0mk11/K6WIaNmWfv9Ef25mEKDM0u37pJV9taLBau 0OrcfGWwGi8qUBp9n8dBhm+5GxkVUVMBUkbpqHMSmohZdQSYnI2foZu/2ZQtZCn/FkRdB/HjpoMV dPFL31Va1DxQV/fm2PJm/WS8h0KzeRUidmKRURo+kxmSocsBBUzUogSYubdKQpB4O/Mm2bvf7lUo 9OadYpdjesvJiH8JvFE/b1pKeNVUG0j75TVL7Yd6s4GzxFzBRg9KTtPUYGg+DxXAIKcK3QclkFbq qtT4afb3gcvPdSdrt/1i8U66e5SPlGtoIxxloMNNKokFfXF2LKq2bENU3dMmSikB3p0Ho19jYZTW 1g176abLe9y4NE5eSxNxIfKCIJYW8nbG58HiDWczSbs5QQErNy5b5IqC8ttKjUMIB4/H+OEWh0ZA 677Wcqje6X7vxKq9TVDpo8ZurTIdXiJcMrPErYfAUvwjvQVRiDk4GTJMHr8IBy/pCNoBmG6Vejux qo5LI95r5wJtq3OlwyMpq6TFQkBc2k7nisNTggrdRz1hzLdyQYEtmF0IYlTAg1KQaHmpE+ASYPA8 7+e3A4vWFCdgn+yjSSeeqOdM9fNuqSTHLx1P7xRJW+QMh+UmYXi0EGR4X3RThil21fKCYTwJrTWj jY9lC2pQH+mVyrBv4EhkNAhD3Q1MI/GS5VCb1lpneiwUoaJyNymcHi2mFLgB9ZpP6z9EPJAqJWqZ YC0SLEdJ5IIqxhkN/NlaJR53YXALgsTCM+esIfCB4/SAfRxA7s52btiCCbWYvOO7vn32/YgLbZLN We23s8CcAFMhCL6W9aFPIykVXubtq5rAHCR8R+IozfSx1s0IpxE76iyv3P/V0+ukZ6EDC/D61/Wj vBsI28ukBYkOHc8A5Gu8FBqwbe7RVLyu1yEMgHgSkbNFbwyiznJ9Z+BjcipUBZy5e20DoAJKbyls mZIssLkXYww6D1VfzmnXePs04svM32jw3obbXvmqxOiF9lvFc78u62R09dOieIiFTlhvaKEvjarJ 63CJnBJK2tRcAVylU+uQKV6xLKVD1euh9+zJu9I9nbNTh3nB1U6CyXlF1GoBhR+GL+bzqJ2xocGV vxGhUyKQSvmQGjFbJbt7bzr6ghqmLFWriUsRfT3QVK23fK7rH5cGql/GNJQ2W45Yd1cXDYzfq4mR Q6y0KzpkyDt5uRPZ8pdq58TIrAU+zq+b3kWXfwJVCP3qxza6Zp47IGXHT1MgGXe5qsHVxEhrngTe z5bwEoA2ALFhnVSG+lk5HPzvz0Pw9gQfO1vMNjHR93qtL3BcvS69cgbnXJ4Fc+0yQkqzASKIsv5w oZ3OqbS4c/bVy6lS/9JEJigBuMFk3R5naoZ889B/RmOlR01AiIsrAZu9pqtX+lwJ16b0dDQhset+ Fhay7TSvLZxqhmhqVr0iXKcdCfGljiTPfej2YtCR1lGFTtCFgptEKxePxdr+IBnppUifpOM08u8s CTGg0U6zdjmvkX4Dj0wyqTmLGRE+B1qcH5GECeqyN7RiJf9ALQakGJBeO8xBJsF9AvcXe2Tvy0uI JMzs+Yv9GG5B3Op8TTti8phWB+Ks7CcRT3RtEPlnrnuougQPOL15c+AwhXcgvUdypP2VG4Vl0zZQ uAoa27RtFw7xuYAn99i76ntI+0jo/1Cosb1SZTKKi3LPJEpdDbJVhe8qZ5nAkJoxJKeusizMTfrz FV4a/FmGeu9qsILeAsqiFZ8ErTQlQH423qKAIaSsnrt6Sfo7a8VA/PWFIy5nake3ex5K7zT1sHM1 3O91pHx7r2u0jAJImSEcqWS5v7j367StXoCZiF5lBSat/DJYR7+xsXHquWSxPbrMDXKkdKeMib8W CwhUMTVM/UFYCADy9HBiP+ZmGwxtJjkZXpWujjcTrPRcqE8sPVCf8IdpJB1wwE/Fxfzo+YX/44n5 fDytRDID/oKEfpiStRq3HFqc70HAJqzCJ/gN+VFrEZuCbJ/ZMwczfsLpzxItcFfHC9RA5HZhrNI1 K1PwZ4Bybt9eoHZKNnV6J78Fx5nCvgL23dKeSKAhHk90K7tv9u9vxC2YLV0PPWiWr4xhEm/m2NUi Vl4KS2VaG7fowIXhYcLkDsaptV3WwSEi7cGvQ0aMUaHSI85s5umDoebN8Lnbeh25q8u9o0HvZn0C M2hNKI14rj0Lx7C8mc+LiZIk99a7r/d75Rq20jjsAYQx99R6X81gc++A7cJYezmIHG8JxAdfNT2v qq9b95QClbqTI7jKQwqaSHndYUFfA+HkRB0/KCsGfoFaX6yTMm2n1K6ypFTPBnULBjxqF3OJ2y2K 5Nms3VZDpXO8Gj5enWVtFbMuhYBLjo5ocsLHmelc2BvjFtmxhVinIbXJev+8PONy9w5UeOk4S5eI IkBVo5IOL91SHYw4IppoTGoMmgFwRaJgB9EHBZFqXh7uu02muZyj4zqe6NZfjIaFtZ1UJq1LE/Mv mNx/Ffzwz0RZgaYssalKr1i8pHqwogu72Qa6SViHKl9tfg0bTJGuUrN6cZzIXVA4oOKjNdXg/uSY KfWQ1LelgpDpuPbSvWzp1SXqZzbyn2LvWesDiwrQaWmVqGqQnv0eTA6iuJgIXkvID4+CMaJjmH+o eNOL9ejte5sF5UeHdpu4j4kvRWZP4QwD9m299vrUFOWc8MIEl9ZcTZr9He4RyRkPnBgc6SDblqjs c11MCW9JNB2IaO0Ni9mQjHCtbOUPJSrd4JLUAtzsYtECyGEIxqZiyxg0SA+UVvHy2NSQ67h1nLpU mKR1xUZP5lKz8IvqAm0vpFaHZCDVC3OasMjtG/lTUqcOxVEKsQFAHfF3+/1KlKxvoXEhUhM8ay01 +67+Wqq6d3gk3MRtleFxWQQGuAdD/44pAWPbtnaG8EBWXkG0HNilG934b9yAyJJjgSg88jkTrUDa oiT9Ma0IV84e9Wox9/5RrqxJwYLXl5qvRc9GbYghuqAjtI1hOx9aCXeh/VCawgeCPWvDd+1B0q4S K5MiEQW2qNLVNReOo+Ydr+YUnwTN7Q9PY2cYQEy//D4y3AlGWE/WjQDBuewZ6AbTKrkorRCCqE3y 30qmERImJUoscs+bkBD3m26jV02G3ytOj69MGHq2XCVFSsEnf4Ec0YUOqYZl+caqiPW56TK8WF27 rxMOhIUJ0zJW8TbTtlUqQcowAP28KUqu4WDFCcBH8XT4b20QIR/klGra73LiOYKamRBrYO/Jxomg uAmt1ydAsVikF6r9jKm+i4RLuM5tasGTdTDVfvjKpFSXpRNUIZW6/gv/Ev+LK+PQ/xhQvi8E3vfs DzPEDa/1siDQd6pSutSw1ANwKgcdqLcvSLxJw6uIFOuTkMxYpApDmiPTCtF79+MRWwnWYgLheVnl XwkTRHbwr/2C+8DfOghhiqZ96ViZpSY5HFRqdJMIeV7WZuXgqNwc+IIcz1ttOFkNrsPnCBPw63he Z99qimbZktGZ9inYP52HYrBWuRzhDjQSLTRgZIIbi+VVvQQdkB1Xni7w3+2+G/YAobNoLHPyDE6X 1Av6C/WBhZFme4GB6N3WaDqdoDpYoaoDj0jA4l+h9upCxf/UpnX45pflY5/W1eTBY+JZzIAY7ARC hlmqK2zkeeO5omxkleoReMzErUQaTwaetFShfJqJXjY1RRQ88DU6qHhZYMJyDHyV61hSKWnuplzu tOpB6sz85VeMgxuKzd1H3soqskn+fSIpb1D77qg92QMZJ3o3ccrYcDmNjH1ulWpLN9qu4Dideyr1 nZ1XU9/WOkTa9Of11cqBGMir8ZD4dkbmuB72F9V3BbkeH0QPfgzGwW+j9dQsOXfDYCKTfzU7y39L mod4U87sLcH17ZwdD5JVWQzCXFeH7b1LoG6WU7yfQwRvv8TtpMknO6hi4aZRbHTmlxV2DSRWwFKT Psto34flI3M9YTof04qUy9y5WWNxwoO/ob//0+OYM0jfa+sVPpajevGwxUaarVvrcAWxwr00JWoj RFjTrkkKnxY5f7xQT3BJuorPUXKTuBuEgZ0KJJlyIJq8fXL9N6qzusxAnNDPwzoiRgna41XWQu/A /XqnsOUMuR4nQXM7GpMYaDfMS2bL7Al188avRBXfrEWN7Tm1ZFJlwiEAFluRH9KPOX3SXYliij2U 9EKOBY+ikmGXtJJ3TcS9L6HPVZnVJTCO6hgRMJjcHIUilwMN0qaKSHLqcYpsOEs2uz1cJD/xUk3w CeBp44J2qmy1bp0ewtQ7jZ0K6lqrlhxTPoJ7fQJ10zFFAQVKbnj2crU1heiYL90GmRrowSWRENwU ZRQZ08SK/sjB8NqLQ6FaDA8dm4WP/+sfqT+Hgcc9Ffbc7CMK+5oRn1PmTJqyPsdpf6QtyJWDT5No FR2q75V1RHgbcuxv0d1IS2DCuy+xC2BiatrBX6OyAE6oOmjzk2f50n2Qjlz/3IWznBXj9tjesfmo lSNWew4XabPthoG2qcH7YJ0sv0b57auIGWHalG5UUxzx2Zi3Xe109NIKj1C8xNhhNe8ybgpQmEuJ tQovaywQE7YkCXa0x68QXoCiB1zTOEluRCqsqA8zlqlR6scG/8sn8SXCmjDodXwaVtsiBN3QpxHE mjkcOKslZo8s9OUQmRzD47y7z3AfX4fkDM/ErVlDJfB9kgCmz1Ej/craBgjRNgX4xWnQFvlELCtR xmVnSwZMRuf1hiTsHYxDjv/ZsAIC/Ow+q0yUFuOqIp1OuDAKKhWCixPxdwvPfKxSOjDlgx4G2pO2 or8FsPh+0qltTg5vJ+lygANZyiEPO3VWcxDs4myKcK0NslMukJ6SE2++2HDyT8YMSZiyVvW0AXxt WJtt2jCd271ywbAmhL3QSx47WZUWfSPcraVNFdDtPt20G8S6mjW1EkpNhek5l0BxS34cfwKJ0Tv1 uHBlyreZcRVeMHjlHYEbnzLLVeXyffB0HQPL/wObxi5tyYylVzMTTqDTH2qOQF3FTzM/GmErOaUx r/HckBSqgFf5hsaodZf15QWzI5fbawm1nxIRhQrsgMU72s9aLgznHwVJnW6ZJyv94F/WiHFZJl/V IK88osJG1sQ02BRs/qKxcsIAMsX+PZIjEGtKtoa73lPScD20ghqJEvrab1LNkI4c6SaCzCwTyM45 obdfADhb28U6kLoomDmn8UlEwX8mYYfmF6O7boQ8tmIUaDAnJmnpjZvBW1N22zNj0Zm6Y4e7V9Ef Gcdl0xqy1zGBesVwRyON8ujrMQuA6A+hyFz+F9+fUP2GuzP4n91ylF6cqcTvcfGLlmsCubceU6dK DQrkBrS46wl8ow7mmbrZGKh/HV/9GkhXjS/J1O3QeGuOWIcRQD/kGumA9Ls/ltT06mSnilkvWQmZ DrP0TqlT7bmyt3hFCGyninNKCaBL2SF59SNDS7sTBy3+7dk9Q0RMdITOrEa8tGySXsTsxszCuKO2 GETLu/9dxwnBNNrQAKy7BBdAX3SkuqXjUcKYFkWsyWEjTTrV5kxek+CG7MiyyLv/jaBcFvmlOT/L sbApDxlLwWJDCzbhkd1tGfFOUsIZ3RM3+nJGjQJhICuAoAp+QNeTqohikmaWN1fY+7Y5iqqx8YZX ZuhIisxjsKBJCOU2MqaaJ54QWZfGJvamHljFPdO5YVwUXctsED2nG3UMJb6ZNmL4ozB7rqrF7osY 16eQI7fQ6LbE7kgBhKYbvoImpnp+zCVz9fEsQfsKd4XL0u/vsgApwrzXj6d6TUK0Kbxos/b6etfJ QEqKBJ9QLSTo/LkgPiamyuDL2WVz2xz5sFDGjIu/e2Hw73y8/W+its5wIiiELDy5LOwxdUUKv33c ltlItakCnvRwptndLaqPiNK4mD1XegvP7jZPVhWI9D1DpoWkpjFLAQn/uEsS4Mm9TIDHC+kQu3yo FeibAOWSIhfW2WwYL+cEDlb0ehQXdE7cUgqsHXdBaFlCYyvzwDhvb/q1cl5fRrwkZpWVvjhFPN8D 2Keme0A0Eooq4l07Q5A7G06vKYAGFz4XEmksuvXujDO20FxSnrsl/hOY8ryuSkasYQUp5j9uLh2/ jqVPcbl//yj4Z3RUqiX3Ne5CQR43dNatiWYM2zA9fMKoTEgckxfUTaBh38bBhmaKD7e2Wy/BeDxN fLsuK5dbhjxSmDv/lYV5LsB9N76mD31a2FO9Wnfxd0BSgCHTAQ5LDj62fAE4aAFVrMLwACK9YtHK mnFEoZi6JMih0SKzozN4/Se9lcl+KxTRitJviCV7NbZ809tjp1ShkDUFc2jXaHF60HXiWRNYqo5Q ZuDHlSxiROFBfn8Yk+XpMqkEGqxyETGGfhfJ6zWSD9sZ1SzbN05dRvr9WX3Q8tQLEAQtrnF0BXXh VF8wDrvws55y/j+3YEQTl+1U3aS65dxKR3eiG439uJjr8/ioKM/Oj3ffNQIfl8kWaf2xOIHG8Cuz aYm6qOyaezJPqN60WG5lpgP1XXPcwWIaaGBDOH95pakPJf4zhdfk6RGXQeQcH8qSN4ph47Ou98E1 EGUh4CfgIVcz257CoVlvjKrJOw0RFcbmpKf2xw7I5Td7nms3pcYewbmLKFNtxzNPkZ92LAwcpAEq MWvnzzMcbh8xb1OxecCfkdlVS2cI8kDWnfFqeIzd+cLt0AyelRcC88NkzgBP5LGQdDPWluuzRqM5 +IoTekVa5DE++rESEN24nOO7BoAOu+hziKz7bdvWJgkLqA8wGXZ22H3Ao7gVn5PfBryom2eecX48 pmxLZRKhQHJuQCoI6m6+ZbNwVOPx2XeATSSkeDJSK7XtI666u38+KGn5aKVLq1xdcvraEHt9JBUB QCdMjn1iW4FYJMRQB3eCZNYFA4GWpWSB3OlkRTFrpor6myxVqvwD6LfRbARtIyiVZMzkMZV4pUgX ArVDMyjSIa3CYCFlFRDmcLgnZ8jR1iPYQYmQzFBtfAAf05D7S1JP0Pz/aPh07nkJ6vZ/hzbgKnhU 0Erx4VOHCt1zmp+kE0SQ3eZYKrrRykCDcPu4KUrna/fAq4B2yYgPM4LXGsZybHxgtL0lQEczm+aj kcTxpPjuHO5a5B4Qb4AT97gkkBWp1qiZ+djv88fF1s6aydMywXsdVkt6gtSIb/mRfNZUvb/C+c+1 JBSRBFqvet9G/8cw+0IyX9IJk+ONfusfL3WxW97nVfnU4IDRvZ2c9Y78MEh9BtAxktIRt5/JeHZM wpceVCsahi0zAcC5FPmp5fFubSuZBenYtkX73X2WmNlcVMrB5/NWyTyDI1cbxJDFBbiPITBTVuc7 3w+EWOkTlrxPC7vbMO56d9OPABXJhGaSQbHZFgWM83ikgHDFpR3RS2IrdhOshRxoLwcU/dIxwD0D 17/uv9/IS3UMGFKAdTAWzePR9tw71v8KD3ARHQKVr82a30j6cVkPg2Ap6NWiviFNfk2l4CeYqREP BgJC8hWgxEaPbFcsKGGbiXH23wrGqOC6bx3yVOLk1l+147VQvkJMuBpepcxcKru/LF0KWK2KmUzA jkK3lyf28GapzNEqTHIDVZqmEgHRdxy+7P09AgIVUp5Hy8crybSWoXBKtLFDNDSTEsav+zcjjiSP ozw31JkqOv7ZooXvNgcoAUPcofrjEY6JFKlBJYfvJ8MS342VMhlZxPSeOUugP4rdukVlBJ/3HoXd VTQtJFIFRJ0T/dcX/763RrnOWHJgTJilF+/wNZ2QMnOpo1lJPRfMIS61XxWBTNnu3WhlMtLfDt4f Exf+EbsJ36gaK+ozz2+FMqVboeddfCfD5lH8DYuFEHMRZhjc4XkCspfA9M3HUxQWvwHxWLCKPo8S E0+z7Pj2Y7v1wPWVPYoQkbFkwARrurg2jZNM5hrbZMppFX/pjvfRunhl7i9gVV4nzeoybbAi/p5X JNsQhUa2hSL6V/Xcg9ueidUrz8rHULS7JJBvXPBQDTipKXTXDXuXIrFVQyik7QWsEZnSz8LJnZMn za2rAExYyD7pD4uA+d0KEOZJ7VwINvCTy5obHyevET71x+PLHV8wybhhIp0YXJrsDp2ILutNspUr KToTVJvj1JPa/JSMPwIME0sRP9+AqUU3nFxFc2OYls4zNlRDEAAUY8Wt7/3Fgu78Kns4IpMLUNVw uSMypbZ7E+Mi6HiYZ+AcORgUgHGIT6rgINncffISzJN/4pg4etA3k2Gbu/Vy4Bm6cyJDtI9+gL8I qwc9mwWrQCJRpJ69ErTrtQA0yeEt6HuLictSOX7hgrDKlT4zkoIlLJIpk/u9gWRKpETYpknRVFdL ZFAwstA1d22SMq3RBITKhOpKgLoM1W9iQ+zRTrJ+ROKvTm1es3PKTL7FbNJe9LTuW0OJRilkKMKu S6kaqrqnspVsXIvxBHyHqqluLnPaCyPqruju80MbOqYm+WMeIlnVpEuXtpFynEe2GOgQFe+URA75 ujUkFVQy/PpBhFrAGOWAJXWmyVEN/qEXjluGAWBpKDjSAz3t1T8GVwmb5Jq1HFAXFB42QxTEO1sA eV+WdZOXemLi/b7GMcbeg0dNODzTloU2l9kZGiw9Il2FBZvzLE5OfECG3DEUabtes6xWs0TjyY6B v4LKKgj7C0vw+2lwZ9xPYCCmcs+oSSJ797PtspASzdUsARuD5Kfb2cGequUmQx2FtsWe01X0AibW STZSpQQfAsNvu3hX4iw5JUwrbWs/9FzLw9ifCmpYsu8X1VZQwKRFZsi/Q3ZmP1JubMMGHajSzvvD 2m2Tu/cGEYAFAjk8QDTvUBu2Ef//rGaQnhzETVltKyCWEUZAjSNuXIbHhZduCDA3rx8D/J+HWeSy H97H6pUxkiDCLmCFPotqDSBB6cET8+ROpoSBGJNokZLMI5SG+i3WhiC9/jzMFkTRysc/Y7ysdeqf yqif/G71YnN5VDCGM8LO2dGJRg0DKDSb1aKxmf2qNxovBK5ZYA2F8JK0e0AlAMYB0XZ6zIl6MI8Q sGxM9wTr0/lD1f51rL4nslPYbGCTnAnahg8D9MjOqNXLK7GQNZfHCfaJEH2z6NVLr+dCBwK7UTZj XSm4F737FtNzueJ9pIF1TEgQNFraMDY6ehyTy9Oubefhorp2zNl3aBhchULdTR5JFcHjEzzFxTEl 8hl+EmwhHL/nhLqvluhBxSfdxadb1l1gtKFYQiD/O5vgb1Nrx3FBoEb1Qa8mG6GnIQanxAo8nLl+ Tv2u4uKLN3uKBl2RLPQdNYW8nKWHkc4g7Dq+c+eGSiYDvjbBBj7NH9RqoVmMZdKSgfkThgaTXovp /u8BCPnorzkC8+bJXSCxCu/J7PblX4vGDphAY41W5DAHhRQaSL+XCn7VGFoOOxYyl3uUzf4u9D3B h1aVmGQTJjNGLFXpEpEpVIHHHKRhAFwCwSys27veoERiw5nrH4x6Gq/jY4Mxxfma3Anl2dGFux2G IxTuRWzJKImL+nT10OhU09XT2ZBnqxqnvTiJMO2kHx593uxBzfNcz8/Efvzyxk6OwKvgEwjS0acf nOU2xbaRRlJYXwluMtgcHYHDmaDRgzqrrVVlr9KRV0+p6TURj4oDjvkuhAqerizpqc9lDlds+zG7 mk1gi1fYa4EClgG1/PS30UyIaIqwM/WQlVZxXDzxv7est5RLy73YQ1eCcf+BbpF5FKlFisGN+72a hzTcnyGNrRS7VQQwbJZuLktHtgENth85QeDY6tQ9W86H/ki7WPkoPU51yUslR97Fs61eLwnjL4Ug kZYvfUpMdWi4/SAJJ0df1pf17i0uXKO4QXwWdi3oC5r4OWYeCxwW0LXCKxTQ+8jUD0IftUJO1T8A 1LEm2wj+7gBOqtcN3j2eDUIlR6xS8OE75plrmsYfW/c4yARk0PjPOp5kuM730BrapFIBawyWrQMR sIX450t1Dp29TcMo1SnlNpkeZxIu6BrmnyN7xlHhDxB3Jtbq9jjqIIyMnj5ouhDD58HU+V68Vltn a4dcPGFmj6vQ6gJeVGFutA3MxwoZOOIP3li/MqA1lFw0nh2nKeKvHeBTWimzOtRUALICCF/g/Gf3 g9KtQOoKHi11p2FcK4PvbROZGKbZKU0QZt01HLjWFp9H+uIFwzFhO6Z22iimzOJueRwr8Tca5/zD YPCSvO1hpMHtKURBN5T2jr9esbuZyF62nfPKUyWD0GOAh5S5RqFVevCM3y9RktOtJ2bIojxqKq0C LxFuSlQwOkzfnW9TqRh7ywVccogyTojOCE7cKMBVjp87Fl/QdIRTd7RMWBCw5gNuUPBN6sYvPBhg Pd1OjdWZf0e0eCAMevvlaYd0b+NeGYpsj3GxQGdswrhfkhWDBI8g5YiJIilXI6qZgNe4tF05X4jR wrXU+5f5+T3IohWnWiuQ6+NCU7LLe8ROi6Lr9/WZ91BzvwPf9lbvwmrCOu/sy4PwqKMLz6s4/DW+ a5AOBQQdLujvpRBbEFnWPGl9LwOLPDabX2QcutqFOkd32i9jvPAIG2pE8KxTh8E1ynjLu0+sZ5fW RvZo7WNwFsQwfcU4PQ75r6SA80Glq9twJNsZZwu6lwnrCYOAvXN9rlZlqhT1vZmLiNzJM7yqV/p4 wK/akZ93MXf60j9Zuws1sSXh7wh9jP3/DeWES/Ku/ID55fQGHI1imea0MU92IjIPLJq2on5PrmKt a37I7OQ8h3XlfbQsh6S4b+XDDgv6+L6w1R9W/Qezv1zGuF2gQoP5yjS9P6F0ucjQ2vJibrnioh26 vnKzMHX50Mbnjo8Kvwhxa6q/nxmf1vF5/2KObpYbCQkD2gYiBKO3fYHtrT6lb6pG7G+Ihetv2U7E 4qUsxGNxr2isN/oZY0MIP8BdNQmSzYHzM4e+KiShDePisMif2RLzqDNo2kHjdzy/DFs8HW4Wq7d+ qmqGNXg6wioXqBtHKTsi7pi1rScFjOC0L7hhwvrymy6pjZ/oA6fof6REfjZgU9yKME6ebCTPB8MY AJjwEcvUrNfrpdsXme7Pql4cU6KG2ulXDylFB5LmbmOHxAooWc0QHBO7FPDI16v/4U9PFzUg08Q0 7T2r0d2dCUW5LGWihfA7kamWcL+InImFb/xLtXMmO1H2VSXHodEwW1e80uyL6CpNakIhTwSZeMXV lKc4n7hoXVjJZ3yanAXqbDJd/31073IdycugZR0nf97Jec6TCCtbDpPMHC44u03ANMtG3pFAZm13 TQr0l5Z+4smPtIZR1oN/ZPSvnPWVtXKsvA1MoBGffYN1Rtsdma5pIX+DqBi9UMsdoiWzDzTHgwVq hCUunfhG8QFGSy7MljmCzTo3h5kPra4qXHt8BS4ke0YWo/1ytp7R5iMNzcyy8Y5zAE3hJuYTBvhH HwZb96whY7jSAlNuN6gGtbYxys6dwcw2vCcSWwTOIRaw6mx5m6zdn3h98Mweth42vXhI+cUfsxib lzRm2h7MrCA39Zl95O0ggwvNEe2Nk3dQhoKlrYe1SQjE1ac1XcoDlRSz/ET0wyICJTdT196Spfxo yoEl3j3DpOCAm3lXzIFSBKQODuo5n5jKb1+lv9jF570C8NimaXLcLCKR+PXdqpBpE+8ktIId6dpw ULcLKyVLUJW3BA6wyKwIZfhKEnsP/pp1IhITwvLvaLlG310mRxLS5KggLLr0Yfd5yahAuf9iOGsh H1CS4WruHxssZ5X0BgAqHaxNenSAtmg9QaE9aOGO9Mc7eSCFDSIs4vTDjnruMsJN+C9WyT+W5D4P SpkKOXjaDMnfAu+kNPwYRVZczE4SsghZB26P1t4WT+rAyQwOUqdGHcCoo8hnd2EZPb9Ufmwvyt9n f5fem56v/uOtUhjQN/dk4Zv/zFEvo92DW/pI/2i501WTD7cHu4uHHJKGMYxr+uZuzG8RWlHiToKO VJN+LXpb900YmdXwXP9mclcaD5Sj6JWRFNP41ZHx0nTffl6U1vEmM8ZJ68m07oTKrlQUg2iHTiL3 oq2+xA9LnHoHgbErdz8WLbVrznEP7NxYZCpa7eChm7HjnCW0AT7+8pAKGbMrIQdY85rZDJRFx8Hc k+NrqpXlfiCc26qWPMPCHwPoNhuTXH4f50N3Ta+NaYwJJqGSUBsc5x/EGLtZZMUbdrj0SgbXsVDD mPKNPyg1MiJpQAYW8Vl75K8blOzHbnn8RTP2IzG9bhjB+8XR8mEp+4aBjRc83aogF9N2HRoT54Av UQ80xqXKMYJHdw1ypf5HnamYilhx7V7XjPYulcycVliJt/A2H4zX2cpSbrin919w92pj8GbYSDcO SoZaryU2wi27i4OaZNyO4idgEF9ggo6Xdr6ux8hG0EeM6GFZMt0JfXkbF5rd4ap3EYLbZKARAsNl nt6LgBW+c2L98KpIdMmuWAk3hDBTnSK9n2ZgsmKCqYsNbaMX+Npm+dJ+ADJzSRWGA+l6FLXSE/HE NB9rd+NO+6yDoEZn3E2Vmu+zsWW8DPvGoPqqWWdVCa2N31Rp37z3DZnyZ/ifsMH7gwnnZyHN+bFV T18wnx9LxIiPLpLNtRGGSBbUi8+M8Bg92ZrQ+n8HEa1BuIN7SlHahDUtuHY9hXHz95cYYUizZxCX o66J8z3A21Tl2W2q8TTz8PxOk8fAb0zpu3bIrPdlU1z8Me01bBho1v2kFs7aAW23eekeVrQ931et drO4LZdhVPtpKqWSHi7hC/4tAwcVRlInAi4RdDvsEeZmgqJ8o4utE4FOty0C9Dh1SCh3L4/B1o6x Bq1qVZkchrm8WjHkUi7dzSPXWzlx0w3Yeolnll/xms3tE69kJ6ZQLs430T/5PfuzHgoZkfVtPE7w 1w5IPRNLY1+/gOpxUSYYow9DIB96wQcpyMUzzpIu4EkAxtd89LKmUkO1XvCeKecSwSPiq3454qxq Snk7h59UtGz7DZwbSmVmb6kzzEFVAhzscuWaOaWXrm1cClsMhOXrg7cxRf+/vOvFiewbGWe3I1CD sMQzZ8HHdaOyQaQVfDvw5/AjuiNOvutwvlxWNV/rdpKdvLRquQ7fvQEAZ74ILcIKnYHYZfkNBftz E7YabzehmQeHXscSHWhDeaDrPKfi9BNnZrC4soVZivDoFLgroKTdyoYIinM2t7Of4VJgjxwWZnQR EkqK55qG2U7T0S3PdepFbHT/D2Vx7Oq2+V2i7dLe9tgwVYMVpMSlQzA/n8gS9wTuEQAGjO4hJi0q YiCeJ3B8sCJID3n9p1D89JZYukZsIhEAxkl1N18Eh1Wj/QoCIuLIrlJJ/bUAvVYjKFK+XvgdvOJJ xWNnVpuQmWv6jdEy2Bb1U+Cou3Xkc5BpCszGbIwK9tGqiuLmE3wRlpn50pZ2ByTh0g57OKacgBAW ItRF3VR26OcvtNgojl/j/JzNdwuV7BJumHypZ7J9lRlPotZHodnz+s/79+o49q7sCzBg0OzAkYT8 tscHVnmRlPA206UIT8A3x7VP21CMe+Emaz13/SV+9rGlslAu3ltLR09ba3pKsxaLQXE2cMDutW2/ MpEYdwrskUaUnWuJfxZiqBEEPpkb+BoFJAbUhKJP2IHOtm5tMzJe3Qx0GP9AwbGjmGQuTiszikRT bnuTYBqPkHMGBTkXQlQ0GYOvankFBoKibVA2RswrYcUIXYlDnVUk9jK0KT+vY+qdnkrf0UNSQ5Vs l/4VQ7WjV78tJz8sCIJQnx/fTHowA3wvqlbwGuGFL9AoaqFZEkCcg//IlGq2PLn3c+FbTrQQ34VJ VvYPVp4jQ4yEIWNkHO4Gggj9oSIZw3yrKik09n33BOzRrAHdanPlgFBNzVeJRC4ubzjuqPlcV7Iy RGytOULsbZJ+hJsssOPHe9FTnRPvurDVcMOajxRQbTXbe0chNTTzI0AoMkL6Hd12aLuve7bsyp5W HXqIKnpPqFcwMBPjIrSNMwVVOgV+MjpMnYio4NS3eLweqp25uMK7+ioVtgY9oMAUo+qX3EZdhX8G 4rqdMxighgyQNgNlsQdibNQ3LPWc7cOE1L4Aqn46VhQNxSpNVW/bWKsBeyTIPpkIZxku7mx4Olt7 22yY6fQnrtbbaz9d/eDhDnFUKbK0IXMNerD4BAVeHw++F2g9N8qJ3d5KIICQdEHpXidJuW6FY42U pVe5UuQmoB0Q9rVlwKf9y7eikhi+f6NX7QyEb2sH965yy3lRwQ7G4ny4vbqp73mTbHKRx8n4+WdX SYo/rDbH66+Q75S+43ViiQxzLLjDRF5MjHTi2iX7hPKmCRRx+6AewugegoiBGklnarS5oW93qvyv r91QT7Iz4m29mRWNCIQy+6kqHkzbk3w6Trc+8rzXLRCzWc5LrItMy08jn105bVh87ZM9x9rndFWC 9rehClerJFhZn1A9WMxf8CXY6C18 `protect end_protected
-------------------------------------------------------------------------- -- -- Copyright (C) 1993, Peter J. Ashenden -- Mail: Dept. Computer Science -- University of Adelaide, SA 5005, Australia -- e-mail: [email protected] -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 1, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -------------------------------------------------------------------------- -- -- $RCSfile: dlx_test_cache.vhdl,v $ $Revision: 2.1 $ $Date: 1993/11/02 22:16:15 $ -- -------------------------------------------------------------------------- -- -- Configuration of test bench for DLX and cache, -- using behavioural architectures. -- configuration dlx_test_cache of dlx_test is for bench_cache use work.cache_types.all; for cg : clock_gen use entity work.clock_gen(behaviour) generic map (Tpw => 8 ns, Tps => 2 ns); end for; for mem : memory use entity work.memory(behaviour) generic map (mem_size => 65536, Tac1 => 95 ns, Tacb => 35 ns, Tpd_clk_out => 2 ns); end for; for the_cache : cache use entity work.cache(behaviour) generic map (cache_size => 4096, line_size => 16, associativity => 2, write_strategy => write_through, Tpd_clk_out => 2 ns); end for; for cpu_cache_monitor : dlx_bus_monitor use entity work.dlx_bus_monitor(behaviour) generic map (enable => true, verbose => false, tag => "cpu cache monitor"); end for; for cache_mem_monitor : dlx_bus_monitor use entity work.dlx_bus_monitor(behaviour) generic map (enable => true, verbose => false, tag => "cache mem monitor"); end for; for proc : dlx use entity work.dlx(behaviour) generic map (Tpd_clk_out => 2 ns, debug => false, tag => "proc"); end for; end for; end dlx_test_cache;
-- megafunction wizard: %RAM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: M9K_RAM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.1.0 Build 162 10/23/2013 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY M9K_RAM IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0); clock : IN STD_LOGIC := '1'; init_phase : IN STD_LOGIC_VECTOR(3 DOWNTO 0); input : IN STD_LOGIC_VECTOR(15 DOWNTO 0); data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); rden : IN STD_LOGIC := '1'; wren : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END M9K_RAM; ARCHITECTURE SYN OF m9k_ram IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (15 DOWNTO 0); signal a : std_logic_vector(7 downto 0); signal d : std_logic_vector(15 downto 0); signal w : std_logic; BEGIN a <= X"00" when (init_phase = X"1") else address; d <= input when (init_phase = X"1") else data; w <= '1' when (init_phase = X"1") else wren; q <= sub_wire0(15 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "../content.mif", intended_device_family => "Cyclone III", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", maximum_depth => 256, numwords_a => 256, operation_mode => "SINGLE_PORT", outdata_aclr_a => "NONE", outdata_reg_a => "UNREGISTERED", power_up_uninitialized => "FALSE", ram_block_type => "M9K", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", widthad_a => 8, width_a => 16, width_byteena_a => 1 ) PORT MAP ( address_a => a, clock0 => clock, data_a => d, wren_a => w, rden_a => rden, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrData NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "256" -- Retrieval info: PRIVATE: MIFfilename STRING "../content.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegData NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" -- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "8" -- Retrieval info: PRIVATE: WidthData NUMERIC "16" -- Retrieval info: PRIVATE: rden NUMERIC "1" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "../content.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: MAXIMUM_DEPTH NUMERIC "256" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: RAM_BLOCK_TYPE STRING "M9K" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]" -- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]" -- Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC "rden" -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" -- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0 -- Retrieval info: CONNECT: @rden_a 0 0 0 0 rden 0 0 0 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL M9K_RAM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL M9K_RAM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL M9K_RAM.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL M9K_RAM.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL M9K_RAM_inst.vhd TRUE -- Retrieval info: LIB_FILE: altera_mf
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.ALL; use IEEE.numeric_std.all; use work.SearchModule_pkg.ALL; entity SearchModuleTB is end SearchModuleTB; architecture Behavioral of SearchModuleTB is constant DATA_WIDTH_A_USAR: integer := 32; constant ADDR_WIDTH_A_USAR: integer := 10; --type arrayOfADDR_WIDTH is array ((ADDR_WIDTH_A_USAR-1) downto 0) of std_logic_vector((ADDR_WIDTH_A_USAR-1) downto 0); signal clk : std_logic; signal search : std_logic; signal dataToCompare : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0); signal operationID : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0); signal porta_wr : std_logic; signal porta_waddr : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal porta_din : std_logic_vector( (DATA_WIDTH_A_USAR-1) downto 0); signal searchFinished : std_logic; signal searchresult : std_logic; signal resultIndex : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal result_operationID : std_logic_vector( (DATA_WIDTH_A_USAR-1) downto 0); signal internalResultFinished_dbg : std_logic_vector( (ADDR_WIDTH_A_USAR-1) downto 0); signal resultIndexs_dbg : arrayOfADDR_WIDTH((ADDR_WIDTH_A_USAR-1) downto 0); signal dataFound_dbg : arrayUOfDATA_WIDTH((ADDR_WIDTH_A_USAR-1) downto 0); signal errorDetected : std_logic := '0'; constant clk_period : time := 10 ns; begin uut: entity work.SearchModule generic map ( DATA_WIDTH => DATA_WIDTH_A_USAR, ADDR_WIDTH => ADDR_WIDTH_A_USAR ) port map ( clk => clk, search => search, dataToCompare => dataToCompare, operationID => operationID, porta_wr => porta_wr, porta_waddr => porta_waddr, porta_din => porta_din, searchFinished => searchFinished, searchresult => searchresult, resultIndex => resultIndex, result_operationID => result_operationID, internalResultFinished_dbg => internalResultFinished_dbg, resultIndexs_dbg => resultIndexs_dbg, dataFound_dbg => dataFound_dbg ); clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; test : process variable readWriteCounter : integer := 0; variable dataToWrite : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0) := ( others => '0'); variable addrToWrite : std_logic_vector((ADDR_WIDTH_A_USAR-1) downto 0) := ( others => '0'); begin -- Primero grabar los datos en la memoria search <= '0'; dataToCompare <= (others => '0'); operationID <= (others => '0'); dataToWrite := x"10000000"; porta_wr <= '0'; porta_waddr <= (others => '0'); porta_din <= (others => '0'); wait for 10*clk_period; porta_wr <= '0'; porta_waddr <= addrToWrite; porta_din <= dataToWrite; wait for clk_period; while readWriteCounter < (2**ADDR_WIDTH_A_USAR) loop porta_wr <= '1'; porta_waddr <= addrToWrite; porta_din <= dataToWrite; wait for clk_period; porta_wr <= '0'; wait for clk_period; dataToWrite := dataToWrite+1; addrToWrite := addrToWrite+1; readWriteCounter := readWriteCounter+1; end loop; porta_wr <= '0'; wait for clk_period; search <= '0'; dataToWrite := x"10000005"; wait for clk_period; wait for clk_period; dataToCompare <= dataToWrite; search <= '0'; wait for clk_period; dataToWrite := x"10000006"; dataToCompare <= dataToWrite; wait for clk_period; search <= '0'; wait for clk_period; readWriteCounter := 0; dataToWrite := x"10000000"; addrToWrite := ( others => '0' ); while readWriteCounter < (2**ADDR_WIDTH_A_USAR) loop dataToCompare <= dataToWrite; search <= '1'; wait for clk_period; search <= '0'; wait for clk_period; dataToWrite := dataToWrite+1; addrToWrite := addrToWrite+1; readWriteCounter := readWriteCounter+1; end loop; search <= '0'; wait for clk_period; wait; end process; verification: process variable verifCounter : integer := 0; variable dataToWrite : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0) := x"10000000"; variable verifDataCounter : std_logic_vector((DATA_WIDTH_A_USAR-1) downto 0) := (others => '0'); begin errorDetected <= '0'; if( rising_edge(clk) ) then if( verifCounter >= (2**ADDR_WIDTH_A_USAR) ) then errorDetected <= '0'; else if (searchFinished = '1') then if( (verifDataCounter/=resultIndex) ) then errorDetected <= '1'; else errorDetected <= '0'; end if; verifCounter := verifCounter+1; dataToWrite := dataToWrite+1; verifDataCounter := verifDataCounter+1; else errorDetected <= '0'; end if; end if; end if; wait; end process verification; end Behavioral;
-- $Id: serport_uart_rxtx.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2007-2016 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: serport_uart_rxtx - syn -- Description: serial port UART - transmitter + receiver -- -- Dependencies: serport_uart_rx -- serport_uart_tx -- Test bench: tb/tb_serport_uart_rxtx -- Target Devices: generic -- Tool versions: ise 8.2-14.7; viv 2014.4-2016.2; ghdl 0.18-0.33 -- Revision History: -- Date Rev Version Comment -- 2007-06-24 60 1.0 Initial version ------------------------------------------------------------------------------ -- NOTE: for test bench usage a copy of all serport_* entities, with _tb -- !!!! appended to the name, has been created in the /tb sub folder. -- !!!! Ensure to update the copy when this file is changed !! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.serportlib.all; entity serport_uart_rxtx is -- serial port uart: rx+tx combo generic ( CDWIDTH : positive := 13); -- clk divider width port ( CLK : in slbit; -- clock RESET : in slbit; -- reset CLKDIV : in slv(CDWIDTH-1 downto 0); -- clock divider setting RXSD : in slbit; -- receive serial data (uart view) RXDATA : out slv8; -- receiver data out RXVAL : out slbit; -- receiver data valid RXERR : out slbit; -- receiver data error (frame error) RXACT : out slbit; -- receiver active TXSD : out slbit; -- transmit serial data (uart view) TXDATA : in slv8; -- transmit data in TXENA : in slbit; -- transmit data enable TXBUSY : out slbit -- transmit busy ); end serport_uart_rxtx; architecture syn of serport_uart_rxtx is begin RX : serport_uart_rx generic map ( CDWIDTH => CDWIDTH) port map ( CLK => CLK, RESET => RESET, CLKDIV => CLKDIV, RXSD => RXSD, RXDATA => RXDATA, RXVAL => RXVAL, RXERR => RXERR, RXACT => RXACT ); TX : serport_uart_tx generic map ( CDWIDTH => CDWIDTH) port map ( CLK => CLK, RESET => RESET, CLKDIV => CLKDIV, TXSD => TXSD, TXDATA => TXDATA, TXENA => TXENA, TXBUSY => TXBUSY ); end syn;
entity tb_asgn09 is end tb_asgn09; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_asgn09 is signal a, b, c, d : std_logic_vector (1 downto 0); signal sel : std_logic_vector(1 downto 0); signal o : std_logic_vector (3 downto 0); begin dut: entity work.asgn09 port map (a, b, c, d, sel, o); process begin a <= "10"; b <= "01"; c <= "00"; d <= "11"; sel <= "00"; wait for 1 ns; assert o = "1110" severity failure; sel <= "01"; wait for 1 ns; assert o = "1101" severity failure; sel <= "10"; wait for 1 ns; assert o = "1100" severity failure; sel <= "11"; wait for 1 ns; assert o = "1111" severity failure; wait; end process; end behav;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Memoria is port( clk, lectura_escritura, habilitador: in STD_LOGIC; direccion: in STD_LOGIC_VECTOR(3 downto 0); dato_entrada: in STD_LOGIC_VECTOR(2 downto 0); dato_salida: out STD_LOGIC_VECTOR(2 downto 0)); end Memoria; architecture Behavioral of Memoria is constant bits_direccion : integer := 4; constant bits_dato : integer := 3; type Block_ram is array(2**bits_direccion-1 downto 0) of STD_LOGIC_VECTOR(bits_dato-1 downto 0); signal RAM: Block_ram; begin process(clk) begin if clk'event and clk = '1' then if habilitador = '1' then if lectura_escritura = '1' then RAM(conv_integer(direccion)) <= dato_entrada; else dato_salida <= RAM(conv_integer(direccion)); end if; end if; end if; end process; end Behavioral;
-- NEED RESULT: ARCH00382.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P2: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P3: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P4: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P5: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P6: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P7: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P8: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P9: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P10: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P11: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P12: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P13: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P14: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P15: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P16: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382.P17: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00382: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P17: Inertial transactions completed entirely passed -- NEED RESULT: P16: Inertial transactions completed entirely passed -- NEED RESULT: P15: Inertial transactions completed entirely passed -- NEED RESULT: P14: Inertial transactions completed entirely passed -- NEED RESULT: P13: Inertial transactions completed entirely passed -- NEED RESULT: P12: Inertial transactions completed entirely passed -- NEED RESULT: P11: Inertial transactions completed entirely passed -- NEED RESULT: P10: Inertial transactions completed entirely passed -- NEED RESULT: P9: Inertial transactions completed entirely passed -- NEED RESULT: P8: Inertial transactions completed entirely passed -- NEED RESULT: P7: Inertial transactions completed entirely passed -- NEED RESULT: P6: Inertial transactions completed entirely passed -- NEED RESULT: P5: Inertial transactions completed entirely passed -- NEED RESULT: P4: Inertial transactions completed entirely passed -- NEED RESULT: P3: Inertial transactions completed entirely passed -- NEED RESULT: P2: Inertial transactions completed entirely passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00382 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00382(ARCH00382) -- ENT00382_Test_Bench(ARCH00382_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00382 is end ENT00382 ; -- -- architecture ARCH00382 of ENT00382 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_boolean : chk_sig_type := -1 ; signal chk_bit : chk_sig_type := -1 ; signal chk_severity_level : chk_sig_type := -1 ; signal chk_character : chk_sig_type := -1 ; signal chk_st_enum1 : chk_sig_type := -1 ; signal chk_integer : chk_sig_type := -1 ; signal chk_st_int1 : chk_sig_type := -1 ; signal chk_time : chk_sig_type := -1 ; signal chk_st_phys1 : chk_sig_type := -1 ; signal chk_real : chk_sig_type := -1 ; signal chk_st_real1 : chk_sig_type := -1 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; signal chk_st_arr1 : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; signal chk_st_arr3 : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_boolean_savt : chk_time_type := 0 ns ; signal s_bit_savt : chk_time_type := 0 ns ; signal s_severity_level_savt : chk_time_type := 0 ns ; signal s_character_savt : chk_time_type := 0 ns ; signal s_st_enum1_savt : chk_time_type := 0 ns ; signal s_integer_savt : chk_time_type := 0 ns ; signal s_st_int1_savt : chk_time_type := 0 ns ; signal s_time_savt : chk_time_type := 0 ns ; signal s_st_phys1_savt : chk_time_type := 0 ns ; signal s_real_savt : chk_time_type := 0 ns ; signal s_st_real1_savt : chk_time_type := 0 ns ; signal s_st_rec1_savt : chk_time_type := 0 ns ; signal s_st_rec2_savt : chk_time_type := 0 ns ; signal s_st_rec3_savt : chk_time_type := 0 ns ; signal s_st_arr1_savt : chk_time_type := 0 ns ; signal s_st_arr2_savt : chk_time_type := 0 ns ; signal s_st_arr3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_boolean_cnt : chk_cnt_type := 0 ; signal s_bit_cnt : chk_cnt_type := 0 ; signal s_severity_level_cnt : chk_cnt_type := 0 ; signal s_character_cnt : chk_cnt_type := 0 ; signal s_st_enum1_cnt : chk_cnt_type := 0 ; signal s_integer_cnt : chk_cnt_type := 0 ; signal s_st_int1_cnt : chk_cnt_type := 0 ; signal s_time_cnt : chk_cnt_type := 0 ; signal s_st_phys1_cnt : chk_cnt_type := 0 ; signal s_real_cnt : chk_cnt_type := 0 ; signal s_st_real1_cnt : chk_cnt_type := 0 ; signal s_st_rec1_cnt : chk_cnt_type := 0 ; signal s_st_rec2_cnt : chk_cnt_type := 0 ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; signal s_st_arr1_cnt : chk_cnt_type := 0 ; signal s_st_arr2_cnt : chk_cnt_type := 0 ; signal s_st_arr3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal boolean_select : select_type := 1 ; signal bit_select : select_type := 1 ; signal severity_level_select : select_type := 1 ; signal character_select : select_type := 1 ; signal st_enum1_select : select_type := 1 ; signal integer_select : select_type := 1 ; signal st_int1_select : select_type := 1 ; signal time_select : select_type := 1 ; signal st_phys1_select : select_type := 1 ; signal real_select : select_type := 1 ; signal st_real1_select : select_type := 1 ; signal st_rec1_select : select_type := 1 ; signal st_rec2_select : select_type := 1 ; signal st_rec3_select : select_type := 1 ; signal st_arr1_select : select_type := 1 ; signal st_arr2_select : select_type := 1 ; signal st_arr3_select : select_type := 1 ; -- signal s_boolean : boolean := c_boolean_1 ; signal s_bit : bit := c_bit_1 ; signal s_severity_level : severity_level := c_severity_level_1 ; signal s_character : character := c_character_1 ; signal s_st_enum1 : st_enum1 := c_st_enum1_1 ; signal s_integer : integer := c_integer_1 ; signal s_st_int1 : st_int1 := c_st_int1_1 ; signal s_time : time := c_time_1 ; signal s_st_phys1 : st_phys1 := c_st_phys1_1 ; signal s_real : real := c_real_1 ; signal s_st_real1 : st_real1 := c_st_real1_1 ; signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; signal s_st_arr1 : st_arr1 := c_st_arr1_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; signal s_st_arr3 : st_arr3 := c_st_arr3_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_boolean_cnt is when 0 => null ; -- s_boolean <= -- c_boolean_2 after 10 ns, -- c_boolean_1 after 20 ns ; -- when 1 => correct := s_boolean = c_boolean_2 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- boolean_select <= transport 2 ; -- s_boolean <= -- c_boolean_2 after 10 ns , -- c_boolean_1 after 20 ns , -- c_boolean_2 after 30 ns , -- c_boolean_1 after 40 ns ; -- when 3 => correct := s_boolean = c_boolean_2 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; boolean_select <= transport 3 ; -- s_boolean <= -- c_boolean_1 after 5 ns ; -- when 4 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; boolean_select <= transport 4 ; -- s_boolean <= -- c_boolean_1 after 100 ns ; -- when 5 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; boolean_select <= transport 5 ; -- s_boolean <= -- c_boolean_2 after 10 ns , -- c_boolean_1 after 20 ns , -- c_boolean_2 after 30 ns , -- c_boolean_1 after 40 ns ; -- when 6 => correct := correct and s_boolean = c_boolean_2 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; boolean_select <= transport 6 ; -- Last transaction above is marked -- s_boolean <= -- c_boolean_1 after 40 ns ; -- when 7 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_boolean_savt <= transport Std.Standard.Now ; chk_boolean <= transport s_boolean_cnt after (1 us - Std.Standard.Now) ; s_boolean_cnt <= transport s_boolean_cnt + 1 ; wait until (not s_boolean'Quiet) and (s_boolean_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_boolean ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_boolean = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_boolean <= c_boolean_2 after 10 ns, c_boolean_1 after 20 ns when boolean_select = 1 else -- c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns when boolean_select = 2 else -- c_boolean_1 after 5 ns when boolean_select = 3 else -- c_boolean_1 after 100 ns when boolean_select = 4 else -- c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns when boolean_select = 5 else -- -- Last transaction above is marked c_boolean_1 after 40 ns ; -- CHG2 : process variable correct : boolean ; begin case s_bit_cnt is when 0 => null ; -- s_bit <= -- c_bit_2 after 10 ns, -- c_bit_1 after 20 ns ; -- when 1 => correct := s_bit = c_bit_2 and (s_bit_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P2" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- bit_select <= transport 2 ; -- s_bit <= -- c_bit_2 after 10 ns , -- c_bit_1 after 20 ns , -- c_bit_2 after 30 ns , -- c_bit_1 after 40 ns ; -- when 3 => correct := s_bit = c_bit_2 and (s_bit_savt + 10 ns) = Std.Standard.Now ; bit_select <= transport 3 ; -- s_bit <= -- c_bit_1 after 5 ns ; -- when 4 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; bit_select <= transport 4 ; -- s_bit <= -- c_bit_1 after 100 ns ; -- when 5 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; bit_select <= transport 5 ; -- s_bit <= -- c_bit_2 after 10 ns , -- c_bit_1 after 20 ns , -- c_bit_2 after 30 ns , -- c_bit_1 after 40 ns ; -- when 6 => correct := correct and s_bit = c_bit_2 and (s_bit_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; bit_select <= transport 6 ; -- Last transaction above is marked -- s_bit <= -- c_bit_1 after 40 ns ; -- when 7 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_bit_savt <= transport Std.Standard.Now ; chk_bit <= transport s_bit_cnt after (1 us - Std.Standard.Now) ; s_bit_cnt <= transport s_bit_cnt + 1 ; wait until (not s_bit'Quiet) and (s_bit_savt /= Std.Standard.Now) ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_bit ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions completed entirely", chk_bit = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- s_bit <= c_bit_2 after 10 ns, c_bit_1 after 20 ns when bit_select = 1 else -- c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns when bit_select = 2 else -- c_bit_1 after 5 ns when bit_select = 3 else -- c_bit_1 after 100 ns when bit_select = 4 else -- c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns when bit_select = 5 else -- -- Last transaction above is marked c_bit_1 after 40 ns ; -- CHG3 : process variable correct : boolean ; begin case s_severity_level_cnt is when 0 => null ; -- s_severity_level <= -- c_severity_level_2 after 10 ns, -- c_severity_level_1 after 20 ns ; -- when 1 => correct := s_severity_level = c_severity_level_2 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P3" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- severity_level_select <= transport 2 ; -- s_severity_level <= -- c_severity_level_2 after 10 ns , -- c_severity_level_1 after 20 ns , -- c_severity_level_2 after 30 ns , -- c_severity_level_1 after 40 ns ; -- when 3 => correct := s_severity_level = c_severity_level_2 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; severity_level_select <= transport 3 ; -- s_severity_level <= -- c_severity_level_1 after 5 ns ; -- when 4 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; severity_level_select <= transport 4 ; -- s_severity_level <= -- c_severity_level_1 after 100 ns ; -- when 5 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; severity_level_select <= transport 5 ; -- s_severity_level <= -- c_severity_level_2 after 10 ns , -- c_severity_level_1 after 20 ns , -- c_severity_level_2 after 30 ns , -- c_severity_level_1 after 40 ns ; -- when 6 => correct := correct and s_severity_level = c_severity_level_2 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; severity_level_select <= transport 6 ; -- Last transaction above is marked -- s_severity_level <= -- c_severity_level_1 after 40 ns ; -- when 7 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_severity_level_savt <= transport Std.Standard.Now ; chk_severity_level <= transport s_severity_level_cnt after (1 us - Std.Standard.Now) ; s_severity_level_cnt <= transport s_severity_level_cnt + 1 ; wait until (not s_severity_level'Quiet) and (s_severity_level_savt /= Std.Standard.Now) ; -- end process CHG3 ; -- PGEN_CHKP_3 : process ( chk_severity_level ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions completed entirely", chk_severity_level = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- s_severity_level <= c_severity_level_2 after 10 ns, c_severity_level_1 after 20 ns when severity_level_select = 1 else -- c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns when severity_level_select = 2 else -- c_severity_level_1 after 5 ns when severity_level_select = 3 else -- c_severity_level_1 after 100 ns when severity_level_select = 4 else -- c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns when severity_level_select = 5 else -- -- Last transaction above is marked c_severity_level_1 after 40 ns ; -- CHG4 : process variable correct : boolean ; begin case s_character_cnt is when 0 => null ; -- s_character <= -- c_character_2 after 10 ns, -- c_character_1 after 20 ns ; -- when 1 => correct := s_character = c_character_2 and (s_character_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_character = c_character_1 and (s_character_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P4" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- character_select <= transport 2 ; -- s_character <= -- c_character_2 after 10 ns , -- c_character_1 after 20 ns , -- c_character_2 after 30 ns , -- c_character_1 after 40 ns ; -- when 3 => correct := s_character = c_character_2 and (s_character_savt + 10 ns) = Std.Standard.Now ; character_select <= transport 3 ; -- s_character <= -- c_character_1 after 5 ns ; -- when 4 => correct := correct and s_character = c_character_1 and (s_character_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; character_select <= transport 4 ; -- s_character <= -- c_character_1 after 100 ns ; -- when 5 => correct := correct and s_character = c_character_1 and (s_character_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; character_select <= transport 5 ; -- s_character <= -- c_character_2 after 10 ns , -- c_character_1 after 20 ns , -- c_character_2 after 30 ns , -- c_character_1 after 40 ns ; -- when 6 => correct := correct and s_character = c_character_2 and (s_character_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; character_select <= transport 6 ; -- Last transaction above is marked -- s_character <= -- c_character_1 after 40 ns ; -- when 7 => correct := correct and s_character = c_character_1 and (s_character_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_character = c_character_1 and (s_character_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_character_savt <= transport Std.Standard.Now ; chk_character <= transport s_character_cnt after (1 us - Std.Standard.Now) ; s_character_cnt <= transport s_character_cnt + 1 ; wait until (not s_character'Quiet) and (s_character_savt /= Std.Standard.Now) ; -- end process CHG4 ; -- PGEN_CHKP_4 : process ( chk_character ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Inertial transactions completed entirely", chk_character = 8 ) ; end if ; end process PGEN_CHKP_4 ; -- -- s_character <= c_character_2 after 10 ns, c_character_1 after 20 ns when character_select = 1 else -- c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns when character_select = 2 else -- c_character_1 after 5 ns when character_select = 3 else -- c_character_1 after 100 ns when character_select = 4 else -- c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns when character_select = 5 else -- -- Last transaction above is marked c_character_1 after 40 ns ; -- CHG5 : process variable correct : boolean ; begin case s_st_enum1_cnt is when 0 => null ; -- s_st_enum1 <= -- c_st_enum1_2 after 10 ns, -- c_st_enum1_1 after 20 ns ; -- when 1 => correct := s_st_enum1 = c_st_enum1_2 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P5" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_enum1_select <= transport 2 ; -- s_st_enum1 <= -- c_st_enum1_2 after 10 ns , -- c_st_enum1_1 after 20 ns , -- c_st_enum1_2 after 30 ns , -- c_st_enum1_1 after 40 ns ; -- when 3 => correct := s_st_enum1 = c_st_enum1_2 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; st_enum1_select <= transport 3 ; -- s_st_enum1 <= -- c_st_enum1_1 after 5 ns ; -- when 4 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_enum1_select <= transport 4 ; -- s_st_enum1 <= -- c_st_enum1_1 after 100 ns ; -- when 5 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_enum1_select <= transport 5 ; -- s_st_enum1 <= -- c_st_enum1_2 after 10 ns , -- c_st_enum1_1 after 20 ns , -- c_st_enum1_2 after 30 ns , -- c_st_enum1_1 after 40 ns ; -- when 6 => correct := correct and s_st_enum1 = c_st_enum1_2 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_enum1_select <= transport 6 ; -- Last transaction above is marked -- s_st_enum1 <= -- c_st_enum1_1 after 40 ns ; -- when 7 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_enum1_savt <= transport Std.Standard.Now ; chk_st_enum1 <= transport s_st_enum1_cnt after (1 us - Std.Standard.Now) ; s_st_enum1_cnt <= transport s_st_enum1_cnt + 1 ; wait until (not s_st_enum1'Quiet) and (s_st_enum1_savt /= Std.Standard.Now) ; -- end process CHG5 ; -- PGEN_CHKP_5 : process ( chk_st_enum1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Inertial transactions completed entirely", chk_st_enum1 = 8 ) ; end if ; end process PGEN_CHKP_5 ; -- -- s_st_enum1 <= c_st_enum1_2 after 10 ns, c_st_enum1_1 after 20 ns when st_enum1_select = 1 else -- c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns when st_enum1_select = 2 else -- c_st_enum1_1 after 5 ns when st_enum1_select = 3 else -- c_st_enum1_1 after 100 ns when st_enum1_select = 4 else -- c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns when st_enum1_select = 5 else -- -- Last transaction above is marked c_st_enum1_1 after 40 ns ; -- CHG6 : process variable correct : boolean ; begin case s_integer_cnt is when 0 => null ; -- s_integer <= -- c_integer_2 after 10 ns, -- c_integer_1 after 20 ns ; -- when 1 => correct := s_integer = c_integer_2 and (s_integer_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P6" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- integer_select <= transport 2 ; -- s_integer <= -- c_integer_2 after 10 ns , -- c_integer_1 after 20 ns , -- c_integer_2 after 30 ns , -- c_integer_1 after 40 ns ; -- when 3 => correct := s_integer = c_integer_2 and (s_integer_savt + 10 ns) = Std.Standard.Now ; integer_select <= transport 3 ; -- s_integer <= -- c_integer_1 after 5 ns ; -- when 4 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; integer_select <= transport 4 ; -- s_integer <= -- c_integer_1 after 100 ns ; -- when 5 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; integer_select <= transport 5 ; -- s_integer <= -- c_integer_2 after 10 ns , -- c_integer_1 after 20 ns , -- c_integer_2 after 30 ns , -- c_integer_1 after 40 ns ; -- when 6 => correct := correct and s_integer = c_integer_2 and (s_integer_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; integer_select <= transport 6 ; -- Last transaction above is marked -- s_integer <= -- c_integer_1 after 40 ns ; -- when 7 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_integer_savt <= transport Std.Standard.Now ; chk_integer <= transport s_integer_cnt after (1 us - Std.Standard.Now) ; s_integer_cnt <= transport s_integer_cnt + 1 ; wait until (not s_integer'Quiet) and (s_integer_savt /= Std.Standard.Now) ; -- end process CHG6 ; -- PGEN_CHKP_6 : process ( chk_integer ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Inertial transactions completed entirely", chk_integer = 8 ) ; end if ; end process PGEN_CHKP_6 ; -- -- s_integer <= c_integer_2 after 10 ns, c_integer_1 after 20 ns when integer_select = 1 else -- c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns when integer_select = 2 else -- c_integer_1 after 5 ns when integer_select = 3 else -- c_integer_1 after 100 ns when integer_select = 4 else -- c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns when integer_select = 5 else -- -- Last transaction above is marked c_integer_1 after 40 ns ; -- CHG7 : process variable correct : boolean ; begin case s_st_int1_cnt is when 0 => null ; -- s_st_int1 <= -- c_st_int1_2 after 10 ns, -- c_st_int1_1 after 20 ns ; -- when 1 => correct := s_st_int1 = c_st_int1_2 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P7" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_int1_select <= transport 2 ; -- s_st_int1 <= -- c_st_int1_2 after 10 ns , -- c_st_int1_1 after 20 ns , -- c_st_int1_2 after 30 ns , -- c_st_int1_1 after 40 ns ; -- when 3 => correct := s_st_int1 = c_st_int1_2 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; st_int1_select <= transport 3 ; -- s_st_int1 <= -- c_st_int1_1 after 5 ns ; -- when 4 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_int1_select <= transport 4 ; -- s_st_int1 <= -- c_st_int1_1 after 100 ns ; -- when 5 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_int1_select <= transport 5 ; -- s_st_int1 <= -- c_st_int1_2 after 10 ns , -- c_st_int1_1 after 20 ns , -- c_st_int1_2 after 30 ns , -- c_st_int1_1 after 40 ns ; -- when 6 => correct := correct and s_st_int1 = c_st_int1_2 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_int1_select <= transport 6 ; -- Last transaction above is marked -- s_st_int1 <= -- c_st_int1_1 after 40 ns ; -- when 7 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_int1_savt <= transport Std.Standard.Now ; chk_st_int1 <= transport s_st_int1_cnt after (1 us - Std.Standard.Now) ; s_st_int1_cnt <= transport s_st_int1_cnt + 1 ; wait until (not s_st_int1'Quiet) and (s_st_int1_savt /= Std.Standard.Now) ; -- end process CHG7 ; -- PGEN_CHKP_7 : process ( chk_st_int1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Inertial transactions completed entirely", chk_st_int1 = 8 ) ; end if ; end process PGEN_CHKP_7 ; -- -- s_st_int1 <= c_st_int1_2 after 10 ns, c_st_int1_1 after 20 ns when st_int1_select = 1 else -- c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns when st_int1_select = 2 else -- c_st_int1_1 after 5 ns when st_int1_select = 3 else -- c_st_int1_1 after 100 ns when st_int1_select = 4 else -- c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns when st_int1_select = 5 else -- -- Last transaction above is marked c_st_int1_1 after 40 ns ; -- CHG8 : process variable correct : boolean ; begin case s_time_cnt is when 0 => null ; -- s_time <= -- c_time_2 after 10 ns, -- c_time_1 after 20 ns ; -- when 1 => correct := s_time = c_time_2 and (s_time_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_time = c_time_1 and (s_time_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P8" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- time_select <= transport 2 ; -- s_time <= -- c_time_2 after 10 ns , -- c_time_1 after 20 ns , -- c_time_2 after 30 ns , -- c_time_1 after 40 ns ; -- when 3 => correct := s_time = c_time_2 and (s_time_savt + 10 ns) = Std.Standard.Now ; time_select <= transport 3 ; -- s_time <= -- c_time_1 after 5 ns ; -- when 4 => correct := correct and s_time = c_time_1 and (s_time_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; time_select <= transport 4 ; -- s_time <= -- c_time_1 after 100 ns ; -- when 5 => correct := correct and s_time = c_time_1 and (s_time_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; time_select <= transport 5 ; -- s_time <= -- c_time_2 after 10 ns , -- c_time_1 after 20 ns , -- c_time_2 after 30 ns , -- c_time_1 after 40 ns ; -- when 6 => correct := correct and s_time = c_time_2 and (s_time_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; time_select <= transport 6 ; -- Last transaction above is marked -- s_time <= -- c_time_1 after 40 ns ; -- when 7 => correct := correct and s_time = c_time_1 and (s_time_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_time = c_time_1 and (s_time_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_time_savt <= transport Std.Standard.Now ; chk_time <= transport s_time_cnt after (1 us - Std.Standard.Now) ; s_time_cnt <= transport s_time_cnt + 1 ; wait until (not s_time'Quiet) and (s_time_savt /= Std.Standard.Now) ; -- end process CHG8 ; -- PGEN_CHKP_8 : process ( chk_time ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Inertial transactions completed entirely", chk_time = 8 ) ; end if ; end process PGEN_CHKP_8 ; -- -- s_time <= c_time_2 after 10 ns, c_time_1 after 20 ns when time_select = 1 else -- c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns when time_select = 2 else -- c_time_1 after 5 ns when time_select = 3 else -- c_time_1 after 100 ns when time_select = 4 else -- c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns when time_select = 5 else -- -- Last transaction above is marked c_time_1 after 40 ns ; -- CHG9 : process variable correct : boolean ; begin case s_st_phys1_cnt is when 0 => null ; -- s_st_phys1 <= -- c_st_phys1_2 after 10 ns, -- c_st_phys1_1 after 20 ns ; -- when 1 => correct := s_st_phys1 = c_st_phys1_2 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P9" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_phys1_select <= transport 2 ; -- s_st_phys1 <= -- c_st_phys1_2 after 10 ns , -- c_st_phys1_1 after 20 ns , -- c_st_phys1_2 after 30 ns , -- c_st_phys1_1 after 40 ns ; -- when 3 => correct := s_st_phys1 = c_st_phys1_2 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; st_phys1_select <= transport 3 ; -- s_st_phys1 <= -- c_st_phys1_1 after 5 ns ; -- when 4 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_phys1_select <= transport 4 ; -- s_st_phys1 <= -- c_st_phys1_1 after 100 ns ; -- when 5 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_phys1_select <= transport 5 ; -- s_st_phys1 <= -- c_st_phys1_2 after 10 ns , -- c_st_phys1_1 after 20 ns , -- c_st_phys1_2 after 30 ns , -- c_st_phys1_1 after 40 ns ; -- when 6 => correct := correct and s_st_phys1 = c_st_phys1_2 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_phys1_select <= transport 6 ; -- Last transaction above is marked -- s_st_phys1 <= -- c_st_phys1_1 after 40 ns ; -- when 7 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_phys1_savt <= transport Std.Standard.Now ; chk_st_phys1 <= transport s_st_phys1_cnt after (1 us - Std.Standard.Now) ; s_st_phys1_cnt <= transport s_st_phys1_cnt + 1 ; wait until (not s_st_phys1'Quiet) and (s_st_phys1_savt /= Std.Standard.Now) ; -- end process CHG9 ; -- PGEN_CHKP_9 : process ( chk_st_phys1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Inertial transactions completed entirely", chk_st_phys1 = 8 ) ; end if ; end process PGEN_CHKP_9 ; -- -- s_st_phys1 <= c_st_phys1_2 after 10 ns, c_st_phys1_1 after 20 ns when st_phys1_select = 1 else -- c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns when st_phys1_select = 2 else -- c_st_phys1_1 after 5 ns when st_phys1_select = 3 else -- c_st_phys1_1 after 100 ns when st_phys1_select = 4 else -- c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns when st_phys1_select = 5 else -- -- Last transaction above is marked c_st_phys1_1 after 40 ns ; -- CHG10 : process variable correct : boolean ; begin case s_real_cnt is when 0 => null ; -- s_real <= -- c_real_2 after 10 ns, -- c_real_1 after 20 ns ; -- when 1 => correct := s_real = c_real_2 and (s_real_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_real = c_real_1 and (s_real_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P10" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- real_select <= transport 2 ; -- s_real <= -- c_real_2 after 10 ns , -- c_real_1 after 20 ns , -- c_real_2 after 30 ns , -- c_real_1 after 40 ns ; -- when 3 => correct := s_real = c_real_2 and (s_real_savt + 10 ns) = Std.Standard.Now ; real_select <= transport 3 ; -- s_real <= -- c_real_1 after 5 ns ; -- when 4 => correct := correct and s_real = c_real_1 and (s_real_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; real_select <= transport 4 ; -- s_real <= -- c_real_1 after 100 ns ; -- when 5 => correct := correct and s_real = c_real_1 and (s_real_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; real_select <= transport 5 ; -- s_real <= -- c_real_2 after 10 ns , -- c_real_1 after 20 ns , -- c_real_2 after 30 ns , -- c_real_1 after 40 ns ; -- when 6 => correct := correct and s_real = c_real_2 and (s_real_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; real_select <= transport 6 ; -- Last transaction above is marked -- s_real <= -- c_real_1 after 40 ns ; -- when 7 => correct := correct and s_real = c_real_1 and (s_real_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_real = c_real_1 and (s_real_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_real_savt <= transport Std.Standard.Now ; chk_real <= transport s_real_cnt after (1 us - Std.Standard.Now) ; s_real_cnt <= transport s_real_cnt + 1 ; wait until (not s_real'Quiet) and (s_real_savt /= Std.Standard.Now) ; -- end process CHG10 ; -- PGEN_CHKP_10 : process ( chk_real ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Inertial transactions completed entirely", chk_real = 8 ) ; end if ; end process PGEN_CHKP_10 ; -- -- s_real <= c_real_2 after 10 ns, c_real_1 after 20 ns when real_select = 1 else -- c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns when real_select = 2 else -- c_real_1 after 5 ns when real_select = 3 else -- c_real_1 after 100 ns when real_select = 4 else -- c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns when real_select = 5 else -- -- Last transaction above is marked c_real_1 after 40 ns ; -- CHG11 : process variable correct : boolean ; begin case s_st_real1_cnt is when 0 => null ; -- s_st_real1 <= -- c_st_real1_2 after 10 ns, -- c_st_real1_1 after 20 ns ; -- when 1 => correct := s_st_real1 = c_st_real1_2 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P11" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_real1_select <= transport 2 ; -- s_st_real1 <= -- c_st_real1_2 after 10 ns , -- c_st_real1_1 after 20 ns , -- c_st_real1_2 after 30 ns , -- c_st_real1_1 after 40 ns ; -- when 3 => correct := s_st_real1 = c_st_real1_2 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; st_real1_select <= transport 3 ; -- s_st_real1 <= -- c_st_real1_1 after 5 ns ; -- when 4 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_real1_select <= transport 4 ; -- s_st_real1 <= -- c_st_real1_1 after 100 ns ; -- when 5 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_real1_select <= transport 5 ; -- s_st_real1 <= -- c_st_real1_2 after 10 ns , -- c_st_real1_1 after 20 ns , -- c_st_real1_2 after 30 ns , -- c_st_real1_1 after 40 ns ; -- when 6 => correct := correct and s_st_real1 = c_st_real1_2 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_real1_select <= transport 6 ; -- Last transaction above is marked -- s_st_real1 <= -- c_st_real1_1 after 40 ns ; -- when 7 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_real1_savt <= transport Std.Standard.Now ; chk_st_real1 <= transport s_st_real1_cnt after (1 us - Std.Standard.Now) ; s_st_real1_cnt <= transport s_st_real1_cnt + 1 ; wait until (not s_st_real1'Quiet) and (s_st_real1_savt /= Std.Standard.Now) ; -- end process CHG11 ; -- PGEN_CHKP_11 : process ( chk_st_real1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P11" , "Inertial transactions completed entirely", chk_st_real1 = 8 ) ; end if ; end process PGEN_CHKP_11 ; -- -- s_st_real1 <= c_st_real1_2 after 10 ns, c_st_real1_1 after 20 ns when st_real1_select = 1 else -- c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns when st_real1_select = 2 else -- c_st_real1_1 after 5 ns when st_real1_select = 3 else -- c_st_real1_1 after 100 ns when st_real1_select = 4 else -- c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns when st_real1_select = 5 else -- -- Last transaction above is marked c_st_real1_1 after 40 ns ; -- CHG12 : process variable correct : boolean ; begin case s_st_rec1_cnt is when 0 => null ; -- s_st_rec1 <= -- c_st_rec1_2 after 10 ns, -- c_st_rec1_1 after 20 ns ; -- when 1 => correct := s_st_rec1 = c_st_rec1_2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P12" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec1_select <= transport 2 ; -- s_st_rec1 <= -- c_st_rec1_2 after 10 ns , -- c_st_rec1_1 after 20 ns , -- c_st_rec1_2 after 30 ns , -- c_st_rec1_1 after 40 ns ; -- when 3 => correct := s_st_rec1 = c_st_rec1_2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; st_rec1_select <= transport 3 ; -- s_st_rec1 <= -- c_st_rec1_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec1_select <= transport 4 ; -- s_st_rec1 <= -- c_st_rec1_1 after 100 ns ; -- when 5 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec1_select <= transport 5 ; -- s_st_rec1 <= -- c_st_rec1_2 after 10 ns , -- c_st_rec1_1 after 20 ns , -- c_st_rec1_2 after 30 ns , -- c_st_rec1_1 after 40 ns ; -- when 6 => correct := correct and s_st_rec1 = c_st_rec1_2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec1_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec1 <= -- c_st_rec1_1 after 40 ns ; -- when 7 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec1_savt <= transport Std.Standard.Now ; chk_st_rec1 <= transport s_st_rec1_cnt after (1 us - Std.Standard.Now) ; s_st_rec1_cnt <= transport s_st_rec1_cnt + 1 ; wait until (not s_st_rec1'Quiet) and (s_st_rec1_savt /= Std.Standard.Now) ; -- end process CHG12 ; -- PGEN_CHKP_12 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P12" , "Inertial transactions completed entirely", chk_st_rec1 = 8 ) ; end if ; end process PGEN_CHKP_12 ; -- -- s_st_rec1 <= c_st_rec1_2 after 10 ns, c_st_rec1_1 after 20 ns when st_rec1_select = 1 else -- c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns when st_rec1_select = 2 else -- c_st_rec1_1 after 5 ns when st_rec1_select = 3 else -- c_st_rec1_1 after 100 ns when st_rec1_select = 4 else -- c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns when st_rec1_select = 5 else -- -- Last transaction above is marked c_st_rec1_1 after 40 ns ; -- CHG13 : process variable correct : boolean ; begin case s_st_rec2_cnt is when 0 => null ; -- s_st_rec2 <= -- c_st_rec2_2 after 10 ns, -- c_st_rec2_1 after 20 ns ; -- when 1 => correct := s_st_rec2 = c_st_rec2_2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P13" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec2_select <= transport 2 ; -- s_st_rec2 <= -- c_st_rec2_2 after 10 ns , -- c_st_rec2_1 after 20 ns , -- c_st_rec2_2 after 30 ns , -- c_st_rec2_1 after 40 ns ; -- when 3 => correct := s_st_rec2 = c_st_rec2_2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; st_rec2_select <= transport 3 ; -- s_st_rec2 <= -- c_st_rec2_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec2_select <= transport 4 ; -- s_st_rec2 <= -- c_st_rec2_1 after 100 ns ; -- when 5 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec2_select <= transport 5 ; -- s_st_rec2 <= -- c_st_rec2_2 after 10 ns , -- c_st_rec2_1 after 20 ns , -- c_st_rec2_2 after 30 ns , -- c_st_rec2_1 after 40 ns ; -- when 6 => correct := correct and s_st_rec2 = c_st_rec2_2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec2_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec2 <= -- c_st_rec2_1 after 40 ns ; -- when 7 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec2_savt <= transport Std.Standard.Now ; chk_st_rec2 <= transport s_st_rec2_cnt after (1 us - Std.Standard.Now) ; s_st_rec2_cnt <= transport s_st_rec2_cnt + 1 ; wait until (not s_st_rec2'Quiet) and (s_st_rec2_savt /= Std.Standard.Now) ; -- end process CHG13 ; -- PGEN_CHKP_13 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P13" , "Inertial transactions completed entirely", chk_st_rec2 = 8 ) ; end if ; end process PGEN_CHKP_13 ; -- -- s_st_rec2 <= c_st_rec2_2 after 10 ns, c_st_rec2_1 after 20 ns when st_rec2_select = 1 else -- c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns when st_rec2_select = 2 else -- c_st_rec2_1 after 5 ns when st_rec2_select = 3 else -- c_st_rec2_1 after 100 ns when st_rec2_select = 4 else -- c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns when st_rec2_select = 5 else -- -- Last transaction above is marked c_st_rec2_1 after 40 ns ; -- CHG14 : process variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3 <= -- c_st_rec3_2 after 10 ns, -- c_st_rec3_1 after 20 ns ; -- when 1 => correct := s_st_rec3 = c_st_rec3_2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P14" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3 <= -- c_st_rec3_2 after 10 ns , -- c_st_rec3_1 after 20 ns , -- c_st_rec3_2 after 30 ns , -- c_st_rec3_1 after 40 ns ; -- when 3 => correct := s_st_rec3 = c_st_rec3_2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3 <= -- c_st_rec3_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 4 ; -- s_st_rec3 <= -- c_st_rec3_1 after 100 ns ; -- when 5 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 5 ; -- s_st_rec3 <= -- c_st_rec3_2 after 10 ns , -- c_st_rec3_1 after 20 ns , -- c_st_rec3_2 after 30 ns , -- c_st_rec3_1 after 40 ns ; -- when 6 => correct := correct and s_st_rec3 = c_st_rec3_2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec3 <= -- c_st_rec3_1 after 40 ns ; -- when 7 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; wait until (not s_st_rec3'Quiet) and (s_st_rec3_savt /= Std.Standard.Now) ; -- end process CHG14 ; -- PGEN_CHKP_14 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P14" , "Inertial transactions completed entirely", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_14 ; -- -- s_st_rec3 <= c_st_rec3_2 after 10 ns, c_st_rec3_1 after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1 after 5 ns when st_rec3_select = 3 else -- c_st_rec3_1 after 100 ns when st_rec3_select = 4 else -- c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns when st_rec3_select = 5 else -- -- Last transaction above is marked c_st_rec3_1 after 40 ns ; -- CHG15 : process variable correct : boolean ; begin case s_st_arr1_cnt is when 0 => null ; -- s_st_arr1 <= -- c_st_arr1_2 after 10 ns, -- c_st_arr1_1 after 20 ns ; -- when 1 => correct := s_st_arr1 = c_st_arr1_2 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P15" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr1_select <= transport 2 ; -- s_st_arr1 <= -- c_st_arr1_2 after 10 ns , -- c_st_arr1_1 after 20 ns , -- c_st_arr1_2 after 30 ns , -- c_st_arr1_1 after 40 ns ; -- when 3 => correct := s_st_arr1 = c_st_arr1_2 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; st_arr1_select <= transport 3 ; -- s_st_arr1 <= -- c_st_arr1_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr1_select <= transport 4 ; -- s_st_arr1 <= -- c_st_arr1_1 after 100 ns ; -- when 5 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr1_select <= transport 5 ; -- s_st_arr1 <= -- c_st_arr1_2 after 10 ns , -- c_st_arr1_1 after 20 ns , -- c_st_arr1_2 after 30 ns , -- c_st_arr1_1 after 40 ns ; -- when 6 => correct := correct and s_st_arr1 = c_st_arr1_2 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr1_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr1 <= -- c_st_arr1_1 after 40 ns ; -- when 7 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr1_savt <= transport Std.Standard.Now ; chk_st_arr1 <= transport s_st_arr1_cnt after (1 us - Std.Standard.Now) ; s_st_arr1_cnt <= transport s_st_arr1_cnt + 1 ; wait until (not s_st_arr1'Quiet) and (s_st_arr1_savt /= Std.Standard.Now) ; -- end process CHG15 ; -- PGEN_CHKP_15 : process ( chk_st_arr1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P15" , "Inertial transactions completed entirely", chk_st_arr1 = 8 ) ; end if ; end process PGEN_CHKP_15 ; -- -- s_st_arr1 <= c_st_arr1_2 after 10 ns, c_st_arr1_1 after 20 ns when st_arr1_select = 1 else -- c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns when st_arr1_select = 2 else -- c_st_arr1_1 after 5 ns when st_arr1_select = 3 else -- c_st_arr1_1 after 100 ns when st_arr1_select = 4 else -- c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns when st_arr1_select = 5 else -- -- Last transaction above is marked c_st_arr1_1 after 40 ns ; -- CHG16 : process variable correct : boolean ; begin case s_st_arr2_cnt is when 0 => null ; -- s_st_arr2 <= -- c_st_arr2_2 after 10 ns, -- c_st_arr2_1 after 20 ns ; -- when 1 => correct := s_st_arr2 = c_st_arr2_2 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P16" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_select <= transport 2 ; -- s_st_arr2 <= -- c_st_arr2_2 after 10 ns , -- c_st_arr2_1 after 20 ns , -- c_st_arr2_2 after 30 ns , -- c_st_arr2_1 after 40 ns ; -- when 3 => correct := s_st_arr2 = c_st_arr2_2 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; st_arr2_select <= transport 3 ; -- s_st_arr2 <= -- c_st_arr2_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 4 ; -- s_st_arr2 <= -- c_st_arr2_1 after 100 ns ; -- when 5 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 5 ; -- s_st_arr2 <= -- c_st_arr2_2 after 10 ns , -- c_st_arr2_1 after 20 ns , -- c_st_arr2_2 after 30 ns , -- c_st_arr2_1 after 40 ns ; -- when 6 => correct := correct and s_st_arr2 = c_st_arr2_2 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2 <= -- c_st_arr2_1 after 40 ns ; -- when 7 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_savt <= transport Std.Standard.Now ; chk_st_arr2 <= transport s_st_arr2_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_cnt <= transport s_st_arr2_cnt + 1 ; wait until (not s_st_arr2'Quiet) and (s_st_arr2_savt /= Std.Standard.Now) ; -- end process CHG16 ; -- PGEN_CHKP_16 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P16" , "Inertial transactions completed entirely", chk_st_arr2 = 8 ) ; end if ; end process PGEN_CHKP_16 ; -- -- s_st_arr2 <= c_st_arr2_2 after 10 ns, c_st_arr2_1 after 20 ns when st_arr2_select = 1 else -- c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns when st_arr2_select = 2 else -- c_st_arr2_1 after 5 ns when st_arr2_select = 3 else -- c_st_arr2_1 after 100 ns when st_arr2_select = 4 else -- c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns when st_arr2_select = 5 else -- -- Last transaction above is marked c_st_arr2_1 after 40 ns ; -- CHG17 : process variable correct : boolean ; begin case s_st_arr3_cnt is when 0 => null ; -- s_st_arr3 <= -- c_st_arr3_2 after 10 ns, -- c_st_arr3_1 after 20 ns ; -- when 1 => correct := s_st_arr3 = c_st_arr3_2 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382.P17" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr3_select <= transport 2 ; -- s_st_arr3 <= -- c_st_arr3_2 after 10 ns , -- c_st_arr3_1 after 20 ns , -- c_st_arr3_2 after 30 ns , -- c_st_arr3_1 after 40 ns ; -- when 3 => correct := s_st_arr3 = c_st_arr3_2 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; st_arr3_select <= transport 3 ; -- s_st_arr3 <= -- c_st_arr3_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_select <= transport 4 ; -- s_st_arr3 <= -- c_st_arr3_1 after 100 ns ; -- when 5 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr3_select <= transport 5 ; -- s_st_arr3 <= -- c_st_arr3_2 after 10 ns , -- c_st_arr3_1 after 20 ns , -- c_st_arr3_2 after 30 ns , -- c_st_arr3_1 after 40 ns ; -- when 6 => correct := correct and s_st_arr3 = c_st_arr3_2 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr3 <= -- c_st_arr3_1 after 40 ns ; -- when 7 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00382" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr3_savt <= transport Std.Standard.Now ; chk_st_arr3 <= transport s_st_arr3_cnt after (1 us - Std.Standard.Now) ; s_st_arr3_cnt <= transport s_st_arr3_cnt + 1 ; wait until (not s_st_arr3'Quiet) and (s_st_arr3_savt /= Std.Standard.Now) ; -- end process CHG17 ; -- PGEN_CHKP_17 : process ( chk_st_arr3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P17" , "Inertial transactions completed entirely", chk_st_arr3 = 8 ) ; end if ; end process PGEN_CHKP_17 ; -- -- s_st_arr3 <= c_st_arr3_2 after 10 ns, c_st_arr3_1 after 20 ns when st_arr3_select = 1 else -- c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns when st_arr3_select = 2 else -- c_st_arr3_1 after 5 ns when st_arr3_select = 3 else -- c_st_arr3_1 after 100 ns when st_arr3_select = 4 else -- c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns when st_arr3_select = 5 else -- -- Last transaction above is marked c_st_arr3_1 after 40 ns ; -- end ARCH00382 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00382_Test_Bench is end ENT00382_Test_Bench ; -- -- architecture ARCH00382_Test_Bench of ENT00382_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00382 ( ARCH00382 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00382_Test_Bench ;
entity t1 is end entity; architecture a of t1 is constant SimulationTime_c : time := 0 fs; begin end;
------------------------------------------------------------------------------- -- Title : Testbench for design "SinGen" ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library ieee_proposed; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; use work.Global.all; ------------------------------------------------------------------------------- entity SinGen_tb is end entity SinGen_tb; ------------------------------------------------------------------------------- architecture Bhv of SinGen_tb is -- component generics constant periode_g : time := 100 us; constant sample_time_g : time := 200 ns; -- component ports signal data_o : audio_data_t; signal data_valid_o : std_ulogic; -- clock signal Clk : std_logic := '1'; begin -- architecture Bhv -- component instantiation DUT : entity work.SinGen generic map ( periode_g => periode_g, sample_time_g => sample_time_g) port map ( clk_i => Clk, data_o => data_o, data_valid_o => data_valid_o); -- clock generation Clk <= not Clk after 10 ns; -- waveform generation WaveGen_Proc : process begin -- insert signal assignments here wait; end process WaveGen_Proc; end architecture Bhv; -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- -- Project: <Floating Point Unit Core> -- -- Description: division entity for the division unit ------------------------------------------------------------------------------- -- -- 100101011010011100100 -- 110000111011100100000 -- 100000111011000101101 -- 100010111100101111001 -- 110000111011101101001 -- 010000001011101001010 -- 110100111001001100001 -- 110111010000001100111 -- 110110111110001011101 -- 101110110010111101000 -- 100000010111000000000 -- -- Author: Jidan Al-eryani -- E-mail: [email protected] -- -- Copyright (C) 2006 -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED -- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR -- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE -- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT -- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; library work; use work.fpupack.all; entity serial_div is port( clk_i : in std_logic; dvdnd_i : in std_logic_vector(2*(FRAC_WIDTH+2)-1 downto 0); -- hidden(1) & fraction(23) dvsor_i : in std_logic_vector(FRAC_WIDTH+3 downto 0); sign_dvd_i : in std_logic; sign_div_i : in std_logic; start_i : in std_logic; ready_o : out std_logic; qutnt_o : out std_logic_vector(FRAC_WIDTH+3 downto 0); rmndr_o : out std_logic_vector(FRAC_WIDTH+3 downto 0); sign_o : out std_logic; div_zero_o : out std_logic ); end serial_div; architecture rtl of serial_div is type t_state is (waiting,busy); signal s_qutnt_o, s_rmndr_o : std_logic_vector(FRAC_WIDTH+3 downto 0); signal s_dvdnd_i : std_logic_vector(2*(FRAC_WIDTH+2)-1 downto 0); signal s_dvsor_i : std_logic_vector(FRAC_WIDTH+3 downto 0); signal s_sign_dvd_i, s_sign_div_i, s_sign_o : std_logic; signal s_div_zero_o : std_logic; signal s_start_i, s_ready_o : std_logic; signal s_state : t_state; signal s_count : integer range 0 to FRAC_WIDTH+3; signal s_dvd : std_logic_vector(FRAC_WIDTH+3 downto 0); begin -- Input Register process(clk_i) begin if rising_edge(clk_i) then s_dvdnd_i <= dvdnd_i; s_dvsor_i <= dvsor_i; s_sign_dvd_i<= sign_dvd_i; s_sign_div_i<= sign_div_i; s_start_i <= start_i; end if; end process; -- Output Register --process(clk_i) --begin -- if rising_edge(clk_i) then qutnt_o <= s_qutnt_o; rmndr_o <= s_rmndr_o; sign_o <= s_sign_o; ready_o <= s_ready_o; div_zero_o <= s_div_zero_o; -- end if; --end process; s_sign_o <= sign_dvd_i xor sign_div_i; s_div_zero_o <= '1' when or_reduce(s_dvsor_i)='0' and or_reduce(s_dvdnd_i)='1' else '0'; -- FSM process(clk_i) begin if rising_edge(clk_i) then if s_start_i ='1' then s_state <= busy; s_count <= 26; elsif s_count=0 and s_state=busy then s_state <= waiting; s_ready_o <= '1'; s_count <=26; elsif s_state=busy then s_count <= s_count - 1; else s_state <= waiting; s_ready_o <= '0'; end if; end if; end process; process(clk_i) variable v_div : std_logic_vector(26 downto 0); begin if rising_edge(clk_i) then if s_state=busy then if s_count=26 then v_div := "000" & s_dvdnd_i(49 downto 26); else v_div:= s_dvd; end if; if v_div < s_dvsor_i then s_qutnt_o(s_count) <= '0'; else s_qutnt_o(s_count) <= '1'; v_div:=v_div-s_dvsor_i; end if; s_rmndr_o <= v_div; s_dvd <= v_div(25 downto 0)&'0'; end if; end if; end process; end rtl;
------------------------------------------------------------------------------- -- -- Project: <Floating Point Unit Core> -- -- Description: division entity for the division unit ------------------------------------------------------------------------------- -- -- 100101011010011100100 -- 110000111011100100000 -- 100000111011000101101 -- 100010111100101111001 -- 110000111011101101001 -- 010000001011101001010 -- 110100111001001100001 -- 110111010000001100111 -- 110110111110001011101 -- 101110110010111101000 -- 100000010111000000000 -- -- Author: Jidan Al-eryani -- E-mail: [email protected] -- -- Copyright (C) 2006 -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED -- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR -- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE -- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT -- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; library work; use work.fpupack.all; entity serial_div is port( clk_i : in std_logic; dvdnd_i : in std_logic_vector(2*(FRAC_WIDTH+2)-1 downto 0); -- hidden(1) & fraction(23) dvsor_i : in std_logic_vector(FRAC_WIDTH+3 downto 0); sign_dvd_i : in std_logic; sign_div_i : in std_logic; start_i : in std_logic; ready_o : out std_logic; qutnt_o : out std_logic_vector(FRAC_WIDTH+3 downto 0); rmndr_o : out std_logic_vector(FRAC_WIDTH+3 downto 0); sign_o : out std_logic; div_zero_o : out std_logic ); end serial_div; architecture rtl of serial_div is type t_state is (waiting,busy); signal s_qutnt_o, s_rmndr_o : std_logic_vector(FRAC_WIDTH+3 downto 0); signal s_dvdnd_i : std_logic_vector(2*(FRAC_WIDTH+2)-1 downto 0); signal s_dvsor_i : std_logic_vector(FRAC_WIDTH+3 downto 0); signal s_sign_dvd_i, s_sign_div_i, s_sign_o : std_logic; signal s_div_zero_o : std_logic; signal s_start_i, s_ready_o : std_logic; signal s_state : t_state; signal s_count : integer range 0 to FRAC_WIDTH+3; signal s_dvd : std_logic_vector(FRAC_WIDTH+3 downto 0); begin -- Input Register process(clk_i) begin if rising_edge(clk_i) then s_dvdnd_i <= dvdnd_i; s_dvsor_i <= dvsor_i; s_sign_dvd_i<= sign_dvd_i; s_sign_div_i<= sign_div_i; s_start_i <= start_i; end if; end process; -- Output Register --process(clk_i) --begin -- if rising_edge(clk_i) then qutnt_o <= s_qutnt_o; rmndr_o <= s_rmndr_o; sign_o <= s_sign_o; ready_o <= s_ready_o; div_zero_o <= s_div_zero_o; -- end if; --end process; s_sign_o <= sign_dvd_i xor sign_div_i; s_div_zero_o <= '1' when or_reduce(s_dvsor_i)='0' and or_reduce(s_dvdnd_i)='1' else '0'; -- FSM process(clk_i) begin if rising_edge(clk_i) then if s_start_i ='1' then s_state <= busy; s_count <= 26; elsif s_count=0 and s_state=busy then s_state <= waiting; s_ready_o <= '1'; s_count <=26; elsif s_state=busy then s_count <= s_count - 1; else s_state <= waiting; s_ready_o <= '0'; end if; end if; end process; process(clk_i) variable v_div : std_logic_vector(26 downto 0); begin if rising_edge(clk_i) then if s_state=busy then if s_count=26 then v_div := "000" & s_dvdnd_i(49 downto 26); else v_div:= s_dvd; end if; if v_div < s_dvsor_i then s_qutnt_o(s_count) <= '0'; else s_qutnt_o(s_count) <= '1'; v_div:=v_div-s_dvsor_i; end if; s_rmndr_o <= v_div; s_dvd <= v_div(25 downto 0)&'0'; end if; end if; end process; end rtl;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book entity computer_system is end entity computer_system; -- end not in book architecture abstract of computer_system is subtype word is bit_vector(31 downto 0); signal address : natural; signal read_data, write_data : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : word; variable PC : natural; -- . . . -- other declarations begin loop address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_data; mem_read <= '0'; wait until mem_ready = '0'; PC := PC + 4; -- . . . -- execute the instruction end loop; end process cpu; memory : process is type memory_array is array (0 to 2**14 - 1) of word; variable store : memory_array := ( -- . . . -- not in book 0 => X"0000_0000", 1 => X"0000_0004", 2 => X"0000_0008", 3 => X"0000_000C", 4 => X"0000_0010", 5 => X"0000_0014", others => X"0000_0000" -- end not in book ); begin wait until mem_read = '1' or mem_write = '1'; if mem_read = '1' then read_data <= store( address / 4 ); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; else -- . . . -- perform write access end if; end process memory; end architecture abstract;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book entity computer_system is end entity computer_system; -- end not in book architecture abstract of computer_system is subtype word is bit_vector(31 downto 0); signal address : natural; signal read_data, write_data : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : word; variable PC : natural; -- . . . -- other declarations begin loop address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_data; mem_read <= '0'; wait until mem_ready = '0'; PC := PC + 4; -- . . . -- execute the instruction end loop; end process cpu; memory : process is type memory_array is array (0 to 2**14 - 1) of word; variable store : memory_array := ( -- . . . -- not in book 0 => X"0000_0000", 1 => X"0000_0004", 2 => X"0000_0008", 3 => X"0000_000C", 4 => X"0000_0010", 5 => X"0000_0014", others => X"0000_0000" -- end not in book ); begin wait until mem_read = '1' or mem_write = '1'; if mem_read = '1' then read_data <= store( address / 4 ); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; else -- . . . -- perform write access end if; end process memory; end architecture abstract;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- not in book entity computer_system is end entity computer_system; -- end not in book architecture abstract of computer_system is subtype word is bit_vector(31 downto 0); signal address : natural; signal read_data, write_data : word; signal mem_read, mem_write : bit := '0'; signal mem_ready : bit := '0'; begin cpu : process is variable instr_reg : word; variable PC : natural; -- . . . -- other declarations begin loop address <= PC; mem_read <= '1'; wait until mem_ready = '1'; instr_reg := read_data; mem_read <= '0'; wait until mem_ready = '0'; PC := PC + 4; -- . . . -- execute the instruction end loop; end process cpu; memory : process is type memory_array is array (0 to 2**14 - 1) of word; variable store : memory_array := ( -- . . . -- not in book 0 => X"0000_0000", 1 => X"0000_0004", 2 => X"0000_0008", 3 => X"0000_000C", 4 => X"0000_0010", 5 => X"0000_0014", others => X"0000_0000" -- end not in book ); begin wait until mem_read = '1' or mem_write = '1'; if mem_read = '1' then read_data <= store( address / 4 ); mem_ready <= '1'; wait until mem_read = '0'; mem_ready <= '0'; else -- . . . -- perform write access end if; end process memory; end architecture abstract;
library verilog; use verilog.vl_types.all; entity pll_dps_lcell_comb is generic( family : string := "Stratix V"; lut_mask : vl_logic_vector(0 to 63) := (Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0); dont_touch : string := "on" ); port( dataa : in vl_logic; datab : in vl_logic; datac : in vl_logic; datad : in vl_logic; datae : in vl_logic; dataf : in vl_logic; combout : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of family : constant is 1; attribute mti_svvh_generic_type of lut_mask : constant is 1; attribute mti_svvh_generic_type of dont_touch : constant is 1; end pll_dps_lcell_comb;
library verilog; use verilog.vl_types.all; entity pll_dps_lcell_comb is generic( family : string := "Stratix V"; lut_mask : vl_logic_vector(0 to 63) := (Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0); dont_touch : string := "on" ); port( dataa : in vl_logic; datab : in vl_logic; datac : in vl_logic; datad : in vl_logic; datae : in vl_logic; dataf : in vl_logic; combout : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of family : constant is 1; attribute mti_svvh_generic_type of lut_mask : constant is 1; attribute mti_svvh_generic_type of dont_touch : constant is 1; end pll_dps_lcell_comb;
library verilog; use verilog.vl_types.all; entity pll_dps_lcell_comb is generic( family : string := "Stratix V"; lut_mask : vl_logic_vector(0 to 63) := (Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0); dont_touch : string := "on" ); port( dataa : in vl_logic; datab : in vl_logic; datac : in vl_logic; datad : in vl_logic; datae : in vl_logic; dataf : in vl_logic; combout : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of family : constant is 1; attribute mti_svvh_generic_type of lut_mask : constant is 1; attribute mti_svvh_generic_type of dont_touch : constant is 1; end pll_dps_lcell_comb;
library verilog; use verilog.vl_types.all; entity pll_dps_lcell_comb is generic( family : string := "Stratix V"; lut_mask : vl_logic_vector(0 to 63) := (Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0); dont_touch : string := "on" ); port( dataa : in vl_logic; datab : in vl_logic; datac : in vl_logic; datad : in vl_logic; datae : in vl_logic; dataf : in vl_logic; combout : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of family : constant is 1; attribute mti_svvh_generic_type of lut_mask : constant is 1; attribute mti_svvh_generic_type of dont_touch : constant is 1; end pll_dps_lcell_comb;
library verilog; use verilog.vl_types.all; entity pll_dps_lcell_comb is generic( family : string := "Stratix V"; lut_mask : vl_logic_vector(0 to 63) := (Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0, Hi1, Hi0); dont_touch : string := "on" ); port( dataa : in vl_logic; datab : in vl_logic; datac : in vl_logic; datad : in vl_logic; datae : in vl_logic; dataf : in vl_logic; combout : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of family : constant is 1; attribute mti_svvh_generic_type of lut_mask : constant is 1; attribute mti_svvh_generic_type of dont_touch : constant is 1; end pll_dps_lcell_comb;
library verilog; use verilog.vl_types.all; entity EX_MEM is port( Clk : in vl_logic; stall : in vl_logic; flush : in vl_logic; Branch_addr_EX : in vl_logic_vector(31 downto 0); op_EX : in vl_logic_vector(5 downto 0); Condition_EX : in vl_logic_vector(2 downto 0); Branch_EX : in vl_logic; MemWrite_EX : in vl_logic; RegWrite_EX : in vl_logic; MemRead_EX : in vl_logic; MemData_EX : in vl_logic_vector(31 downto 0); WBData_EX : in vl_logic_vector(31 downto 0); Less_EX : in vl_logic; Zero_EX : in vl_logic; Overflow_EX : in vl_logic; Rd_EX : in vl_logic_vector(4 downto 0); Branch_addr_MEM : out vl_logic_vector(31 downto 0); op_MEM : out vl_logic_vector(5 downto 0); Condition_MEM : out vl_logic_vector(2 downto 0); Branch_MEM : out vl_logic; MemWrite_MEM : out vl_logic; RegWrite_MEM : out vl_logic; MemRead_MEM : out vl_logic; MemData_MEM : out vl_logic_vector(31 downto 0); WBData_MEM : out vl_logic_vector(31 downto 0); Less_MEM : out vl_logic; Zero_MEM : out vl_logic; Overflow_MEM : out vl_logic; Rd_MEM : out vl_logic_vector(4 downto 0) ); end EX_MEM;
---- -- Original author: Blake Johnson -- Copyright 2015,2016 Raytheon BBN Technologies -- -- A basic down counter. ---- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DownCounter is generic ( nbits : natural := 8 ); port ( clk : in std_logic; rst : in std_logic; en : in std_logic; load_value : in std_logic_vector(nbits-1 downto 0); load : in std_logic; Q : out std_logic_vector(nbits-1 downto 0) ); end DownCounter; architecture arch of DownCounter is signal value : std_logic_vector(nbits-1 downto 0) := (others => '0'); begin Q <= value; main: process ( clk ) begin if rising_edge(clk) then if rst = '1' then value <= (others => '0'); else if load = '1' then value <= load_value; elsif en = '1' then value <= std_logic_vector(unsigned(value) - 1); end if; end if; end if; end process; end arch;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_mm2s_full_wrap.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_full_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Full Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_datamover Library Modules library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_reset; use axi_datamover_v5_1.axi_datamover_cmd_status; use axi_datamover_v5_1.axi_datamover_pcc; use axi_datamover_v5_1.axi_datamover_addr_cntl; use axi_datamover_v5_1.axi_datamover_rddata_cntl; use axi_datamover_v5_1.axi_datamover_rd_status_cntl; use axi_datamover_v5_1.axi_datamover_mm2s_dre; Use axi_datamover_v5_1.axi_datamover_rd_sf; use axi_datamover_v5_1.axi_datamover_skid_buf; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_full_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 1; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Lite MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_INCLUDE_MM2S_GP_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the incllusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit Store and Forward -- 1 = Include Store and Forward C_ENABLE_CACHE_USER : Integer range 0 to 1 := 1; C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_ENABLE_SKID_BUF : string := "11111"; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input --------------------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- MM2S Halt request input control -------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------------- -- Error discrete output ------------------------------------ mm2s_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset --------- -- Used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ---------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ------------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- --------------------------------------------------------------- -- Address Posting contols ------------------------------------ mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- --------------------------------------------------------------- -- MM2S AXI Address Channel I/O --------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------------ -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------ -- MM2S AXI MMap Read Data Channel I/O ----------------------------------------- mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- --------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- ---------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------ ); end entity axi_datamover_mm2s_full_wrap; architecture implementation of axi_datamover_mm2s_full_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 7 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when 256 => num_addr_bits_needed := 5; when 512 => num_addr_bits_needed := 6; when others => -- 1024 bits num_addr_bits_needed := 7; end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; ------------------------------------------------------------------- -- Function -- -- Function Name: func_include_dre -- -- Function Description: -- This function desides if conditions are right for allowing DRE -- inclusion. -- ------------------------------------------------------------------- function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width < 128 and needed_data_width > 8) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_align_width -- -- Function Description: -- This function calculates the needed DRE alignment port width\ -- based upon the inclusion of DRE and the needed bit width of the -- DRE. -- ------------------------------------------------------------------- function func_get_align_width (dre_included : integer; dre_data_width : integer) return integer is Variable align_port_width : Integer := 1; begin if (dre_included = 1) then If (dre_data_width = 64) Then align_port_width := 3; Elsif (dre_data_width = 32) Then align_port_width := 2; else -- 16 bit data width align_port_width := 1; End if; else -- no DRE align_port_width := 1; end if; Return (align_port_width); end function func_get_align_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_width -- -- Function Description: -- This function calculates the address offset width needed by -- the GP Store and Forward module with data packing. -- ------------------------------------------------------------------- function funct_get_sf_offset_width (mmap_dwidth : integer; stream_dwidth : integer) return integer is Constant FCONST_WIDTH_RATIO : integer := mmap_dwidth/stream_dwidth; Variable fvar_temp_offset_width : Integer := 1; begin case FCONST_WIDTH_RATIO is when 1 => fvar_temp_offset_width := 1; when 2 => fvar_temp_offset_width := 1; when 4 => fvar_temp_offset_width := 2; when 8 => fvar_temp_offset_width := 3; when 16 => fvar_temp_offset_width := 4; when 32 => fvar_temp_offset_width := 5; when 64 => fvar_temp_offset_width := 6; when others => -- 128 ratio fvar_temp_offset_width := 7; end case; Return (fvar_temp_offset_width); end function funct_get_sf_offset_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stream_width2use -- -- Function Description: -- This function calculates the Stream width to use for MM2S -- modules upstream from the downsizing Store and Forward. If -- Store and Forward is present, then the effective native width -- is the MMAP data width. If no Store and Forward then the Stream -- width is the input Native Data width from the User. -- ------------------------------------------------------------------- function funct_get_stream_width2use (mmap_data_width : integer; stream_data_width : integer; sf_enabled : integer) return integer is Variable fvar_temp_width : Integer := 32; begin If (sf_enabled = 1) Then fvar_temp_width := mmap_data_width; Else fvar_temp_width := stream_data_width; End if; Return (fvar_temp_width); end function funct_get_stream_width2use; -- Constant Declarations ---------------------------------------- Constant SF_UPSIZED_SDATA_WIDTH : integer := funct_get_stream_width2use(C_MM2S_MDATA_WIDTH, C_MM2S_SDATA_WIDTH, C_INCLUDE_MM2S_GP_SF); Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := C_INCLUDE_MM2S; Constant IS_MM2S : integer range 0 to 1 := 1; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 1024 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 1024 := C_MM2S_SDATA_WIDTH; Constant MM2S_TAG_WIDTH : integer range 1 to 8 := C_TAG_WIDTH; Constant MM2S_CMD_WIDTH : integer := (MM2S_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := C_INCLUDE_MM2S_STSFIFO; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 16 := C_MM2S_STSCMD_FIFO_DEPTH; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := C_MM2S_STSCMD_IS_ASYNC; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := C_INCLUDE_MM2S_DRE; Constant MM2S_BURST_SIZE : integer range 2 to 256 := C_MM2S_BURST_SIZE; Constant ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := ADDR_CNTL_FIFO_DEPTH; Constant SEL_ADDR_WIDTH : integer range 2 to 7 := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant MM2S_BTT_USED : integer range 8 to 23 := C_MM2S_BTT_USED; Constant NO_INDET_BTT : integer range 0 to 1 := 0; Constant INCLUDE_DRE : integer range 0 to 1 := func_include_dre(C_INCLUDE_MM2S_DRE, C_MM2S_SDATA_WIDTH); Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := func_get_align_width(INCLUDE_DRE, C_MM2S_SDATA_WIDTH); -- Calculates the minimum needed depth of the Store and Forward FIFO -- based on the MM2S pipeline depth and the max allowed Burst length Constant PIPEDEPTH_BURST_LEN_PROD : integer := (ADDR_CNTL_FIFO_DEPTH+2) * MM2S_BURST_SIZE; -- Assigns the depth of the optional Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); -- Calculate the width of the Store and Forward Starting Address Offset bus Constant SF_STRT_OFFSET_WIDTH : integer := funct_get_sf_offset_width(MM2S_MDATA_WIDTH, MM2S_SDATA_WIDTH); -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cache_data : std_logic_vector(7 downto 0) := (others => '0'); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal first_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal last_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_sf2rdc_wready : std_logic := '0'; signal sig_rdc2sf_wvalid : std_logic := '0'; signal sig_rdc2sf_wdata : std_logic_vector(SF_UPSIZED_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2sf_wstrb : std_logic_vector((SF_UPSIZED_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_rdc2sf_wlast : std_logic := '0'; signal sig_skid2dre_wready : std_logic := '0'; signal sig_dre2skid_wvalid : std_logic := '0'; signal sig_dre2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dre2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dre2skid_wlast : std_logic := '0'; signal sig_dre2sf_wready : std_logic := '0'; signal sig_sf2dre_wvalid : std_logic := '0'; signal sig_sf2dre_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_sf2dre_wlast : std_logic := '0'; signal sig_rdc2dre_new_align : std_logic := '0'; signal sig_rdc2dre_use_autodest : std_logic := '0'; signal sig_rdc2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_rdc2dre_flush : std_logic := '0'; signal sig_sf2dre_new_align : std_logic := '0'; signal sig_sf2dre_use_autodest : std_logic := '0'; signal sig_sf2dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2dre_flush : std_logic := '0'; signal sig_dre_new_align : std_logic := '0'; signal sig_dre_use_autodest : std_logic := '0'; signal sig_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_dre_flush : std_logic := '0'; signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_sf_allow_addr_req : std_logic := '0'; signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_addr_req_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_sf2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2sf_cmd_valid : std_logic := '0'; signal sig_mstr2sf_tag : std_logic_vector(MM2S_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_src_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_dre_dest_align : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2sf_btt : std_logic_vector(MM2S_BTT_USED-1 downto 0) := (others => '0'); signal sig_mstr2sf_drr : std_logic := '0'; signal sig_mstr2sf_eof : std_logic := '0'; signal sig_mstr2sf_calc_error : std_logic := '0'; signal sig_mstr2sf_strt_offset : std_logic_vector(SF_STRT_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_data2sf_cmd_cmplt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0); signal mm2s_arcache_int : std_logic_vector (3 downto 0); signal mm2s_aruser_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug vector output mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF1111" ; -- 32 bit Constant indicating MM2S Full type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_CACHE_USER = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_CACHE_USER = 1) generate begin -- Cache signal tie-off mm2s_arcache <= mm2s_arcache_int; -- Cache from Desc mm2s_aruser <= mm2s_aruser_int; -- Cache from Desc -- sig_cache_data <= mm2s_cmd_wdata(103 downto 96); -- This is the xUser and xCache values sig_cache_data <= mm2s_cmd_wdata(79 downto 72); -- This is the xUser and xCache values end generate GEN_CACHE2; -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_datamover_v5_1.axi_datamover_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_datamover_v5_1.axi_datamover_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_datamover_v5_1.axi_datamover_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_PCC -- -- Description: -- Predictive Command Calculator Block -- ------------------------------------------------------------ I_MSTR_PCC : entity axi_datamover_v5_1.axi_datamover_pcc generic map ( C_IS_MM2S => IS_MM2S , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_BTT_USED => MM2S_BTT_USED , C_SUPPORT_INDET_BTT => NO_INDET_BTT , C_NATIVE_XFER_WIDTH => SF_UPSIZED_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , calc_error => sig_calc2dm_calc_err , dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_btt => sig_mstr2sf_btt , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_cmd_cmplt => open , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_datamover_v5_1.axi_datamover_addr_cntl generic map ( C_ADDR_FIFO_DEPTH => ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => mm2s_arcache_int , addr2axi_auser => mm2s_aruser_int , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => sig_mm2s_allow_addr_req , addr_req_posted => sig_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_datamover_v5_1.axi_datamover_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => SF_UPSIZED_SDATA_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => sig_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => sig_rdc2dre_new_align , mm2s_dre_use_autodest => sig_rdc2dre_use_autodest , mm2s_dre_src_align => sig_rdc2dre_src_align , mm2s_dre_dest_align => sig_rdc2dre_dest_align , mm2s_dre_flush => sig_rdc2dre_flush , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => sig_rdc2sf_wvalid , mm2s_strm_wready => sig_sf2rdc_wready , mm2s_strm_wdata => sig_rdc2sf_wdata , mm2s_strm_wstrb => sig_rdc2sf_wstrb , mm2s_strm_wlast => sig_rdc2sf_wlast , -- MM2S Store and Forward Supplimental Control ---------- mm2s_data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => sig_mstr2data_sequential , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => sig_mstr2data_dre_src_align , mstr2data_dre_dest_align => sig_mstr2data_dre_dest_align , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted , -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_SF -- -- If Generate Description: -- Include the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 1) generate begin -- Merge external address posting control with the -- Store and Forward address posting control sig_mm2s_allow_addr_req <= sig_sf_allow_addr_req and mm2s_allow_addr_req; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; sig_dre_new_align <= sig_sf2dre_new_align ; sig_dre_use_autodest <= sig_sf2dre_use_autodest ; sig_dre_src_align <= sig_sf2dre_src_align ; sig_dre_dest_align <= sig_sf2dre_dest_align ; sig_dre_flush <= sig_sf2dre_flush ; ------------------------------------------------------------ -- Instance: I_RD_SF -- -- Description: -- Instance for the MM2S Store and Forward module with -- downsizer support. -- ------------------------------------------------------------ I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf generic map ( C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => MM2S_BURST_SIZE , C_DRE_IS_USED => INCLUDE_DRE , C_DRE_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_DRE_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_STRT_SF_OFFSET_WIDTH => SF_STRT_OFFSET_WIDTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset inputs ------------------------------- aclk => mm2s_aclk , reset => sig_mmap_rst , -- DataMover Read Side Address Pipelining Control Interface ok_to_post_rd_addr => sig_sf_allow_addr_req , rd_addr_posted => sig_addr_req_posted , rd_xfer_cmplt => sig_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S Read Data Controller ----- sf2sin_tready => sig_sf2rdc_wready , sin2sf_tvalid => sig_rdc2sf_wvalid , sin2sf_tdata => sig_rdc2sf_wdata , sin2sf_tkeep => sig_rdc2sf_wstrb , sin2sf_tlast => sig_rdc2sf_wlast , -- RDC Store and Forward Supplimental Controls ---------- data2sf_cmd_cmplt => sig_data2sf_cmd_cmplt , data2sf_dre_flush => sig_rdc2dre_flush , -- DRE Control Interface from the Command Calculator ----------------------------- dre2mstr_cmd_ready => sig_sf2mstr_cmd_ready , mstr2dre_cmd_valid => sig_mstr2sf_cmd_valid , mstr2dre_tag => sig_mstr2sf_tag , mstr2dre_dre_src_align => sig_mstr2sf_dre_src_align , mstr2dre_dre_dest_align => sig_mstr2sf_dre_dest_align , mstr2dre_drr => sig_mstr2sf_drr , mstr2dre_eof => sig_mstr2sf_eof , mstr2dre_calc_error => sig_mstr2sf_calc_error , mstr2dre_strt_offset => sig_mstr2sf_strt_offset , -- MM2S DRE Control ------------------------------------------------------------- sf2dre_new_align => sig_sf2dre_new_align , sf2dre_use_autodest => sig_sf2dre_use_autodest , sf2dre_src_align => sig_sf2dre_src_align , sf2dre_dest_align => sig_sf2dre_dest_align , sf2dre_flush => sig_sf2dre_flush , -- Stream Out ---------------------------------- sout2sf_tready => sig_dre2sf_wready , sf2sout_tvalid => sig_sf2dre_wvalid , sf2sout_tdata => sig_sf2dre_wdata , sf2sout_tkeep => sig_sf2dre_wstrb , sf2sout_tlast => sig_sf2dre_wlast ); -- ------------------------------------------------------------ -- -- Instance: I_RD_SF -- -- -- -- Description: -- -- Instance for the MM2S Store and Forward module. -- -- -- ------------------------------------------------------------ -- I_RD_SF : entity axi_datamover_v5_1.axi_datamover_rd_sf -- generic map ( -- -- C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , -- C_MAX_BURST_LEN => MM2S_BURST_SIZE , -- C_DRE_IS_USED => INCLUDE_DRE , -- C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , -- C_FAMILY => C_FAMILY -- ) -- port map ( -- -- -- Clock and Reset inputs ------------------------------- -- aclk => mm2s_aclk , -- reset => sig_mmap_rst , -- -- -- -- DataMover Read Side Address Pipelining Control Interface -- ok_to_post_rd_addr => sig_sf_allow_addr_req , -- rd_addr_posted => sig_addr_req_posted , -- rd_xfer_cmplt => sig_rd_xfer_cmplt , -- -- -- -- -- Read Side Stream In from DataMover MM2S ----- -- sf2sin_tready => sig_sf2dre_wready , -- sin2sf_tvalid => sig_dre2sf_wvalid , -- sin2sf_tdata => sig_dre2sf_wdata , -- sin2sf_tkeep => sig_dre2sf_wstrb , -- sin2sf_tlast => sig_dre2sf_wlast , -- -- -- -- -- Stream Out ---------------------------------- -- sout2sf_tready => sig_skid2sf_wready , -- sf2sout_tvalid => sig_sf2skid_wvalid , -- sf2sout_tdata => sig_sf2skid_wdata , -- sf2sout_tkeep => sig_sf2skid_wstrb , -- sf2sout_tlast => sig_sf2skid_wlast -- -- ); end generate GEN_INCLUDE_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_SF -- -- If Generate Description: -- Omit the MM2S Store and Forward function -- -- ------------------------------------------------------------ GEN_NO_MM2S_SF : if (C_INCLUDE_MM2S_GP_SF = 0) generate begin -- Allow external address posting control -- Ignore Store and Forward Control sig_mm2s_allow_addr_req <= mm2s_allow_addr_req ; sig_sf_allow_addr_req <= '0' ; -- Address Posting support outputs mm2s_addr_req_posted <= sig_addr_req_posted ; mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt ; -- DRE Control Bus (Connect to the Read data Controller) sig_dre_new_align <= sig_rdc2dre_new_align ; sig_dre_use_autodest <= sig_rdc2dre_use_autodest ; sig_dre_src_align <= sig_rdc2dre_src_align ; sig_dre_dest_align <= sig_rdc2dre_dest_align ; sig_dre_flush <= sig_rdc2dre_flush ; -- Just pass stream signals through sig_sf2rdc_wready <= sig_dre2sf_wready ; sig_sf2dre_wvalid <= sig_rdc2sf_wvalid ; sig_sf2dre_wdata <= sig_rdc2sf_wdata ; sig_sf2dre_wstrb <= sig_rdc2sf_wstrb ; sig_sf2dre_wlast <= sig_rdc2sf_wlast ; -- Always enable the DRE Cmd bus for loading to keep from -- stalling the PCC module sig_sf2mstr_cmd_ready <= LOGIC_HIGH; end generate GEN_NO_MM2S_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_MM2S_DRE -- -- If Generate Description: -- Include the MM2S DRE -- -- ------------------------------------------------------------ GEN_INCLUDE_MM2S_DRE : if (INCLUDE_DRE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DRE64 -- -- Description: -- Instance for the MM2S DRE whach can support widths of -- 16 bits to 64 bits. -- ------------------------------------------------------------ I_DRE_16_to_64 : entity axi_datamover_v5_1.axi_datamover_mm2s_dre generic map ( C_DWIDTH => MM2S_SDATA_WIDTH , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH ) port map ( -- Control inputs dre_clk => mm2s_aclk , dre_rst => sig_stream_rst , dre_new_align => sig_dre_new_align , dre_use_autodest => sig_dre_use_autodest , dre_src_align => sig_dre_src_align , dre_dest_align => sig_dre_dest_align , dre_flush => sig_dre_flush , -- Stream Inputs dre_in_tstrb => sig_sf2dre_wstrb , dre_in_tdata => sig_sf2dre_wdata , dre_in_tlast => sig_sf2dre_wlast , dre_in_tvalid => sig_sf2dre_wvalid , dre_in_tready => sig_dre2sf_wready , -- Stream Outputs dre_out_tstrb => sig_dre2skid_wstrb , dre_out_tdata => sig_dre2skid_wdata , dre_out_tlast => sig_dre2skid_wlast , dre_out_tvalid => sig_dre2skid_wvalid , dre_out_tready => sig_skid2dre_wready ); end generate GEN_INCLUDE_MM2S_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_MM2S_DRE -- -- If Generate Description: -- Omit the MM2S DRE and housekeep the signals that it -- needs to output. -- ------------------------------------------------------------ GEN_NO_MM2S_DRE : if (INCLUDE_DRE = 0) generate begin -- Just pass stream signals through from the Store -- and Forward module sig_dre2sf_wready <= sig_skid2dre_wready ; sig_dre2skid_wvalid <= sig_sf2dre_wvalid ; sig_dre2skid_wdata <= sig_sf2dre_wdata ; sig_dre2skid_wstrb <= sig_sf2dre_wstrb ; sig_dre2skid_wlast <= sig_sf2dre_wlast ; end generate GEN_NO_MM2S_DRE; ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '1' generate begin ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ I_MM2S_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf generic map ( C_WDATA_WIDTH => MM2S_SDATA_WIDTH ) port map ( -- System Ports aclk => mm2s_aclk , arst => sig_stream_rst , -- Shutdown control (assert for 1 clk pulse) skid_stop => sig_data2skid_halt , -- Slave Side (Stream Data Input) s_valid => sig_dre2skid_wvalid , s_ready => sig_skid2dre_wready , s_data => sig_dre2skid_wdata , s_strb => sig_dre2skid_wstrb , s_last => sig_dre2skid_wlast , -- Master Side (Stream Data Output m_valid => mm2s_strm_wvalid , m_ready => mm2s_strm_wready , m_data => mm2s_strm_wdata , m_strb => mm2s_strm_wstrb , m_last => mm2s_strm_wlast ); end generate ENABLE_AXIS_SKID; DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(5) = '0' generate begin mm2s_strm_wvalid <= sig_dre2skid_wvalid; sig_skid2dre_wready <= mm2s_strm_wready; mm2s_strm_wdata <= sig_dre2skid_wdata; mm2s_strm_wstrb <= sig_dre2skid_wstrb; mm2s_strm_wlast <= sig_dre2skid_wlast; end generate DISABLE_AXIS_SKID; end implementation;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; library work; use work.array_t.all; entity fir_sol is Port ( Reset : in STD_LOGIC; Clk : in STD_LOGIC; Input : in array32_t(0 to 9); Output : out STD_LOGIC_VECTOR (31 downto 0) ); end fir_sol; architecture Structural of fir_sol is -- adding the components to the Design -- component adder Port ( A : in STD_LOGIC_VECTOR (31 downto 0); B : in STD_LOGIC_VECTOR (31 downto 0); O : out STD_LOGIC_VECTOR (31 downto 0)); end component; component reg Port ( Reset : in STD_LOGIC; Clk : in STD_LOGIC; Load : in STD_LOGIC; Din : in STD_LOGIC_VECTOR (31 downto 0); Dout : out STD_LOGIC_VECTOR (31 downto 0)); end component; signal mout : array32_t(0 to 30);-- just a big array i will use as much as i need from it , for the first one i will use from 0to 2 and for the second input i will use fro 3to 5 and like that a multiples of 3 signal aout : array32_t(0 to 25); begin -- the equivlant to multiplication of X(0)*b(0) where b(0) = 3 which is 11 in binary that mean shift X(0) by two and add to X(0) --std_logic_vector(unsigned(inputA) srl to_integer(unsigned(inputB))); mout(1)<=std_logic_vector(unsigned(Input(0)) sll 1); add0: adder port map ( A => mout(1), B => Input(0), O => aout(0) ); -- the same for a(1)but with 23 = 11001 left shift by 3 and 4 and 0 mout(3)<=std_logic_vector(unsigned(Input(1)) sll 3); mout(4)<=std_logic_vector(unsigned(Input(1)) sll 4); add1: adder port map ( A => mout(3), B => mout(4), O => mout(5) ); add2: adder port map ( A => mout(5), B => Input(1), O => aout(1) ); -- the same for a(2)but with 96 = 110 0000 left shift by 5 and 6 mout(6)<=std_logic_vector(unsigned(Input(2)) sll 5); mout(7)<=std_logic_vector(unsigned(Input(2)) sll 6); add3: adder port map ( A => mout(6), B => mout(7), O => aout(2) ); -- the same for a(3)but with 384 = 1 1000 0000 left shift by 7 and 8 mout(9)<=std_logic_vector(unsigned(Input(3)) sll 7); mout(10)<=std_logic_vector(unsigned(Input(3)) sll 8); add4: adder port map ( A => mout(9), B => mout(10), O => aout(3) ); -- the same for a(4)but with 3073 = 1100 0000 0001 left shift by 10 and 11 and add a(4) mout(12)<=std_logic_vector(unsigned(Input(4)) sll 10); mout(13)<=std_logic_vector(unsigned(Input(4)) sll 11); add5: adder port map ( A => mout(12), B => mout(13), O => mout(14) ); add6: adder port map ( A => mout(14), B => Input(4), O => aout(4) ); -- the same for a(5)but with 3073 = 1100 0000 0001 left shift by 10 and 11 and add a(5) mout(15)<=std_logic_vector(unsigned(Input(5)) sll 10); mout(16)<=std_logic_vector(unsigned(Input(5)) sll 11); add7: adder port map ( A => mout(15), B => mout(16), O => mout(17) ); add8: adder port map ( A => mout(17), B => Input(5), O => aout(5) ); -- the same for a(6)but with 384 = 1 1000 0000 left shift by 7 and 8 mout(18)<=std_logic_vector(unsigned(Input(6)) sll 7); mout(19)<=std_logic_vector(unsigned(Input(6)) sll 8); add9: adder port map ( A => mout(18), B => mout(19), O => aout(6) ); -- the same for a(7)but with 96 = 110 0000 left shift by 5 and 6 mout(21)<=std_logic_vector(unsigned(Input(7)) sll 5); mout(22)<=std_logic_vector(unsigned(Input(7)) sll 6); add10: adder port map ( A => mout(21), B => mout(22), O => aout(7) ); -- the same for a(8)but with 25 = 11001 left shift by 3 and 4 and 0 mout(24)<=std_logic_vector(unsigned(Input(8)) sll 3); mout(25)<=std_logic_vector(unsigned(Input(8)) sll 4); add11: adder port map ( A => mout(24), B => mout(25), O => mout(26) ); add112: adder port map ( A => mout(26), B => Input(8), O => aout(8) ); -- the same for a(9)but with 3 = 11 left shift by 1 and 0 mout(27)<=std_logic_vector(unsigned(Input(9)) sll 1); add12: adder port map ( A => mout(27), B => Input(9), O => aout(9) ); ---adding the whole results add13: adder port map ( A => aout(0), B => aout(1), O => aout(10) ); add14: adder port map ( A => aout(2), B => aout(3), O => aout(11) ); add15: adder port map ( A => aout(4), B => aout(5), O => aout(12) ); add115: adder port map ( A => aout(6), B => aout(7), O => aout(13) ); add16:adder port map ( A => aout(8), B => aout(9), O => aout(14) ); add117: adder port map ( A => aout(10), B => aout(11), O => aout(15) ); add118: adder port map ( A => aout(12), B => aout(13), O => aout(16) ); add17: adder port map ( A => aout(15), B => aout(16), O => aout(17) ); add18: adder port map ( A => aout(14), B => aout(17), O => aout(18) ); reg3:reg port map ( Reset => Reset, Clk => Clk , Load =>'1', Din => aout(18), Dout => aout(20) ); Output<=aout(20); end Structural;
-- This is a 16 bit Linear Feedback Shift Register library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity LFSR16 is port( CLK : in std_logic; RESET : in std_logic; LD : in std_logic; EN : in std_logic; DIN : in std_logic_vector (0 to 15); PRN : out std_logic_vector (0 to 15); ZERO_D : out std_logic); end LFSR16; architecture RTL of LFSR16 is signal t_prn : std_logic_vector(0 to 15); begin -- Continuous assignments : PRN <= t_prn; ZERO_D <= '1' when (t_prn = "0000000000000000") else '0'; -- LFSR process : process(CLK,RESET) begin if RESET='1' then t_prn <= "0000000000000001"; -- load 1 at reset elsif rising_edge (CLK) then if (LD = '1') then -- load a new seed when ld is active t_prn <= DIN; elsif (EN = '1') then -- shift when enabled t_prn(0) <= t_prn(15) xor t_prn(4) xor t_prn(2) xor t_prn(1); t_prn(1 to 15) <= t_prn(0 to 14); end if; end if; end process; end RTL;
library ieee ; use ieee.std_logic_1164.all ; use ieee.numeric_std.all ; use work.fixed_pkg.all; entity EffectEcho is generic ( wordLength : natural := 16; constantsWordLength : natural := 16 ); port ( input : in std_logic_vector(wordLength-1 downto 0); output : out std_logic_vector(wordLength-1 downto 0); clk : in std_logic; reset : in std_logic ); end entity ; -- EffectEcho architecture arch of EffectEcho is COMPONENT blk_mem_gen_0 PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(16 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(16 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; constant delayDuration : natural := 2; constant decayGain : std_logic_vector(wordLength-1 downto 0) := real_to_fixed(-0.5, constantsWordLength); constant directGain : std_logic_vector(wordLength-1 downto 0) := real_to_fixed(0.8, constantsWordLength); constant echoGain : std_logic_vector(wordLength-1 downto 0) := real_to_fixed(0.5, constantsWordLength); -- 2 second max delay constant addressWidth : natural := 17; constant addressMax : natural := 44100; signal feedback : std_logic_vector(wordLength-1 downto 0); signal directGained : std_logic_vector(wordLength-1 downto 0); signal delayedGained : std_logic_vector(wordLength-1 downto 0); signal delayed : std_logic_vector(wordLength-1 downto 0); signal feedbackDirectSum : std_logic_vector(wordLength-1 downto 0); signal writeBus : std_logic_vector(wordLength-1 downto 0); signal readBus : std_logic_vector(wordLength-1 downto 0); signal writeEnable : std_logic_vector(0 downto 0); signal memoryAddress : std_logic_vector(addressWidth-1 downto 0); type state_type is (readStart, readWait, read, writeDone); constant waitTime : natural := 4; type reg_type is record state : state_type; waitCounter : natural range 0 to waitTime; address : unsigned(addressWidth-1 downto 0); writeEnable : std_logic; delayedOutput : std_logic_vector(wordLength-1 downto 0); end record; signal r, rin : reg_type; begin -- Summation feedbackSum : entity work.AdderSat generic map ( wordLength => wordLength ) port map ( a => input, b => feedback, s => feedbackDirectSum ); outputSum : entity work.AdderSat generic map ( wordLength => wordLength ) port map ( a => directGained, b => delayedGained, s => output ); -- Gains directMult : entity work.Multiplier generic map ( X_WIDTH => wordLength, X_FRACTION => wordLength-1, Y_WIDTH => constantsWordLength, Y_FRACTION => constantsWordLength-1, S_WIDTH => wordLength, S_FRACTION => wordLength-1 ) port map ( x => input, y => directGain, s => directGained ); feedbackMult : entity work.Multiplier generic map ( X_WIDTH => wordLength, X_FRACTION => wordLength-1, Y_WIDTH => constantsWordLength, Y_FRACTION => constantsWordLength-1, S_WIDTH => wordLength, S_FRACTION => wordLength-1 ) port map ( x => delayed, y => decayGain, s => feedback ); echoMult : entity work.Multiplier generic map ( X_WIDTH => wordLength, X_FRACTION => wordLength-1, Y_WIDTH => constantsWordLength, Y_FRACTION => constantsWordLength-1, S_WIDTH => wordLength, S_FRACTION => wordLength-1 ) port map ( x => delayed, y => echoGain, s => delayedGained ); -- Delay writeBus <= feedbackDirectSum; writeEnable <= (others => r.writeEnable); delayed <= r.delayedOutput; memoryAddress <= std_logic_vector(r.address); memory: blk_mem_gen_0 port map ( dina => writeBus, wea => writeEnable, doutb => readBus, clka => clk, clkb => clk, addra => memoryAddress, addrb => memoryAddress ); clk_proc : process( clk, reset ) begin if(reset = '1') then r.state <= readStart; r.address <= (others => '0'); r.writeEnable <= '0'; r.delayedOutput <= (others => '0'); elsif(rising_edge(clk)) then r <= rin; end if; end process ; -- clk_proc comb_proc : process( r, rin, readBus) variable v : reg_type; begin v := r; v.writeEnable := '0'; case r.state is when readStart => v.state := readWait; v.waitCounter := 0; when readWait => -- Wait some cycles before reading if(r.waitCounter >= waitTime) then v.state := read; else v.waitCounter := r.waitCounter + 1; end if; when read => v.state := writeDone; -- Write the new value v.writeEnable := '1'; -- Result is ready, read it. v.delayedOutput := readBus; when writeDone => v.state := readStart; if(r.address = addressMax-1) then v.address := (others => '0'); else v.address := v.address + 1; end if; when others => -- Don't care end case; rin <= v; end process ; -- comb_proc end architecture ; -- arch
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity fsm is port( clock: in std_logic; input: in std_logic_vector(3 downto 0); output: out std_logic_vector(1 downto 0) ); end fsm; architecture behaviour of fsm is type state is (init0, init1, init2, init4, IOwait, RMACK, WMACK, read0, read1, write0); signal current_state, next_state: state; begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= init0; output <= "--"; case current_state is when init0 => if std_match(input, "--00") then next_state <= init1; output <= "00"; end if; when init1 => if std_match(input, "0100") then next_state <= init1; output <= "00"; elsif std_match(input, "--1-") then next_state <= init2; output <= "10"; end if; when init2 => if std_match(input, "1-10") then next_state <= init4; output <= "10"; end if; when init4 => if std_match(input, "-111") then next_state <= init4; output <= "10"; elsif std_match(input, "--01") then next_state <= IOwait; output <= "01"; end if; when IOwait => if std_match(input, "000-") then next_state <= IOwait; output <= "01"; elsif std_match(input, "100-") then next_state <= init1; output <= "01"; elsif std_match(input, "0110") then next_state <= read0; output <= "00"; elsif std_match(input, "1100") then next_state <= write0; output <= "11"; elsif std_match(input, "0111") then next_state <= RMACK; output <= "11"; elsif std_match(input, "1101") then next_state <= WMACK; output <= "00"; elsif std_match(input, "-01-") then next_state <= init2; output <= "01"; end if; when RMACK => if std_match(input, "0010") then next_state <= RMACK; output <= "11"; elsif std_match(input, "0111") then next_state <= read0; output <= "00"; end if; when WMACK => if std_match(input, "1100") then next_state <= WMACK; output <= "00"; elsif std_match(input, "1001") then next_state <= write0; output <= "01"; end if; when read0 => if std_match(input, "0001") then next_state <= read1; output <= "11"; end if; when read1 => if std_match(input, "0010") then next_state <= IOwait; output <= "01"; end if; when write0 => if std_match(input, "0100") then next_state <= IOwait; output <= "01"; end if; end case; end process; end behaviour;
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : 7-Segment Display -- Author : Jan Dürre -- Last update : 22.07.2014 -- Description : - ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity seven_seg is port( -- global signals clock : in std_ulogic; reset_n : in std_ulogic; -- bus interface iobus_cs : in std_ulogic; iobus_wr : in std_ulogic; iobus_addr : in std_ulogic_vector(CW_ADDR_SEVENSEG-1 downto 0); iobus_din : in std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); iobus_dout : out std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); -- 7-Seg hex0_n : out std_ulogic_vector(6 downto 0); hex1_n : out std_ulogic_vector(6 downto 0); hex2_n : out std_ulogic_vector(6 downto 0); hex3_n : out std_ulogic_vector(6 downto 0); hex4_n : out std_ulogic_vector(6 downto 0); hex5_n : out std_ulogic_vector(6 downto 0); hex6_n : out std_ulogic_vector(6 downto 0); hex7_n : out std_ulogic_vector(6 downto 0) ); end seven_seg; architecture rtl of seven_seg is -- array for easier use of hex0_n to hex7_n type seg_t is array (0 to 3) of std_ulogic_vector(6 downto 0); signal hex_seg, dec_seg : seg_t; -- 7-Segment Displays -- [][] [][] | [][][][] -- hex-display | decimal-display (-999 to 999) -- A4A3A2A1 | A0 -- +-----+ -- | 0 | -- | 5 1 | -- | 6 | -- | 4 2 | -- | 3 | -- +-----+ -- register for each hex-display type hex_display_t is array (0 to 3) of std_ulogic_vector(3 downto 0); signal hex_display, hex_display_nxt : hex_display_t; -- register for decimal-display and sign-symbol signal dec_display, dec_display_nxt : std_ulogic_vector(to_log2(1000)-1 downto 0); -- 0...999 signal dec_sign, dec_sign_nxt : std_ulogic; -- register to active 7-seg-displays signal display_active, display_active_nxt : std_ulogic_vector(4 downto 0); begin -- connect and invert signal seg to output hex0_n <= not dec_seg(0); hex1_n <= not dec_seg(1); hex2_n <= not dec_seg(2); hex3_n <= not dec_seg(3); hex4_n <= not hex_seg(0); hex5_n <= not hex_seg(1); hex6_n <= not hex_seg(2); hex7_n <= not hex_seg(3); -- sequential process process(clock, reset_n) begin -- async reset if reset_n = '0' then hex_display <= (others => (others => '0')); dec_display <= (others => '0'); dec_sign <= '0'; display_active <= (others =>'0'); elsif rising_edge(clock) then hex_display <= hex_display_nxt; dec_display <= dec_display_nxt; dec_sign <= dec_sign_nxt; display_active <= display_active_nxt; end if; end process; -- bus interface process(hex_display, dec_display, dec_sign, iobus_cs, iobus_addr, iobus_din, iobus_wr, display_active) begin -- standard: hold register values hex_display_nxt <= hex_display; dec_display_nxt <= dec_display; dec_sign_nxt <= dec_sign; display_active_nxt <= display_active; -- dout always "0..0", no readable registers available iobus_dout <= (others => '0'); -- chip select if iobus_cs = '1' then -- write (no read allowed) if iobus_wr = '1' then -- decode LSB: choose if hex_display or dec_display is changed if iobus_addr(0) = '1' then -- dec -- check MSB for positive or negative number if iobus_din(iobus_din'length-1) = '0' then dec_display_nxt <= iobus_din(dec_display'length-1 downto 0); dec_sign_nxt <= '0'; else -- save positive value dec_display_nxt <= std_ulogic_vector(-signed(iobus_din(dec_display'length-1 downto 0))); dec_sign_nxt <= '1'; end if; -- activate dec-display display_active_nxt(0) <= '1'; else -- hex -- check bits 1 to 4 of iobus_addr for i in 0 to 3 loop -- check if register should be changed if iobus_addr(i+1) = '1' then -- write date to array hex_display_nxt(i) <= iobus_din(i*4 + 3 downto i*4); -- activate display display_active_nxt(i+1) <= '1'; end if; end loop; end if; end if; end if; end process; -- decode LUT for hex-displays process(hex_display, display_active) begin -- for each hex-display for i in 0 to 3 loop -- check if display is active / has been written to if display_active(i+1) = '1' then case hex_display(i) is when "0000" => hex_seg(i) <= "0111111"; -- 0 when "0001" => hex_seg(i) <= "0000110"; -- 1 when "0010" => hex_seg(i) <= "1011011"; -- 2 when "0011" => hex_seg(i) <= "1001111"; -- 3 when "0100" => hex_seg(i) <= "1100110"; -- 4 when "0101" => hex_seg(i) <= "1101101"; -- 5 when "0110" => hex_seg(i) <= "1111101"; -- 6 when "0111" => hex_seg(i) <= "0000111"; -- 7 when "1000" => hex_seg(i) <= "1111111"; -- 8 when "1001" => hex_seg(i) <= "1101111"; -- 9 when "1010" => hex_seg(i) <= "1110111"; -- A when "1011" => hex_seg(i) <= "1111100"; -- b when "1100" => hex_seg(i) <= "0111001"; -- C when "1101" => hex_seg(i) <= "1011110"; -- d when "1110" => hex_seg(i) <= "1111001"; -- E when "1111" => hex_seg(i) <= "1110001"; -- F when others => hex_seg(i) <= "1111001"; -- wrong value: display E end case; -- deactivate display else hex_seg(i) <= (others => '0'); end if; end loop; end process; -- decode LUT for dec-display process(dec_display, dec_sign, display_active) variable bcd : std_ulogic_vector(11 downto 0); begin -- check if display is active / has been written to if display_active(0) = '1' then -- if value is too big if unsigned(dec_display) > to_unsigned(999, dec_display'length) then -- display E (for "Error") dec_seg(0) <= "1111001"; dec_seg(1) <= "0000000"; dec_seg(2) <= "0000000"; dec_seg(3) <= "0000000"; else -- convert binary to bcd bcd := to_bcd(dec_display, 3); -- for each bcd digit for i in 0 to 2 loop if bcd((i+1)*4 -1 downto i*4) = "0000" then dec_seg(i) <= "0111111"; -- 0 elsif bcd((i+1)*4 -1 downto i*4) = "0001" then dec_seg(i) <= "0000110"; -- 1 elsif bcd((i+1)*4 -1 downto i*4) = "0010" then dec_seg(i) <= "1011011"; -- 2 elsif bcd((i+1)*4 -1 downto i*4) = "0011" then dec_seg(i) <= "1001111"; -- 3 elsif bcd((i+1)*4 -1 downto i*4) = "0100" then dec_seg(i) <= "1100110"; -- 4 elsif bcd((i+1)*4 -1 downto i*4) = "0101" then dec_seg(i) <= "1101101"; -- 5 elsif bcd((i+1)*4 -1 downto i*4) = "0110" then dec_seg(i) <= "1111101"; -- 6 elsif bcd((i+1)*4 -1 downto i*4) = "0111" then dec_seg(i) <= "0000111"; -- 7 elsif bcd((i+1)*4 -1 downto i*4) = "1000" then dec_seg(i) <= "1111111"; -- 8 elsif bcd((i+1)*4 -1 downto i*4) = "1001" then dec_seg(i) <= "1101111"; -- 9 else dec_seg(i) <= "1111001"; -- wrong value: display E end if; end loop; -- sign-symbol if dec_sign = '1' then dec_seg(3) <= "1000000"; else -- turn off sign-symbol dec_seg(3) <= (others => '0'); end if; end if; -- deactivate display else dec_seg(0) <= (others => '0'); dec_seg(1) <= (others => '0'); dec_seg(2) <= (others => '0'); dec_seg(3) <= (others => '0'); end if; end process; end rtl;
-- ----------------------------------------------------------------------- -- -- Turbo Chameleon -- -- Multi purpose FPGA expansion for the Commodore 64 computer -- -- ----------------------------------------------------------------------- -- Copyright 2005-2021 by Peter Wendrich ([email protected]) -- http://www.syntiac.com/chameleon.html -- -- This source file is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published -- by the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This source file is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ----------------------------------------------------------------------- -- -- Part of the Gigatron emulator. -- SRAM emulation mapped to FPGA blockram. -- -- ----------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- ----------------------------------------------------------------------- entity gigatron_ram is generic ( abits : integer := 15; dbits : integer := 8 ); port ( clk : in std_logic; we : in std_logic; a : in unsigned(abits-1 downto 0); d : in unsigned(dbits-1 downto 0); q : out unsigned(dbits-1 downto 0) ); end entity; -- ----------------------------------------------------------------------- architecture rtl of gigatron_ram is constant ramsize : integer := 2**abits; type ram_t is array(0 to ramsize-1) of unsigned(d'range); signal ram_reg : ram_t := (others => (others => '0')); signal q_reg : unsigned(q'range); begin q <= q_reg; process(clk) begin if rising_edge(clk) then if we = '1' then ram_reg(to_integer(a)) <= d; end if; q_reg <= ram_reg(to_integer(a)); end if; end process; end architecture;
-- Template for VGA output by: Rene Kristensen -- This design template uses gated clocks which generally are bad design practice. -- This implies that the template design is not optimized for speed and will only serve for educational purpose. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity vga is port (clk,reset : in std_logic; red, green, blue : out std_logic_vector(9 downto 0); hsync, vsync, clockOut, blank, compSync: out std_logic); end vga; architecture testGenerator of vga is -- horizontal Timing constants for 640 x 480 @ 60Hz constant hFrontPorch : natural := 16; -- units are number of 25 MHz clocks constant hBackPorch : natural := 48; constant hDataLen : natural := 640; constant hSynWidth : natural := 96; -- vertical Timing constants for 640 x 480 @ 60Hz constant vFrontPorch : natural := 10; -- units are number of lines constant vBackPorch : natural := 33; constant vDataLen : natural := 480; constant vSynWidth : natural := 2; -- signal declaration signal hSyncCounter, vSyncCounter : integer range 0 to 1023; -- contrain integer to 10 bit. signal hSyncOut,vSyncOut,clk25,vBlank,hBlank : std_logic; -- attributes ensuring the signals defined below are not reduced away before simulation. attribute keep: boolean; -- don't reduce vSyncCounter and hSyncCounter signals away, so we can watch these signals i simulator attribute keep of vSyncCounter : signal is true; -- || -- attribute keep of hSyncCounter: signal is true; -- || -- -- INSERT YOUR PROCEDURE HERE. -- Your procedure should circular increment syncCounter, produce blanking and sync output. begin clkdiv: process (reset,clk) -- creates a 25 MHz pixel clock (clk25) from a 50 MHz input (clk). begin if (reset = '0') then clk25 <= '0'; elsif rising_edge(clk) then clk25 <= not clk25; end if; end process; -- horizontal process using the generic syncGenerator function to generate a proper hsync pulse. hsyn: process (reset,clk25) -- reacts on reset and 25 MHz clock. begin if reset = '0' then hSyncCounter <= 0; elsif rising_edge(clk25) then syncGenerator(hSyncCounter,hSyncOut,hBlank,hFrontPorch,hBackPorch,hDataLen,hSynWidth); -- generates active low pulse after every line end if; end process; -- vertical process using the generic syncGenerator function to generate a proper vsync pulse. vsyn: process (reset,hSyncOut) -- reacts on reset and hsync (meaning every line). begin if reset = '0' then vSyncCounter <= 0; elsif rising_edge(hSyncOut) then syncGenerator(vSyncCounter,vSyncOut,vBlank,vFrontPorch,vBackPorch,vDataLen,vSynWidth); -- generates active low pulse after every picture end if; end process; color: process (reset, hSyncCounter,vSyncCounter) -- draws italian flag color scheme begin if ((hSyncCounter > hBackPorch) and (hSyncCounter <= hBackPorch+213)) then red <= (others => '0'); -- Green green <= (others => '1'); blue <= (others => '0'); elsif ((hSyncCounter > hBackPorch+213) and (hSyncCounter <= hBackPorch+426)) then red <= (others => '1'); -- White green <= (others => '1'); blue <= (others => '1'); else red <= (others => '1'); -- Red green <= (others => '0'); blue <= (others => '0'); end if; end process; vsync <= vSyncOut; -- connect vsync to entity hsync <= hSyncOut; -- connect hsync to entity clockOut <= clk25; -- 25 MHz clock for DAC blank <= not (vBlank or hBlank); -- active low blanking. compSync <= '1'; -- Never perform any composite sync. end testGenerator;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.io_bus_pkg.all; entity uart_peripheral_io is generic ( g_tx_fifo : boolean := true; g_divisor : natural := 417 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; irq : out std_logic; txd : out std_logic; rxd : in std_logic := '1'; rts : out std_logic; cts : in std_logic := '1' ); end uart_peripheral_io; architecture gideon of uart_peripheral_io is signal dotx : std_logic; signal done : std_logic; signal rxchar : std_logic_vector(7 downto 0); signal rx_ack : std_logic; signal rxfifo_get : std_logic; signal rxfifo_dout : std_logic_vector(7 downto 0); signal rxfifo_full : std_logic; signal rxfifo_dav : std_logic; signal overflow : std_logic; signal flags : std_logic_vector(7 downto 0); signal imask : std_logic_vector(7 downto 6); signal rdata_mux : std_logic_vector(7 downto 0); signal txfifo_get : std_logic; signal txfifo_put : std_logic; signal txfifo_dout : std_logic_vector(7 downto 0); signal txfifo_full : std_logic := '1'; signal txfifo_dav : std_logic; signal dotx_d : std_logic; signal txchar : std_logic_vector(7 downto 0); constant c_uart_data : unsigned(1 downto 0) := "00"; constant c_uart_get : unsigned(1 downto 0) := "01"; constant c_uart_flags : unsigned(1 downto 0) := "10"; constant c_uart_imask : unsigned(1 downto 0) := "11"; begin my_tx: entity work.tx generic map (g_divisor) port map ( clk => clock, reset => reset, dotx => dotx, txchar => txchar, cts => cts, txd => txd, done => done ); my_rx: entity work.rx generic map (g_divisor) port map ( clk => clock, reset => reset, rxd => rxd, rxchar => rxchar, rx_ack => rx_ack ); my_rxfifo: entity work.srl_fifo generic map ( Width => 8, Threshold => 12 ) port map ( clock => clock, reset => reset, GetElement => rxfifo_get, PutElement => rx_ack, FlushFifo => '0', DataIn => rxchar, DataOut => rxfifo_dout, SpaceInFifo => open, AlmostFull => rxfifo_full, DataInFifo => rxfifo_dav ); gentx: if g_tx_fifo generate my_txfifo: entity work.srl_fifo generic map ( Width => 8, Threshold => 12 ) port map ( clock => clock, reset => reset, GetElement => txfifo_get, PutElement => txfifo_put, FlushFifo => '0', DataIn => io_req.data, DataOut => txfifo_dout, SpaceInFifo => open, AlmostFull => txfifo_full, DataInFifo => txfifo_dav ); end generate; process(clock) begin if rising_edge(clock) then rxfifo_get <= '0'; dotx_d <= dotx; txfifo_get <= dotx_d; io_resp <= c_io_resp_init; if rxfifo_full='1' and rx_ack='1' then overflow <= '1'; end if; txfifo_put <= '0'; if g_tx_fifo then dotx <= txfifo_dav and done and not dotx; txchar <= txfifo_dout; else dotx <= '0'; -- default, overridden with write end if; if io_req.write='1' then io_resp.ack <= '1'; case io_req.address(1 downto 0) is when c_uart_data => -- dout if not g_tx_fifo then txchar <= io_req.data; dotx <= '1'; else -- there is a fifo txfifo_put <= '1'; end if; when c_uart_get => -- din rxfifo_get <= '1'; when c_uart_flags => -- clear flags overflow <= overflow and not io_req.data(0); when c_uart_imask => -- interrupt control imask <= io_req.data(7 downto 6); when others => null; end case; elsif io_req.read='1' then io_resp.ack <= '1'; io_resp.data <= rdata_mux; end if; if (flags(7 downto 6) and imask) /= "00" then irq <= '1'; else irq <= '0'; end if; if reset='1' then overflow <= '0'; imask <= (others => '0'); end if; end if; end process; flags(0) <= overflow; flags(1) <= '0'; flags(2) <= '0'; flags(3) <= '0'; flags(4) <= txfifo_full; flags(5) <= rxfifo_full; flags(6) <= done; flags(7) <= rxfifo_dav; rts <= not rxfifo_full; with io_req.address(1 downto 0) select rdata_mux <= rxfifo_dout when c_uart_data, flags when c_uart_flags, imask & "000000" when c_uart_imask, X"00" when others; end gideon;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; package synchronize is procedure init_synchronize ( signal synch : out std_logic ); procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); end package synchronize; package body synchronize is -- code from book procedure init_synchronize ( signal synch : out std_logic ) is begin synch <= '0'; end procedure init_synchronize; procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= 'Z' after Tdelay; wait until synch = 'H'; end procedure begin_synchronize; procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= '0' after Tdelay; wait until synch = '0'; end procedure end_synchronize; -- end code from book end package body synchronize;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; package synchronize is procedure init_synchronize ( signal synch : out std_logic ); procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); end package synchronize; package body synchronize is -- code from book procedure init_synchronize ( signal synch : out std_logic ) is begin synch <= '0'; end procedure init_synchronize; procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= 'Z' after Tdelay; wait until synch = 'H'; end procedure begin_synchronize; procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= '0' after Tdelay; wait until synch = '0'; end procedure end_synchronize; -- end code from book end package body synchronize;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; package synchronize is procedure init_synchronize ( signal synch : out std_logic ); procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ); end package synchronize; package body synchronize is -- code from book procedure init_synchronize ( signal synch : out std_logic ) is begin synch <= '0'; end procedure init_synchronize; procedure begin_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= 'Z' after Tdelay; wait until synch = 'H'; end procedure begin_synchronize; procedure end_synchronize ( signal synch : inout std_logic; Tdelay : in delay_length := 0 fs ) is begin synch <= '0' after Tdelay; wait until synch = '0'; end procedure end_synchronize; -- end code from book end package body synchronize;
--------------------------------------------------------------------------- -- -- Title: Hardware Thread User Logic Exit Thread -- To be used as a place holder, and size estimate for HWTI -- --------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_misc.all; library Unisim; use Unisim.all; --------------------------------------------------------------------------- -- Port declarations --------------------------------------------------------------------------- -- Definition of Ports: -- -- Misc. Signals -- clock -- -- HWTI to HWTUL interconnect -- intrfc2thrd_address 32 bits memory -- intrfc2thrd_value 32 bits memory function -- intrfc2thrd_function 16 bits control -- intrfc2thrd_goWait 1 bits control -- -- HWTUL to HWTI interconnect -- thrd2intrfc_address 32 bits memory -- thrd2intrfc_value 32 bits memory function -- thrd2intrfc_function 16 bits function -- thrd2intrfc_opcode 6 bits memory function -- --------------------------------------------------------------------------- -- Thread Manager Entity section --------------------------------------------------------------------------- entity user_logic_hwtul is port ( clock : in std_logic; intrfc2thrd_address : in std_logic_vector(0 to 31); intrfc2thrd_value : in std_logic_vector(0 to 31); intrfc2thrd_function : in std_logic_vector(0 to 15); intrfc2thrd_goWait : in std_logic; thrd2intrfc_address : out std_logic_vector(0 to 31); thrd2intrfc_value : out std_logic_vector(0 to 31); thrd2intrfc_function : out std_logic_vector(0 to 15); thrd2intrfc_opcode : out std_logic_vector(0 to 5) ); end entity user_logic_hwtul; --------------------------------------------------------------------------- -- Architecture section --------------------------------------------------------------------------- architecture IMP of user_logic_hwtul is --------------------------------------------------------------------------- -- Signal declarations --------------------------------------------------------------------------- type state_machine is ( FUNCTION_RESET, FUNCTION_USER_SELECT, FUNCTION_START, FUNCTION_EXIT, STATE_1, STATE_2, STATE_3, STATE_4, STATE_5, STATE_6, STATE_7, STATE_8, STATE_9, STATE_10, STATE_11, STATE_12, STATE_13, STATE_14, STATE_15, STATE_16, STATE_17, STATE_18, STATE_19, STATE_20, WAIT_STATE, ERROR_STATE); -- Function definitions constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000"; constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001"; constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002"; constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003"; constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101"; constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102"; constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103"; constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104"; constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105"; constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106"; constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107"; constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108"; constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109"; constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110"; constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111"; constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112"; constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113"; constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114"; constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115"; constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116"; constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117"; constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118"; constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119"; constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120"; -- Range 0003 to 7999 reserved for user logic's state machine -- Range 8000 to 9999 reserved for system calls constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000"; constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001"; constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010"; constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011"; constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012"; constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013"; constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014"; constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015"; constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016"; constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020"; constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021"; constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022"; constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023"; constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030"; constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031"; constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032"; constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033"; constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034"; constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040"; constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041"; constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042"; constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043"; constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050"; constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051"; constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052"; constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053"; constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054"; -- Ranged A000 to FFFF reserved for supported library calls constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000"; constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001"; constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002"; -- user_opcode Constants constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000"; -- Memory sub-interface specific opcodes constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001"; constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010"; constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011"; constant OPCODE_READ : std_logic_vector(0 to 5) := "000100"; constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101"; constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110"; -- Function sub-interface specific opcodes constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000"; constant OPCODE_POP : std_logic_vector(0 to 5) := "010001"; constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010"; constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011"; constant Z32 : std_logic_vector(0 to 31) := (others => '0'); signal current_state, next_state : state_machine := FUNCTION_RESET; signal return_state, return_state_next: state_machine := FUNCTION_RESET; signal toUser_address : std_logic_vector(0 to 31); signal toUser_value : std_logic_vector(0 to 31); signal toUser_function : std_logic_vector(0 to 15); signal toUser_goWait : std_logic; signal retVal, retVal_next : std_logic_vector(0 to 31); signal arg, arg_next : std_logic_vector(0 to 31); signal reg1, reg1_next : std_logic_vector(0 to 31); signal reg2, reg2_next : std_logic_vector(0 to 31); signal reg3, reg3_next : std_logic_vector(0 to 31); signal reg4, reg4_next : std_logic_vector(0 to 31); signal reg5, reg5_next : std_logic_vector(0 to 31); signal reg6, reg6_next : std_logic_vector(0 to 31); signal reg7, reg7_next : std_logic_vector(0 to 31); signal reg8, reg8_next : std_logic_vector(0 to 31); --------------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------------- begin -- architecture IMP HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is begin if (clock'event and (clock = '1')) then toUser_address <= intrfc2thrd_address; toUser_value <= intrfc2thrd_value; toUser_function <= intrfc2thrd_function; toUser_goWait <= intrfc2thrd_goWait; return_state <= return_state_next; retVal <= retVal_next; arg <= arg_next; reg1 <= reg1_next; reg2 <= reg2_next; reg3 <= reg3_next; reg4 <= reg4_next; reg5 <= reg5_next; reg6 <= reg6_next; reg7 <= reg7_next; reg8 <= reg8_next; -- Find out if the HWTI is tell us what to do if (intrfc2thrd_goWait = '1') then case intrfc2thrd_function is -- Typically the HWTI will tell us to control our own destiny when U_FUNCTION_USER_SELECT => current_state <= next_state; -- List all the functions the HWTI could tell us to run when U_FUNCTION_RESET => current_state <= FUNCTION_RESET; when U_FUNCTION_START => current_state <= FUNCTION_START; when U_STATE_1 => current_state <= STATE_1; when U_STATE_2 => current_state <= STATE_2; when U_STATE_3 => current_state <= STATE_3; when U_STATE_4 => current_state <= STATE_4; when U_STATE_5 => current_state <= STATE_5; when U_STATE_6 => current_state <= STATE_6; when U_STATE_7 => current_state <= STATE_7; when U_STATE_8 => current_state <= STATE_8; when U_STATE_9 => current_state <= STATE_9; when U_STATE_10 => current_state <= STATE_10; when U_STATE_11 => current_state <= STATE_11; when U_STATE_12 => current_state <= STATE_12; when U_STATE_13 => current_state <= STATE_13; when U_STATE_14 => current_state <= STATE_14; when U_STATE_15 => current_state <= STATE_15; when U_STATE_16 => current_state <= STATE_16; when U_STATE_17 => current_state <= STATE_17; when U_STATE_18 => current_state <= STATE_18; when U_STATE_19 => current_state <= STATE_19; when U_STATE_20 => current_state <= STATE_20; -- If the HWTI tells us to do something we don't know, error when OTHERS => current_state <= ERROR_STATE; end case; else current_state <= WAIT_STATE; end if; end if; end process HWTUL_STATE_PROCESS; HWTUL_STATE_MACHINE : process (clock) is begin -- Default register assignments thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_USER_SELECT; return_state_next <= return_state; next_state <= current_state; retVal_next <= retVal; arg_next <= arg; reg1_next <= reg1; reg2_next <= reg2; reg3_next <= reg3; reg4_next <= reg4; reg5_next <= reg5; reg6_next <= reg6; reg7_next <= reg7; reg8_next <= reg8; -- attr_destroy_3.c -- The state machine case current_state is when FUNCTION_RESET => --Set default values thrd2intrfc_opcode <= OPCODE_NOOP; thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_START; -- hthread_attr_t * attr = (hthread_attr_t *) arg when FUNCTION_START => -- Pop the argument thrd2intrfc_value <= Z32; thrd2intrfc_opcode <= OPCODE_POP; next_state <= WAIT_STATE; return_state_next <= STATE_1; -- hthread_attr_init( attr ); when STATE_1 => -- Push the argument to hthread_attr_init arg_next <= intrfc2thrd_value; thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= intrfc2thrd_value; next_state <= WAIT_STATE; return_state_next <= STATE_2; when STATE_2 => -- Call hthread_attr_init thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_INIT; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_3; next_state <= WAIT_STATE; -- retVal = hthread_attr_destroy( attr ); when STATE_3 => -- Push the argument to hthread_attr_init thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= arg; next_state <= WAIT_STATE; return_state_next <= STATE_4; when STATE_4 => -- Call hthread_attr_destroy thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_DESTROY; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_5; next_state <= WAIT_STATE; when STATE_5 => retVal_next <= intrfc2thrd_value; next_state <= FUNCTION_EXIT; when FUNCTION_EXIT => --Same as hthread_exit( (void *) retVal ); thrd2intrfc_value <= retVal; thrd2intrfc_opcode <= OPCODE_RETURN; next_state <= WAIT_STATE; when WAIT_STATE => next_state <= return_state; when ERROR_STATE => next_state <= ERROR_STATE; when others => next_state <= ERROR_STATE; end case; end process HWTUL_STATE_MACHINE; end architecture IMP;
library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; use IEEE.NUMERIC_STD.all; use work.pico_cpu.all; --ALU entity entity ALU is generic (BitWidth: integer); port ( A: in std_logic_vector (BitWidth-1 downto 0); B: in std_logic_vector (BitWidth-1 downto 0); Command: in std_logic_vector (3 downto 0); Cflag_in: in std_logic; Cflag_out: out std_logic; Result: out std_logic_vector (BitWidth-1 downto 0) ); end ALU; --Architecture of the DPU architecture RTL of ALU is --------------------------------------------- -- Signals --------------------------------------------- signal AddSub_result: std_logic_vector (BitWidth-1 downto 0) := (others => '0'); signal Cout,Add_Sub: std_logic := '0'; begin --------------------------------------------- -- component instantiation --------------------------------------------- Adder_comp: Adder_Sub generic map (BitWidth => BitWidth) port map (A,B,Add_Sub,AddSub_result,Cout); --------------------------------------------- Cflag_out <= Cout; PROC_ALU: process(Command,A,B,AddSub_result,Cflag_in) begin Add_Sub <= '0'; --adding by default case Command is WHEN "0000" => Result<= AddSub_result; --add WHEN "0001" => Add_Sub <= '1'; Result<= AddSub_result; -- Subtract WHEN "0010" => Result<= A; --Bypass A WHEN "0011" => Result<= B; --Bypass B WHEN "0100" => Result<= A and B; --And WHEN "0101" => Result<= A or B; --or WHEN "0110" => Result<= A xor B; --xor WHEN "0111" => Result<= '0' & A(BitWidth-1 downto 1) ; --shift right WHEN "1000" => Result<= A(BitWidth-2 downto 0)& '0' ; --shift left WHEN "1001" => Result<= not A +1; --negation WHEN "1010" => Result<= A(BitWidth-1) & A(BitWidth-1 downto 1) ; --shift right Arith WHEN "1011" => Result<= A(BitWidth-1) & A(BitWidth-3 downto 0)& A(0) ; --shift left Arith WHEN "1100" => Result<= not(A); --Not of A WHEN "1101" => Result<= (others => '0'); --Clear ACC WHEN "1110" => Result<= Cflag_in & A(BitWidth-1 downto 1); -- RRC WHEN "1111" => Result<= A(BitWidth-2 downto 0)& Cflag_in ; -- RLC WHEN OTHERS => Result<= (others => '0'); END CASE; end process PROC_ALU; end RTL;
-- ------------------------------------------------------------- -- -- Generated Configuration for pads_eastnord -- -- Generated -- by: wig -- on: Thu Jan 19 07:44:48 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../padio2.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: pads_eastnord-struct-conf-c.vhd,v 1.4 2006/01/19 08:50:41 wig Exp $ -- $Date: 2006/01/19 08:50:41 $ -- $Log: pads_eastnord-struct-conf-c.vhd,v $ -- Revision 1.4 2006/01/19 08:50:41 wig -- Updated testcases, left 6 failing now (constant, bitsplice/X, ...) -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.75 2006/01/18 16:59:29 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.43 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration pads_eastnord_struct_conf / pads_eastnord -- configuration pads_eastnord_struct_conf of pads_eastnord is for struct -- Generated Configuration for ioc_db2o_10 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_db2o_11 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_db2o_12 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_db2o_13 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_db2o_14 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_db2o_15 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_10 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_11 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_12 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_13 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_14 : ioc use configuration work.ioc_rtl_conf; end for; for ioc_dbo_15 : ioc use configuration work.ioc_rtl_conf; end for; end for; end pads_eastnord_struct_conf; -- -- End of Generated Configuration pads_eastnord_struct_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-------------------------------------------------------------------------------- -- Entity: mem_io_synth -- Date:2016-07-17 -- Author: Gideon -- -- Description: Testbench for altera io for ddr -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mem_io_synth is port ( ref_clock : in std_logic := '0'; ref_reset : in std_logic; sys_clock : out std_logic; sys_reset : out std_logic; write_data : out std_logic_vector(15 downto 0); read_data : out std_logic_vector(15 downto 0); do_read : out std_logic; rdata_valid : out std_logic; mode : in std_logic_vector(1 downto 0) := "00"; measurement : out std_logic_vector(11 downto 0); SDRAM_CLK : inout std_logic := 'Z'; SDRAM_CLKn : inout std_logic := 'Z'; SDRAM_A : out std_logic_vector(7 downto 0); SDRAM_DQ : inout std_logic_vector(3 downto 0); SDRAM_DQS : inout std_logic ); end entity; architecture arch of mem_io_synth is signal sys_clock_i : std_logic; signal sys_reset_i : std_logic; signal phasecounterselect : std_logic_vector(2 downto 0) := (others => '0'); signal phasestep : std_logic := '0'; signal phaseupdown : std_logic := '0'; signal phasedone : std_logic := '0'; signal addr_first : std_logic_vector(7 downto 0) := X"00"; signal addr_second : std_logic_vector(7 downto 0) := X"00"; signal wdata : std_logic_vector(15 downto 0) := X"0000"; signal wdata_oe : std_logic; signal counter : unsigned(4 downto 0) := (others => '0'); begin i_mut: entity work.mem_io port map ( ref_clock => ref_clock, ref_reset => ref_reset, sys_clock => sys_clock_i, sys_reset => sys_reset_i, phasecounterselect => phasecounterselect, phasestep => phasestep, phaseupdown => phaseupdown, phasedone => phasedone, mode => mode, measurement => measurement, addr_first => addr_first, addr_second => addr_second, wdata => wdata, wdata_oe => wdata_oe, rdata => read_data, mem_clk_p => SDRAM_CLK, mem_clk_n => SDRAM_CLKn, mem_addr => SDRAM_A, mem_dq => SDRAM_DQ, mem_dqs => SDRAM_DQS ); process(sys_clock_i, phasedone) begin if rising_edge(sys_clock_i) then counter <= counter + 1; wdata_oe <= '0'; addr_first <= (others => '1'); addr_second <= (others => '1'); do_read <= '0'; rdata_valid <= '0'; case counter is when "01101" => addr_first(7) <= '0'; addr_first(5) <= '0'; -- write when "01110" => wdata <= wdata(0) & wdata(15 downto 1); wdata_oe <= '1'; when "01111" => addr_first(7) <= '0'; addr_first(6) <= '0'; -- row when "10000" => addr_first(7) <= '0'; addr_first(4) <= '0'; -- read do_read <= '1'; when "10100" => rdata_valid <= '1'; when "10111" => phasecounterselect <= "101"; -- read clock phasestep <= '1'; when "01100" => phasecounterselect <= "110"; -- measure phasestep <= '1'; when others => null; end case; if sys_reset_i = '1' then phasestep <= '0'; wdata <= X"B769"; end if; end if; if phasedone = '0' then phasestep <= '0'; end if; end process; sys_clock <= sys_clock_i; sys_reset <= sys_reset_i; write_data <= wdata; end arch;
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Sep 15, 2011 -- Design Name: FMC-IMAGEON -- Module Name: user_logic.vhd -- Project Name: FMC-IMAGEON -- Target Devices: Virtex-6 -- Kintex-7, Zynq -- Avnet Boards: FMC-IMAGEON -- -- Tool versions: ISE 14.1 -- -- Description: FMC-IMAGEON VITA receiver - User Logic. -- This layer implements the following programming model -- 0x00 - SPI_CONTROL -- [ 0] VITA_RESET -- [ 1] SPI_RESET -- [ 8] SPI_STATUS_BUSY -- [ 9] SPI_STATUS_ERROR -- [16] SPI_TXFIFO_FULL -- [24] SPI_RXFIFO_EMPTY -- 0x04 - SPI_TIMING[15:0] -- 0x08 - SPI_TXFIFO_DATA[31:0] -- 0x0C - SPI_RXFIFO_DATA[31:0] -- 0x10 - ISERDES_CONTROL -- [ 0] ISERDES_RESET -- [ 1] ISERDES_AUTO_ALIGN -- [ 2] ISERDES_ALIGN_START -- [ 3] ISERDES_FIFO_ENABLE -- [ 8] ISERDES_CLK_READY -- [ 9] ISERDES_ALIGN_BUSY -- [10] ISERDES_ALIGNED -- [23:16] ISERDES_TXCLK_STATUS -- [31:24] ISERDES_RXCLK_STATUS -- 0x14 - ISERDES_TRAINING -- 0x18 - ISERDES_MANUAL_TAP -- 0x1C - {unused} -- 0x20 - DECODER_CONTROL -- [0] DECODER_RESET -- [1] DECODER_ENABLE -- 0x24 - DECODER_STARTODDEVEN -- 0x28 - DECODER_CODES_LS_LE -- [15: 0] CODE_LS -- [31:16] CODE_LE -- 0x2C - DECODER_CODES_FS_FE -- [15: 0] CODE_FS -- [31:16] CODE_FE -- 0x30 - DECODER_CODES_BL_IMG -- [15: 0] CODE_BL -- [31:16] CODE_IMG -- 0x34 - DECODER_CODES_TR_CRC -- [15: 0] CODE_TR -- [31:16] CODE_CRC -- 0x38 - DECODER_CNT_BLACK_LINES -- 0x3C - DECODER_CNT_IMAGE_LINES -- 0x40 - DECODER_CNT_BLACK_PIXELS -- 0x44 - DECODER_CNT_IMAGE_PIXELS -- 0x48 - DECODER_CNT_FRAMES -- 0x4C - DECODER_CNT_WINDOWS -- 0x50 - DECODER_CNT_CLOCKS -- 0x54 - DECODER_CNT_START_LINES -- 0x58 - DECODER_CNT_END_LINES -- 0x5C - SYNCGEN_DELAY -- [15: 0] DELAY -- 0x60 - SYNCGEN_HTIMING1 -- [15: 0] HACTIVE -- [31:16] HFPORCH -- 0x64 - SYNCGEN_HTIMING2 -- [15: 0] HSYNC -- [31:16] HBPORCH -- 0x68 - SYNCGEN_VTIMING1 -- [15: 0] VACTIVE -- [31:16] VFPORCH -- 0x6C - SYNCGEN_VTIMING2 -- [15: 0] VSYNC -- [31:16] VBPORCH -- 0x70 - CRC_CONTROL -- [0] CRC_RESET -- [1] CRC_INITVALUE -- 0x74 - CRC_STATUS -- 0x78 - REMAPPER_CONTROL[7:0] -- [2:0] REMAPPER_WRITE_CFG -- [6:4] REMAPPER_MODE -- 0x7C - {unused} -- 0x80 - FPN_PRNU_VALUES[ 31: 0] -- [ 7: 0] PRNU_0 -- [15: 8] FPN_0 -- [23:16] PRNU_1 -- [31:24] FPN_1 -- 0x84 - FPN_PRNU_VALUES[ 63: 32] -- [ 7: 0] PRNU_2 -- [15: 8] FPN_2 -- [23:16] PRNU_3 -- [31:24] FPN_3 -- 0x88 - FPN_PRNU_VALUES[ 95: 64] -- 0x8C - FPN_PRNU_VALUES[127: 96] -- 0x90 - FPN_PRNU_VALUES[159:128] -- 0x94 - FPN_PRNU_VALUES[191:160] -- 0x98 - FPN_PRNU_VALUES[223:192] -- 0x9C - FPN_PRNU_VALUES[255:224] -- [ 7: 0] PRNU_14 -- [15: 8] FPN_14 -- [23:16] PRNU_15 -- [31:24] FPN_15 -- 0xA0 - {unused} -- 0xA4 - {unused} -- 0xA8 - {unused} -- 0xAC - {unused} -- 0xB0 - {unused} -- 0xB4 - {unused} -- 0xB8 - {unused} -- 0xBC - {unused} -- 0xC0 - DECODER_CNT_MONITOR0_HIGH -- 0xC4 - DECODER_CNT_MONITOR0_LOW -- 0xC8 - DECODER_CNT_MONITOR1_HIGH -- 0xCC - DECODER_CNT_MONITOR1_LOW -- 0xD0 - {unused} -- 0xD4 - {unused} -- 0xD8 - {unused} -- 0xDC - TRIGGEN_EXT_DEBOUNCE -- 0xE0 - TRIGGEN_CONTROL -- [ 2: 0] TRIGGEN_ENABLE -- [ 6: 4] TRIGGEN_SYNC2READOUT -- [ 8] TRIGGEN_READOUTTRIGGER -- [ 16] TRIGGEN_EXT_POLARITY -- [ 24] TRIGGEN_CNT_UPDATE -- [30:28] TRIGGEN_GEN_POLARITY -- 0xE4 - TRIGGEN_DEFAULT_FREQ -- 0xE8 - TRIGGEN_TRIG0_HIGH -- 0xEC - TRIGGEN_TRIG0_LOW -- 0xF0 - TRIGGEN_TRIG1_HIGH -- 0xF4 - TRIGGEN_TRIG1_LOW -- 0xF8 - TRIGGEN_TRIG2_HIGH -- 0xFC - TRIGGEN_TRIG2_LOW -- -- -- Dependencies: -- -- Revision: Sep 15, 2011: 1.00 Initial version: -- - VITA SPI controller -- Sep 22, 2011: 1.01 Added: -- - ISERDES interface -- Sep 28, 2011: 1.02 Added: -- - sync channel decoder -- - crc checker -- - data remapper -- Oct 20, 2011: 1.03 Modify: -- - iserdes (use BUFR) -- Oct 21, 2011: 1.04 Added: -- - fpn prnu correction -- Nov 03, 2011: 1.05 Added: -- - trigger generator -- Dec 19, 2011: 1.06 Modified: -- - port to Kintex-7 -- Jan 12, 2012: 1.07 Added: -- - new fsync output port -- Modify: -- - syncgen -- Feb 06, 2012: 1.08 Modify: -- - triggergenerator -- (new version with debounce logic) -- - new C_XSVI_DIRECT_OUTPUT option -- Feb 22, 2012: 1.09 Modified -- - port to Zynq -- - new C_XSVI_USE_SYNCGEN option -- May 13, 2012: 1.10 Optimize -- - remove one layer of registers -- May 28, 2012: 1.11 Added -- - host_triggen_cnt_update -- (for simultaneous update of high/low values) -- - host_triggen_gen_polarity -- Jun 01, 2012: 1.12 Modify: -- - Move syncgen after demux_fifo -- - Increase size of demux_fifo -- (to tolerate jitter in video timing from sensor) -- - Add programmable delay on framestart for syncgen -- Jul 31, 2012: 1.13 Modify: -- - define clk200, clk, clk4x with SIGIS = CLK -- - define reset with SIGIS = RST -- - port to Spartan-6 -- ------------------------------------------------------------------ ------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Thu Sep 15 13:07:23 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here library fmc_imageon_vita_receiver_v1_13_a; use fmc_imageon_vita_receiver_v1_13_a.fmc_imageon_vita_core; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Addr -- Bus to IP address bus -- Bus2IP_CS -- Bus to IP chip select -- Bus2IP_RNW -- Bus to IP read/not write -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here C_XSVI_DATA_WIDTH : integer := 10; C_XSVI_DIRECT_OUTPUT : integer := 0; C_XSVI_USE_SYNCGEN : integer := 1; C_FAMILY : string := "virtex6"; -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 64; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here clk200 : in std_logic; clk : in std_logic; clk4x : in std_logic; reset : in std_logic; oe : in std_logic; -- I/O pins io_vita_clk_pll : out std_logic; io_vita_reset_n : out std_logic; io_vita_spi_sclk : out std_logic; io_vita_spi_ssel_n : out std_logic; io_vita_spi_mosi : out std_logic; io_vita_spi_miso : in std_logic; io_vita_trigger : out std_logic_vector(2 downto 0); io_vita_monitor : in std_logic_vector(1 downto 0); io_vita_clk_out_p : in std_logic; io_vita_clk_out_n : in std_logic; io_vita_sync_p : in std_logic; io_vita_sync_n : in std_logic; io_vita_data_p : in std_logic_vector(7 downto 0); io_vita_data_n : in std_logic_vector(7 downto 0); -- Trigger Port trigger1 : in std_logic; -- Frame Sync Port fsync : out std_logic; -- XSVI Port xsvi_vsync_o : out std_logic; xsvi_hsync_o : out std_logic; xsvi_vblank_o : out std_logic; xsvi_hblank_o : out std_logic; xsvi_active_video_o : out std_logic; xsvi_video_data_o : out std_logic_vector((C_XSVI_DATA_WIDTH-1) downto 0); -- Debug Ports debug_host_o : out std_logic_vector(231 downto 0); debug_spi_o : out std_logic_vector( 95 downto 0); debug_iserdes_o : out std_logic_vector(229 downto 0); debug_decoder_o : out std_logic_vector(186 downto 0); debug_crc_o : out std_logic_vector( 87 downto 0); debug_triggen_o : out std_logic_vector( 9 downto 0); debug_video_o : out std_logic_vector( 31 downto 0); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to 31); Bus2IP_CS : in std_logic_vector(0 to 0); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg2 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg3 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg4 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg5 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg6 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg7 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg8 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg9 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg10 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg11 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg12 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg13 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg14 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg15 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg16 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg17 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg18 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg19 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg20 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg21 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg22 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg23 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg24 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg25 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg26 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg27 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg28 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg29 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg30 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg31 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg32 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg33 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg34 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg35 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg36 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg37 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg38 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg39 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg55 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg56 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg57 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg58 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg59 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg60 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg61 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg62 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg63 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg_write_sel : std_logic_vector(63 downto 0); signal slv_reg_read_sel : std_logic_vector(63 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; signal slv_reg4_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg4_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg5_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg6_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg8_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg9_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg10_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg11_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg12_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg13_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg14_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg15_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg16_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg17_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg18_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg19_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg20_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg21_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg22_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg23_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg24_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg25_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg26_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg27_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg28_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg29_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg30_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg32_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg33_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg34_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg35_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg36_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg37_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg38_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg39_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg48_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg49_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg50_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg51_r1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg55_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg56_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg57_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg58_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg59_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg60_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg61_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg62_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg63_w1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- HOST Interface - VITA signal host_vita_reset : std_logic; -- HOST Interface - SPI signal host_spi_clk : std_logic; signal host_spi_reset : std_logic; signal host_spi_timing : std_logic_vector(15 downto 0); signal host_spi_status_busy : std_logic; signal host_spi_status_error : std_logic; signal host_spi_txfifo_clk : std_logic; signal host_spi_txfifo_wen_a1 : std_logic; signal host_spi_txfifo_wen : std_logic; signal host_spi_txfifo_din : std_logic_vector(31 downto 0); signal host_spi_txfifo_full : std_logic; signal host_spi_rxfifo_clk : std_logic; signal host_spi_rxfifo_ren : std_logic; signal host_spi_rxfifo_dout : std_logic_vector(31 downto 0); signal host_spi_rxfifo_empty : std_logic; -- HOST Interface - ISERDES signal host_iserdes_reset : std_logic; signal host_iserdes_auto_align : std_logic; signal host_iserdes_align_start : std_logic; signal host_iserdes_fifo_enable : std_logic; signal host_iserdes_manual_tap : std_logic_vector(9 downto 0); signal host_iserdes_training : std_logic_vector(9 downto 0); -- signal host_iserdes_clk_ready : std_logic; signal host_iserdes_clk_status : std_logic_vector(15 downto 0); signal host_iserdes_align_busy : std_logic; signal host_iserdes_aligned : std_logic; -- HOST Interface - Sync Channel Decoder signal host_decoder_reset : std_logic; signal host_decoder_enable : std_logic; signal host_decoder_startoddeven : std_logic_vector(31 downto 0); signal host_decoder_code_ls : std_logic_vector(9 downto 0); signal host_decoder_code_le : std_logic_vector(9 downto 0); signal host_decoder_code_fs : std_logic_vector(9 downto 0); signal host_decoder_code_fe : std_logic_vector(9 downto 0); signal host_decoder_code_bl : std_logic_vector(9 downto 0); signal host_decoder_code_img : std_logic_vector(9 downto 0); signal host_decoder_code_tr : std_logic_vector(9 downto 0); signal host_decoder_code_crc : std_logic_vector(9 downto 0); signal host_decoder_frame_start : std_logic; signal host_decoder_cnt_black_lines : std_logic_vector(31 downto 0); signal host_decoder_cnt_image_lines : std_logic_vector(31 downto 0); signal host_decoder_cnt_black_pixels : std_logic_vector(31 downto 0); signal host_decoder_cnt_image_pixels : std_logic_vector(31 downto 0); signal host_decoder_cnt_frames : std_logic_vector(31 downto 0); signal host_decoder_cnt_windows : std_logic_vector(31 downto 0); signal host_decoder_cnt_clocks : std_logic_vector(31 downto 0); signal host_decoder_cnt_start_lines : std_logic_vector(31 downto 0); signal host_decoder_cnt_end_lines : std_logic_vector(31 downto 0); signal host_decoder_cnt_monitor0high : std_logic_vector(31 downto 0); signal host_decoder_cnt_monitor0low : std_logic_vector(31 downto 0); signal host_decoder_cnt_monitor1high : std_logic_vector(31 downto 0); signal host_decoder_cnt_monitor1low : std_logic_vector(31 downto 0); -- HOST Interface - CRC Checker signal host_crc_reset : std_logic; signal host_crc_initvalue : std_logic; signal host_crc_status : std_logic_vector(31 downto 0); -- HOST Interface - Data Channel Remapper signal host_remapper_write_cfg : std_logic_vector(2 downto 0); signal host_remapper_mode : std_logic_vector(2 downto 0); -- HOST Interface - Trigger Generator signal host_triggen_enable : std_logic_vector(2 downto 0); signal host_triggen_sync2readout : std_logic_vector(2 downto 0); signal host_triggen_readouttrigger : std_logic; signal host_triggen_default_freq : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger0high : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger0low : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger1high : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger1low : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger2high : std_logic_vector(31 downto 0); signal host_triggen_cnt_trigger2low : std_logic_vector(31 downto 0); signal host_triggen_ext_debounce : std_logic_vector(31 downto 0); signal host_triggen_ext_polarity : std_logic; signal host_triggen_cnt_update : std_logic; signal host_triggen_gen_polarity : std_logic_vector(2 downto 0); -- HOST Interface - FPN/PRNU Correction signal host_fpn_prnu_values : std_logic_vector((16*16)-1 downto 0); -- HOST Interface - Sync Generator signal host_syncgen_delay : std_logic_vector(15 downto 0); signal host_syncgen_hactive : std_logic_vector(15 downto 0); signal host_syncgen_hfporch : std_logic_vector(15 downto 0); signal host_syncgen_hsync : std_logic_vector(15 downto 0); signal host_syncgen_hbporch : std_logic_vector(15 downto 0); signal host_syncgen_vactive : std_logic_vector(15 downto 0); signal host_syncgen_vfporch : std_logic_vector(15 downto 0); signal host_syncgen_vsync : std_logic_vector(15 downto 0); signal host_syncgen_vbporch : std_logic_vector(15 downto 0); begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(63 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(63 downto 0); slv_write_ack <= Bus2IP_WrCE( 0) or Bus2IP_WrCE( 1) or Bus2IP_WrCE( 2) or Bus2IP_WrCE( 3) or Bus2IP_WrCE( 4) or Bus2IP_WrCE( 5) or Bus2IP_WrCE( 6) or Bus2IP_WrCE( 7) or Bus2IP_WrCE( 8) or Bus2IP_WrCE( 9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31) or Bus2IP_WrCE(32) or Bus2IP_WrCE(33) or Bus2IP_WrCE(34) or Bus2IP_WrCE(35) or Bus2IP_WrCE(36) or Bus2IP_WrCE(37) or Bus2IP_WrCE(38) or Bus2IP_WrCE(39) or Bus2IP_WrCE(40) or Bus2IP_WrCE(41) or Bus2IP_WrCE(42) or Bus2IP_WrCE(43) or Bus2IP_WrCE(44) or Bus2IP_WrCE(45) or Bus2IP_WrCE(46) or Bus2IP_WrCE(47) or Bus2IP_WrCE(48) or Bus2IP_WrCE(49) or Bus2IP_WrCE(50) or Bus2IP_WrCE(51) or Bus2IP_WrCE(52) or Bus2IP_WrCE(53) or Bus2IP_WrCE(54) or Bus2IP_WrCE(55) or Bus2IP_WrCE(56) or Bus2IP_WrCE(57) or Bus2IP_WrCE(58) or Bus2IP_WrCE(59) or Bus2IP_WrCE(60) or Bus2IP_WrCE(61) or Bus2IP_WrCE(62) or Bus2IP_WrCE(63); slv_read_ack <= Bus2IP_RdCE( 0) or Bus2IP_RdCE( 1) or Bus2IP_RdCE( 2) or Bus2IP_RdCE( 3) or Bus2IP_RdCE( 4) or Bus2IP_RdCE( 5) or Bus2IP_RdCE( 6) or Bus2IP_RdCE( 7) or Bus2IP_RdCE( 8) or Bus2IP_RdCE( 9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15) or Bus2IP_RdCE(16) or Bus2IP_RdCE(17) or Bus2IP_RdCE(18) or Bus2IP_RdCE(19) or Bus2IP_RdCE(20) or Bus2IP_RdCE(21) or Bus2IP_RdCE(22) or Bus2IP_RdCE(23) or Bus2IP_RdCE(24) or Bus2IP_RdCE(25) or Bus2IP_RdCE(26) or Bus2IP_RdCE(27) or Bus2IP_RdCE(28) or Bus2IP_RdCE(29) or Bus2IP_RdCE(30) or Bus2IP_RdCE(31) or Bus2IP_RdCE(32) or Bus2IP_RdCE(33) or Bus2IP_RdCE(34) or Bus2IP_RdCE(35) or Bus2IP_RdCE(36) or Bus2IP_RdCE(37) or Bus2IP_RdCE(38) or Bus2IP_RdCE(39) or Bus2IP_RdCE(40) or Bus2IP_RdCE(41) or Bus2IP_RdCE(42) or Bus2IP_RdCE(43) or Bus2IP_RdCE(44) or Bus2IP_RdCE(45) or Bus2IP_RdCE(46) or Bus2IP_RdCE(47) or Bus2IP_RdCE(48) or Bus2IP_RdCE(49) or Bus2IP_RdCE(50) or Bus2IP_RdCE(51) or Bus2IP_RdCE(52) or Bus2IP_RdCE(53) or Bus2IP_RdCE(54) or Bus2IP_RdCE(55) or Bus2IP_RdCE(56) or Bus2IP_RdCE(57) or Bus2IP_RdCE(58) or Bus2IP_RdCE(59) or Bus2IP_RdCE(60) or Bus2IP_RdCE(61) or Bus2IP_RdCE(62) or Bus2IP_RdCE(63); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); slv_reg8 <= (others => '0'); slv_reg9 <= (others => '0'); slv_reg10 <= (others => '0'); slv_reg11 <= (others => '0'); slv_reg12 <= (others => '0'); slv_reg13 <= (others => '0'); slv_reg14 <= (others => '0'); slv_reg15 <= (others => '0'); slv_reg16 <= (others => '0'); slv_reg17 <= (others => '0'); slv_reg18 <= (others => '0'); slv_reg19 <= (others => '0'); slv_reg20 <= (others => '0'); slv_reg21 <= (others => '0'); slv_reg22 <= (others => '0'); slv_reg23 <= (others => '0'); slv_reg24 <= (others => '0'); slv_reg25 <= (others => '0'); slv_reg26 <= (others => '0'); slv_reg27 <= (others => '0'); slv_reg28 <= (others => '0'); slv_reg29 <= (others => '0'); slv_reg30 <= (others => '0'); slv_reg31 <= (others => '0'); slv_reg32 <= (others => '0'); slv_reg33 <= (others => '0'); slv_reg34 <= (others => '0'); slv_reg35 <= (others => '0'); slv_reg36 <= (others => '0'); slv_reg37 <= (others => '0'); slv_reg38 <= (others => '0'); slv_reg39 <= (others => '0'); -- slv_reg55 <= (others => '0'); slv_reg56 <= (others => '0'); slv_reg57 <= (others => '0'); slv_reg58 <= (others => '0'); slv_reg59 <= (others => '0'); slv_reg60 <= (others => '0'); slv_reg61 <= (others => '0'); slv_reg62 <= (others => '0'); slv_reg63 <= (others => '0'); else case slv_reg_write_sel is when "1000000000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0100000000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0010000000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg2(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0001000000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg3(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000100000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg4(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000010000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg5(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000001000000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg6(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000100000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg7(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000010000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg8(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000001000000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg9(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000100000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg10(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000010000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg11(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000001000000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg12(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000100000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg13(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000010000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg14(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000001000000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg15(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000100000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg16(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000010000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg17(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000001000000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg18(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000100000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg19(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000010000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg20(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000001000000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg21(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000100000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg22(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000010000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg23(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000001000000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg24(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000100000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg25(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000010000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg26(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000001000000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg27(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000100000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg28(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000010000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg29(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000001000000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg30(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000100000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg31(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000010000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg32(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000001000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg33(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000100000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg34(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000010000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg35(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000001000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg36(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000100000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg37(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000010000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg38(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000001000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg39(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; -- when "0000000000000000000000000000000000000000000000000000000100000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg55(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000010000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg56(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000001000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg57(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000100000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg58(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000010000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg59(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000001000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg60(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000000100" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg61(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000000010" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg62(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "0000000000000000000000000000000000000000000000000000000000000001" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg63(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15, slv_reg16, slv_reg17, slv_reg18, slv_reg19, slv_reg20, slv_reg21, slv_reg22, slv_reg23, slv_reg24, slv_reg25, slv_reg26, slv_reg27, slv_reg28, slv_reg29, slv_reg30, slv_reg31, slv_reg32, slv_reg33, slv_reg34, slv_reg35, slv_reg36, slv_reg37, slv_reg38, slv_reg39 ) is begin case slv_reg_read_sel is when "1000000000000000000000000000000000000000000000000000000000000000" => --slv_ip2bus_data <= slv_reg0; -- 0x00 - SPI_CONTROL -- [ 0] VITA_RESET -- [ 1] SPI_RESET -- [ 8] SPI_STATUS_BUSY -- [ 9] SPI_STATUS_ERROR -- [16] SPI_TXFIFO_FULL -- [24] SPI_RXFIFO_EMPTY slv_ip2bus_data <= "0000000" & host_spi_rxfifo_empty & "0000000" & host_spi_txfifo_full & "000000" & host_spi_status_error & host_spi_status_busy & "000000" & host_spi_reset & host_vita_reset; when "0100000000000000000000000000000000000000000000000000000000000000" => -- 0x04 - SPI_TIMING[15:0] slv_ip2bus_data <= slv_reg1; when "0010000000000000000000000000000000000000000000000000000000000000" => -- 0x08 - SPI_TXFIFO_DATA[31:0] slv_ip2bus_data <= slv_reg2; when "0001000000000000000000000000000000000000000000000000000000000000" => --slv_ip2bus_data <= slv_reg3; -- 0x0C - SPI_RXFIFO_DATA[31:0] slv_ip2bus_data <= host_spi_rxfifo_dout; when "0000100000000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg4_r1; when "0000010000000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg5; when "0000001000000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg6; when "0000000100000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg7; when "0000000010000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg8; when "0000000001000000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg9; when "0000000000100000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg10; when "0000000000010000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg11; when "0000000000001000000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg12; when "0000000000000100000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg13; when "0000000000000010000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg14_r1; when "0000000000000001000000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg15_r1; when "0000000000000000100000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg16_r1; when "0000000000000000010000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg17_r1; when "0000000000000000001000000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg18_r1; when "0000000000000000000100000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg19_r1; when "0000000000000000000010000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg20_r1; when "0000000000000000000001000000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg21_r1; when "0000000000000000000000100000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg22_r1; when "0000000000000000000000010000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg23; when "0000000000000000000000001000000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg24; when "0000000000000000000000000100000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg25; when "0000000000000000000000000010000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg26; when "0000000000000000000000000001000000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg27; when "0000000000000000000000000000100000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg28; when "0000000000000000000000000000010000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg29_r1; when "0000000000000000000000000000001000000000000000000000000000000000" => slv_ip2bus_data <= slv_reg30; when "0000000000000000000000000000000100000000000000000000000000000000" => slv_ip2bus_data <= slv_reg31; when "0000000000000000000000000000000010000000000000000000000000000000" => slv_ip2bus_data <= slv_reg32; when "0000000000000000000000000000000001000000000000000000000000000000" => slv_ip2bus_data <= slv_reg33; when "0000000000000000000000000000000000100000000000000000000000000000" => slv_ip2bus_data <= slv_reg34; when "0000000000000000000000000000000000010000000000000000000000000000" => slv_ip2bus_data <= slv_reg35; when "0000000000000000000000000000000000001000000000000000000000000000" => slv_ip2bus_data <= slv_reg36; when "0000000000000000000000000000000000000100000000000000000000000000" => slv_ip2bus_data <= slv_reg37; when "0000000000000000000000000000000000000010000000000000000000000000" => slv_ip2bus_data <= slv_reg38; when "0000000000000000000000000000000000000001000000000000000000000000" => slv_ip2bus_data <= slv_reg39; -- when "0000000000000000000000000000000000000000000000001000000000000000" => slv_ip2bus_data <= slv_reg48_r1; when "0000000000000000000000000000000000000000000000000100000000000000" => slv_ip2bus_data <= slv_reg49_r1; when "0000000000000000000000000000000000000000000000000010000000000000" => slv_ip2bus_data <= slv_reg50_r1; when "0000000000000000000000000000000000000000000000000001000000000000" => slv_ip2bus_data <= slv_reg51_r1; -- when "0000000000000000000000000000000000000000000000000000000100000000" => slv_ip2bus_data <= slv_reg55; when "0000000000000000000000000000000000000000000000000000000010000000" => slv_ip2bus_data <= slv_reg56; when "0000000000000000000000000000000000000000000000000000000001000000" => slv_ip2bus_data <= slv_reg57; when "0000000000000000000000000000000000000000000000000000000000100000" => slv_ip2bus_data <= slv_reg58; when "0000000000000000000000000000000000000000000000000000000000010000" => slv_ip2bus_data <= slv_reg59; when "0000000000000000000000000000000000000000000000000000000000001000" => slv_ip2bus_data <= slv_reg60; when "0000000000000000000000000000000000000000000000000000000000000100" => slv_ip2bus_data <= slv_reg61; when "0000000000000000000000000000000000000000000000000000000000000010" => slv_ip2bus_data <= slv_reg62; when "0000000000000000000000000000000000000000000000000000000000000001" => slv_ip2bus_data <= slv_reg63; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; -- -- HOST Interface - SPI -- host_spi_clk <= Bus2IP_Clk; host_spi_txfifo_clk <= Bus2IP_Clk; host_spi_rxfifo_clk <= Bus2IP_Clk; host_spi_process : process ( Bus2IP_Clk ) begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then host_vita_reset <= '0'; host_spi_reset <= '0'; host_spi_timing <= (others => '0'); host_spi_txfifo_wen_a1 <= '0'; host_spi_txfifo_wen <= '0'; host_spi_txfifo_din <= (others => '0'); host_spi_rxfifo_ren <= '0'; else -- 0x00 - SPI_CONTROL -- [ 0] VITA_RESET -- [ 1] SPI_RESET -- [ 8] SPI_STATUS_BUSY -- [ 9] SPI_STATUS_ERROR -- [16] SPI_TXFIFO_FULL -- [24] SPI_RXFIFO_EMPTY host_vita_reset <= slv_reg0(0); host_spi_reset <= slv_reg0(1); host_spi_timing <= slv_reg1(15 downto 0); -- slv_reg2 is valid 1 cycle after slv_reg_write_sel(63-2) host_spi_txfifo_wen_a1 <= slv_reg_write_sel(63-2); host_spi_txfifo_wen <= host_spi_txfifo_wen_a1; host_spi_txfifo_din <= slv_reg2; -- use write to pop value from RXFIFO ... host_spi_rxfifo_ren <= slv_reg_write_sel(63-3); end if; end if; end process host_spi_process; slv_reg4_w1 <= slv_reg4; slv_reg5_w1 <= slv_reg5; slv_reg6_w1 <= slv_reg6; slv_reg8_w1 <= slv_reg8; slv_reg9_w1 <= slv_reg9; slv_reg10_w1 <= slv_reg10; slv_reg11_w1 <= slv_reg11; slv_reg12_w1 <= slv_reg12; slv_reg13_w1 <= slv_reg13; slv_reg23_w1 <= slv_reg23; slv_reg24_w1 <= slv_reg24; slv_reg25_w1 <= slv_reg25; slv_reg26_w1 <= slv_reg26; slv_reg27_w1 <= slv_reg27; slv_reg28_w1 <= slv_reg28; slv_reg30_w1 <= slv_reg30; -- slv_reg32_w1 <= slv_reg32; slv_reg33_w1 <= slv_reg33; slv_reg34_w1 <= slv_reg34; slv_reg35_w1 <= slv_reg35; slv_reg36_w1 <= slv_reg36; slv_reg37_w1 <= slv_reg37; slv_reg38_w1 <= slv_reg38; slv_reg39_w1 <= slv_reg39; -- slv_reg55_w1 <= slv_reg55; slv_reg56_w1 <= slv_reg56; slv_reg57_w1 <= slv_reg57; slv_reg58_w1 <= slv_reg58; slv_reg59_w1 <= slv_reg59; slv_reg60_w1 <= slv_reg60; slv_reg61_w1 <= slv_reg61; slv_reg62_w1 <= slv_reg62; slv_reg63_w1 <= slv_reg63; host_iserdes_process : process ( clk ) begin if clk'event and clk = '1' then if reset = '1' then -- slv_reg4_w1 <= (others => '0'); slv_reg4_r1 <= (others => '0'); -- slv_reg5_w1 <= (others => '0'); -- slv_reg6_w1 <= (others => '0'); -- slv_reg8_w1 <= (others => '0'); -- slv_reg9_w1 <= (others => '0'); -- slv_reg10_w1 <= (others => '0'); -- slv_reg11_w1 <= (others => '0'); -- slv_reg12_w1 <= (others => '0'); -- slv_reg13_w1 <= (others => '0'); slv_reg14_r1 <= (others => '0'); slv_reg15_r1 <= (others => '0'); slv_reg16_r1 <= (others => '0'); slv_reg17_r1 <= (others => '0'); slv_reg18_r1 <= (others => '0'); slv_reg19_r1 <= (others => '0'); slv_reg20_r1 <= (others => '0'); slv_reg21_r1 <= (others => '0'); slv_reg22_r1 <= (others => '0'); -- slv_reg23_w1 <= (others => '0'); -- slv_reg24_w1 <= (others => '0'); -- slv_reg25_w1 <= (others => '0'); -- slv_reg26_w1 <= (others => '0'); -- slv_reg27_w1 <= (others => '0'); -- slv_reg28_w1 <= (others => '0'); slv_reg29_r1 <= (others => '0'); -- slv_reg30_w1 <= (others => '0'); -- -- slv_reg32_w1 <= (others => '0'); -- slv_reg33_w1 <= (others => '0'); -- slv_reg34_w1 <= (others => '0'); -- slv_reg35_w1 <= (others => '0'); -- slv_reg36_w1 <= (others => '0'); -- slv_reg37_w1 <= (others => '0'); -- slv_reg38_w1 <= (others => '0'); -- slv_reg39_w1 <= (others => '0'); -- slv_reg48_r1 <= (others => '0'); slv_reg49_r1 <= (others => '0'); slv_reg50_r1 <= (others => '0'); slv_reg51_r1 <= (others => '0'); -- -- slv_reg55_w1 <= (others => '0'); -- slv_reg56_w1 <= (others => '0'); -- slv_reg57_w1 <= (others => '0'); -- slv_reg58_w1 <= (others => '0'); -- slv_reg59_w1 <= (others => '0'); -- slv_reg60_w1 <= (others => '0'); -- slv_reg61_w1 <= (others => '0'); -- slv_reg62_w1 <= (others => '0'); -- slv_reg63_w1 <= (others => '0'); -- host_iserdes_reset <= '0'; host_iserdes_auto_align <= '0'; host_iserdes_align_start <= '0'; host_iserdes_fifo_enable <= '0'; host_iserdes_training <= (others => '0'); host_iserdes_manual_tap <= (others => '0'); -- host_fpn_prnu_values <= (others => '0'); -- host_triggen_enable <= (others => '0'); host_triggen_sync2readout <= (others => '0'); host_triggen_readouttrigger <= '0'; host_triggen_default_freq <= (others => '0'); host_triggen_cnt_trigger0high <= (others => '0'); host_triggen_cnt_trigger0low <= (others => '0'); host_triggen_cnt_trigger1high <= (others => '0'); host_triggen_cnt_trigger1low <= (others => '0'); host_triggen_cnt_trigger2high <= (others => '0'); host_triggen_cnt_trigger2low <= (others => '0'); host_triggen_ext_debounce <= (others => '0'); host_triggen_ext_polarity <= '0'; host_triggen_cnt_update <= '0'; else -- slv_reg4_w1 <= slv_reg4; -- slv_reg5_w1 <= slv_reg5; -- slv_reg6_w1 <= slv_reg6; -- slv_reg8_w1 <= slv_reg8; -- slv_reg9_w1 <= slv_reg9; -- slv_reg10_w1 <= slv_reg10; -- slv_reg11_w1 <= slv_reg11; -- slv_reg12_w1 <= slv_reg12; -- slv_reg13_w1 <= slv_reg13; -- slv_reg23_w1 <= slv_reg23; -- slv_reg24_w1 <= slv_reg24; -- slv_reg25_w1 <= slv_reg25; -- slv_reg26_w1 <= slv_reg26; -- slv_reg27_w1 <= slv_reg27; -- slv_reg28_w1 <= slv_reg28; -- slv_reg30_w1 <= slv_reg30; -- -- slv_reg32_w1 <= slv_reg32; -- slv_reg33_w1 <= slv_reg33; -- slv_reg34_w1 <= slv_reg34; -- slv_reg35_w1 <= slv_reg35; -- slv_reg36_w1 <= slv_reg36; -- slv_reg37_w1 <= slv_reg37; -- slv_reg38_w1 <= slv_reg38; -- slv_reg39_w1 <= slv_reg39; -- -- slv_reg55_w1 <= slv_reg55; -- slv_reg56_w1 <= slv_reg56; -- slv_reg57_w1 <= slv_reg57; -- slv_reg58_w1 <= slv_reg58; -- slv_reg59_w1 <= slv_reg59; -- slv_reg60_w1 <= slv_reg60; -- slv_reg61_w1 <= slv_reg61; -- slv_reg62_w1 <= slv_reg62; -- slv_reg63_w1 <= slv_reg63; -- -- 0x10 - ISERDES_CONTROL -- [ 0] ISERDES_RESET -- [ 1] ISERDES_AUTO_ALIGN -- [ 2] ISERDES_ALIGN_START -- [ 3] ISERDES_FIFO_ENABLE -- [ 8] ISERDES_CLK_READY -- [ 9] ISERDES_ALIGN_BUSY -- [10] ISERDES_ALIGNED -- [23:16] ISERDES_TXCLK_STATUS -- [31:24] ISERDES_RXCLK_STATUS host_iserdes_reset <= slv_reg4_w1(0); host_iserdes_auto_align <= slv_reg4_w1(1); host_iserdes_align_start <= slv_reg4_w1(2); host_iserdes_fifo_enable <= slv_reg4_w1(3); slv_reg4_r1 <= host_iserdes_clk_status & "00000" & host_iserdes_aligned & host_iserdes_align_busy & host_iserdes_clk_ready & "0000" & host_iserdes_fifo_enable & host_iserdes_align_start & host_iserdes_auto_align & host_iserdes_reset; -- -- 0x14 - ISERDES_TRAINING host_iserdes_training <= slv_reg5_w1(9 downto 0); -- -- 0x18 - ISERDES_MANUAL_TAP host_iserdes_manual_tap <= slv_reg6_w1(9 downto 0); -- -- 0x20 - DECODER_CONTROL[7:0] -- [0] DECODER_RESET -- [1] DECODER_ENABLE host_decoder_reset <= slv_reg8_w1(0); host_decoder_enable <= slv_reg8_w1(1); -- -- 0x24 - DECODER_STARTODDEVEN host_decoder_startoddeven <= slv_reg9_w1; -- -- 0x28 - DECODER_CODES_LS_LE host_decoder_code_ls <= slv_reg10_w1( 9 downto 0); host_decoder_code_le <= slv_reg10_w1(25 downto 16); -- -- 0x2C - DECODER_CODES_FS_FE host_decoder_code_fs <= slv_reg11_w1( 9 downto 0); host_decoder_code_fe <= slv_reg11_w1(25 downto 16); -- -- 0x30 - DECODER_CODES_BL_IMG host_decoder_code_bl <= slv_reg12_w1( 9 downto 0); host_decoder_code_img <= slv_reg12_w1(25 downto 16); -- -- 0x34 - DECODER_CODES_TR_CRC host_decoder_code_tr <= slv_reg13_w1( 9 downto 0); host_decoder_code_crc <= slv_reg13_w1(25 downto 16); if ( host_decoder_frame_start = '1' ) then -- -- 0x38 - DECODER_CNT_BLACK_LINES slv_reg14_r1 <= host_decoder_cnt_black_lines; -- -- 0x3C - DECODER_CNT_IMAGE_LINES slv_reg15_r1 <= host_decoder_cnt_image_lines; -- -- 0x40 - DECODER_CNT_BLACK_PIXELS slv_reg16_r1 <= host_decoder_cnt_black_pixels; -- -- 0x44 - DECODER_CNT_IMAGE_PIXELS slv_reg17_r1 <= host_decoder_cnt_image_pixels; -- -- 0x48 - DECODER_CNT_FRAMES slv_reg18_r1 <= host_decoder_cnt_frames; -- -- 0x4C - DECODER_CNT_WINDOWS slv_reg19_r1 <= host_decoder_cnt_windows; -- -- 0x50 - DECODER_CNT_CLOCKS slv_reg20_r1 <= host_decoder_cnt_clocks; -- -- 0x54 - DECODER_CNT_START_LINES slv_reg21_r1 <= host_decoder_cnt_start_lines; -- -- 0x58 - DECODER_CNT_END_LINES slv_reg22_r1 <= host_decoder_cnt_end_lines; end if; --if ( host_decoder_frame_start = '1' ) then -- 0x5C - SYNCGEN_DELAY -- [15: 0] DELAY host_syncgen_delay <= slv_reg23_w1(15 downto 0); -- 0x60 - SYNCGEN_HTIMING1 -- [15: 0] HACTIVE -- [31:16] HFPORCH -- 0x64 - SYNCGEN_HTIMING2 -- [15: 0] HSYNC -- [31:16] HBPORCH -- 0x68 - SYNCGEN_VTIMING1 -- [15: 0] VACTIVE -- [31:16] VFPORCH -- 0x6C - SYNCGEN_VTIMING2 -- [15: 0] VSYNC -- [31:16] VBPORCH host_syncgen_hactive <= slv_reg24_w1(15 downto 0); host_syncgen_hfporch <= slv_reg24_w1(31 downto 16); host_syncgen_hsync <= slv_reg25_w1(15 downto 0); host_syncgen_hbporch <= slv_reg25_w1(31 downto 16); host_syncgen_vactive <= slv_reg26_w1(15 downto 0); host_syncgen_vfporch <= slv_reg26_w1(31 downto 16); host_syncgen_vsync <= slv_reg27_w1(15 downto 0); host_syncgen_vbporch <= slv_reg27_w1(31 downto 16); -- -- 0x70 - CRC_CONTROL[7:0] -- [0] CRC_RESET -- [1] CRC_INITVALUE host_crc_reset <= slv_reg28_w1(0); host_crc_initvalue <= slv_reg28_w1(1); -- -- 0x74 - CRC_STATUS slv_reg29_r1 <= host_crc_status; -- -- 0x78 - REMAPPER_CONTROL[7:0] -- [2:0] REMAPPER_WRITE_CFG -- [6:4] REMAPPER_MODE host_remapper_write_cfg <= slv_reg30_w1(2 downto 0); host_remapper_mode <= slv_reg30_w1(6 downto 4); -- 0x80 - FPN_PRNU_VALUES[ 31: 0] -- 0x84 - FPN_PRNU_VALUES[ 63: 32] -- 0x88 - FPN_PRNU_VALUES[ 95: 64] -- 0x8C - FPN_PRNU_VALUES[127: 96] -- 0x90 - FPN_PRNU_VALUES[159:128] -- 0x94 - FPN_PRNU_VALUES[191:160] -- 0x98 - FPN_PRNU_VALUES[223:192] -- 0x9C - FPN_PRNU_VALUES[255:224] host_fpn_prnu_values( 31 downto 0) <= slv_reg32_w1; host_fpn_prnu_values( 63 downto 32) <= slv_reg33_w1; host_fpn_prnu_values( 95 downto 64) <= slv_reg34_w1; host_fpn_prnu_values(127 downto 96) <= slv_reg35_w1; host_fpn_prnu_values(159 downto 128) <= slv_reg36_w1; host_fpn_prnu_values(191 downto 160) <= slv_reg37_w1; host_fpn_prnu_values(223 downto 192) <= slv_reg38_w1; host_fpn_prnu_values(255 downto 224) <= slv_reg39_w1; -- -- 0xC0 - DECODER_CNT_MONITOR0_HIGH slv_reg48_r1 <= host_decoder_cnt_monitor0high; -- -- 0xC4 - DECODER_CNT_MONITOR0_LOW slv_reg49_r1 <= host_decoder_cnt_monitor0low; -- -- 0xC8 - DECODER_CNT_MONITOR1_HIGH slv_reg50_r1 <= host_decoder_cnt_monitor1high; -- -- 0xCC - DECODER_CNT_MONITOR1_LOW slv_reg51_r1 <= host_decoder_cnt_monitor1low; -- -- 0xDC - TRIGGEN_EXT_DEBOUNCE host_triggen_ext_debounce <= slv_reg55_w1; -- -- 0xE0 - TRIGGEN_CONTROL -- [ 2: 0] TRIGGEN_ENABLE -- [ 6: 4] TRIGGEN_SYNC2READOUT -- [ 8] TRIGGEN_READOUTTRIGGER -- [ 16] TRIGGEN_EXT_POLARITY -- [ 24] TRIGGEN_CNT_UPDATE -- [30:28] TRIGGEN_GEN_POLARITY host_triggen_enable <= slv_reg56_w1(2 downto 0); host_triggen_sync2readout <= slv_reg56_w1(6 downto 4); host_triggen_readouttrigger <= slv_reg56_w1(8); host_triggen_ext_polarity <= slv_reg56_w1(16); host_triggen_cnt_update <= slv_reg56_w1(24); host_triggen_gen_polarity <= slv_reg56_w1(30 downto 28); -- -- 0xE4 - TRIGGEN_DEFAULT_FREQ host_triggen_default_freq <= slv_reg57_w1; -- if ( host_triggen_cnt_update = '1' ) then -- -- 0xE8 - TRIGGEN_TRIG0_HIGH host_triggen_cnt_trigger0high <= slv_reg58_w1; -- -- 0xEC - TRIGGEN_TRIG0_LOW host_triggen_cnt_trigger0low <= slv_reg59_w1; -- -- 0xF0 - TRIGGEN_TRIG1_HIGH host_triggen_cnt_trigger1high <= slv_reg60_w1; -- -- 0xF4 - TRIGGEN_TRIG1_LOW host_triggen_cnt_trigger1low <= slv_reg61_w1; -- -- 0xF8 - TRIGGEN_TRIG2_HIGH host_triggen_cnt_trigger2high <= slv_reg62_w1; -- -- 0xFC - TRIGGEN_TRIG2_LOW host_triggen_cnt_trigger2low <= slv_reg63_w1; -- end if; -- if ( host_triggen_cnt_update == '1' ) then end if; end if; end process host_iserdes_process; ------------------------------------------ -- VITA Receiver Core Logic ------------------------------------------ VITA_CORE_I : entity fmc_imageon_vita_receiver_v1_13_a.fmc_imageon_vita_core generic map ( C_XSVI_DATA_WIDTH => C_XSVI_DATA_WIDTH, C_XSVI_DIRECT_OUTPUT => C_XSVI_DIRECT_OUTPUT, C_XSVI_USE_SYNCGEN => C_XSVI_USE_SYNCGEN, C_FAMILY => C_FAMILY ) port map ( clk200 => clk200, clk => clk, clk4x => clk4x, reset => reset, oe => oe, -- HOST Interface - VITA host_vita_reset => host_vita_reset, -- HOST Interface - SPI host_spi_clk => host_spi_clk, host_spi_reset => host_spi_reset, host_spi_timing => host_spi_timing, host_spi_status_busy => host_spi_status_busy, host_spi_status_error => host_spi_status_error, host_spi_txfifo_clk => host_spi_txfifo_clk, host_spi_txfifo_wen => host_spi_txfifo_wen, host_spi_txfifo_din => host_spi_txfifo_din, host_spi_txfifo_full => host_spi_txfifo_full, host_spi_rxfifo_clk => host_spi_rxfifo_clk, host_spi_rxfifo_ren => host_spi_rxfifo_ren, host_spi_rxfifo_dout => host_spi_rxfifo_dout, host_spi_rxfifo_empty => host_spi_rxfifo_empty, -- HOST Interface - ISERDES host_iserdes_reset => host_iserdes_reset, host_iserdes_auto_align => host_iserdes_auto_align, host_iserdes_align_start => host_iserdes_align_start, host_iserdes_fifo_enable => host_iserdes_fifo_enable, host_iserdes_manual_tap => host_iserdes_manual_tap, host_iserdes_training => host_iserdes_training, host_iserdes_clk_ready => host_iserdes_clk_ready, host_iserdes_clk_status => host_iserdes_clk_status, host_iserdes_align_busy => host_iserdes_align_busy, host_iserdes_aligned => host_iserdes_aligned, -- HOST Interface - Sync Channel Decoder host_decoder_reset => host_decoder_reset, host_decoder_enable => host_decoder_enable, host_decoder_startoddeven => host_decoder_startoddeven, host_decoder_code_ls => host_decoder_code_ls, host_decoder_code_le => host_decoder_code_le, host_decoder_code_fs => host_decoder_code_fs, host_decoder_code_fe => host_decoder_code_fe, host_decoder_code_bl => host_decoder_code_bl, host_decoder_code_img => host_decoder_code_img, host_decoder_code_tr => host_decoder_code_tr, host_decoder_code_crc => host_decoder_code_crc, host_decoder_frame_start => host_decoder_frame_start, host_decoder_cnt_black_lines => host_decoder_cnt_black_lines, host_decoder_cnt_image_lines => host_decoder_cnt_image_lines, host_decoder_cnt_black_pixels => host_decoder_cnt_black_pixels, host_decoder_cnt_image_pixels => host_decoder_cnt_image_pixels, host_decoder_cnt_frames => host_decoder_cnt_frames, host_decoder_cnt_windows => host_decoder_cnt_windows, host_decoder_cnt_clocks => host_decoder_cnt_clocks, host_decoder_cnt_start_lines => host_decoder_cnt_start_lines, host_decoder_cnt_end_lines => host_decoder_cnt_end_lines, host_decoder_cnt_monitor0high => host_decoder_cnt_monitor0high, host_decoder_cnt_monitor0low => host_decoder_cnt_monitor0low, host_decoder_cnt_monitor1high => host_decoder_cnt_monitor1high, host_decoder_cnt_monitor1low => host_decoder_cnt_monitor1low, -- HOST Interface - CRC Checker host_crc_reset => host_crc_reset, host_crc_initvalue => host_crc_initvalue, host_crc_status => host_crc_status, -- HOST Interface - Data Channel Remapper host_remapper_write_cfg => host_remapper_write_cfg, host_remapper_mode => host_remapper_mode, -- HOST Interface - Trigger Generator host_triggen_enable => host_triggen_enable, host_triggen_sync2readout => host_triggen_sync2readout, host_triggen_readouttrigger => host_triggen_readouttrigger, host_triggen_default_freq => host_triggen_default_freq, host_triggen_cnt_trigger0high => host_triggen_cnt_trigger0high, host_triggen_cnt_trigger0low => host_triggen_cnt_trigger0low, host_triggen_cnt_trigger1high => host_triggen_cnt_trigger1high, host_triggen_cnt_trigger1low => host_triggen_cnt_trigger1low, host_triggen_cnt_trigger2high => host_triggen_cnt_trigger2high, host_triggen_cnt_trigger2low => host_triggen_cnt_trigger2low, host_triggen_ext_debounce => host_triggen_ext_debounce, host_triggen_ext_polarity => host_triggen_ext_polarity, host_triggen_gen_polarity => host_triggen_gen_polarity, -- HOST Interface - FPN/PRNU Correction host_fpn_prnu_values => host_fpn_prnu_values, -- HOST Interface - Sync Generator host_syncgen_delay => host_syncgen_delay, host_syncgen_hactive => host_syncgen_hactive, host_syncgen_hfporch => host_syncgen_hfporch, host_syncgen_hsync => host_syncgen_hsync, host_syncgen_hbporch => host_syncgen_hbporch, host_syncgen_vactive => host_syncgen_vactive, host_syncgen_vfporch => host_syncgen_vfporch, host_syncgen_vsync => host_syncgen_vsync, host_syncgen_vbporch => host_syncgen_vbporch, -- I/O pins io_vita_clk_pll => io_vita_clk_pll, io_vita_reset_n => io_vita_reset_n, io_vita_spi_sclk => io_vita_spi_sclk, io_vita_spi_ssel_n => io_vita_spi_ssel_n, io_vita_spi_mosi => io_vita_spi_mosi, io_vita_spi_miso => io_vita_spi_miso, io_vita_trigger => io_vita_trigger, io_vita_monitor => io_vita_monitor, io_vita_clk_out_p => io_vita_clk_out_p, io_vita_clk_out_n => io_vita_clk_out_n, io_vita_sync_p => io_vita_sync_p, io_vita_sync_n => io_vita_sync_n, io_vita_data_p => io_vita_data_p, io_vita_data_n => io_vita_data_n, -- Trigger Port trigger1 => trigger1, -- Frame Sync Port fsync => fsync, -- XSVI Port xsvi_vsync_o => xsvi_vsync_o, xsvi_hsync_o => xsvi_hsync_o, xsvi_vblank_o => xsvi_vblank_o, xsvi_hblank_o => xsvi_hblank_o, xsvi_active_video_o => xsvi_active_video_o, xsvi_video_data_o => xsvi_video_data_o, -- Debug Port debug_spi_o => debug_spi_o, debug_iserdes_o => debug_iserdes_o, debug_decoder_o => debug_decoder_o, debug_crc_o => debug_crc_o, debug_triggen_o => debug_triggen_o, debug_video_o => debug_video_o ); -- -- Debug Port -- Can be used to connect to ChipScope for debugging. -- Having a port makes these signals accessible for debug via EDK. -- debug_host_l : process (Bus2IP_Clk) begin if Rising_Edge(Bus2IP_Clk) then debug_host_o( 31 downto 0) <= Bus2IP_Addr; debug_host_o( 63 downto 32) <= Bus2IP_Data; debug_host_o( 95 downto 64) <= slv_ip2bus_data; debug_host_o(159 downto 96) <= Bus2IP_WrCE; debug_host_o(223 downto 160) <= Bus2IP_RdCE; debug_host_o( 224) <= Bus2IP_CS(0); debug_host_o( 225) <= Bus2IP_RNW; debug_host_o(229 downto 226) <= Bus2IP_BE; debug_host_o( 230) <= slv_write_ack; debug_host_o( 231) <= slv_read_ack; end if; end process; end IMP;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- -- Module: Clock Generator for Memory Test on Spartan-3E Starter Kit -- -- Description: -- ------------------------------------ -- DCM configuration for module 'memtest_s3esk'. -- -- The DCMs dcm_mem* have either unstable input clocks upon configuration and/or -- external feedback, and thus must be reset accordingly. So we do not use -- the STARTUP_WAIT feature at all. -- -- After startup the clocks are unstable. Thus, the logic -- clocked by clk_* must be hold in reset until rst_* is deasserted. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ ------------------------------------------------------------------------------- -- Naming Conventions: -- (Based on: Keating and Bricaud: "Reuse Methodology Manual") -- -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: all UPPERCASE -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- output of a register: "*_r" -- asynchronous signal: "*_a" -- pipelined or register delay signals: "*_p#" -- data before being registered into register with the same name: "*_nxt" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- tristate internal signal "*_z" ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; entity memtest_s3esk_clockgen is port ( clk_in : in std_logic; sd_ck_fb : in std_logic; user_rst : in std_logic; clk_sys : out std_logic; clk_mem : out std_logic; clk_mem_n : out std_logic; clk_mem90 : out std_logic; clk_mem90_n : out std_logic; clk_memfb90 : out std_logic; clk_memfb90_n : out std_logic; rst_sys : out std_logic; rst_mem : out std_logic; rst_mem90 : out std_logic; rst_mem180 : out std_logic; rst_mem270 : out std_logic; rst_memfb90 : out std_logic; rst_memfb270 : out std_logic; locked : out std_logic); end memtest_s3esk_clockgen; library unisim; use unisim.VComponents.all; architecture rtl of memtest_s3esk_clockgen is -- input buffer signal clk_in_bufo : std_logic; signal sd_ck_fb_bufo : std_logic; -- clock buffer inputs signal clk_sys_bufi : std_logic; signal clk_dv_bufi : std_logic; signal clk_mem_bufi : std_logic; signal clk_mem90_bufi : std_logic; signal clk_memfb90_bufi : std_logic; signal clk_memfb90_n_bufi : std_logic; -- global clocks (internal signals) signal clk_sys_i : std_logic; signal clk_mem_i : std_logic; signal clk_mem_n_i : std_logic; signal clk_mem90_i : std_logic; signal clk_mem90_n_i : std_logic; signal clk_memfb90_i : std_logic; signal clk_memfb90_n_i : std_logic; -- dcm reset signal dcm_mem_rst : std_logic; signal dcm_memfb_rst : std_logic; -- locked signals signal dcm_sys_locked : std_logic; signal dcm_mem_locked : std_logic; signal dcm_mem90_locked : std_logic; signal dcm_memfb_locked : std_logic; -- reset synchronizers for clk_sys, clk_mem* and clk_memfb* signal rst_sys_r : std_logic_vector(1 downto 0); signal rst_mem_r : std_logic_vector(1 downto 0); signal rst_mem90_r : std_logic_vector(1 downto 0); signal rst_mem180_r : std_logic_vector(1 downto 0); signal rst_mem270_r : std_logic_vector(1 downto 0); signal rst_memfb90_r : std_logic_vector(1 downto 0); signal rst_memfb270_r : std_logic_vector(1 downto 0); -- internal version of output signals signal locked_i : std_logic; -- do reset signal do_rst : std_logic; begin ----------------------------------------------------------------------------- -- 0. Input Clock buffer and system clock. ----------------------------------------------------------------------------- clk_in_buf : IBUFG port map ( I => clk_in, O => clk_in_bufo); ----------------------------------------------------------------------------- -- 1. System clock. ----------------------------------------------------------------------------- dcm_sys : DCM_SP generic map ( CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.0, -- period of input clock (50 Mhz) DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, CLK_FEEDBACK => "1X", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", CLKOUT_PHASE_SHIFT => "NONE", PHASE_SHIFT => 0, CLKDV_DIVIDE => 2.0, FACTORY_JF => X"C080") -- ? port map ( CLK0 => clk_sys_bufi, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLK90 => open, CLKDV => clk_dv_bufi, CLKFX => open, CLKFX180 => open, LOCKED => dcm_sys_locked, PSDONE => open, STATUS => open, CLKFB => clk_sys_i, CLKIN => clk_in_bufo, PSCLK => '0', PSEN => '0', PSINCDEC => '0', RST => '0'); clk_sys_buf : BUFG port map ( I => clk_sys_bufi, O => clk_sys_i); clk_sys <= clk_sys_i; -- clk_sys is stable as soon as GWE (Global Write Enable) is asserted. -- See documentation in file header. ----------------------------------------------------------------------------- -- 2. Generate memory clocks. -- -- The reset logic for this DCM has to wait until clk_sys gets stable. -- The reset must be asserted for three valid CLKIN cycles or longer. -- -- IMPORTANT NOTE: -- Yes dcm_mem and dcm_mem90 might be merged, if doubled input clock is -- generated by dcm_sys and then clk0 and clk90 DCM outputs are used. But -- these requires a DCM input clock of 100 MHz, which is out of specification -- for Spartan-3E stepping 0. ----------------------------------------------------------------------------- dcm_mem_rst_gen : SRLC16E generic map ( INIT => x"FFFF") -- hold reset for 16 clock cycles port map ( clk => clk_sys_i, ce => dcm_sys_locked, -- wait until clk_sys is stable d => '0', -- finished a0 => '1', a1 => '1', a2 => '1', a3 => '1', q => open, q15 => dcm_mem_rst); dcm_mem : DCM_SP generic map ( CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.0, -- 50 MHz DLL_FREQUENCY_MODE => "LOW", -- no specification found in manual DUTY_CYCLE_CORRECTION => FALSE, -- already 50 % CLK_FEEDBACK => "2X", DESKEW_ADJUST => "SOURCE_SYNCHRONOUS", CLKOUT_PHASE_SHIFT => "NONE", PHASE_SHIFT => 0, FACTORY_JF => X"C080") -- ? port map ( CLK0 => open, CLK180 => open, CLK270 => open, CLK2X => clk_mem_bufi, CLK2X180 => open, CLK90 => open, CLKDV => open, CLKFX => open, CLKFX180 => open, LOCKED => dcm_mem_locked, PSDONE => open, STATUS => open, CLKFB => clk_mem_i, CLKIN => clk_sys_i, PSCLK => '0', PSEN => '0', PSINCDEC => '0', RST => dcm_mem_rst); dcm_mem90 : DCM_SP generic map ( CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.0, -- 50 MHz DLL_FREQUENCY_MODE => "LOW", -- no specification found in manual DUTY_CYCLE_CORRECTION => FALSE, -- already 50 % CLK_FEEDBACK => "2X", DESKEW_ADJUST => "SOURCE_SYNCHRONOUS", CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 32, -- 90° @ CLK2x FACTORY_JF => X"C080") -- ? port map ( CLK0 => open, CLK180 => open, CLK270 => open, CLK2X => clk_mem90_bufi, CLK2X180 => open, CLK90 => open, CLKDV => open, CLKFX => open, CLKFX180 => open, LOCKED => dcm_mem90_locked, PSDONE => open, STATUS => open, CLKFB => clk_mem90_i, CLKIN => clk_sys_i, PSCLK => '0', PSEN => '0', PSINCDEC => '0', RST => dcm_mem_rst); clk_mem_buf : BUFG port map ( I => clk_mem_bufi, O => clk_mem_i); clk_mem_n_i <= not clk_mem_i; clk_mem90_buf : BUFG port map ( I => clk_mem90_bufi, O => clk_mem90_i); clk_mem90_n_i <= not clk_mem90_i; clk_mem <= clk_mem_i; clk_mem_n <= clk_mem_n_i; clk_mem90 <= clk_mem90_i; clk_mem90_n <= clk_mem90_n_i; ----------------------------------------------------------------------------- -- 3. Synchronized read clock for DDR-SDRAM controller. -- -- The reset logic for this DCM has to wait until clk_mem gets stable. -- The reset must be asserted for three valid CLKIN cycles or longer. -- Due to the external feedback, the number of cycles should be greater. ----------------------------------------------------------------------------- dcm_memfb_rst_gen : SRLC16E generic map ( INIT => x"FFFF") -- hold reset for 16 clock cycles port map ( clk => clk_mem_i, ce => dcm_mem_locked, -- wait until clk_mem is stable d => '0', -- finished a0 => '1', a1 => '1', a2 => '1', a3 => '1', q => open, q15 => dcm_memfb_rst); sd_ck_fb_buf : IBUFG port map ( I => sd_ck_fb, O => sd_ck_fb_bufo); dcm_memfb : DCM_SP generic map ( CLKIN_DIVIDE_BY_2 => TRUE, CLKIN_PERIOD => 10.0, -- period of input clock (100 Mhz) DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => FALSE, -- already 50 % CLK_FEEDBACK => "2X", DESKEW_ADJUST => "SOURCE_SYNCHRONOUS", -- no deskew CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 32+5, -- 90° +/- x @ CLK2X FACTORY_JF => X"C080") port map ( CLK0 => open, CLK180 => open, CLK270 => open, CLK2X => clk_memfb90_bufi, CLK2X180 => clk_memfb90_n_bufi, CLK90 => open, CLKDV => open, CLKFX => open, CLKFX180 => open, LOCKED => dcm_memfb_locked, PSDONE => open, STATUS => open, CLKFB => clk_memfb90_i, CLKIN => sd_ck_fb_bufo, PSCLK => '0', PSEN => '0', PSINCDEC => '0', RST => dcm_memfb_rst); clk_memfb90_buf : BUFG port map ( I => clk_memfb90_bufi, O => clk_memfb90_i); clk_memfb90_n_buf : BUFG port map ( I => clk_memfb90_n_bufi, O => clk_memfb90_n_i); clk_memfb90 <= clk_memfb90_i; clk_memfb90_n <= clk_memfb90_n_i; ----------------------------------------------------------------------------- -- 4. Locked & Resets -- -- Coordinated Reset removal: -- - First, remove reset of clk_mem*, because it always waits for commands -- before it writes anything to the read FIFO. -- - Second, remove reset from clk_sys because it writes to the command FIFO -- as soon as possible. But at this time, the rst_rd from that FIFO must -- not be asserted, so that the write_addr is correctly transfered between -- the clock domains (gray-encoding is kept). ----------------------------------------------------------------------------- locked_i <= dcm_sys_locked and dcm_mem_locked and dcm_mem90_locked and dcm_memfb_locked; locked <= locked_i; do_rst <= (not locked_i) or user_rst; -- synchronize locked_i with clock domain clk_sys process (do_rst, clk_sys_i) begin -- process if do_rst = '1' then rst_sys_r <= (others => '1'); elsif rising_edge(clk_sys_i) then rst_sys_r(0) <= rst_mem_r(rst_mem_r'left); -- release as second rst_sys_r(rst_sys_r'left downto 1) <= rst_sys_r(rst_sys_r'left-1 downto 0); end if; end process; rst_sys <= rst_sys_r(rst_sys_r'left); -- synchronize locked_i with clock domain clk_mem process (do_rst, clk_mem_i) begin -- process if do_rst = '1' then rst_mem_r <= (others => '1'); elsif rising_edge(clk_mem_i) then rst_mem_r(0) <= '0'; rst_mem_r(rst_mem_r'left downto 1) <= rst_mem_r(rst_mem_r'left-1 downto 0); end if; end process; rst_mem <= rst_mem_r(rst_mem_r'left); -- synchronize locked_i with clock domain clk_mem90 process (do_rst, clk_mem90_i) begin -- process if do_rst = '1' then rst_mem90_r <= (others => '1'); elsif rising_edge(clk_mem90_i) then rst_mem90_r(0) <= '0'; rst_mem90_r(rst_mem90_r'left downto 1) <= rst_mem90_r(rst_mem90_r'left-1 downto 0); end if; end process; rst_mem90 <= rst_mem90_r(rst_mem90_r'left); -- synchronize locked_i with clock domain clk_mem_n process (do_rst, clk_mem_n_i) begin -- process if do_rst = '1' then rst_mem180_r <= (others => '1'); elsif falling_edge(clk_mem_n_i) then rst_mem180_r(0) <= '0'; rst_mem180_r(rst_mem180_r'left downto 1) <= rst_mem180_r(rst_mem180_r'left-1 downto 0); end if; end process; rst_mem180 <= rst_mem180_r(rst_mem180_r'left); -- synchronize locked_i with clock domain clk_mem90_n process (do_rst, clk_mem90_n_i) begin -- process if do_rst = '1' then rst_mem270_r <= (others => '1'); elsif falling_edge(clk_mem90_n_i) then rst_mem270_r(0) <= '0'; rst_mem270_r(rst_mem270_r'left downto 1) <= rst_mem270_r(rst_mem270_r'left-1 downto 0); end if; end process; rst_mem270 <= rst_mem270_r(rst_mem270_r'left); -- synchronize locked_i with clock domain clk_memfb90 process (do_rst, clk_memfb90_i) begin -- process if do_rst = '1' then rst_memfb90_r <= (others => '1'); elsif rising_edge(clk_memfb90_i) then rst_memfb90_r(0) <= '0'; rst_memfb90_r(rst_memfb90_r'left downto 1) <= rst_memfb90_r(rst_memfb90_r'left-1 downto 0); end if; end process; rst_memfb90 <= rst_memfb90_r(rst_memfb90_r'left); -- synchronize locked_i with clock domain clk_memfb90_n process (do_rst, clk_memfb90_n_i) begin -- process if do_rst = '1' then rst_memfb270_r <= (others => '1'); elsif rising_edge(clk_memfb90_n_i) then rst_memfb270_r(0) <= '0'; rst_memfb270_r(rst_memfb270_r'left downto 1) <= rst_memfb270_r(rst_memfb270_r'left-1 downto 0); end if; end process; rst_memfb270 <= rst_memfb270_r(rst_memfb270_r'left); end rtl;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_383 is port ( result : out std_logic_vector(30 downto 0); in_a : in std_logic_vector(30 downto 0); in_b : in std_logic_vector(14 downto 0) ); end mul_383; architecture augh of mul_383 is signal tmp_res : signed(45 downto 0); begin -- The actual multiplication tmp_res <= signed(in_a) * signed(in_b); -- Set the output result <= std_logic_vector(tmp_res(30 downto 0)); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_383 is port ( result : out std_logic_vector(30 downto 0); in_a : in std_logic_vector(30 downto 0); in_b : in std_logic_vector(14 downto 0) ); end mul_383; architecture augh of mul_383 is signal tmp_res : signed(45 downto 0); begin -- The actual multiplication tmp_res <= signed(in_a) * signed(in_b); -- Set the output result <= std_logic_vector(tmp_res(30 downto 0)); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.4 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Loop_loop_height_lbW_rom is generic( dwidth : integer := 8; awidth : integer := 8; mem_size : integer := 256 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of Loop_loop_height_lbW_rom is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); signal mem : mem_array := ( 0 => "00000000", 1 => "00000010", 2 => "00000100", 3 => "00000110", 4 => "00001000", 5 => "00001010", 6 => "00001100", 7 => "00001110", 8 => "00010000", 9 => "00010010", 10 => "00010100", 11 => "00010110", 12 => "00010111", 13 => "00011001", 14 => "00011011", 15 => "00011101", 16 => "00011111", 17 => "00100001", 18 => "00100011", 19 => "00100101", 20 => "00100110", 21 => "00101000", 22 => "00101010", 23 => "00101100", 24 => "00101110", 25 => "00110000", 26 => "00110001", 27 => "00110011", 28 => "00110101", 29 => "00110111", 30 => "00111000", 31 => "00111010", 32 => "00111100", 33 => "00111110", 34 => "00111111", 35 => "01000001", 36 => "01000011", 37 => "01000101", 38 => "01000110", 39 => "01001000", 40 => "01001010", 41 => "01001011", 42 => "01001101", 43 => "01001111", 44 => "01010000", 45 => "01010010", 46 => "01010100", 47 => "01010101", 48 => "01010111", 49 => "01011001", 50 => "01011010", 51 => "01011100", 52 => "01011101", 53 => "01011111", 54 => "01100001", 55 => "01100010", 56 => "01100100", 57 => "01100101", 58 => "01100111", 59 => "01101000", 60 => "01101010", 61 => "01101011", 62 => "01101101", 63 => "01101110", 64 => "01110000", 65 => "01110001", 66 => "01110011", 67 => "01110100", 68 => "01110110", 69 => "01110111", 70 => "01111001", 71 => "01111010", 72 => "01111100", 73 => "01111101", 74 => "01111111", 75 => "10000000", 76 => "10000001", 77 => "10000011", 78 => "10000100", 79 => "10000110", 80 => "10000111", 81 => "10001000", 82 => "10001010", 83 => "10001011", 84 => "10001100", 85 => "10001110", 86 => "10001111", 87 => "10010000", 88 => "10010010", 89 => "10010011", 90 => "10010100", 91 => "10010110", 92 => "10010111", 93 => "10011000", 94 => "10011001", 95 => "10011011", 96 => "10011100", 97 => "10011101", 98 => "10011110", 99 => "10100000", 100 => "10100001", 101 => "10100010", 102 => "10100011", 103 => "10100100", 104 => "10100110", 105 => "10100111", 106 => "10101000", 107 => "10101001", 108 => "10101010", 109 => "10101011", 110 => "10101101", 111 => "10101110", 112 => "10101111", 113 => "10110000", 114 => "10110001", 115 => "10110010", 116 => "10110011", 117 => "10110100", 118 => "10110101", 119 => "10110110", 120 => "10111000", 121 => "10111001", 122 => "10111010", 123 => "10111011", 124 => "10111100", 125 => "10111101", 126 => "10111110", 127 => "10111111", 128 => "11000000", 129 => "11000001", 130 => "11000010", 131 => "11000011", 132 => "11000100", 133 => "11000101", 134 => "11000110", 135 to 136=> "11000111", 137 => "11001000", 138 => "11001001", 139 => "11001010", 140 => "11001011", 141 => "11001100", 142 => "11001101", 143 => "11001110", 144 => "11001111", 145 to 146=> "11010000", 147 => "11010001", 148 => "11010010", 149 => "11010011", 150 => "11010100", 151 to 152=> "11010101", 153 => "11010110", 154 => "11010111", 155 => "11011000", 156 to 157=> "11011001", 158 => "11011010", 159 => "11011011", 160 to 161=> "11011100", 162 => "11011101", 163 => "11011110", 164 to 165=> "11011111", 166 => "11100000", 167 to 168=> "11100001", 169 => "11100010", 170 to 171=> "11100011", 172 => "11100100", 173 to 174=> "11100101", 175 => "11100110", 176 to 177=> "11100111", 178 to 179=> "11101000", 180 => "11101001", 181 to 182=> "11101010", 183 to 184=> "11101011", 185 to 186=> "11101100", 187 to 188=> "11101101", 189 to 190=> "11101110", 191 to 192=> "11101111", 193 to 194=> "11110000", 195 to 196=> "11110001", 197 to 198=> "11110010", 199 to 200=> "11110011", 201 to 203=> "11110100", 204 to 205=> "11110101", 206 to 208=> "11110110", 209 to 211=> "11110111", 212 to 214=> "11111000", 215 to 217=> "11111001", 218 to 221=> "11111010", 222 to 225=> "11111011", 226 to 229=> "11111100", 230 to 235=> "11111101", 236 to 243=> "11111110", 244 to 255=> "11111111" ); begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_rom_access: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then q0 <= mem(CONV_INTEGER(addr0_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity Loop_loop_height_lbW is generic ( DataWidth : INTEGER := 8; AddressRange : INTEGER := 256; AddressWidth : INTEGER := 8); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of Loop_loop_height_lbW is component Loop_loop_height_lbW_rom is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR); end component; begin Loop_loop_height_lbW_rom_U : component Loop_loop_height_lbW_rom port map ( clk => clk, addr0 => address0, ce0 => ce0, q0 => q0); end architecture;
package body package0 is function fn0 (param0 : bit_vector) return bit is begin -- function code end fn0;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 00:29:48 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zynq_design_1_processing_system7_0_2_sim_netlist.vhdl -- Design : zynq_design_1_processing_system7_0_2 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is port ( CAN0_PHY_TX : out STD_LOGIC; CAN0_PHY_RX : in STD_LOGIC; CAN1_PHY_TX : out STD_LOGIC; CAN1_PHY_RX : in STD_LOGIC; ENET0_GMII_TX_EN : out STD_LOGIC; ENET0_GMII_TX_ER : out STD_LOGIC; ENET0_MDIO_MDC : out STD_LOGIC; ENET0_MDIO_O : out STD_LOGIC; ENET0_MDIO_T : out STD_LOGIC; ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET0_GMII_COL : in STD_LOGIC; ENET0_GMII_CRS : in STD_LOGIC; ENET0_GMII_RX_CLK : in STD_LOGIC; ENET0_GMII_RX_DV : in STD_LOGIC; ENET0_GMII_RX_ER : in STD_LOGIC; ENET0_GMII_TX_CLK : in STD_LOGIC; ENET0_MDIO_I : in STD_LOGIC; ENET0_EXT_INTIN : in STD_LOGIC; ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_TX_EN : out STD_LOGIC; ENET1_GMII_TX_ER : out STD_LOGIC; ENET1_MDIO_MDC : out STD_LOGIC; ENET1_MDIO_O : out STD_LOGIC; ENET1_MDIO_T : out STD_LOGIC; ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET1_SOF_RX : out STD_LOGIC; ENET1_SOF_TX : out STD_LOGIC; ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_COL : in STD_LOGIC; ENET1_GMII_CRS : in STD_LOGIC; ENET1_GMII_RX_CLK : in STD_LOGIC; ENET1_GMII_RX_DV : in STD_LOGIC; ENET1_GMII_RX_ER : in STD_LOGIC; ENET1_GMII_TX_CLK : in STD_LOGIC; ENET1_MDIO_I : in STD_LOGIC; ENET1_EXT_INTIN : in STD_LOGIC; ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 ); I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; PJTAG_TCK : in STD_LOGIC; PJTAG_TMS : in STD_LOGIC; PJTAG_TDI : in STD_LOGIC; PJTAG_TDO : out STD_LOGIC; SDIO0_CLK : out STD_LOGIC; SDIO0_CLK_FB : in STD_LOGIC; SDIO0_CMD_O : out STD_LOGIC; SDIO0_CMD_I : in STD_LOGIC; SDIO0_CMD_T : out STD_LOGIC; SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_LED : out STD_LOGIC; SDIO0_CDN : in STD_LOGIC; SDIO0_WP : in STD_LOGIC; SDIO0_BUSPOW : out STD_LOGIC; SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SDIO1_CLK : out STD_LOGIC; SDIO1_CLK_FB : in STD_LOGIC; SDIO1_CMD_O : out STD_LOGIC; SDIO1_CMD_I : in STD_LOGIC; SDIO1_CMD_T : out STD_LOGIC; SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_LED : out STD_LOGIC; SDIO1_CDN : in STD_LOGIC; SDIO1_WP : in STD_LOGIC; SDIO1_BUSPOW : out STD_LOGIC; SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SPI0_SCLK_I : in STD_LOGIC; SPI0_SCLK_O : out STD_LOGIC; SPI0_SCLK_T : out STD_LOGIC; SPI0_MOSI_I : in STD_LOGIC; SPI0_MOSI_O : out STD_LOGIC; SPI0_MOSI_T : out STD_LOGIC; SPI0_MISO_I : in STD_LOGIC; SPI0_MISO_O : out STD_LOGIC; SPI0_MISO_T : out STD_LOGIC; SPI0_SS_I : in STD_LOGIC; SPI0_SS_O : out STD_LOGIC; SPI0_SS1_O : out STD_LOGIC; SPI0_SS2_O : out STD_LOGIC; SPI0_SS_T : out STD_LOGIC; SPI1_SCLK_I : in STD_LOGIC; SPI1_SCLK_O : out STD_LOGIC; SPI1_SCLK_T : out STD_LOGIC; SPI1_MOSI_I : in STD_LOGIC; SPI1_MOSI_O : out STD_LOGIC; SPI1_MOSI_T : out STD_LOGIC; SPI1_MISO_I : in STD_LOGIC; SPI1_MISO_O : out STD_LOGIC; SPI1_MISO_T : out STD_LOGIC; SPI1_SS_I : in STD_LOGIC; SPI1_SS_O : out STD_LOGIC; SPI1_SS1_O : out STD_LOGIC; SPI1_SS2_O : out STD_LOGIC; SPI1_SS_T : out STD_LOGIC; UART0_DTRN : out STD_LOGIC; UART0_RTSN : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_CTSN : in STD_LOGIC; UART0_DCDN : in STD_LOGIC; UART0_DSRN : in STD_LOGIC; UART0_RIN : in STD_LOGIC; UART0_RX : in STD_LOGIC; UART1_DTRN : out STD_LOGIC; UART1_RTSN : out STD_LOGIC; UART1_TX : out STD_LOGIC; UART1_CTSN : in STD_LOGIC; UART1_DCDN : in STD_LOGIC; UART1_DSRN : in STD_LOGIC; UART1_RIN : in STD_LOGIC; UART1_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; TTC0_CLK0_IN : in STD_LOGIC; TTC0_CLK1_IN : in STD_LOGIC; TTC0_CLK2_IN : in STD_LOGIC; TTC1_WAVE0_OUT : out STD_LOGIC; TTC1_WAVE1_OUT : out STD_LOGIC; TTC1_WAVE2_OUT : out STD_LOGIC; TTC1_CLK0_IN : in STD_LOGIC; TTC1_CLK1_IN : in STD_LOGIC; TTC1_CLK2_IN : in STD_LOGIC; WDT_CLK_IN : in STD_LOGIC; WDT_RST_OUT : out STD_LOGIC; TRACE_CLK : in STD_LOGIC; TRACE_CTL : out STD_LOGIC; TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 ); TRACE_CLK_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB1_VBUS_PWRSELECT : out STD_LOGIC; USB1_VBUS_PWRFAULT : in STD_LOGIC; SRAM_INTIN : in STD_LOGIC; M_AXI_GP0_ARESETN : out STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARESETN : out STD_LOGIC; M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARESETN : out STD_LOGIC; S_AXI_GP0_ARREADY : out STD_LOGIC; S_AXI_GP0_AWREADY : out STD_LOGIC; S_AXI_GP0_BVALID : out STD_LOGIC; S_AXI_GP0_RLAST : out STD_LOGIC; S_AXI_GP0_RVALID : out STD_LOGIC; S_AXI_GP0_WREADY : out STD_LOGIC; S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_ACLK : in STD_LOGIC; S_AXI_GP0_ARVALID : in STD_LOGIC; S_AXI_GP0_AWVALID : in STD_LOGIC; S_AXI_GP0_BREADY : in STD_LOGIC; S_AXI_GP0_RREADY : in STD_LOGIC; S_AXI_GP0_WLAST : in STD_LOGIC; S_AXI_GP0_WVALID : in STD_LOGIC; S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ARESETN : out STD_LOGIC; S_AXI_GP1_ARREADY : out STD_LOGIC; S_AXI_GP1_AWREADY : out STD_LOGIC; S_AXI_GP1_BVALID : out STD_LOGIC; S_AXI_GP1_RLAST : out STD_LOGIC; S_AXI_GP1_RVALID : out STD_LOGIC; S_AXI_GP1_WREADY : out STD_LOGIC; S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ACLK : in STD_LOGIC; S_AXI_GP1_ARVALID : in STD_LOGIC; S_AXI_GP1_AWVALID : in STD_LOGIC; S_AXI_GP1_BREADY : in STD_LOGIC; S_AXI_GP1_RREADY : in STD_LOGIC; S_AXI_GP1_WLAST : in STD_LOGIC; S_AXI_GP1_WVALID : in STD_LOGIC; S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_ACP_ARESETN : out STD_LOGIC; S_AXI_ACP_ARREADY : out STD_LOGIC; S_AXI_ACP_AWREADY : out STD_LOGIC; S_AXI_ACP_BVALID : out STD_LOGIC; S_AXI_ACP_RLAST : out STD_LOGIC; S_AXI_ACP_RVALID : out STD_LOGIC; S_AXI_ACP_WREADY : out STD_LOGIC; S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_ACLK : in STD_LOGIC; S_AXI_ACP_ARVALID : in STD_LOGIC; S_AXI_ACP_AWVALID : in STD_LOGIC; S_AXI_ACP_BREADY : in STD_LOGIC; S_AXI_ACP_RREADY : in STD_LOGIC; S_AXI_ACP_WLAST : in STD_LOGIC; S_AXI_ACP_WVALID : in STD_LOGIC; S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_ARESETN : out STD_LOGIC; S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_ARESETN : out STD_LOGIC; S_AXI_HP1_ARREADY : out STD_LOGIC; S_AXI_HP1_AWREADY : out STD_LOGIC; S_AXI_HP1_BVALID : out STD_LOGIC; S_AXI_HP1_RLAST : out STD_LOGIC; S_AXI_HP1_RVALID : out STD_LOGIC; S_AXI_HP1_WREADY : out STD_LOGIC; S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_ACLK : in STD_LOGIC; S_AXI_HP1_ARVALID : in STD_LOGIC; S_AXI_HP1_AWVALID : in STD_LOGIC; S_AXI_HP1_BREADY : in STD_LOGIC; S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_RREADY : in STD_LOGIC; S_AXI_HP1_WLAST : in STD_LOGIC; S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_WVALID : in STD_LOGIC; S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_ARESETN : out STD_LOGIC; S_AXI_HP2_ARREADY : out STD_LOGIC; S_AXI_HP2_AWREADY : out STD_LOGIC; S_AXI_HP2_BVALID : out STD_LOGIC; S_AXI_HP2_RLAST : out STD_LOGIC; S_AXI_HP2_RVALID : out STD_LOGIC; S_AXI_HP2_WREADY : out STD_LOGIC; S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_ACLK : in STD_LOGIC; S_AXI_HP2_ARVALID : in STD_LOGIC; S_AXI_HP2_AWVALID : in STD_LOGIC; S_AXI_HP2_BREADY : in STD_LOGIC; S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_RREADY : in STD_LOGIC; S_AXI_HP2_WLAST : in STD_LOGIC; S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_WVALID : in STD_LOGIC; S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_ARESETN : out STD_LOGIC; S_AXI_HP3_ARREADY : out STD_LOGIC; S_AXI_HP3_AWREADY : out STD_LOGIC; S_AXI_HP3_BVALID : out STD_LOGIC; S_AXI_HP3_RLAST : out STD_LOGIC; S_AXI_HP3_RVALID : out STD_LOGIC; S_AXI_HP3_WREADY : out STD_LOGIC; S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_ACLK : in STD_LOGIC; S_AXI_HP3_ARVALID : in STD_LOGIC; S_AXI_HP3_AWVALID : in STD_LOGIC; S_AXI_HP3_BREADY : in STD_LOGIC; S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_RREADY : in STD_LOGIC; S_AXI_HP3_WLAST : in STD_LOGIC; S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_WVALID : in STD_LOGIC; S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_P2F_DMAC_ABORT : out STD_LOGIC; IRQ_P2F_DMAC0 : out STD_LOGIC; IRQ_P2F_DMAC1 : out STD_LOGIC; IRQ_P2F_DMAC2 : out STD_LOGIC; IRQ_P2F_DMAC3 : out STD_LOGIC; IRQ_P2F_DMAC4 : out STD_LOGIC; IRQ_P2F_DMAC5 : out STD_LOGIC; IRQ_P2F_DMAC6 : out STD_LOGIC; IRQ_P2F_DMAC7 : out STD_LOGIC; IRQ_P2F_SMC : out STD_LOGIC; IRQ_P2F_QSPI : out STD_LOGIC; IRQ_P2F_CTI : out STD_LOGIC; IRQ_P2F_GPIO : out STD_LOGIC; IRQ_P2F_USB0 : out STD_LOGIC; IRQ_P2F_ENET0 : out STD_LOGIC; IRQ_P2F_ENET_WAKE0 : out STD_LOGIC; IRQ_P2F_SDIO0 : out STD_LOGIC; IRQ_P2F_I2C0 : out STD_LOGIC; IRQ_P2F_SPI0 : out STD_LOGIC; IRQ_P2F_UART0 : out STD_LOGIC; IRQ_P2F_CAN0 : out STD_LOGIC; IRQ_P2F_USB1 : out STD_LOGIC; IRQ_P2F_ENET1 : out STD_LOGIC; IRQ_P2F_ENET_WAKE1 : out STD_LOGIC; IRQ_P2F_SDIO1 : out STD_LOGIC; IRQ_P2F_I2C1 : out STD_LOGIC; IRQ_P2F_SPI1 : out STD_LOGIC; IRQ_P2F_UART1 : out STD_LOGIC; IRQ_P2F_CAN1 : out STD_LOGIC; IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 ); Core0_nFIQ : in STD_LOGIC; Core0_nIRQ : in STD_LOGIC; Core1_nFIQ : in STD_LOGIC; Core1_nIRQ : in STD_LOGIC; DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA0_DAVALID : out STD_LOGIC; DMA0_DRREADY : out STD_LOGIC; DMA0_RSTN : out STD_LOGIC; DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DAVALID : out STD_LOGIC; DMA1_DRREADY : out STD_LOGIC; DMA1_RSTN : out STD_LOGIC; DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DAVALID : out STD_LOGIC; DMA2_DRREADY : out STD_LOGIC; DMA2_RSTN : out STD_LOGIC; DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DAVALID : out STD_LOGIC; DMA3_DRREADY : out STD_LOGIC; DMA3_RSTN : out STD_LOGIC; DMA0_ACLK : in STD_LOGIC; DMA0_DAREADY : in STD_LOGIC; DMA0_DRLAST : in STD_LOGIC; DMA0_DRVALID : in STD_LOGIC; DMA1_ACLK : in STD_LOGIC; DMA1_DAREADY : in STD_LOGIC; DMA1_DRLAST : in STD_LOGIC; DMA1_DRVALID : in STD_LOGIC; DMA2_ACLK : in STD_LOGIC; DMA2_DAREADY : in STD_LOGIC; DMA2_DRLAST : in STD_LOGIC; DMA2_DRVALID : in STD_LOGIC; DMA3_ACLK : in STD_LOGIC; DMA3_DAREADY : in STD_LOGIC; DMA3_DRLAST : in STD_LOGIC; DMA3_DRVALID : in STD_LOGIC; DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK3 : out STD_LOGIC; FCLK_CLK2 : out STD_LOGIC; FCLK_CLK1 : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_CLKTRIG3_N : in STD_LOGIC; FCLK_CLKTRIG2_N : in STD_LOGIC; FCLK_CLKTRIG1_N : in STD_LOGIC; FCLK_CLKTRIG0_N : in STD_LOGIC; FCLK_RESET3_N : out STD_LOGIC; FCLK_RESET2_N : out STD_LOGIC; FCLK_RESET1_N : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMD_TRACEIN_VALID : in STD_LOGIC; FTMD_TRACEIN_CLK : in STD_LOGIC; FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 ); FTMT_F2P_TRIG_0 : in STD_LOGIC; FTMT_F2P_TRIGACK_0 : out STD_LOGIC; FTMT_F2P_TRIG_1 : in STD_LOGIC; FTMT_F2P_TRIGACK_1 : out STD_LOGIC; FTMT_F2P_TRIG_2 : in STD_LOGIC; FTMT_F2P_TRIGACK_2 : out STD_LOGIC; FTMT_F2P_TRIG_3 : in STD_LOGIC; FTMT_F2P_TRIGACK_3 : out STD_LOGIC; FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMT_P2F_TRIGACK_0 : in STD_LOGIC; FTMT_P2F_TRIG_0 : out STD_LOGIC; FTMT_P2F_TRIGACK_1 : in STD_LOGIC; FTMT_P2F_TRIG_1 : out STD_LOGIC; FTMT_P2F_TRIGACK_2 : in STD_LOGIC; FTMT_P2F_TRIG_2 : out STD_LOGIC; FTMT_P2F_TRIGACK_3 : in STD_LOGIC; FTMT_P2F_TRIG_3 : out STD_LOGIC; FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 ); FPGA_IDLE_N : in STD_LOGIC; EVENT_EVENTO : out STD_LOGIC; EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_EVENTI : in STD_LOGIC; DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 ); MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "zynq_design_1_processing_system7_0_2.hwdef"; attribute POWER : string; attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal ENET0_MDIO_T_n : STD_LOGIC; signal ENET1_MDIO_T_n : STD_LOGIC; signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 ); signal I2C0_SCL_T_n : STD_LOGIC; signal I2C0_SDA_T_n : STD_LOGIC; signal I2C1_SCL_T_n : STD_LOGIC; signal I2C1_SDA_T_n : STD_LOGIC; signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal SDIO0_CMD_T_n : STD_LOGIC; signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SDIO1_CMD_T_n : STD_LOGIC; signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SPI0_MISO_T_n : STD_LOGIC; signal SPI0_MOSI_T_n : STD_LOGIC; signal SPI0_SCLK_T_n : STD_LOGIC; signal SPI0_SS_T_n : STD_LOGIC; signal SPI1_MISO_T_n : STD_LOGIC; signal SPI1_MOSI_T_n : STD_LOGIC; signal SPI1_SCLK_T_n : STD_LOGIC; signal SPI1_SS_T_n : STD_LOGIC; signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true"; signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true"; signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true"; signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true"; signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true"; signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true"; signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true"; signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true"; signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true"; signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true"; signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true"; signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true"; signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true"; signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true"; signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true"; signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true"; signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 ); signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal buffered_DDR_CAS_n : STD_LOGIC; signal buffered_DDR_CKE : STD_LOGIC; signal buffered_DDR_CS_n : STD_LOGIC; signal buffered_DDR_Clk : STD_LOGIC; signal buffered_DDR_Clk_n : STD_LOGIC; signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DRSTB : STD_LOGIC; signal buffered_DDR_ODT : STD_LOGIC; signal buffered_DDR_RAS_n : STD_LOGIC; signal buffered_DDR_VRN : STD_LOGIC; signal buffered_DDR_VRP : STD_LOGIC; signal buffered_DDR_WEB : STD_LOGIC; signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal buffered_PS_CLK : STD_LOGIC; signal buffered_PS_PORB : STD_LOGIC; signal buffered_PS_SRSTB : STD_LOGIC; signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); attribute BOX_TYPE : string; attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS7_i : label is "PRIMITIVE"; attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; begin ENET0_GMII_TXD(7) <= \<const0>\; ENET0_GMII_TXD(6) <= \<const0>\; ENET0_GMII_TXD(5) <= \<const0>\; ENET0_GMII_TXD(4) <= \<const0>\; ENET0_GMII_TXD(3) <= \<const0>\; ENET0_GMII_TXD(2) <= \<const0>\; ENET0_GMII_TXD(1) <= \<const0>\; ENET0_GMII_TXD(0) <= \<const0>\; ENET0_GMII_TX_EN <= \<const0>\; ENET0_GMII_TX_ER <= \<const0>\; ENET1_GMII_TXD(7) <= \<const0>\; ENET1_GMII_TXD(6) <= \<const0>\; ENET1_GMII_TXD(5) <= \<const0>\; ENET1_GMII_TXD(4) <= \<const0>\; ENET1_GMII_TXD(3) <= \<const0>\; ENET1_GMII_TXD(2) <= \<const0>\; ENET1_GMII_TXD(1) <= \<const0>\; ENET1_GMII_TXD(0) <= \<const0>\; ENET1_GMII_TX_EN <= \<const0>\; ENET1_GMII_TX_ER <= \<const0>\; M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2); M_AXI_GP0_ARCACHE(1) <= \<const1>\; M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0); M_AXI_GP0_ARSIZE(2) <= \<const0>\; M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0); M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2); M_AXI_GP0_AWCACHE(1) <= \<const1>\; M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0); M_AXI_GP0_AWSIZE(2) <= \<const0>\; M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0); M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2); M_AXI_GP1_ARCACHE(1) <= \<const1>\; M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0); M_AXI_GP1_ARSIZE(2) <= \<const0>\; M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0); M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2); M_AXI_GP1_AWCACHE(1) <= \<const1>\; M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0); M_AXI_GP1_AWSIZE(2) <= \<const0>\; M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0); PJTAG_TDO <= \<const0>\; TRACE_CLK_OUT <= \<const0>\; TRACE_CTL <= \TRACE_CTL_PIPE[0]\; TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0); DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CAS_n, PAD => DDR_CAS_n ); DDR_CKE_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CKE, PAD => DDR_CKE ); DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CS_n, PAD => DDR_CS_n ); DDR_Clk_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk, PAD => DDR_Clk ); DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk_n, PAD => DDR_Clk_n ); DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DRSTB, PAD => DDR_DRSTB ); DDR_ODT_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_ODT, PAD => DDR_ODT ); DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_RAS_n, PAD => DDR_RAS_n ); DDR_VRN_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRN, PAD => DDR_VRN ); DDR_VRP_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRP, PAD => DDR_VRP ); DDR_WEB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_WEB, PAD => DDR_WEB ); ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET0_MDIO_T_n, O => ENET0_MDIO_T ); ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET1_MDIO_T_n, O => ENET1_MDIO_T ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(0), O => GPIO_T(0) ); \GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(10), O => GPIO_T(10) ); \GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(11), O => GPIO_T(11) ); \GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(12), O => GPIO_T(12) ); \GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(13), O => GPIO_T(13) ); \GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(14), O => GPIO_T(14) ); \GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(15), O => GPIO_T(15) ); \GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(16), O => GPIO_T(16) ); \GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(17), O => GPIO_T(17) ); \GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(18), O => GPIO_T(18) ); \GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(19), O => GPIO_T(19) ); \GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(1), O => GPIO_T(1) ); \GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(20), O => GPIO_T(20) ); \GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(21), O => GPIO_T(21) ); \GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(22), O => GPIO_T(22) ); \GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(23), O => GPIO_T(23) ); \GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(24), O => GPIO_T(24) ); \GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(25), O => GPIO_T(25) ); \GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(26), O => GPIO_T(26) ); \GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(27), O => GPIO_T(27) ); \GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(28), O => GPIO_T(28) ); \GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(29), O => GPIO_T(29) ); \GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(2), O => GPIO_T(2) ); \GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(30), O => GPIO_T(30) ); \GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(31), O => GPIO_T(31) ); \GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(32), O => GPIO_T(32) ); \GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(33), O => GPIO_T(33) ); \GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(34), O => GPIO_T(34) ); \GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(35), O => GPIO_T(35) ); \GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(36), O => GPIO_T(36) ); \GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(37), O => GPIO_T(37) ); \GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(38), O => GPIO_T(38) ); \GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(39), O => GPIO_T(39) ); \GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(3), O => GPIO_T(3) ); \GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(40), O => GPIO_T(40) ); \GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(41), O => GPIO_T(41) ); \GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(42), O => GPIO_T(42) ); \GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(43), O => GPIO_T(43) ); \GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(44), O => GPIO_T(44) ); \GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(45), O => GPIO_T(45) ); \GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(46), O => GPIO_T(46) ); \GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(47), O => GPIO_T(47) ); \GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(48), O => GPIO_T(48) ); \GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(49), O => GPIO_T(49) ); \GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(4), O => GPIO_T(4) ); \GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(50), O => GPIO_T(50) ); \GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(51), O => GPIO_T(51) ); \GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(52), O => GPIO_T(52) ); \GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(53), O => GPIO_T(53) ); \GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(54), O => GPIO_T(54) ); \GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(55), O => GPIO_T(55) ); \GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(56), O => GPIO_T(56) ); \GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(57), O => GPIO_T(57) ); \GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(58), O => GPIO_T(58) ); \GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(59), O => GPIO_T(59) ); \GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(5), O => GPIO_T(5) ); \GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(60), O => GPIO_T(60) ); \GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(61), O => GPIO_T(61) ); \GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(62), O => GPIO_T(62) ); \GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(63), O => GPIO_T(63) ); \GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(6), O => GPIO_T(6) ); \GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(7), O => GPIO_T(7) ); \GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(8), O => GPIO_T(8) ); \GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(9), O => GPIO_T(9) ); I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SCL_T_n, O => I2C0_SCL_T ); I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SDA_T_n, O => I2C0_SDA_T ); I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SCL_T_n, O => I2C1_SCL_T ); I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SDA_T_n, O => I2C1_SDA_T ); PS7_i: unisim.vcomponents.PS7 port map ( DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0), DDRARB(3 downto 0) => DDR_ARB(3 downto 0), DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0), DDRCASB => buffered_DDR_CAS_n, DDRCKE => buffered_DDR_CKE, DDRCKN => buffered_DDR_Clk_n, DDRCKP => buffered_DDR_Clk, DDRCSB => buffered_DDR_CS_n, DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0), DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0), DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0), DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0), DDRDRSTB => buffered_DDR_DRSTB, DDRODT => buffered_DDR_ODT, DDRRASB => buffered_DDR_RAS_n, DDRVRN => buffered_DDR_VRN, DDRVRP => buffered_DDR_VRP, DDRWEB => buffered_DDR_WEB, DMA0ACLK => DMA0_ACLK, DMA0DAREADY => DMA0_DAREADY, DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0), DMA0DAVALID => DMA0_DAVALID, DMA0DRLAST => DMA0_DRLAST, DMA0DRREADY => DMA0_DRREADY, DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0), DMA0DRVALID => DMA0_DRVALID, DMA0RSTN => DMA0_RSTN, DMA1ACLK => DMA1_ACLK, DMA1DAREADY => DMA1_DAREADY, DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0), DMA1DAVALID => DMA1_DAVALID, DMA1DRLAST => DMA1_DRLAST, DMA1DRREADY => DMA1_DRREADY, DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0), DMA1DRVALID => DMA1_DRVALID, DMA1RSTN => DMA1_RSTN, DMA2ACLK => DMA2_ACLK, DMA2DAREADY => DMA2_DAREADY, DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0), DMA2DAVALID => DMA2_DAVALID, DMA2DRLAST => DMA2_DRLAST, DMA2DRREADY => DMA2_DRREADY, DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0), DMA2DRVALID => DMA2_DRVALID, DMA2RSTN => DMA2_RSTN, DMA3ACLK => DMA3_ACLK, DMA3DAREADY => DMA3_DAREADY, DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0), DMA3DAVALID => DMA3_DAVALID, DMA3DRLAST => DMA3_DRLAST, DMA3DRREADY => DMA3_DRREADY, DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0), DMA3DRVALID => DMA3_DRVALID, DMA3RSTN => DMA3_RSTN, EMIOCAN0PHYRX => CAN0_PHY_RX, EMIOCAN0PHYTX => CAN0_PHY_TX, EMIOCAN1PHYRX => CAN1_PHY_RX, EMIOCAN1PHYTX => CAN1_PHY_TX, EMIOENET0EXTINTIN => ENET0_EXT_INTIN, EMIOENET0GMIICOL => '0', EMIOENET0GMIICRS => '0', EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK, EMIOENET0GMIIRXD(7 downto 0) => B"00000000", EMIOENET0GMIIRXDV => '0', EMIOENET0GMIIRXER => '0', EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK, EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0), EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED, EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED, EMIOENET0MDIOI => ENET0_MDIO_I, EMIOENET0MDIOMDC => ENET0_MDIO_MDC, EMIOENET0MDIOO => ENET0_MDIO_O, EMIOENET0MDIOTN => ENET0_MDIO_T_n, EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX, EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX, EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX, EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX, EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX, EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX, EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX, EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX, EMIOENET0SOFRX => ENET0_SOF_RX, EMIOENET0SOFTX => ENET0_SOF_TX, EMIOENET1EXTINTIN => ENET1_EXT_INTIN, EMIOENET1GMIICOL => '0', EMIOENET1GMIICRS => '0', EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK, EMIOENET1GMIIRXD(7 downto 0) => B"00000000", EMIOENET1GMIIRXDV => '0', EMIOENET1GMIIRXER => '0', EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK, EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0), EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED, EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED, EMIOENET1MDIOI => ENET1_MDIO_I, EMIOENET1MDIOMDC => ENET1_MDIO_MDC, EMIOENET1MDIOO => ENET1_MDIO_O, EMIOENET1MDIOTN => ENET1_MDIO_T_n, EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX, EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX, EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX, EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX, EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX, EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX, EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX, EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX, EMIOENET1SOFRX => ENET1_SOF_RX, EMIOENET1SOFTX => ENET1_SOF_TX, EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0), EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0), EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0), EMIOI2C0SCLI => I2C0_SCL_I, EMIOI2C0SCLO => I2C0_SCL_O, EMIOI2C0SCLTN => I2C0_SCL_T_n, EMIOI2C0SDAI => I2C0_SDA_I, EMIOI2C0SDAO => I2C0_SDA_O, EMIOI2C0SDATN => I2C0_SDA_T_n, EMIOI2C1SCLI => I2C1_SCL_I, EMIOI2C1SCLO => I2C1_SCL_O, EMIOI2C1SCLTN => I2C1_SCL_T_n, EMIOI2C1SDAI => I2C1_SDA_I, EMIOI2C1SDAO => I2C1_SDA_O, EMIOI2C1SDATN => I2C1_SDA_T_n, EMIOPJTAGTCK => PJTAG_TCK, EMIOPJTAGTDI => PJTAG_TDI, EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED, EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED, EMIOPJTAGTMS => PJTAG_TMS, EMIOSDIO0BUSPOW => SDIO0_BUSPOW, EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0), EMIOSDIO0CDN => SDIO0_CDN, EMIOSDIO0CLK => SDIO0_CLK, EMIOSDIO0CLKFB => SDIO0_CLK_FB, EMIOSDIO0CMDI => SDIO0_CMD_I, EMIOSDIO0CMDO => SDIO0_CMD_O, EMIOSDIO0CMDTN => SDIO0_CMD_T_n, EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0), EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0), EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0), EMIOSDIO0LED => SDIO0_LED, EMIOSDIO0WP => SDIO0_WP, EMIOSDIO1BUSPOW => SDIO1_BUSPOW, EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0), EMIOSDIO1CDN => SDIO1_CDN, EMIOSDIO1CLK => SDIO1_CLK, EMIOSDIO1CLKFB => SDIO1_CLK_FB, EMIOSDIO1CMDI => SDIO1_CMD_I, EMIOSDIO1CMDO => SDIO1_CMD_O, EMIOSDIO1CMDTN => SDIO1_CMD_T_n, EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0), EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0), EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0), EMIOSDIO1LED => SDIO1_LED, EMIOSDIO1WP => SDIO1_WP, EMIOSPI0MI => SPI0_MISO_I, EMIOSPI0MO => SPI0_MOSI_O, EMIOSPI0MOTN => SPI0_MOSI_T_n, EMIOSPI0SCLKI => SPI0_SCLK_I, EMIOSPI0SCLKO => SPI0_SCLK_O, EMIOSPI0SCLKTN => SPI0_SCLK_T_n, EMIOSPI0SI => SPI0_MOSI_I, EMIOSPI0SO => SPI0_MISO_O, EMIOSPI0SSIN => SPI0_SS_I, EMIOSPI0SSNTN => SPI0_SS_T_n, EMIOSPI0SSON(2) => SPI0_SS2_O, EMIOSPI0SSON(1) => SPI0_SS1_O, EMIOSPI0SSON(0) => SPI0_SS_O, EMIOSPI0STN => SPI0_MISO_T_n, EMIOSPI1MI => SPI1_MISO_I, EMIOSPI1MO => SPI1_MOSI_O, EMIOSPI1MOTN => SPI1_MOSI_T_n, EMIOSPI1SCLKI => SPI1_SCLK_I, EMIOSPI1SCLKO => SPI1_SCLK_O, EMIOSPI1SCLKTN => SPI1_SCLK_T_n, EMIOSPI1SI => SPI1_MOSI_I, EMIOSPI1SO => SPI1_MISO_O, EMIOSPI1SSIN => SPI1_SS_I, EMIOSPI1SSNTN => SPI1_SS_T_n, EMIOSPI1SSON(2) => SPI1_SS2_O, EMIOSPI1SSON(1) => SPI1_SS1_O, EMIOSPI1SSON(0) => SPI1_SS_O, EMIOSPI1STN => SPI1_MISO_T_n, EMIOSRAMINTIN => SRAM_INTIN, EMIOTRACECLK => TRACE_CLK, EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED, EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0), EMIOTTC0CLKI(2) => TTC0_CLK2_IN, EMIOTTC0CLKI(1) => TTC0_CLK1_IN, EMIOTTC0CLKI(0) => TTC0_CLK0_IN, EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT, EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT, EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT, EMIOTTC1CLKI(2) => TTC1_CLK2_IN, EMIOTTC1CLKI(1) => TTC1_CLK1_IN, EMIOTTC1CLKI(0) => TTC1_CLK0_IN, EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT, EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT, EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT, EMIOUART0CTSN => UART0_CTSN, EMIOUART0DCDN => UART0_DCDN, EMIOUART0DSRN => UART0_DSRN, EMIOUART0DTRN => UART0_DTRN, EMIOUART0RIN => UART0_RIN, EMIOUART0RTSN => UART0_RTSN, EMIOUART0RX => UART0_RX, EMIOUART0TX => UART0_TX, EMIOUART1CTSN => UART1_CTSN, EMIOUART1DCDN => UART1_DCDN, EMIOUART1DSRN => UART1_DSRN, EMIOUART1DTRN => UART1_DTRN, EMIOUART1RIN => UART1_RIN, EMIOUART1RTSN => UART1_RTSN, EMIOUART1RX => UART1_RX, EMIOUART1TX => UART1_TX, EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT, EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT, EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0), EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT, EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT, EMIOWDTCLKI => WDT_CLK_IN, EMIOWDTRSTO => WDT_RST_OUT, EVENTEVENTI => EVENT_EVENTI, EVENTEVENTO => EVENT_EVENTO, EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0), EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0), FCLKCLK(3) => FCLK_CLK3, FCLKCLK(2) => FCLK_CLK2, FCLKCLK(1) => FCLK_CLK1, FCLKCLK(0) => FCLK_CLK_unbuffered(0), FCLKCLKTRIGN(3 downto 0) => B"0000", FCLKRESETN(3) => FCLK_RESET3_N, FCLKRESETN(2) => FCLK_RESET2_N, FCLKRESETN(1) => FCLK_RESET1_N, FCLKRESETN(0) => FCLK_RESET0_N, FPGAIDLEN => FPGA_IDLE_N, FTMDTRACEINATID(3 downto 0) => B"0000", FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK, FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000", FTMDTRACEINVALID => '0', FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0), FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3, FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2, FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1, FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0, FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3, FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2, FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1, FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0, FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0), FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3, FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2, FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1, FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0, FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3, FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2, FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1, FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0, IRQF2P(19) => Core1_nFIQ, IRQF2P(18) => Core0_nFIQ, IRQF2P(17) => Core1_nIRQ, IRQF2P(16) => Core0_nIRQ, IRQF2P(15 downto 1) => B"000000000000000", IRQF2P(0) => IRQ_F2P(0), IRQP2F(28) => IRQ_P2F_DMAC_ABORT, IRQP2F(27) => IRQ_P2F_DMAC7, IRQP2F(26) => IRQ_P2F_DMAC6, IRQP2F(25) => IRQ_P2F_DMAC5, IRQP2F(24) => IRQ_P2F_DMAC4, IRQP2F(23) => IRQ_P2F_DMAC3, IRQP2F(22) => IRQ_P2F_DMAC2, IRQP2F(21) => IRQ_P2F_DMAC1, IRQP2F(20) => IRQ_P2F_DMAC0, IRQP2F(19) => IRQ_P2F_SMC, IRQP2F(18) => IRQ_P2F_QSPI, IRQP2F(17) => IRQ_P2F_CTI, IRQP2F(16) => IRQ_P2F_GPIO, IRQP2F(15) => IRQ_P2F_USB0, IRQP2F(14) => IRQ_P2F_ENET0, IRQP2F(13) => IRQ_P2F_ENET_WAKE0, IRQP2F(12) => IRQ_P2F_SDIO0, IRQP2F(11) => IRQ_P2F_I2C0, IRQP2F(10) => IRQ_P2F_SPI0, IRQP2F(9) => IRQ_P2F_UART0, IRQP2F(8) => IRQ_P2F_CAN0, IRQP2F(7) => IRQ_P2F_USB1, IRQP2F(6) => IRQ_P2F_ENET1, IRQP2F(5) => IRQ_P2F_ENET_WAKE1, IRQP2F(4) => IRQ_P2F_SDIO1, IRQP2F(3) => IRQ_P2F_I2C1, IRQP2F(2) => IRQ_P2F_SPI1, IRQP2F(1) => IRQ_P2F_UART1, IRQP2F(0) => IRQ_P2F_CAN1, MAXIGP0ACLK => M_AXI_GP0_ACLK, MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2), MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1), MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0), MAXIGP0ARESETN => M_AXI_GP0_ARESETN, MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), MAXIGP0ARREADY => M_AXI_GP0_ARREADY, MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0), MAXIGP0ARVALID => M_AXI_GP0_ARVALID, MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2), MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1), MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0), MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), MAXIGP0AWREADY => M_AXI_GP0_AWREADY, MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0), MAXIGP0AWVALID => M_AXI_GP0_AWVALID, MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), MAXIGP0BREADY => M_AXI_GP0_BREADY, MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), MAXIGP0BVALID => M_AXI_GP0_BVALID, MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), MAXIGP0RLAST => M_AXI_GP0_RLAST, MAXIGP0RREADY => M_AXI_GP0_RREADY, MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), MAXIGP0RVALID => M_AXI_GP0_RVALID, MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), MAXIGP0WLAST => M_AXI_GP0_WLAST, MAXIGP0WREADY => M_AXI_GP0_WREADY, MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), MAXIGP0WVALID => M_AXI_GP0_WVALID, MAXIGP1ACLK => M_AXI_GP1_ACLK, MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0), MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0), MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2), MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1), MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0), MAXIGP1ARESETN => M_AXI_GP1_ARESETN, MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0), MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0), MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0), MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0), MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0), MAXIGP1ARREADY => M_AXI_GP1_ARREADY, MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0), MAXIGP1ARVALID => M_AXI_GP1_ARVALID, MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0), MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0), MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2), MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1), MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0), MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0), MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0), MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0), MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0), MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0), MAXIGP1AWREADY => M_AXI_GP1_AWREADY, MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0), MAXIGP1AWVALID => M_AXI_GP1_AWVALID, MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0), MAXIGP1BREADY => M_AXI_GP1_BREADY, MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0), MAXIGP1BVALID => M_AXI_GP1_BVALID, MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0), MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0), MAXIGP1RLAST => M_AXI_GP1_RLAST, MAXIGP1RREADY => M_AXI_GP1_RREADY, MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0), MAXIGP1RVALID => M_AXI_GP1_RVALID, MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0), MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0), MAXIGP1WLAST => M_AXI_GP1_WLAST, MAXIGP1WREADY => M_AXI_GP1_WREADY, MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0), MAXIGP1WVALID => M_AXI_GP1_WVALID, MIO(53 downto 0) => buffered_MIO(53 downto 0), PSCLK => buffered_PS_CLK, PSPORB => buffered_PS_PORB, PSSRSTB => buffered_PS_SRSTB, SAXIACPACLK => S_AXI_ACP_ACLK, SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0), SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0), SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0), SAXIACPARESETN => S_AXI_ACP_ARESETN, SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0), SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0), SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0), SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0), SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0), SAXIACPARREADY => S_AXI_ACP_ARREADY, SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0), SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0), SAXIACPARVALID => S_AXI_ACP_ARVALID, SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0), SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0), SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0), SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0), SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0), SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0), SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0), SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0), SAXIACPAWREADY => S_AXI_ACP_AWREADY, SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0), SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0), SAXIACPAWVALID => S_AXI_ACP_AWVALID, SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0), SAXIACPBREADY => S_AXI_ACP_BREADY, SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0), SAXIACPBVALID => S_AXI_ACP_BVALID, SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0), SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0), SAXIACPRLAST => S_AXI_ACP_RLAST, SAXIACPRREADY => S_AXI_ACP_RREADY, SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0), SAXIACPRVALID => S_AXI_ACP_RVALID, SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0), SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0), SAXIACPWLAST => S_AXI_ACP_WLAST, SAXIACPWREADY => S_AXI_ACP_WREADY, SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0), SAXIACPWVALID => S_AXI_ACP_WVALID, SAXIGP0ACLK => S_AXI_GP0_ACLK, SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0), SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0), SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0), SAXIGP0ARESETN => S_AXI_GP0_ARESETN, SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0), SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0), SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0), SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0), SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0), SAXIGP0ARREADY => S_AXI_GP0_ARREADY, SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0), SAXIGP0ARVALID => S_AXI_GP0_ARVALID, SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0), SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0), SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0), SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0), SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0), SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0), SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0), SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0), SAXIGP0AWREADY => S_AXI_GP0_AWREADY, SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0), SAXIGP0AWVALID => S_AXI_GP0_AWVALID, SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0), SAXIGP0BREADY => S_AXI_GP0_BREADY, SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0), SAXIGP0BVALID => S_AXI_GP0_BVALID, SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0), SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0), SAXIGP0RLAST => S_AXI_GP0_RLAST, SAXIGP0RREADY => S_AXI_GP0_RREADY, SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0), SAXIGP0RVALID => S_AXI_GP0_RVALID, SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0), SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0), SAXIGP0WLAST => S_AXI_GP0_WLAST, SAXIGP0WREADY => S_AXI_GP0_WREADY, SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0), SAXIGP0WVALID => S_AXI_GP0_WVALID, SAXIGP1ACLK => S_AXI_GP1_ACLK, SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0), SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0), SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0), SAXIGP1ARESETN => S_AXI_GP1_ARESETN, SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0), SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0), SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0), SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0), SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0), SAXIGP1ARREADY => S_AXI_GP1_ARREADY, SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0), SAXIGP1ARVALID => S_AXI_GP1_ARVALID, SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0), SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0), SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0), SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0), SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0), SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0), SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0), SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0), SAXIGP1AWREADY => S_AXI_GP1_AWREADY, SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0), SAXIGP1AWVALID => S_AXI_GP1_AWVALID, SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0), SAXIGP1BREADY => S_AXI_GP1_BREADY, SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0), SAXIGP1BVALID => S_AXI_GP1_BVALID, SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0), SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0), SAXIGP1RLAST => S_AXI_GP1_RLAST, SAXIGP1RREADY => S_AXI_GP1_RREADY, SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0), SAXIGP1RVALID => S_AXI_GP1_RVALID, SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0), SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0), SAXIGP1WLAST => S_AXI_GP1_WLAST, SAXIGP1WREADY => S_AXI_GP1_WREADY, SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0), SAXIGP1WVALID => S_AXI_GP1_WVALID, SAXIHP0ACLK => S_AXI_HP0_ACLK, SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), SAXIHP0ARESETN => S_AXI_HP0_ARESETN, SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), SAXIHP0ARREADY => S_AXI_HP0_ARREADY, SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0), SAXIHP0ARVALID => S_AXI_HP0_ARVALID, SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), SAXIHP0AWREADY => S_AXI_HP0_AWREADY, SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0), SAXIHP0AWVALID => S_AXI_HP0_AWVALID, SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), SAXIHP0BREADY => S_AXI_HP0_BREADY, SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), SAXIHP0BVALID => S_AXI_HP0_BVALID, SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN, SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), SAXIHP0RLAST => S_AXI_HP0_RLAST, SAXIHP0RREADY => S_AXI_HP0_RREADY, SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), SAXIHP0RVALID => S_AXI_HP0_RVALID, SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), SAXIHP0WLAST => S_AXI_HP0_WLAST, SAXIHP0WREADY => S_AXI_HP0_WREADY, SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN, SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), SAXIHP0WVALID => S_AXI_HP0_WVALID, SAXIHP1ACLK => S_AXI_HP1_ACLK, SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0), SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0), SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0), SAXIHP1ARESETN => S_AXI_HP1_ARESETN, SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0), SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0), SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0), SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0), SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0), SAXIHP1ARREADY => S_AXI_HP1_ARREADY, SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0), SAXIHP1ARVALID => S_AXI_HP1_ARVALID, SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0), SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0), SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0), SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0), SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0), SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0), SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0), SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0), SAXIHP1AWREADY => S_AXI_HP1_AWREADY, SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0), SAXIHP1AWVALID => S_AXI_HP1_AWVALID, SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0), SAXIHP1BREADY => S_AXI_HP1_BREADY, SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0), SAXIHP1BVALID => S_AXI_HP1_BVALID, SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0), SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0), SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0), SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN, SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0), SAXIHP1RLAST => S_AXI_HP1_RLAST, SAXIHP1RREADY => S_AXI_HP1_RREADY, SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0), SAXIHP1RVALID => S_AXI_HP1_RVALID, SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0), SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0), SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0), SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0), SAXIHP1WLAST => S_AXI_HP1_WLAST, SAXIHP1WREADY => S_AXI_HP1_WREADY, SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN, SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0), SAXIHP1WVALID => S_AXI_HP1_WVALID, SAXIHP2ACLK => S_AXI_HP2_ACLK, SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0), SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0), SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0), SAXIHP2ARESETN => S_AXI_HP2_ARESETN, SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0), SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0), SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0), SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0), SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0), SAXIHP2ARREADY => S_AXI_HP2_ARREADY, SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0), SAXIHP2ARVALID => S_AXI_HP2_ARVALID, SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0), SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0), SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0), SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0), SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0), SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0), SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0), SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0), SAXIHP2AWREADY => S_AXI_HP2_AWREADY, SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0), SAXIHP2AWVALID => S_AXI_HP2_AWVALID, SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0), SAXIHP2BREADY => S_AXI_HP2_BREADY, SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0), SAXIHP2BVALID => S_AXI_HP2_BVALID, SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0), SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0), SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0), SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN, SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0), SAXIHP2RLAST => S_AXI_HP2_RLAST, SAXIHP2RREADY => S_AXI_HP2_RREADY, SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0), SAXIHP2RVALID => S_AXI_HP2_RVALID, SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0), SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0), SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0), SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0), SAXIHP2WLAST => S_AXI_HP2_WLAST, SAXIHP2WREADY => S_AXI_HP2_WREADY, SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN, SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0), SAXIHP2WVALID => S_AXI_HP2_WVALID, SAXIHP3ACLK => S_AXI_HP3_ACLK, SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0), SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0), SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0), SAXIHP3ARESETN => S_AXI_HP3_ARESETN, SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0), SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0), SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0), SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0), SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0), SAXIHP3ARREADY => S_AXI_HP3_ARREADY, SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0), SAXIHP3ARVALID => S_AXI_HP3_ARVALID, SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0), SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0), SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0), SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0), SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0), SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0), SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0), SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0), SAXIHP3AWREADY => S_AXI_HP3_AWREADY, SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0), SAXIHP3AWVALID => S_AXI_HP3_AWVALID, SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0), SAXIHP3BREADY => S_AXI_HP3_BREADY, SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0), SAXIHP3BVALID => S_AXI_HP3_BVALID, SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0), SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0), SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0), SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN, SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0), SAXIHP3RLAST => S_AXI_HP3_RLAST, SAXIHP3RREADY => S_AXI_HP3_RREADY, SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0), SAXIHP3RVALID => S_AXI_HP3_RVALID, SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0), SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0), SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0), SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0), SAXIHP3WLAST => S_AXI_HP3_WLAST, SAXIHP3WREADY => S_AXI_HP3_WREADY, SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN, SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0), SAXIHP3WVALID => S_AXI_HP3_WVALID ); PS_CLK_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_CLK, PAD => PS_CLK ); PS_PORB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_PORB, PAD => PS_PORB ); PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_SRSTB, PAD => PS_SRSTB ); SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_CMD_T_n, O => SDIO0_CMD_T ); \SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(0), O => SDIO0_DATA_T(0) ); \SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(1), O => SDIO0_DATA_T(1) ); \SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(2), O => SDIO0_DATA_T(2) ); \SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(3), O => SDIO0_DATA_T(3) ); SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_CMD_T_n, O => SDIO1_CMD_T ); \SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(0), O => SDIO1_DATA_T(0) ); \SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(1), O => SDIO1_DATA_T(1) ); \SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(2), O => SDIO1_DATA_T(2) ); \SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(3), O => SDIO1_DATA_T(3) ); SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MISO_T_n, O => SPI0_MISO_T ); SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MOSI_T_n, O => SPI0_MOSI_T ); SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SCLK_T_n, O => SPI0_SCLK_T ); SPI0_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SS_T_n, O => SPI0_SS_T ); SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MISO_T_n, O => SPI1_MISO_T ); SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MOSI_T_n, O => SPI1_MOSI_T ); SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SCLK_T_n, O => SPI1_SCLK_T ); SPI1_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SS_T_n, O => SPI1_SS_T ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG port map ( I => FCLK_CLK_unbuffered(0), O => FCLK_CLK0 ); \genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(0), PAD => MIO(0) ); \genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(10), PAD => MIO(10) ); \genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(11), PAD => MIO(11) ); \genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(12), PAD => MIO(12) ); \genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(13), PAD => MIO(13) ); \genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(14), PAD => MIO(14) ); \genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(15), PAD => MIO(15) ); \genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(16), PAD => MIO(16) ); \genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(17), PAD => MIO(17) ); \genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(18), PAD => MIO(18) ); \genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(19), PAD => MIO(19) ); \genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(1), PAD => MIO(1) ); \genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(20), PAD => MIO(20) ); \genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(21), PAD => MIO(21) ); \genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(22), PAD => MIO(22) ); \genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(23), PAD => MIO(23) ); \genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(24), PAD => MIO(24) ); \genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(25), PAD => MIO(25) ); \genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(26), PAD => MIO(26) ); \genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(27), PAD => MIO(27) ); \genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(28), PAD => MIO(28) ); \genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(29), PAD => MIO(29) ); \genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(2), PAD => MIO(2) ); \genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(30), PAD => MIO(30) ); \genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(31), PAD => MIO(31) ); \genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(32), PAD => MIO(32) ); \genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(33), PAD => MIO(33) ); \genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(34), PAD => MIO(34) ); \genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(35), PAD => MIO(35) ); \genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(36), PAD => MIO(36) ); \genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(37), PAD => MIO(37) ); \genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(38), PAD => MIO(38) ); \genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(39), PAD => MIO(39) ); \genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(3), PAD => MIO(3) ); \genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(40), PAD => MIO(40) ); \genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(41), PAD => MIO(41) ); \genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(42), PAD => MIO(42) ); \genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(43), PAD => MIO(43) ); \genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(44), PAD => MIO(44) ); \genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(45), PAD => MIO(45) ); \genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(46), PAD => MIO(46) ); \genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(47), PAD => MIO(47) ); \genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(48), PAD => MIO(48) ); \genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(49), PAD => MIO(49) ); \genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(4), PAD => MIO(4) ); \genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(50), PAD => MIO(50) ); \genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(51), PAD => MIO(51) ); \genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(52), PAD => MIO(52) ); \genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(53), PAD => MIO(53) ); \genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(5), PAD => MIO(5) ); \genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(6), PAD => MIO(6) ); \genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(7), PAD => MIO(7) ); \genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(8), PAD => MIO(8) ); \genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(9), PAD => MIO(9) ); \genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(0), PAD => DDR_BankAddr(0) ); \genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(1), PAD => DDR_BankAddr(1) ); \genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(2), PAD => DDR_BankAddr(2) ); \genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(0), PAD => DDR_Addr(0) ); \genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(10), PAD => DDR_Addr(10) ); \genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(11), PAD => DDR_Addr(11) ); \genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(12), PAD => DDR_Addr(12) ); \genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(13), PAD => DDR_Addr(13) ); \genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(14), PAD => DDR_Addr(14) ); \genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(1), PAD => DDR_Addr(1) ); \genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(2), PAD => DDR_Addr(2) ); \genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(3), PAD => DDR_Addr(3) ); \genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(4), PAD => DDR_Addr(4) ); \genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(5), PAD => DDR_Addr(5) ); \genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(6), PAD => DDR_Addr(6) ); \genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(7), PAD => DDR_Addr(7) ); \genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(8), PAD => DDR_Addr(8) ); \genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(9), PAD => DDR_Addr(9) ); \genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(0), PAD => DDR_DM(0) ); \genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(1), PAD => DDR_DM(1) ); \genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(2), PAD => DDR_DM(2) ); \genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(3), PAD => DDR_DM(3) ); \genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(0), PAD => DDR_DQ(0) ); \genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(10), PAD => DDR_DQ(10) ); \genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(11), PAD => DDR_DQ(11) ); \genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(12), PAD => DDR_DQ(12) ); \genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(13), PAD => DDR_DQ(13) ); \genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(14), PAD => DDR_DQ(14) ); \genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(15), PAD => DDR_DQ(15) ); \genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(16), PAD => DDR_DQ(16) ); \genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(17), PAD => DDR_DQ(17) ); \genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(18), PAD => DDR_DQ(18) ); \genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(19), PAD => DDR_DQ(19) ); \genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(1), PAD => DDR_DQ(1) ); \genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(20), PAD => DDR_DQ(20) ); \genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(21), PAD => DDR_DQ(21) ); \genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(22), PAD => DDR_DQ(22) ); \genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(23), PAD => DDR_DQ(23) ); \genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(24), PAD => DDR_DQ(24) ); \genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(25), PAD => DDR_DQ(25) ); \genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(26), PAD => DDR_DQ(26) ); \genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(27), PAD => DDR_DQ(27) ); \genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(28), PAD => DDR_DQ(28) ); \genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(29), PAD => DDR_DQ(29) ); \genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(2), PAD => DDR_DQ(2) ); \genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(30), PAD => DDR_DQ(30) ); \genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(31), PAD => DDR_DQ(31) ); \genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(3), PAD => DDR_DQ(3) ); \genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(4), PAD => DDR_DQ(4) ); \genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(5), PAD => DDR_DQ(5) ); \genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(6), PAD => DDR_DQ(6) ); \genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(7), PAD => DDR_DQ(7) ); \genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(8), PAD => DDR_DQ(8) ); \genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(9), PAD => DDR_DQ(9) ); \genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(0), PAD => DDR_DQS_n(0) ); \genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(1), PAD => DDR_DQS_n(1) ); \genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(2), PAD => DDR_DQS_n(2) ); \genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(3), PAD => DDR_DQS_n(3) ); \genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(0), PAD => DDR_DQS(0) ); \genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(1), PAD => DDR_DQS(1) ); \genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(2), PAD => DDR_DQS(2) ); \genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(3), PAD => DDR_DQS(3) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[0]\ ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(1) ); i_10: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(1) ); i_11: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(0) ); i_12: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(1) ); i_13: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(0) ); i_14: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(1) ); i_15: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(0) ); i_16: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(1) ); i_17: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(0) ); i_18: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(1) ); i_19: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(0) ); i_2: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(0) ); i_20: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(1) ); i_21: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(0) ); i_22: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(1) ); i_23: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(0) ); i_3: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[7]\ ); i_4: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[6]\ ); i_5: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[5]\ ); i_6: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[4]\ ); i_7: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[3]\ ); i_8: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[2]\ ); i_9: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zynq_design_1_processing_system7_0_2,processing_system7_v5_5_processing_system7,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of inst : label is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of inst : label is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of inst : label is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of inst : label is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of inst : label is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of inst : label is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of inst : label is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of inst : label is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of inst : label is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of inst : label is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of inst : label is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of inst : label is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of inst : label is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of inst : label is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of inst : label is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of inst : label is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of inst : label is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of inst : label is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of inst : label is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of inst : label is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of inst : label is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of inst : label is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "zynq_design_1_processing_system7_0_2.hwdef"; attribute POWER : string; attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 port map ( CAN0_PHY_RX => '0', CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED, CAN1_PHY_RX => '0', CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED, Core0_nFIQ => '0', Core0_nIRQ => '0', Core1_nFIQ => '0', Core1_nIRQ => '0', DDR_ARB(3 downto 0) => B"0000", DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0), DDR_CAS_n => DDR_CAS_n, DDR_CKE => DDR_CKE, DDR_CS_n => DDR_CS_n, DDR_Clk => DDR_Clk, DDR_Clk_n => DDR_Clk_n, DDR_DM(3 downto 0) => DDR_DM(3 downto 0), DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0), DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0), DDR_DRSTB => DDR_DRSTB, DDR_ODT => DDR_ODT, DDR_RAS_n => DDR_RAS_n, DDR_VRN => DDR_VRN, DDR_VRP => DDR_VRP, DDR_WEB => DDR_WEB, DMA0_ACLK => '0', DMA0_DAREADY => '0', DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0), DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED, DMA0_DRLAST => '0', DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED, DMA0_DRTYPE(1 downto 0) => B"00", DMA0_DRVALID => '0', DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED, DMA1_ACLK => '0', DMA1_DAREADY => '0', DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0), DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED, DMA1_DRLAST => '0', DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED, DMA1_DRTYPE(1 downto 0) => B"00", DMA1_DRVALID => '0', DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED, DMA2_ACLK => '0', DMA2_DAREADY => '0', DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0), DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED, DMA2_DRLAST => '0', DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED, DMA2_DRTYPE(1 downto 0) => B"00", DMA2_DRVALID => '0', DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED, DMA3_ACLK => '0', DMA3_DAREADY => '0', DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0), DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED, DMA3_DRLAST => '0', DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED, DMA3_DRTYPE(1 downto 0) => B"00", DMA3_DRVALID => '0', DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED, ENET0_EXT_INTIN => '0', ENET0_GMII_COL => '0', ENET0_GMII_CRS => '0', ENET0_GMII_RXD(7 downto 0) => B"00000000", ENET0_GMII_RX_CLK => '0', ENET0_GMII_RX_DV => '0', ENET0_GMII_RX_ER => '0', ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0), ENET0_GMII_TX_CLK => '0', ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED, ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED, ENET0_MDIO_I => '0', ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED, ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED, ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED, ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED, ENET1_EXT_INTIN => '0', ENET1_GMII_COL => '0', ENET1_GMII_CRS => '0', ENET1_GMII_RXD(7 downto 0) => B"00000000", ENET1_GMII_RX_CLK => '0', ENET1_GMII_RX_DV => '0', ENET1_GMII_RX_ER => '0', ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0), ENET1_GMII_TX_CLK => '0', ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED, ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED, ENET1_MDIO_I => '0', ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED, ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED, ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED, ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED, ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED, ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED, EVENT_EVENTI => '0', EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED, EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0), EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0), FCLK_CLK0 => FCLK_CLK0, FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED, FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED, FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED, FCLK_CLKTRIG0_N => '0', FCLK_CLKTRIG1_N => '0', FCLK_CLKTRIG2_N => '0', FCLK_CLKTRIG3_N => '0', FCLK_RESET0_N => FCLK_RESET0_N, FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED, FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED, FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED, FPGA_IDLE_N => '0', FTMD_TRACEIN_ATID(3 downto 0) => B"0000", FTMD_TRACEIN_CLK => '0', FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000", FTMD_TRACEIN_VALID => '0', FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000", FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED, FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED, FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED, FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED, FTMT_F2P_TRIG_0 => '0', FTMT_F2P_TRIG_1 => '0', FTMT_F2P_TRIG_2 => '0', FTMT_F2P_TRIG_3 => '0', FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0), FTMT_P2F_TRIGACK_0 => '0', FTMT_P2F_TRIGACK_1 => '0', FTMT_P2F_TRIGACK_2 => '0', FTMT_P2F_TRIGACK_3 => '0', FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED, FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED, FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED, FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED, GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0), GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0), I2C0_SCL_I => '0', I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED, I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED, I2C0_SDA_I => '0', I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED, I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED, I2C1_SCL_I => '0', I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED, I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED, I2C1_SDA_I => '0', I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED, I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED, IRQ_F2P(0) => '0', IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED, IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED, IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED, IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED, IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED, IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED, IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED, IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED, IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED, IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED, IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED, IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED, IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED, IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED, IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED, IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED, IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED, IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED, IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED, IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED, IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED, IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED, IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED, IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED, IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED, IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED, IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED, IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED, IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED, MIO(53 downto 0) => MIO(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK, M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED, M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => '0', M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED, M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_ARREADY => '0', M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED, M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_AWREADY => '0', M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED, M_AXI_GP1_BID(11 downto 0) => B"000000000000", M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED, M_AXI_GP1_BRESP(1 downto 0) => B"00", M_AXI_GP1_BVALID => '0', M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP1_RID(11 downto 0) => B"000000000000", M_AXI_GP1_RLAST => '0', M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED, M_AXI_GP1_RRESP(1 downto 0) => B"00", M_AXI_GP1_RVALID => '0', M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0), M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED, M_AXI_GP1_WREADY => '0', M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED, PJTAG_TCK => '0', PJTAG_TDI => '0', PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED, PJTAG_TMS => '0', PS_CLK => PS_CLK, PS_PORB => PS_PORB, PS_SRSTB => PS_SRSTB, SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED, SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0), SDIO0_CDN => '0', SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED, SDIO0_CLK_FB => '0', SDIO0_CMD_I => '0', SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED, SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED, SDIO0_DATA_I(3 downto 0) => B"0000", SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0), SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0), SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED, SDIO0_WP => '0', SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED, SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0), SDIO1_CDN => '0', SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED, SDIO1_CLK_FB => '0', SDIO1_CMD_I => '0', SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED, SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED, SDIO1_DATA_I(3 downto 0) => B"0000", SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0), SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0), SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED, SDIO1_WP => '0', SPI0_MISO_I => '0', SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED, SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED, SPI0_MOSI_I => '0', SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED, SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED, SPI0_SCLK_I => '0', SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED, SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED, SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED, SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED, SPI0_SS_I => '0', SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED, SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED, SPI1_MISO_I => '0', SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED, SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED, SPI1_MOSI_I => '0', SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED, SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED, SPI1_SCLK_I => '0', SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED, SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED, SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED, SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED, SPI1_SS_I => '0', SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED, SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED, SRAM_INTIN => '0', S_AXI_ACP_ACLK => '0', S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_ARBURST(1 downto 0) => B"00", S_AXI_ACP_ARCACHE(3 downto 0) => B"0000", S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED, S_AXI_ACP_ARID(2 downto 0) => B"000", S_AXI_ACP_ARLEN(3 downto 0) => B"0000", S_AXI_ACP_ARLOCK(1 downto 0) => B"00", S_AXI_ACP_ARPROT(2 downto 0) => B"000", S_AXI_ACP_ARQOS(3 downto 0) => B"0000", S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED, S_AXI_ACP_ARSIZE(2 downto 0) => B"000", S_AXI_ACP_ARUSER(4 downto 0) => B"00000", S_AXI_ACP_ARVALID => '0', S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_AWBURST(1 downto 0) => B"00", S_AXI_ACP_AWCACHE(3 downto 0) => B"0000", S_AXI_ACP_AWID(2 downto 0) => B"000", S_AXI_ACP_AWLEN(3 downto 0) => B"0000", S_AXI_ACP_AWLOCK(1 downto 0) => B"00", S_AXI_ACP_AWPROT(2 downto 0) => B"000", S_AXI_ACP_AWQOS(3 downto 0) => B"0000", S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED, S_AXI_ACP_AWSIZE(2 downto 0) => B"000", S_AXI_ACP_AWUSER(4 downto 0) => B"00000", S_AXI_ACP_AWVALID => '0', S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0), S_AXI_ACP_BREADY => '0', S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED, S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0), S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0), S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED, S_AXI_ACP_RREADY => '0', S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED, S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_ACP_WID(2 downto 0) => B"000", S_AXI_ACP_WLAST => '0', S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED, S_AXI_ACP_WSTRB(7 downto 0) => B"00000000", S_AXI_ACP_WVALID => '0', S_AXI_GP0_ACLK => '0', S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_ARBURST(1 downto 0) => B"00", S_AXI_GP0_ARCACHE(3 downto 0) => B"0000", S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED, S_AXI_GP0_ARID(5 downto 0) => B"000000", S_AXI_GP0_ARLEN(3 downto 0) => B"0000", S_AXI_GP0_ARLOCK(1 downto 0) => B"00", S_AXI_GP0_ARPROT(2 downto 0) => B"000", S_AXI_GP0_ARQOS(3 downto 0) => B"0000", S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED, S_AXI_GP0_ARSIZE(2 downto 0) => B"000", S_AXI_GP0_ARVALID => '0', S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_AWBURST(1 downto 0) => B"00", S_AXI_GP0_AWCACHE(3 downto 0) => B"0000", S_AXI_GP0_AWID(5 downto 0) => B"000000", S_AXI_GP0_AWLEN(3 downto 0) => B"0000", S_AXI_GP0_AWLOCK(1 downto 0) => B"00", S_AXI_GP0_AWPROT(2 downto 0) => B"000", S_AXI_GP0_AWQOS(3 downto 0) => B"0000", S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED, S_AXI_GP0_AWSIZE(2 downto 0) => B"000", S_AXI_GP0_AWVALID => '0', S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0), S_AXI_GP0_BREADY => '0', S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED, S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0), S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED, S_AXI_GP0_RREADY => '0', S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED, S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_WID(5 downto 0) => B"000000", S_AXI_GP0_WLAST => '0', S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED, S_AXI_GP0_WSTRB(3 downto 0) => B"0000", S_AXI_GP0_WVALID => '0', S_AXI_GP1_ACLK => '0', S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_ARBURST(1 downto 0) => B"00", S_AXI_GP1_ARCACHE(3 downto 0) => B"0000", S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED, S_AXI_GP1_ARID(5 downto 0) => B"000000", S_AXI_GP1_ARLEN(3 downto 0) => B"0000", S_AXI_GP1_ARLOCK(1 downto 0) => B"00", S_AXI_GP1_ARPROT(2 downto 0) => B"000", S_AXI_GP1_ARQOS(3 downto 0) => B"0000", S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED, S_AXI_GP1_ARSIZE(2 downto 0) => B"000", S_AXI_GP1_ARVALID => '0', S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_AWBURST(1 downto 0) => B"00", S_AXI_GP1_AWCACHE(3 downto 0) => B"0000", S_AXI_GP1_AWID(5 downto 0) => B"000000", S_AXI_GP1_AWLEN(3 downto 0) => B"0000", S_AXI_GP1_AWLOCK(1 downto 0) => B"00", S_AXI_GP1_AWPROT(2 downto 0) => B"000", S_AXI_GP1_AWQOS(3 downto 0) => B"0000", S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED, S_AXI_GP1_AWSIZE(2 downto 0) => B"000", S_AXI_GP1_AWVALID => '0', S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0), S_AXI_GP1_BREADY => '0', S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED, S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0), S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED, S_AXI_GP1_RREADY => '0', S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED, S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_WID(5 downto 0) => B"000000", S_AXI_GP1_WLAST => '0', S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED, S_AXI_GP1_WSTRB(3 downto 0) => B"0000", S_AXI_GP1_WVALID => '0', S_AXI_HP0_ACLK => '0', S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_ARBURST(1 downto 0) => B"00", S_AXI_HP0_ARCACHE(3 downto 0) => B"0000", S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED, S_AXI_HP0_ARID(5 downto 0) => B"000000", S_AXI_HP0_ARLEN(3 downto 0) => B"0000", S_AXI_HP0_ARLOCK(1 downto 0) => B"00", S_AXI_HP0_ARPROT(2 downto 0) => B"000", S_AXI_HP0_ARQOS(3 downto 0) => B"0000", S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED, S_AXI_HP0_ARSIZE(2 downto 0) => B"000", S_AXI_HP0_ARVALID => '0', S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_AWBURST(1 downto 0) => B"00", S_AXI_HP0_AWCACHE(3 downto 0) => B"0000", S_AXI_HP0_AWID(5 downto 0) => B"000000", S_AXI_HP0_AWLEN(3 downto 0) => B"0000", S_AXI_HP0_AWLOCK(1 downto 0) => B"00", S_AXI_HP0_AWPROT(2 downto 0) => B"000", S_AXI_HP0_AWQOS(3 downto 0) => B"0000", S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED, S_AXI_HP0_AWSIZE(2 downto 0) => B"000", S_AXI_HP0_AWVALID => '0', S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0), S_AXI_HP0_BREADY => '0', S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED, S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP0_RDISSUECAP1_EN => '0', S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0), S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED, S_AXI_HP0_RREADY => '0', S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED, S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP0_WID(5 downto 0) => B"000000", S_AXI_HP0_WLAST => '0', S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED, S_AXI_HP0_WRISSUECAP1_EN => '0', S_AXI_HP0_WSTRB(7 downto 0) => B"00000000", S_AXI_HP0_WVALID => '0', S_AXI_HP1_ACLK => '0', S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_ARBURST(1 downto 0) => B"00", S_AXI_HP1_ARCACHE(3 downto 0) => B"0000", S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED, S_AXI_HP1_ARID(5 downto 0) => B"000000", S_AXI_HP1_ARLEN(3 downto 0) => B"0000", S_AXI_HP1_ARLOCK(1 downto 0) => B"00", S_AXI_HP1_ARPROT(2 downto 0) => B"000", S_AXI_HP1_ARQOS(3 downto 0) => B"0000", S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED, S_AXI_HP1_ARSIZE(2 downto 0) => B"000", S_AXI_HP1_ARVALID => '0', S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_AWBURST(1 downto 0) => B"00", S_AXI_HP1_AWCACHE(3 downto 0) => B"0000", S_AXI_HP1_AWID(5 downto 0) => B"000000", S_AXI_HP1_AWLEN(3 downto 0) => B"0000", S_AXI_HP1_AWLOCK(1 downto 0) => B"00", S_AXI_HP1_AWPROT(2 downto 0) => B"000", S_AXI_HP1_AWQOS(3 downto 0) => B"0000", S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED, S_AXI_HP1_AWSIZE(2 downto 0) => B"000", S_AXI_HP1_AWVALID => '0', S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0), S_AXI_HP1_BREADY => '0', S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED, S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP1_RDISSUECAP1_EN => '0', S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0), S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED, S_AXI_HP1_RREADY => '0', S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED, S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP1_WID(5 downto 0) => B"000000", S_AXI_HP1_WLAST => '0', S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED, S_AXI_HP1_WRISSUECAP1_EN => '0', S_AXI_HP1_WSTRB(7 downto 0) => B"00000000", S_AXI_HP1_WVALID => '0', S_AXI_HP2_ACLK => '0', S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_ARBURST(1 downto 0) => B"00", S_AXI_HP2_ARCACHE(3 downto 0) => B"0000", S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED, S_AXI_HP2_ARID(5 downto 0) => B"000000", S_AXI_HP2_ARLEN(3 downto 0) => B"0000", S_AXI_HP2_ARLOCK(1 downto 0) => B"00", S_AXI_HP2_ARPROT(2 downto 0) => B"000", S_AXI_HP2_ARQOS(3 downto 0) => B"0000", S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED, S_AXI_HP2_ARSIZE(2 downto 0) => B"000", S_AXI_HP2_ARVALID => '0', S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_AWBURST(1 downto 0) => B"00", S_AXI_HP2_AWCACHE(3 downto 0) => B"0000", S_AXI_HP2_AWID(5 downto 0) => B"000000", S_AXI_HP2_AWLEN(3 downto 0) => B"0000", S_AXI_HP2_AWLOCK(1 downto 0) => B"00", S_AXI_HP2_AWPROT(2 downto 0) => B"000", S_AXI_HP2_AWQOS(3 downto 0) => B"0000", S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED, S_AXI_HP2_AWSIZE(2 downto 0) => B"000", S_AXI_HP2_AWVALID => '0', S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0), S_AXI_HP2_BREADY => '0', S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED, S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP2_RDISSUECAP1_EN => '0', S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0), S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED, S_AXI_HP2_RREADY => '0', S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED, S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP2_WID(5 downto 0) => B"000000", S_AXI_HP2_WLAST => '0', S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED, S_AXI_HP2_WRISSUECAP1_EN => '0', S_AXI_HP2_WSTRB(7 downto 0) => B"00000000", S_AXI_HP2_WVALID => '0', S_AXI_HP3_ACLK => '0', S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_ARBURST(1 downto 0) => B"00", S_AXI_HP3_ARCACHE(3 downto 0) => B"0000", S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED, S_AXI_HP3_ARID(5 downto 0) => B"000000", S_AXI_HP3_ARLEN(3 downto 0) => B"0000", S_AXI_HP3_ARLOCK(1 downto 0) => B"00", S_AXI_HP3_ARPROT(2 downto 0) => B"000", S_AXI_HP3_ARQOS(3 downto 0) => B"0000", S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED, S_AXI_HP3_ARSIZE(2 downto 0) => B"000", S_AXI_HP3_ARVALID => '0', S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_AWBURST(1 downto 0) => B"00", S_AXI_HP3_AWCACHE(3 downto 0) => B"0000", S_AXI_HP3_AWID(5 downto 0) => B"000000", S_AXI_HP3_AWLEN(3 downto 0) => B"0000", S_AXI_HP3_AWLOCK(1 downto 0) => B"00", S_AXI_HP3_AWPROT(2 downto 0) => B"000", S_AXI_HP3_AWQOS(3 downto 0) => B"0000", S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED, S_AXI_HP3_AWSIZE(2 downto 0) => B"000", S_AXI_HP3_AWVALID => '0', S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0), S_AXI_HP3_BREADY => '0', S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED, S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP3_RDISSUECAP1_EN => '0', S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0), S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED, S_AXI_HP3_RREADY => '0', S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED, S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP3_WID(5 downto 0) => B"000000", S_AXI_HP3_WLAST => '0', S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED, S_AXI_HP3_WRISSUECAP1_EN => '0', S_AXI_HP3_WSTRB(7 downto 0) => B"00000000", S_AXI_HP3_WVALID => '0', TRACE_CLK => '0', TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED, TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED, TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0), TTC0_CLK0_IN => '0', TTC0_CLK1_IN => '0', TTC0_CLK2_IN => '0', TTC0_WAVE0_OUT => TTC0_WAVE0_OUT, TTC0_WAVE1_OUT => TTC0_WAVE1_OUT, TTC0_WAVE2_OUT => TTC0_WAVE2_OUT, TTC1_CLK0_IN => '0', TTC1_CLK1_IN => '0', TTC1_CLK2_IN => '0', TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED, TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED, TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED, UART0_CTSN => '0', UART0_DCDN => '0', UART0_DSRN => '0', UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED, UART0_RIN => '0', UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED, UART0_RX => '1', UART0_TX => NLW_inst_UART0_TX_UNCONNECTED, UART1_CTSN => '0', UART1_DCDN => '0', UART1_DSRN => '0', UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED, UART1_RIN => '0', UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED, UART1_RX => '1', UART1_TX => NLW_inst_UART1_TX_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT, USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT, USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0), USB1_VBUS_PWRFAULT => '0', USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED, WDT_CLK_IN => '0', WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED ); end STRUCTURE;
-- An 8-bit register entity to make the code smaller and closer to the drawing library IEEE; use IEEE.STD_LOGIC_1164.all; entity reg8bits is port ( rst : in std_logic; ck : in std_logic; ce : in std_logic; di : in std_logic_vector(7 downto 0); do : out std_logic_vector(7 downto 0) ); end entity; -- This is a behaviorial description of a register. However, all -- the synthesis tools will be able to infer a flip-flop with synchronous reset -- and clock enable architecture rtl of reg8bits is begin reg: process(ck) is begin if rising_edge(ck) then if rst = '1' then do <= x"00"; else if ce = '1' then do <= di; end if; end if; end if; end process; end architecture rtl;
entity FIFO is port ( WR_EN_I : in std_logic; DATA_O : out std_logic_vector(31 downto 0); RD_EN_IO : inout std_logic; DATA_O : out std_logic_vector(31 downto 0) ); end entity FIFO; entity FIFO is port ( WR_EN : in std_logic; DATA : out std_logic_vector(31 downto 0); RD_EN : in std_logic; DATA : out std_logic_vector(31 downto 0) ); end entity FIFO;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 05/23/2015 03:41:27 PM -- Design Name: -- Module Name: InstructionDecoder - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity InstructionDecoder is port ( TimingSignals : in BIT_VECTOR(7 downto 0); -- The 8 different timing states Instruction : in BIT_VECTOR(7 downto 0); -- The instruction to execute Flags : in BIT_VECTOR(7 downto 0); -- Content of the FLAGS register - needed for conditional jumps -- ============================================================== -- The various control lines of the CPU which go low/high -- depending on the timing state and the instruction to execute: -- ============================================================== Load_PC : out BIT; Select_PC : out BIT; Load_SRAM: out BIT; Select_SRAM: out BIT; Return_SRAM: out BIT; Load_INC: out BIT; Select_INC: out BIT; Load_INSTR: out BIT; Select_INSTR_To_DataBus: out BIT; Select_INSTR_To_ALU: out BIT; Load_A_From_DataBus: out BIT; Select_A_To_ALU: out BIT; Load_B_From_DataBus: out BIT; Select_B_To_ALU: out BIT; Load_C_From_DataBus: out BIT; Load_InternalA_From_DataBus: out BIT; Select_InternalA_To_DataBus: out BIT; Load_Flags: out BIT; Select_A_To_DataBus: out BIT; Select_B_To_DataBus: out BIT; Select_C_To_DataBus: out BIT; Load_D_From_DataBus: out BIT; Select_D_To_DataBus: out BIT; Load_E_From_DataBus: out BIT; Select_E_To_DataBus: out BIT; Load_F_From_DataBus: out BIT; Select_F_To_DataBus: out BIT; Load_G_From_DataBus: out BIT; Select_G_To_DataBus: out BIT; Load_H_From_DataBus: out BIT; Select_H_To_DataBus: out BIT; load_M_From_AddressBus: out BIT; select_M_To_AddressBus: out BIT; load_XL_From_DataBus: out BIT; load_XH_From_DataBus: out BIT; load_X_From_AddressBus: out BIT; select_XL_To_DataBus: out BIT; select_XH_To_DataBus: out BIT; select_X_To_AddressBus: out BIT; Load_J_From_AddressBus: out BIT; Select_J_To_AddressBus: out BIT; Load_SP_From_AddressBus: out BIT; Select_SP_To_AddressBus: out BIT; Load_BP_From_AddressBus: out BIT; Select_BP_To_AddressBus: out BIT; Load_Y_From_AddressBus: out BIT; Select_Y_To_AddressBus: out BIT; Load_Z_From_AddressBus: out BIT; Select_Z_To_AddressBus: out BIT; Load_Adder16Bit_InputA: out BIT; Select_Adder16Bit_InputA: out BIT; Load_Adder16Bit_InputB: out BIT; Select_Adder16Bit_InputB: out BIT; Load_Adder16Bit_OutputC: out BIT; Select_Adder16Bit_OutputC: out BIT; load_FlagsSaved_From_FlagsRegister: out BIT; load_FlagsSaved_To_FlagsRegister: out BIT; Load_FlagsFromDataBus: out BIT; Select_FlagsToFlagsBus: out BIT; Load_FlagsFromFlagsBus: out BIT; Select_FlagsToDataBus: out BIT; Select_Flags: out BIT; Select_PortA_To_DataBus: out BIT; Select_PortB_To_DataBus: out BIT; Load_PortC_From_DataBus: out BIT; Load_PortD_From_DataBus: out BIT; StopCPU: out BIT ); end InstructionDecoder; architecture Behavioral of InstructionDecoder is component Decoder3to8 is Port ( F : in BIT_VECTOR(2 downto 0); -- 3-Bit Function Code (Input) X : out BIT_VECTOR(7 downto 0); -- 8-Bit State (Output) Started: in BIT -- Is the CPU already running? ); end component Decoder3to8; signal NegatedInstruction : BIT_VECTOR(7 downto 0); signal NegatedFlags : BIT_VECTOR(7 downto 0); signal instruction_SETAB: BIT; signal instruction_ALU: BIT; signal instruction_MOV: BIT; signal instruction_MOV16: BIT; signal instruction_LOAD: BIT; signal instruction_STORE: BIT; signal instruction_JMP: BIT; signal instruction_HLT: BIT; signal instruction_JZ: BIT; signal instruction_JNZ: BIT; signal instruction_JNS: BIT; signal instruction_JNC: BIT; signal instruction_SAVE_FLAGS: BIT; signal instruction_RESTORE_FLAGS: BIT; signal instruction_NOP: BIT; signal instruction_FLAGS_TO_OUTBUFFER: BIT; signal instruction_INBUFFER_TO_FLAGS: BIT; signal instruction_ADDER_16BIT: BIT; signal instruction_STORE_FLAGS: BIT; signal instruction_LOAD_FLAGS: BIT; signal instruction_IN: BIT; signal instruction_OUT: BIT; -- Internal ALU instructions signal instruction_MOV_ALU_IN: BIT; signal instruction_MOV_ALU_OUT: BIT; signal instruction_MOV_ALU_C_TO_AB: BIT; signal MOV_DestinationRegister: BIT_VECTOR(7 downto 0); signal MOV_SourceRegister: BIT_VECTOR(7 downto 0); signal MOV16_DestinationRegister: BIT_VECTOR(7 downto 0); signal MOV16_SourceRegister: BIT_VECTOR(7 downto 0); -- Used by the Fetch/Increment cycle signal Select_SRAM_FETCH: BIT; signal Load_PC_FETCH: BIT; signal Select_PC_FETCH: BIT; signal Return_SRAM_FETCH: BIT; -- Used by the SETAB opcode signal Load_A_From_DataBus_SETAB: BIT; signal Load_B_From_DataBus_SETAB: BIT; -- Used by the ALU opcode signal Load_C_From_DataBus_ALU: BIT; signal Load_Flags_From_ALU: BIT; -- Used by the MOV opcode signal Select_D_To_DataBus_MOV: BIT; signal Select_E_To_DataBus_MOV: BIT; signal Select_F_To_DataBus_MOV: BIT; signal Select_G_To_DataBus_MOV: BIT; signal Select_H_To_DataBus_MOV: BIT; signal Select_SP_To_DataBus_MOV: BIT; signal Select_XL_To_DataBus_MOV: BIT; signal Select_XH_To_DataBus_MOV: BIT; signal Load_D_From_DataBus_MOV: BIT; signal Load_E_From_DataBus_MOV: BIT; signal Load_F_From_DataBus_MOV: BIT; signal Load_G_From_DataBus_MOV: BIT; signal Load_H_From_DataBus_MOV: BIT; signal Load_SP_From_DataBus_MOV: BIT; signal Load_XL_From_DataBus_MOV: BIT; signal Load_XH_From_DataBus_MOV: BIT; signal Load_J_From_DataBus_MOV: BIT; -- Used by the MOV16 opcode signal Select_M_To_AddressBus_MOV16: BIT; signal Select_X_To_AddressBus_MOV16: BIT; signal Load_M_From_AddressBus_MOV16: BIT; signal Load_X_From_AddressBus_MOV16: BIT; signal Select_J_To_AddressBus_MOV16: BIT; signal Load_J_From_AddressBus_MOV16: BIT; signal Select_SP_To_AddressBus_MOV16: BIT; signal Load_SP_From_AddressBus_MOV16: BIT; signal Select_PC_To_AddressBus_MOV16: BIT; signal Load_PC_From_AddressBus_MOV16: BIT; signal Select_BP_To_AddressBus_MOV16: BIT; signal Load_BP_From_AddressBus_MOV16: BIT; signal Select_Y_To_AddressBus_MOV16: BIT; signal Load_Y_From_AddressBus_MOV16: BIT; signal Select_Z_To_AddressBus_MOV16: BIT; signal Load_Z_From_AddressBus_MOV16: BIT; -- Used by the LOAD opcode signal Select_SRAM_LOAD: BIT; signal Return_SRAM_LOAD: BIT; signal Load_D_From_DataBus_LOAD: BIT; signal Load_E_From_DataBus_LOAD: BIT; signal Load_F_From_DataBus_LOAD: BIT; signal Load_G_From_DataBus_LOAD: BIT; signal Load_H_From_DataBus_LOAD: BIT; signal Load_XL_From_DataBus_LOAD: BIT; signal Load_XH_From_DataBus_LOAD: BIT; signal Select_M_To_AddressBus_LOAD: BIT; -- Used by the STORE opcode signal Load_SRAM_LOAD: BIT; signal Select_D_To_DataBus_STORE: BIT; signal Select_E_To_DataBus_STORE: BIT; signal Select_F_To_DataBus_STORE: BIT; signal Select_G_To_DataBus_STORE: BIT; signal Select_H_To_DataBus_STORE: BIT; signal Select_XL_To_DataBus_STORE: BIT; signal Select_XH_To_DataBus_STORE: BIT; signal Select_M_To_AddressBus_STORE: BIT; signal Load_SRAM_STORE: BIT; -- Used by the LOAD_FLAGS opcode signal Load_SRAM_STORE_FLAGS: BIT; signal Select_M_To_AddressBus_STORE_FLAGS: BIT; -- Used by the STORE_FLAGS opcode signal Select_SRAM_LOAD_FLAGS: BIT; signal Select_M_To_AddressBus_LOAD_FLAGS: BIT; signal Return_SRAM_LOAD_FLAGS: BIT; -- Used by the JMP opcode signal Load_PC_JMP: BIT; signal Select_J_To_AddressBus_JMP: BIT; -- Used by the JZ opcode signal Load_PC_JZ: BIT; signal Select_J_To_AddressBus_JZ: BIT; signal Select_Flags_JZ: BIT; -- Used by the JNS opcode signal Load_PC_JNS: BIT; signal Select_J_To_AddressBus_JNS: BIT; signal Select_Flags_JNS: BIT; -- Used by the JNC opcode signal Load_PC_JNC: BIT; signal Select_J_To_AddressBus_JNC: BIT; signal Select_Flags_JNC: BIT; -- Used by the JNZ opcode signal Load_PC_JNZ: BIT; signal Select_J_To_AddressBus_JNZ: BIT; signal Select_Flags_JNZ: BIT; -- Used by the SAVE_FLAGS opcode signal Select_Flags_SAVE_FLAGS: BIT; -- Used by the RESTORE_FLAGS opcode signal Load_Flags_SAVE_FLAGS: BIT; -- Used by the INBUFFER_TO_FLAGS opcode signal Load_Flags_INBUFFER_TO_FLAGS: BIT; -- Used by the FLAGS_TO_DATABUS opcode signal Select_Flags_FLAGS_TO_OUTBUFFER: BIT; -- Used by the MOV_ALU_IN opcode signal Select_D_To_DataBus_MOV_ALU_IN: BIT; signal Select_E_To_DataBus_MOV_ALU_IN: BIT; signal Select_F_To_DataBus_MOV_ALU_IN: BIT; signal Select_G_To_DataBus_MOV_ALU_IN: BIT; signal Select_H_To_DataBus_MOV_ALU_IN: BIT; signal Select_XL_To_DataBus_MOV_ALU_IN: BIT; signal Select_XH_To_DataBus_MOV_ALU_IN: BIT; signal Select_SP_To_DataBus_MOV_ALU_IN: BIT; signal Load_A_From_DataBus_MOV_ALU_IN: BIT; signal Load_B_From_DataBus_MOV_ALU_IN: BIT; signal MOV_ALU_IN_SourceRegister: BIT_VECTOR(7 downto 0); -- Used by the MOV_ALU_OUT opcode signal Load_D_From_DataBus_MOV_ALU_OUT: BIT; signal Load_E_From_DataBus_MOV_ALU_OUT: BIT; signal Load_F_From_DataBus_MOV_ALU_OUT: BIT; signal Load_G_From_DataBus_MOV_ALU_OUT: BIT; signal Load_H_From_DataBus_MOV_ALU_OUT: BIT; signal Load_XL_From_DataBus_MOV_ALU_OUT: BIT; signal Load_XH_From_DataBus_MOV_ALU_OUT: BIT; signal Load_SP_From_DataBus_MOV_ALU_OUT: BIT; signal Load_J_From_DataBus_MOV_ALU_OUT: BIT; signal Select_C_To_DataBus_MOV_ALU_OUT: BIT; signal MOV_ALU_OUT_DestinationRegister: BIT_VECTOR(7 downto 0); -- Used by the MOV_ALU_C_TO_AB opcode signal Load_A_From_DataBus_MOV_ALU_C_TO_AB: BIT; signal Load_B_From_DataBus_MOV_ALU_C_TO_AB: BIT; signal Select_C_To_DataBus_MOV_ALU_C_TO_AB: BIT; -- Used by the ADDER_16BIT opcode signal Select_D_To_DataBus_ADDER_16BIT: BIT; signal Select_X_To_AddressBus_ADDER_16BIT: BIT; signal Load_X_From_AddressBus_ADDER_16BIT: BIT; signal Select_J_To_AddressBus_ADDER_16BIT: BIT; -- Used by the IN opcode signal Load_XL_From_DataBus_IN: BIT; -- Used by the OUT opcode signal Select_XL_To_DataBus_OUT: BIT; begin -- Negate the instruction, so that we can afterwards probe -- for a specific instruction NegatedInstruction <= not(Instruction); -- Negate the FLAGS NegatedFlags <= not(Flags); -- ================================================================ -- The following section implements the Fetch/Increment operations -- ================================================================ Load_PC_FETCH <= TimingSignals(2); -- State #3 Select_PC_FETCH <= TimingSignals(0) or -- State #1 TimingSignals(1); -- State #2 -- We request the data from the RAM in the states #1 and #2 Select_SRAM_FETCH <= TimingSignals(0) or -- State #1 TimingSignals(1); -- State #2 -- Because the RAM has a latency of 1 clock cycle, we only return the -- requested data from the RAM in state #2 (data in state #1 is still unstable!!!) Return_SRAM_FETCH <= TimingSignals(1); -- State #2 Load_INC <= TimingSignals(0); -- State #1 Select_INC <= TimingSignals(2); -- State #3 Load_INSTR <= TimingSignals(1); -- State #2 -- ============================================================ -- Now we have to decode the instruction to enable the correct -- Control Lines based on the provided timing signal -- ============================================================ -- --------------------------------------- -- Instruction "SETAB" - Format: 111DVVVV -- --------------------------------------- -- 111: OpCode -- D: Destination ('0' = Register A, '1' = Register B) -- VVVV: 4-bit value -- Check if we execute the "SETAB" instruction - OpCode "111" instruction_SETAB <= Instruction(7) and Instruction(6) and Instruction(5); -- The "Select_INSTR" control line goes high, when we execute the -- instruction "SETAB" and the time state is #4 -- This gates the instruction from the instruction register onto the data bus Select_INSTR_To_DataBus <= instruction_SETAB and TimingSignals(3); -- The instruction from the data bus is loaded into the register "Internal A" Load_InternalA_From_DataBus <= instruction_SETAB and TimingSignals(3); -- The first 4 bits from the register "Internal A" are gated back to the data bus Select_InternalA_To_DataBus <= instruction_SETAB and TimingSignals(4); -- The "Load_A" control line goes high, when we execute the -- instruction "SETAB" and the time state is #4 -- This loads the data currently stored on the data bus into the Register "A" Load_A_From_DataBus_SETAB <= instruction_SETAB and TimingSignals(4) and NegatedInstruction(4); -- The "Load_B" control line goes high, when we execute the -- instruction "SETAB" and the time state is #4 -- This loads the data currently stored on the data bus into the Register "B" Load_B_From_DataBus_SETAB <= instruction_SETAB and TimingSignals(4) and Instruction(4); -- --------------------------------------- -- Instruction "ALU" - Format: 1000FFFF -- --------------------------------------- -- 1000: OpCode -- FFFF: 4-bit function code -- Check if we execute the "ALU" instruction - OpoCode "1000" instruction_ALU <= Instruction(7) and NegatedInstruction(6) and NegatedInstruction(5) and NegatedInstruction(4); instruction_NOP <= NegatedInstruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and NegatedInstruction(0); -- The "Select_A_To_ALU" and "Select_B_To_ALU" control lines are going high, when we execute -- the instruction "ALU" and the time state is #4 or #5. -- This transfers the content of the Register A and Register B into the ALU -- for execution. Select_A_To_ALU <= instruction_ALU and (TimingSignals(3) or TimingSignals(4)); Select_B_To_ALU <= instruction_ALU and (TimingSignals(3) or TimingSignals(4)); -- The "SELECT_INSTR" control line goes high, when we execute the instruction "ALU" -- and the time state is #4 or #5. -- This transfers the 4-bit function code of the Instruction Register into the ALU -- for execution. Select_INSTR_To_ALU <= instruction_ALU and (TimingSignals(3) or TimingSignals(4)); -- The "Select C" control line goes high, when we execute the instruction -- "ALU" and the time state is #5. -- This transfers the result of the ALU into the Register C. Load_C_From_DataBus_ALU <= instruction_ALU and TimingSignals(4); -- This transfers the result of the ALU Flags into the register FLAGS Load_Flags_From_ALU <= instruction_ALU and TimingSignals(4) and not(instruction_NOP); -- ------------------------------------------------- -- Instruction "MOV_ALU_C_TO_AB" - Format: 1010111D -- ------------------------------------------------- -- 1010111: OpCode -- D: Destination Register -- => "0": Register A -- => "1": Register B -- Check if we execute the "MOV_ALU_OUT" instruction - OpCode "1010111" instruction_MOV_ALU_C_TO_AB <= Instruction(7) and NegatedInstruction(6) and Instruction(5) and NegatedInstruction(4) and Instruction(3) and Instruction(2) and Instruction(1); Load_A_From_DataBus_MOV_ALU_C_TO_AB <= instruction_MOV_ALU_C_TO_AB and NegatedInstruction(0) and (TimingSignals(4) or TimingSignals(5)); Load_B_From_DataBus_MOV_ALU_C_TO_AB <= instruction_MOV_ALU_C_TO_AB and Instruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the register C of the ALU to the data bus Select_C_To_DataBus_MOV_ALU_C_TO_AB <= instruction_MOV_ALU_C_TO_AB and (TimingSignals(4) or TimingSignals(5)); -- -------------------------------------------- -- Instruction "MOV_ALU_OUT" - Format: 11011DDD -- -------------------------------------------- -- 11011: OpCode -- DDD: Destination Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not yet used> -- => "110": Register XL -- => "111": Register XH -- Check if we execute the "MOV_ALU_OUT" instruction - OpCode "11011" instruction_MOV_ALU_OUT <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and Instruction(4) and Instruction(3); -- Decode the destination and source register from the provided instruction DestinationRegisterDecoder_MOV_ALU_OUT: Decoder3to8 port map(Instruction(2 downto 0), MOV_ALU_OUT_DestinationRegister, '1'); -- Load the specified register from the data bus Load_D_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(0) and (TimingSignals(4) or TimingSignals(5)); Load_E_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(1) and (TimingSignals(4) or TimingSignals(5)); Load_F_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(2) and (TimingSignals(4) or TimingSignals(5)); Load_G_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(3) and (TimingSignals(4) or TimingSignals(5)); Load_H_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(4) and (TimingSignals(4) or TimingSignals(5)); Load_XL_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(6) and (TimingSignals(4) or TimingSignals(5)); Load_XH_From_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and MOV_ALU_OUT_DestinationRegister(7) and (TimingSignals(4) or TimingSignals(5)); -- Select the register C of the ALU to the data bus Select_C_To_DataBus_MOV_ALU_OUT <= instruction_MOV_ALU_OUT and (TimingSignals(4) or TimingSignals(5)); -- -------------------------------------------- -- Instruction "MOV_ALU_IN" - Format: 1011DSSS -- -------------------------------------------- -- 1011: OpCode -- D: Destination Register -- => "0": Register A -- => "1": Register B -- SSS: Source Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not yet used> -- => "110": Register XL -- => "111": Register XH -- Check if we execute the "MOV_ALU_IN" instruction - OpCode "1011" instruction_MOV_ALU_IN <= Instruction(7) and NegatedInstruction(6) and Instruction(5) and Instruction(4); -- Decode the destination and source register from the provided instruction SourceRegisterDecoder_MOV_ALU_IN: Decoder3to8 port map(Instruction(2 downto 0), MOV_ALU_IN_SourceRegister, '1'); -- Latch the specified register onto the data bus Select_D_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(0) and (TimingSignals(4) or TimingSignals(5)); Select_E_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(1) and (TimingSignals(4) or TimingSignals(5)); Select_F_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(2) and (TimingSignals(4) or TimingSignals(5)); Select_G_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(3) and (TimingSignals(4) or TimingSignals(5)); Select_H_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(4) and (TimingSignals(4) or TimingSignals(5)); Select_XL_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(6) and (TimingSignals(4) or TimingSignals(5)); Select_XH_To_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and MOV_ALU_IN_SourceRegister(7) and (TimingSignals(4) or TimingSignals(5)); -- Load the specified register from the data bus Load_A_From_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and NegatedInstruction(3) and TimingSignals(4); Load_B_From_DataBus_MOV_ALU_IN <= instruction_MOV_ALU_IN and Instruction(3) and TimingSignals(4); -- --------------------------------------- -- Instruction "MOV" - Format: 00DDDSSS -- --------------------------------------- -- 00: OpCode -- DDD: Destination Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not yet used> -- => "110": Register XL -- => "111": Register XH -- SSS: Source Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not yet used> -- => "110": Register XL -- => "111": Register XH -- Check if we execute the "MOV" instruction - OpCode "00" instruction_MOV <= NegatedInstruction(7) and NegatedInstruction(6); -- Decode the destination and source register from the provided instruction DestinationRegisterDecoder: Decoder3to8 port map(Instruction(5 downto 3), MOV_DestinationRegister, '1'); SourceRegisterDecoder: Decoder3to8 port map(Instruction(2 downto 0), MOV_SourceRegister, '1'); -- Gate the specified register onto the data bus Select_D_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(0) and (TimingSignals(4) or TimingSignals(5)); Select_E_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(1) and (TimingSignals(4) or TimingSignals(5)); Select_F_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(2) and (TimingSignals(4) or TimingSignals(5)); Select_G_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(3) and (TimingSignals(4) or TimingSignals(5)); Select_H_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(4) and (TimingSignals(4) or TimingSignals(5)); Select_XL_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(6) and (TimingSignals(4) or TimingSignals(5)); Select_XH_To_DataBus_MOV <= instruction_MOV and MOV_SourceRegister(7) and (TimingSignals(4) or TimingSignals(5)); -- Load the specified register from the data bus Load_D_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(0) and TimingSignals(4); Load_E_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(1) and TimingSignals(4); Load_F_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(2) and TimingSignals(4); Load_G_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(3) and TimingSignals(4); Load_H_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(4) and TimingSignals(4); Load_XL_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(6) and TimingSignals(4); Load_XH_From_DataBus_MOV <= instruction_MOV and MOV_DestinationRegister(7) and TimingSignals(4); -- --------------------------------------- -- Instruction "MOV16" - Format: 01DDDSSS -- --------------------------------------- -- 01: OpCode -- DDD: Destination Register -- => "000": Register M -- => "001": Register X -- => "010": Register J -- => "011": Register SP -- => "100": Register PC -- => "101": Register BP -- => "110": Register Y -- => "111": Register Z -- SSS: Source Register -- => "000": Register M -- => "001": Register X -- => "010": Register J -- => "011": Register SP -- => "100": Register PC -- => "101": Register BP -- => "110": Register Y -- => "111": Register Z -- Check if we execute the "MOV16" instruction - OpCode "01" instruction_MOV16 <= NegatedInstruction(7) and Instruction(6); -- Decode the destination and source register from the provided instruction DestinationRegisterDecoderMOV16: Decoder3to8 port map(Instruction(5 downto 3), MOV16_DestinationRegister, '1'); SourceRegisterDecoderMOV16: Decoder3to8 port map(Instruction(2 downto 0), MOV16_SourceRegister, '1'); -- Gate the specified register onto the data bus Select_M_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(0) and (TimingSignals(4) or TimingSignals(5)); Select_X_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(1) and (TimingSignals(4) or TimingSignals(5)); Select_J_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(2) and (TimingSignals(4) or TimingSignals(5)); Select_SP_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(3) and (TimingSignals(4) or TimingSignals(5)); Select_PC_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(4) and (TimingSignals(4) or TimingSignals(5)); Select_BP_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(5) and (TimingSignals(4) or TimingSignals(5)); Select_Y_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(6) and (TimingSignals(4) or TimingSignals(5)); Select_Z_To_AddressBus_MOV16 <= instruction_MOV16 and MOV16_SourceRegister(7) and (TimingSignals(4) or TimingSignals(5)); -- Load the specified register from the data bus Load_M_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(0) and TimingSignals(4); Load_X_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(1) and TimingSignals(4); Load_J_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(2) and TimingSignals(4); Load_SP_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(3) and TimingSignals(4); Load_PC_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(4) and TimingSignals(4); Load_BP_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(5) and TimingSignals(4); Load_Y_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(6) and TimingSignals(4); Load_Z_From_AddressBus_MOV16 <= instruction_MOV16 and MOV16_DestinationRegister(7) and TimingSignals(4); -- ------------------------------------- -- Instruction "HLT" - Format: 11000011 -- ------------------------------------- -- Stops the execution of the CPU. -- 11010011: OpCode -- Check if we execute the "HLT" instruction - OpCode "11010011" instruction_HLT <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and NegatedInstruction(2) and Instruction(1) and Instruction(0); -- Stops the CPU execution StopCPU <= instruction_HLT; -- --------------------------------------- -- Instruction "LOAD" - Format: 10010DDD -- --------------------------------------- -- Loads a 8-bit value from SRAM memory into the specified register. -- The SRAM memory address is stored in the register "M". -- The transfer of the data to the register from the SRAM memory is done through the data bus. -- 10010: OpCode -- DDD: Destination Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not used...> -- => "110": Register XL -- => "111": Register XH -- Check if we execute the "LOAD" instruction - OpCode "10010" instruction_LOAD <= Instruction(7) and NegatedInstruction(6) and NegatedInstruction(5) and Instruction(4) and NegatedInstruction(3); -- Latch the SRAM memory address from register M onto the address bus Select_M_To_AddressBus_LOAD <= instruction_LOAD and (TimingSignals(4) or TimingSignals(5)); -- Request the 8-bit from the RAM memory in the states #5, #6, and #7 Select_SRAM_LOAD <= instruction_LOAD and (TimingSignals(4) or TimingSignals(5) or TimingSignals(6)); -- Because the RAM has a latency of 1 clock cycle, we only return the -- requested data from the RAM in state #7 (data in state #5, #6 is still unstable!!!) -- This finally places the requested RAM data onto the data bus Return_SRAM_LOAD <= TimingSignals(6); -- State #7 -- Load the Register D from the data bus Load_D_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and NegatedInstruction(2) and NegatedInstruction(1) and NegatedInstruction(0); -- Load the Register E from the data bus Load_E_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and NegatedInstruction(2) and NegatedInstruction(1) and Instruction(0); -- Load the Register F from the data bus Load_F_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and NegatedInstruction(2) and Instruction(1) and NegatedInstruction(0); -- Load the Register G from the data bus Load_G_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and NegatedInstruction(2) and Instruction(1) and Instruction(0); -- Load the Register H from the data bus Load_H_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and Instruction(2) and NegatedInstruction(1) and NegatedInstruction(0); -- Load the Register XL from the data bus Load_XL_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and Instruction(2) and Instruction(1) and NegatedInstruction(0); -- Load the Register XL from the data bus Load_XH_From_DataBus_LOAD <= instruction_LOAD and TimingSignals(6) and Instruction(2) and Instruction(1) and Instruction(0); -- --------------------------------------- -- Instruction "STORE" - Format: 10011SSS -- --------------------------------------- -- Save a 8-bit value to SRAM memory from the specified register. -- The SRAM memory address is stored in the register "M". -- The transfer of the data from the register into the SRAM memory is done through the data bus. -- 10011: OpCode -- SSS: Source Register -- => "000": Register D -- => "001": Register E -- => "010": Register F -- => "011": Register G -- => "100": Register H -- => "101": <Not used...> -- => "110": Register XL -- => "111": Register XH -- Check if we execute the "STORE" instruction - OpCode "10011" instruction_STORE <= Instruction(7) and NegatedInstruction(6) and NegatedInstruction(5) and Instruction(4) and Instruction(3); -- Selects the content of the register "M" onto the address bus Select_M_To_AddressBus_STORE <= instruction_STORE and (TimingSignals(4) or TimingSignals(5)); -- Select the Register D to the data bus Select_D_To_DataBus_STORE <= instruction_STORE and NegatedInstruction(2) and NegatedInstruction(1) and NegatedInstruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register E to the data bus Select_E_To_DataBus_STORE <= instruction_STORE and NegatedInstruction(2) and NegatedInstruction(1) and Instruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register F to the data bus Select_F_To_DataBus_STORE <= instruction_STORE and NegatedInstruction(2) and Instruction(1) and NegatedInstruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register G to the data bus Select_G_To_DataBus_STORE <= instruction_STORE and NegatedInstruction(2) and Instruction(1) and Instruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register H to the data bus Select_H_To_DataBus_STORE <= instruction_STORE and Instruction(2) and NegatedInstruction(1) and NegatedInstruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register XL to the data bus Select_XL_To_DataBus_STORE <= instruction_STORE and Instruction(2) and Instruction(1) and NegatedInstruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Select the Register XH to the data bus Select_XH_To_DataBus_STORE <= instruction_STORE and Instruction(2) and Instruction(1) and Instruction(0) and (TimingSignals(4) or TimingSignals(5)); -- Enables the Load Line of the RAM memory and transfers the data from the data bus into -- the memory address provided in register M Load_SRAM_STORE <= instruction_STORE and TimingSignals(5); -- --------------------------------------------- -- Instruction "STORE_FLAGS" - Format: 11001001 -- --------------------------------------------- -- Selects the flags from the "FlagsOutBuffer" register onto the data bus -- and stores it in the RAM. -- 11001001: OpCode -- Check if we execute the "STORE_FLAGS" instruction - OpCode "11001001" instruction_STORE_FLAGS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and Instruction(0); -- Selects the content of the register "M" onto the address bus Select_M_To_AddressBus_STORE_FLAGS <= instruction_STORE_FLAGS and (TimingSignals(4) or TimingSignals(5)); -- Selects the flags from the "FlagsOutBuffer" onto the data bus Select_FlagsToDataBus <= instruction_STORE_FLAGS and (TimingSignals(4) or TimingSignals(5)); -- Enables the Load Line of the RAM memory and transfers the data from the data bus into -- the memory address provided in register M Load_SRAM_STORE_FLAGS <= instruction_STORE_FLAGS and TimingSignals(5); -- --------------------------------------------- -- Instruction "LOAD_FLAGS" - Format: 11001010 -- --------------------------------------------- -- Loads the flags from the RAM and writes them into the "FlagsInBuffer" register. -- and stores it onto the stack -- 11001010: OpCode -- Check if we execute the "STORE_FLAGS" instruction - OpCode "11001010" instruction_LOAD_FLAGS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and NegatedInstruction(2) and Instruction(1) and NegatedInstruction(0); -- Latch the SRAM memory address from register M onto the address bus Select_M_To_AddressBus_LOAD_FLAGS <= instruction_LOAD_FLAGS and (TimingSignals(4) or TimingSignals(5)); -- Request the 8-bit from the RAM memory in the states #5, #6, and #7 Select_SRAM_LOAD_FLAGS <= instruction_LOAD_FLAGS and (TimingSignals(4) or TimingSignals(5) or TimingSignals(6)); -- Because the RAM has a latency of 1 clock cycle, we only return the -- requested data from the RAM in state #7 (data in state #5, #6 is still unstable!!!) -- This finally places the requested RAM data onto the data bus Return_SRAM_LOAD_FLAGS <= TimingSignals(6); -- State #7 -- Selects the flags from the "FlagsOutBuffer" onto the data bus Load_FlagsFromDataBus <= instruction_LOAD_FLAGS and TimingSignals(6); -- --------------------------------------- -- Instruction "JMP" - Format: 11000010 -- --------------------------------------- -- Unconditional jump to the address in the program code that is stored in the register "J". -- The memory address from register "J" is loaded into the program counter. -- In the next machine cycle the execution continues at the new program counter position. -- 11000010: OpCode -- Check if we execute the "JMP" instruction - OpCode "11000010" instruction_JMP <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and NegatedInstruction(2) and Instruction(1) and NegatedInstruction(0); -- Select the target jump address to the address bus Select_J_To_AddressBus_JMP <= instruction_JMP and (TimingSignals(4) or TimingSignals(5)); -- Load the Program Counter from the address bus Load_PC_JMP <= instruction_JMP and TimingSignals(5); -- -------------------------------------------- -- Instruction "SAVE_FLAGS" - Format: 11000100 -- -------------------------------------------- -- Saves the current content of the flags register into the "SavedFlags" register -- 11000100: OpCode -- Check if we execute the "SAVE_FLAGS" instruction - OpCode "11000100" instruction_SAVE_FLAGS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and Instruction(2) and NegatedInstruction(1) and NegatedInstruction(0); -- Enables the Select Line of the flags register to load the flags into the "SavedFlags" register Select_Flags_SAVE_FLAGS <= instruction_SAVE_FLAGS and TimingSignals(4); -- Enables the Load Line of the "SavedFlags" register to load the flags into the "SavedFlags" register Load_FlagsSaved_From_FlagsRegister <= instruction_SAVE_FLAGS and TimingSignals(4); -- ----------------------------------------------- -- Instruction "RESTORE_FLAGS" - Format: 11000101 -- ----------------------------------------------- -- Saves the current content of the flags register into the "SavedFlags" register -- 11000101: OpCode -- Check if we execute the "RESTORE_FLAGS" instruction - OpCode "11000101" instruction_RESTORE_FLAGS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and Instruction(2) and NegatedInstruction(1) and Instruction(0); -- Enables the Load Line of the flags register to load the "SavedFlags" register into the flags register Load_Flags_SAVE_FLAGS <= instruction_RESTORE_FLAGS and TimingSignals(4); -- Enables the Select Line of the "SavedFlags" register to load the "SavedFlags" register into the flags register Load_FlagsSaved_To_FlagsRegister <= instruction_RESTORE_FLAGS and TimingSignals(4); -- ---------------------------------------------------- -- Instruction "FLAGS_TO_OUTBUFFER" - Format: 11000110 -- ---------------------------------------------------- -- Writes the current content of the flags register onto the data bus -- 11000110: OpCode -- Check if we execute the "FLAGS_TO_OUTBUFFER" instruction - OpCode "11000110" instruction_FLAGS_TO_OUTBUFFER <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and Instruction(2) and Instruction(1) and NegatedInstruction(0); -- Selects the content from the Flags register onto the Flags Bus Select_Flags_FLAGS_TO_OUTBUFFER <= instruction_FLAGS_TO_OUTBUFFER and TimingSignals(4); -- Loads the content from the Flags Bus into the "FlagsOutBuffer" register Load_FlagsFromFlagsBus <= instruction_FLAGS_TO_OUTBUFFER and TimingSignals(4); -- --------------------------------------------------- -- Instruction "INBUFFER_TO_FLAGS" - Format: 11000111 -- --------------------------------------------------- -- Writes the current content of the flags register onto the data bus -- 11000111: OpCode -- Check if we execute the "FLAGS_TO_INBUFFER" instruction - OpCode "11000111" instruction_INBUFFER_TO_FLAGS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and Instruction(2) and Instruction(1) and Instruction(0); -- Selects the content from the "FlagsInBuffer" register to the Flags Bus Select_FlagsToFlagsBus <= instruction_INBUFFER_TO_FLAGS and TimingSignals(4); -- Loads the content from the Flags Bus into the Flags register Load_Flags_INBUFFER_TO_FLAGS <= instruction_INBUFFER_TO_FLAGS and TimingSignals(4); -- --------------------------------------- -- Instruction "JZ" - Format: 11000000 -- --------------------------------------- -- Conditional jump to the address in the program code that is stored in the register "J". -- The jump is only executed if the Zero-Flag in the FLAGS register is set to "1". -- The memory address from register "J" is loaded into the program counter. -- In the next machine cycle the execution continues at the new program counter position. -- 11000000: OpCode -- Check if we execute the "JZ" instruction - OpCode "11000000" instruction_JZ <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and NegatedInstruction(0); Select_Flags_JZ <= instruction_JZ and (TimingSignals(5) or TimingSignals(6) or TimingSignals(7)); -- Select the target jump address to the address bus Select_J_To_AddressBus_JZ <= instruction_JZ and Flags(1) and (TimingSignals(6) or TimingSignals(7)); -- Load the Program Counter from the address bus Load_PC_JZ <= instruction_JZ and Flags(1) and TimingSignals(7); -- --------------------------------------- -- Instruction "JNS" - Format: 11001011 -- --------------------------------------- -- Conditional jump to the address in the program code that is stored in the register "J". -- The jump is only executed if the Sign-Flag in the FLAGS register is set to "0". -- The memory address from register "J" is loaded into the program counter. -- In the next machine cycle the execution continues at the new program counter position. -- 11001011: OpCode -- Check if we execute the "JNS" instruction - OpCode "11001011" instruction_JNS <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and NegatedInstruction(2) and Instruction(1) and Instruction(0); Select_Flags_JNS <= instruction_JNS and (TimingSignals(5) or TimingSignals(6) or TimingSignals(7)); -- Select the target jump address to the address bus Select_J_To_AddressBus_JNS <= instruction_JNS and (not Flags(0)) and (TimingSignals(6) or TimingSignals(7)); -- Load the Program Counter from the address bus Load_PC_JNS <= instruction_JNS and (not Flags(0)) and TimingSignals(7); -- --------------------------------------- -- Instruction "JNC" - Format: 11010001 -- --------------------------------------- -- Conditional jump to the address in the program code that is stored in the register "J". -- The jump is only executed if the Carry-Flag in the FLAGS register is set to "0". -- The memory address from register "J" is loaded into the program counter. -- In the next machine cycle the execution continues at the new program counter position. -- 11001011: OpCode -- Check if we execute the "JNC" instruction - OpCode "11010001" instruction_JNC <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and Instruction(4) and NegatedInstruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and Instruction(0); Select_Flags_JNC <= instruction_JNC and (TimingSignals(5) or TimingSignals(6) or TimingSignals(7)); -- Select the target jump address to the address bus Select_J_To_AddressBus_JNC <= instruction_JNC and (not Flags(2)) and (TimingSignals(6) or TimingSignals(7)); -- Load the Program Counter from the address bus Load_PC_JNC <= instruction_JNC and (not Flags(2)) and TimingSignals(7); -- --------------------------------------- -- Instruction "JNZ" - Format: 11000001 -- --------------------------------------- -- Conditional jump to the address in the program code that is stored in the register "J". -- The jump is only executed if the Zero-Flag in the FLAGS register is set to "0". -- The memory address from register "J" is loaded into the program counter. -- In the next machine cycle the execution continues at the new program counter position. -- 11000001: OpCode -- Check if we execute the "JNZ" instruction - OpCode "11000001" instruction_JNZ <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and NegatedInstruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and Instruction(0); Select_Flags_JNZ <= instruction_JNZ and (TimingSignals(5) or TimingSignals(6) or TimingSignals(7)); -- Select the target jump address to the address bus Select_J_To_AddressBus_JNZ <= instruction_JNZ and NegatedFlags(1) and (TimingSignals(6) or TimingSignals(7)); -- Load the Program Counter from the address bus Load_PC_JNZ <= instruction_JNZ and NegatedFlags(1) and TimingSignals(7); -- --------------------------------------------- -- Instruction "16BIT_ADDER" - Format: 11001000 -- --------------------------------------------- -- Performs a 16-bit addition between the 16-bit value in register "X" and the -- 8-bit value in register "D". -- The result of the addition is put back into register "X". -- 11001000: OpCode -- Check if we execute the "16BIT_ADDER" instruction - OpCode "11001000" instruction_ADDER_16BIT <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and NegatedInstruction(2) and NegatedInstruction(1) and NegatedInstruction(0); -- Select the content of register "X" to the address bus Select_X_To_AddressBus_ADDER_16BIT <= instruction_ADDER_16BIT and TimingSignals(3); -- Load the A input of the 16-bit Adder from the address bus Load_Adder16Bit_InputA <= instruction_ADDER_16BIT and TimingSignals(3); -- Select the content of register "J" to the address bus Select_J_To_AddressBus_ADDER_16BIT <= instruction_ADDER_16BIT and TimingSignals(4); -- Load the B input of the 16-bit Adder from the address bus Load_Adder16Bit_InputB <= instruction_ADDER_16BIT and TimingSignals(4); -- Select the A input into the 16-bit Adder Select_Adder16Bit_InputA <= instruction_ADDER_16BIT and (TimingSignals(5) or TimingSignals(6)); -- Select the B input into the 16-bit Adder Select_Adder16Bit_InputB <= instruction_ADDER_16BIT and (TimingSignals(5) or TimingSignals(6)); -- Load the output from the 16-bit adder into the C output Load_Adder16Bit_OutputC <= instruction_ADDER_16BIT and TimingSignals(6); -- Select the output from the C output onto the address bus Select_Adder16Bit_OutputC <= instruction_ADDER_16BIT and TimingSignals(7); -- Load the content from the address bus into the register "X" Load_X_From_AddressBus_ADDER_16BIT <= instruction_ADDER_16BIT and TimingSignals(7); -- --------------------------------------------- -- Instruction "IN" - Format: 1100110P -- --------------------------------------------- -- Reads from the specified input port and places the read value into the specified register. -- 1100110P: OpCode -- P: Port - "0" -> Input Port A, "1" -> Input Port B -- Check if we execute the "IN" instruction - OpCode "1101" instruction_IN <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and Instruction(2) and NegatedInstruction(1); -- Select the input value from Input Port A to the data bus Select_PortA_To_DataBus <= instruction_IN and NegatedInstruction(0) and (TimingSignals(3) or TimingSignals(4)); -- Select the input value from Input Port B to the data bus Select_PortB_To_DataBus <= instruction_IN and Instruction(0) and (TimingSignals(3) or TimingSignals(4)); -- Load the Register XL from the data bus Load_XL_From_DataBus_IN <= instruction_IN and TimingSignals(4); -- --------------------------------------------- -- Instruction "OUT" - Format: 1100111P -- --------------------------------------------- -- Reads from the specified input port and places the read value into the specified register. -- 1100111P: OpCode -- P: Port - "0" -> Output Port C, "1" -> Output Port D -- Check if we execute the "IN" instruction - OpCode "1100111" instruction_OUT <= Instruction(7) and Instruction(6) and NegatedInstruction(5) and NegatedInstruction(4) and Instruction(3) and Instruction(2) and Instruction(1); -- Select the register XL to the data bus Select_XL_To_DataBus_OUT <= instruction_OUT and (TimingSignals(3) or TimingSignals(4)); -- Select the output value from the data bus into the Output Port C Load_PortC_From_DataBus <= instruction_OUT and NegatedInstruction(0) and TimingSignals(4); -- Select the output value from the data bus into the Output Port D Load_PortD_From_DataBus <= instruction_OUT and Instruction(0) and TimingSignals(4); -- ================================================================ -- The following section enables the final CPU control lines -- ================================================================ -- Enable/Disable Load Line of register A Load_A_From_DataBus <= Load_A_From_DataBus_SETAB or Load_A_From_DataBus_MOV_ALU_IN or Load_A_From_DataBus_MOV_ALU_C_TO_AB; -- Enable/Disable Load Line of register B Load_B_From_DataBus <= Load_B_From_DataBus_SETAB or Load_B_From_DataBus_MOV_ALU_IN or Load_B_From_DataBus_MOV_ALU_C_TO_AB; -- Enable/Disable Load Line of register C Load_C_From_DataBus <= Load_C_From_DataBus_ALU; -- Enable/Disable Load Line of register D Load_D_From_DataBus <= Load_D_From_DataBus_MOV_ALU_OUT or Load_D_From_DataBus_MOV or Load_D_From_DataBus_LOAD; -- Enable/Disable Load Line of register E Load_E_From_DataBus <= Load_E_From_DataBus_MOV_ALU_OUT or Load_E_From_DataBus_MOV or Load_E_From_DataBus_LOAD; -- Enable/Disable Load Line of register F Load_F_From_DataBus <= Load_F_From_DataBus_MOV_ALU_OUT or Load_F_From_DataBus_MOV or Load_F_From_DataBus_LOAD; -- Enable/Disable Load Line of register G Load_G_From_DataBus <= Load_G_From_DataBus_MOV_ALU_OUT or Load_G_From_DataBus_MOV or Load_G_From_DataBus_LOAD; -- Enable/Disable Load Line of register H Load_H_From_DataBus <= Load_H_From_DataBus_MOV_ALU_OUT or Load_H_From_DataBus_MOV or Load_H_From_DataBus_LOAD; -- Enable/Disable Load Line of register XL Load_XL_From_DataBus <= Load_XL_From_DataBus_MOV_ALU_OUT or Load_XL_From_DataBus_MOV or Load_XL_From_DataBus_LOAD or Load_XL_From_DataBus_IN; -- Enable/Disable Load Line of register XH Load_XH_From_DataBus <= Load_XH_From_DataBus_MOV_ALU_OUT or Load_XH_From_DataBus_MOV or Load_XH_From_DataBus_LOAD; -- Enable/Disable the Load Line of register M Load_M_From_AddressBus <= Load_M_From_AddressBus_MOV16; -- Enable/Disable the Load Line of register X Load_X_From_AddressBus <= Load_X_From_AddressBus_MOV16 or Load_X_From_AddressBus_ADDER_16BIT; -- Enable/Disable Load Line of register J Load_J_From_AddressBus <= Load_J_From_AddressBus_MOV16; -- Enable/Disable Load Line of register SP Load_SP_From_AddressBus <= Load_SP_From_AddressBus_MOV16; -- Enable/Disable Load Line of register BP Load_BP_From_AddressBus <= Load_BP_From_AddressBus_MOV16; -- Enable/Disable Load Line of register Y Load_Y_From_AddressBus <= Load_Y_From_AddressBus_MOV16; -- Enable/Disable Load Line of register Z Load_Z_From_AddressBus <= Load_Z_From_AddressBus_MOV16; -- Enable/Disable Select Line of register C Select_C_To_DataBus <= Select_C_To_DataBus_MOV_ALU_OUT or Select_C_To_DataBus_MOV_ALU_C_TO_AB; -- Enable/Disable Select Line of register D Select_D_To_DataBus <= Select_D_To_DataBus_MOV_ALU_IN or Select_D_To_DataBus_MOV or Select_D_To_DataBus_STORE or Select_D_To_DataBus_ADDER_16BIT; -- Enable/Disable Select Line of register E Select_E_To_DataBus <= Select_E_To_DataBus_MOV_ALU_IN or Select_E_To_DataBus_MOV or Select_E_To_DataBus_STORE; -- Enable/Disable Select Line of register F Select_F_To_DataBus <= Select_F_To_DataBus_MOV_ALU_IN or Select_F_To_DataBus_MOV or Select_F_To_DataBus_STORE; -- Enable/Disable Select Line of register G Select_G_To_DataBus <= Select_G_To_DataBus_MOV_ALU_IN or Select_G_To_DataBus_MOV or Select_G_To_DataBus_STORE; -- Enable/Disable Select Line of register H Select_H_To_DataBus <= Select_H_To_DataBus_MOV_ALU_IN or Select_H_To_DataBus_MOV or Select_H_To_DataBus_STORE; -- Enable/Disable Select Line of register XL Select_XL_To_DataBus <= Select_XL_To_DataBus_MOV_ALU_IN or Select_XL_To_DataBus_MOV or Select_XL_To_DataBus_STORE or Select_XL_To_DataBus_OUT; -- Enable/Disable Select Line of register XH Select_XH_To_DataBus <= Select_XH_To_DataBus_MOV_ALU_IN or Select_XH_To_DataBus_MOV or Select_XH_To_DataBus_STORE; -- Enable/Disable the Select Line of register M Select_M_To_AddressBus <= Select_M_To_AddressBus_MOV16 or Select_M_To_AddressBus_LOAD or Select_M_To_AddressBus_LOAD_FLAGS or Select_M_To_AddressBus_STORE or Select_M_To_AddressBus_STORE_FLAGS; -- Enable/Disable the Select Line of register X Select_X_To_AddressBus <= Select_X_To_AddressBus_MOV16 or Select_X_To_AddressBus_ADDER_16BIT or Select_X_To_AddressBus_ADDER_16BIT; -- Enable/Disable Select Line of register SP Select_SP_To_AddressBus <= Select_SP_To_AddressBus_MOV16; -- Enable/Disable Select Line of register BP Select_BP_To_AddressBus <= Select_BP_To_AddressBus_MOV16; -- Enable/Disable Select Line of register Y Select_Y_To_AddressBus <= Select_Y_To_AddressBus_MOV16; -- Enable/Disable Select Line of register Z Select_Z_To_AddressBus <= Select_Z_To_AddressBus_MOV16; -- Enable/Disable Select Line of register J Select_J_To_AddressBus <= Select_J_To_AddressBus_MOV16 or Select_J_To_AddressBus_JMP or Select_J_To_AddressBus_JZ or Select_J_To_AddressBus_JNS or Select_J_To_AddressBus_JNZ or Select_J_To_AddressBus_JNC or Select_J_To_AddressBus_ADDER_16BIT; -- Enables the Select Line of the flags register Select_Flags <= Select_Flags_JZ or Select_Flags_SAVE_FLAGS or Select_Flags_JNZ or Select_Flags_JNS or Select_Flags_JNC or Select_Flags_FLAGS_TO_OUTBUFFER; -- Enables the Load Line of the flags register Load_Flags <= Load_Flags_From_ALU or Load_Flags_SAVE_FLAGS or Load_Flags_INBUFFER_TO_FLAGS; -- Requests the data from the RAM to the data bus Select_SRAM <= Select_SRAM_FETCH or Select_SRAM_LOAD or Select_SRAM_LOAD_FLAGS; -- Loads the data from the data bus into the RAM Load_SRAM <= Load_SRAM_STORE or Load_SRAM_STORE_FLAGS; -- Returns the requested data from the RAM onto the data bus Return_SRAM <= Return_SRAM_FETCH or Return_SRAM_LOAD or Return_SRAM_LOAD_FLAGS; -- Enable/Disable the Load Line of the Program Counter Load_PC <= Load_PC_FETCH or Load_PC_JMP or Load_PC_JZ or Load_PC_JNS or Load_PC_JNZ or Load_PC_JNC or Load_PC_From_AddressBus_MOV16; -- Enable/Disable the Load Line of the Program Counter Select_PC <= Select_PC_FETCH or Select_PC_To_AddressBus_MOV16; end Behavioral;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY char_7seg IS PORT ( C : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Display : OUT STD_LOGIC_VECTOR(0 TO 6)); END char_7seg; ARCHITECTURE Behavior OF char_7seg IS BEGIN -- Behavior Display(0) <= C(0); Display(1) <= NOT(C(1)) OR C(0); Display(2) <= C(0); Display(3) <= C(0); Display(4) <= C(1); Display(5) <= C(1); Display(6) <= NOT(C(1)) OR C(0); END Behavior;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;