content
stringlengths 1
1.04M
⌀ |
---|
--------------------------------------------------------------------------------
-- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Description:
-- This is an example testbench for the FIR Compiler IP core.
-- The testbench has been generated by Vivado to accompany the IP core
-- instance you have generated.
--
-- This testbench is for demonstration purposes only. See note below for
-- instructions on how to use it with your core.
--
-- See the FIR Compiler product guide for further information
-- about this core.
--
--------------------------------------------------------------------------------
-- Using this testbench
--
-- This testbench instantiates your generated FIR Compiler core
-- instance named "fir_lp_15kHz".
--
-- Use Vivado's Run Simulation flow to run this testbench. See the Vivado
-- documentation for details.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb_fir_lp_15kHz is
end tb_fir_lp_15kHz;
architecture tb of tb_fir_lp_15kHz is
-----------------------------------------------------------------------
-- Timing constants
-----------------------------------------------------------------------
constant CLOCK_PERIOD : time := 100 ns;
constant T_HOLD : time := 10 ns;
constant T_STROBE : time := CLOCK_PERIOD - (1 ns);
-----------------------------------------------------------------------
-- DUT signals
-----------------------------------------------------------------------
-- General signals
signal aclk : std_logic := '0'; -- the master clock
-- Data slave channel signals
signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_data_tready : std_logic := '1'; -- slave is ready
signal s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload
-- Data master channel signals
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tdata : std_logic_vector(47 downto 0) := (others => '0'); -- data payload
-----------------------------------------------------------------------
-- Aliases for AXI channel TDATA and TUSER fields
-- These are a convenience for viewing data in a simulator waveform viewer.
-- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command
-- to prevent the simulator optimizing away these signals.
-----------------------------------------------------------------------
-- Data slave channel alias signals
signal s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0');
-- Data master channel alias signals
signal m_axis_data_tdata_data : std_logic_vector(43 downto 0) := (others => '0');
begin
-----------------------------------------------------------------------
-- Instantiate the DUT
-----------------------------------------------------------------------
dut : entity work.fir_lp_15kHz
port map (
aclk => aclk,
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tdata => s_axis_data_tdata,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tdata => m_axis_data_tdata
);
-----------------------------------------------------------------------
-- Generate clock
-----------------------------------------------------------------------
clock_gen : process
begin
aclk <= '0';
wait for CLOCK_PERIOD;
loop
aclk <= '0';
wait for CLOCK_PERIOD/2;
aclk <= '1';
wait for CLOCK_PERIOD/2;
end loop;
end process clock_gen;
-----------------------------------------------------------------------
-- Generate inputs
-----------------------------------------------------------------------
stimuli : process
-- Procedure to drive a number of input samples with specific data
-- data is the data value to drive on the tdata signal
-- samples is the number of zero-data input samples to drive
procedure drive_data ( data : std_logic_vector(15 downto 0);
samples : natural := 1 ) is
variable ip_count : integer := 0;
begin
ip_count := 0;
loop
s_axis_data_tvalid <= '1';
s_axis_data_tdata <= data;
loop
wait until rising_edge(aclk);
exit when s_axis_data_tready = '1';
end loop;
ip_count := ip_count + 1;
wait for T_HOLD;
-- Input rate is 1 input each 16 clock cycles: drive valid inputs at this rate
s_axis_data_tvalid <= '0';
wait for CLOCK_PERIOD * 15;
exit when ip_count >= samples;
end loop;
end procedure drive_data;
-- Procedure to drive a number of zero-data input samples
-- samples is the number of zero-data input samples to drive
procedure drive_zeros ( samples : natural := 1 ) is
begin
drive_data((others => '0'), samples);
end procedure drive_zeros;
-- Procedure to drive an impulse and let the impulse response emerge on the data master channel
-- samples is the number of input samples to drive; default is enough for impulse response output to emerge
procedure drive_impulse ( samples : natural := 2055 ) is
variable impulse : std_logic_vector(15 downto 0);
begin
impulse := (others => '0'); -- initialize unused bits to zero
impulse(15 downto 0) := "0100000000000000";
drive_data(impulse);
if samples > 1 then
drive_zeros(samples-1);
end if;
end procedure drive_impulse;
begin
-- Drive inputs T_HOLD time after rising edge of clock
wait until rising_edge(aclk);
wait for T_HOLD;
-- Drive a single impulse and let the impulse response emerge
drive_impulse;
-- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals
drive_impulse(2); -- start of impulse; data is now zero
s_axis_data_tvalid <= '0';
wait for CLOCK_PERIOD * 80; -- provide no data for 5 input samples worth
drive_zeros(2); -- 2 normal input samples
s_axis_data_tvalid <= '1';
wait for CLOCK_PERIOD * 80; -- provide data as fast as the core can accept it for 5 input samples worth
drive_zeros(2046); -- back to normal operation
-- End of test
report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure;
wait;
end process stimuli;
-----------------------------------------------------------------------
-- Check outputs
-----------------------------------------------------------------------
check_outputs : process
variable check_ok : boolean := true;
begin
-- Check outputs T_STROBE time after rising edge of clock
wait until rising_edge(aclk);
wait for T_STROBE;
-- Do not check the output payload values, as this requires the behavioral model
-- which would make this demonstration testbench unwieldy.
-- Instead, check the protocol of the master DATA channel:
-- check that the payload is valid (not X) when TVALID is high
if m_axis_data_tvalid = '1' then
if is_x(m_axis_data_tdata) then
report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error;
check_ok := false;
end if;
end if;
assert check_ok
report "ERROR: terminating test with failures." severity failure;
end process check_outputs;
-----------------------------------------------------------------------
-- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing
-----------------------------------------------------------------------
-- Data slave channel alias signals
s_axis_data_tdata_data <= s_axis_data_tdata(15 downto 0);
-- Data master channel alias signals: update these only when they are valid
m_axis_data_tdata_data <= m_axis_data_tdata(43 downto 0) when m_axis_data_tvalid = '1';
end tb;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 23-02-2016
-- Module Name: crc_t.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity crc_t is
end entity;
architecture arch_crc_t of crc_t is
-- G(x) Function
constant G : std_logic_vector(3 downto 0) := "1011";
-- CRC Component definition
component crc is
generic (g : std_logic_vector);
port (d, clk : in std_logic;
r : buffer std_logic_vector(g'high - 1 downto g'low));
end component;
-- Middle signals
signal clk, d : std_logic := '0';
signal r : std_logic_vector(2 downto 0);
signal data : std_logic_vector(10 downto 0) := "10101100000";
signal run : std_logic := '1';
-- CRC Component configuration
for all:crc use entity work.crc(arch_crc);
begin
clk <= not clk after 50 ns when run = '1';
crc_1 : crc generic map (G) port map (d, clk, r);
process (clk)
variable I : natural := data'high + 1;
begin
if clk = '1' and clk'event then
if I > data'low then
I := I - 1;
d <= data(I);
else
run <= '0';
end if;
end if;
end process;
end architecture;
|
entity repro1 is
generic (c : natural := 4);
end repro1;
architecture behav of repro1 is
constant cmap : string (1 to 5) :=
(1 => 'a', 2 => 'b', 3 => 'c', 4 => 'd', 5 => 'e');
begin
process
variable v : character;
begin
v := cmap (c);
assert v = 'd' report "bad value" severity error;
wait;
end process;
end behav;
|
entity repro1 is
generic (c : natural := 4);
end repro1;
architecture behav of repro1 is
constant cmap : string (1 to 5) :=
(1 => 'a', 2 => 'b', 3 => 'c', 4 => 'd', 5 => 'e');
begin
process
variable v : character;
begin
v := cmap (c);
assert v = 'd' report "bad value" severity error;
wait;
end process;
end behav;
|
entity repro2 is
end repro2;
architecture behav of repro2 is
signal s : natural;
begin -- behav
process (s) is
variable v : natural;
begin
v := s'delayed (10 ns);
end process;
process
begin
s <= 3;
wait for 0 ns;
s <= 4;
wait for 0 ns;
s <= 5;
wait for 0 ns;
s <= 5;
wait;
end process;
end behav;
|
entity repro2 is
end repro2;
architecture behav of repro2 is
signal s : natural;
begin -- behav
process (s) is
variable v : natural;
begin
v := s'delayed (10 ns);
end process;
process
begin
s <= 3;
wait for 0 ns;
s <= 4;
wait for 0 ns;
s <= 5;
wait for 0 ns;
s <= 5;
wait;
end process;
end behav;
|
entity repro2 is
end repro2;
architecture behav of repro2 is
signal s : natural;
begin -- behav
process (s) is
variable v : natural;
begin
v := s'delayed (10 ns);
end process;
process
begin
s <= 3;
wait for 0 ns;
s <= 4;
wait for 0 ns;
s <= 5;
wait for 0 ns;
s <= 5;
wait;
end process;
end behav;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fg_tb_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for fifo_generator_v8.4 core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT fg_tb_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT fg_tb_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fg_tb_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT rx_data_fifo_top IS
PORT (
CLK : IN std_logic;
DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0);
ALMOST_FULL : OUT std_logic;
ALMOST_EMPTY : OUT std_logic;
SRST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(32-1 DOWNTO 0);
DOUT : OUT std_logic_vector(32-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END fg_tb_pkg;
PACKAGE BODY fg_tb_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END fg_tb_pkg;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddr2ram
-- File: ddr2ram.vhd
-- Author: Magnus Hjorth, Aeroflex Gaisler
-- Description: Generic simulation model of DDR2 SDRAM (JESD79-2C)
------------------------------------------------------------------------------
--pragma translate_off
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.stdio.hread;
use grlib.stdlib.all;
entity ddr2ram is
generic (
width: integer := 32;
abits: integer range 13 to 16 := 13;
babits: integer range 2 to 3 := 3;
colbits: integer range 9 to 11 := 9;
rowbits: integer range 1 to 16 := 13;
implbanks: integer range 1 to 8 := 1;
swap : integer := 0; -- byte swap during srec load
fname: string;
lddelay: time := (0 ns);
ldguard: integer range 0 to 1 := 0; -- 1: wait for doload input before
-- loading RAM
-- Speed bins: 0:DDR2-400C,1:400B,2:533C,3:533B,4:667D,5:667C,6:800E,7:800D,8:800C
-- 9:800+ (MT47H-25E)
speedbin: integer range 0 to 9 := 0;
density: integer range 1 to 5 := 3; -- 1:256M 2:512M 3:1G 4:2G 5:4G bits/chip
pagesize: integer range 1 to 2 := 1 -- 1K/2K page size (controls tRRD)
);
port (
ck: in std_ulogic;
ckn: in std_ulogic;
cke: in std_ulogic;
csn: in std_ulogic;
odt: in std_ulogic;
rasn: in std_ulogic;
casn: in std_ulogic;
wen: in std_ulogic;
dm: in std_logic_vector(width/8-1 downto 0);
ba: in std_logic_vector(babits-1 downto 0);
a: in std_logic_vector(abits-1 downto 0);
dq: inout std_logic_vector(width-1 downto 0);
dqs: inout std_logic_vector(width/8-1 downto 0);
dqsn: inout std_logic_vector(width/8-1 downto 0);
doload: in std_ulogic := '1'
);
end;
architecture sim of ddr2ram is
type moderegs is record
-- Mode register (0)
pd: std_ulogic;
wr: std_logic_vector(2 downto 0);
dllres: std_ulogic;
tm: std_ulogic;
caslat: std_logic_vector(2 downto 0);
bt: std_ulogic;
blen: std_logic_vector(2 downto 0);
-- Extended mode register 1
qoff: std_ulogic;
rdqsen: std_ulogic;
dqsndis: std_ulogic;
ocdprog: std_logic_vector(2 downto 0);
al: std_logic_vector(2 downto 0);
rtt: std_logic_vector(1 downto 0);
ds: std_ulogic;
dlldis: std_ulogic;
-- Extended mode register 2
srf: std_ulogic;
dccen: std_ulogic;
pasr: std_logic_vector(2 downto 0);
-- Extended mode register 3
emr3: std_logic_vector(abits-1 downto 0);
end record;
-- Mode registers as signal, useful for debugging
signal mr: moderegs;
-- Handshaking between command and DQ/DQS processes
signal read_en, write_en: boolean := false;
signal read_data, write_data: std_logic_vector(2*width-1 downto 0);
signal write_mask: std_logic_vector(width/4-1 downto 0);
signal initdone: boolean := false;
-- Small delta-t to adjust calculations for jitter tol.
constant deltat: time := 50 ps;
-- Timing parameters
constant tWR: time := 15 ns;
constant tMRD_ck: integer := 2;
constant tRTP: time := 7.5 ns;
type timetab is array (0 to 9) of time;
-- 400C 400B 533C 533B 667D 667C 800E 800D 800C MT-2.5E
constant tRAS : timetab := (45 ns, 40 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 40 ns);
constant tRP : timetab := (20 ns, 15 ns, 15 ns, 11.25 ns, 15 ns, 12 ns, 15 ns, 12.5 ns, 10 ns, 12.5 ns);
constant tRCD: timetab := tRP;
type timetab2 is array(1 to 5) of time;
constant tRFC: timetab2 := (75 ns, 105 ns, 127.5 ns, 195 ns, 327.5 ns);
type timetab3 is array(1 to 2) of time;
constant tRRD: timetab3 := (7.5 ns, 10 ns);
begin
-----------------------------------------------------------------------------
-- Init sequence checker
-----------------------------------------------------------------------------
initp: process
variable cyctr: integer := 0;
procedure checkcmd(crasn,ccasn,cwen: std_ulogic;
cba: std_logic_vector(1 downto 0);
ca: std_logic_vector(15 downto 0)) is
variable amatch: boolean;
begin
wait until rising_edge(ck);
cyctr := cyctr+1;
while cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')) loop
wait until rising_edge(ck);
cyctr := cyctr+1;
end loop;
amatch := true;
for x in a'range loop
if ca(x)/='-' and ca(x)/=a(x) then amatch:=false; end if;
end loop;
assert cke='1' and csn='0' and rasn=crasn and casn=ccasn and wen=cwen and
(cba="--" or cba=ba(1 downto 0)) and amatch
report "Wrong command during init sequence" severity warning;
end checkcmd;
variable t: time;
begin
initdone <= false;
-- Allow cke to be X or U for a while during sim start
if is_x(cke) then
wait until not is_x(cke);
end if;
assert cke='0' report "CKE not deasserted on power-up" severity warning;
wait until cke/='0' for 200 us;
assert cke='0' report "CKE raised with less than 200 us init delay" severity warning;
wait until cke/='0' and rising_edge(ck);
assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1'));
t := now;
-- Precharge all
checkcmd('0','1','0',"--","-----1----------");
assert (now-t) > 400 ns report "Less than 400 ns wait period after CKE high!" severity warning;
-- EMRS EMR2
checkcmd('0','0','0',"10","----------------");
-- EMRS EMR3
checkcmd('0','0','0',"11","----------------");
-- EMRS enable DLL
checkcmd('0','0','0',"01","000---000-------");
-- MRS reset DLL
checkcmd('0','0','0',"00","000----1--------");
cyctr := 0;
-- Precharge all
checkcmd('0','1','0',"--","-----1----------");
-- 2 x auto refresh
checkcmd('0','0','1',"--","----------------");
checkcmd('0','0','1',"--","----------------");
-- MRS !reset DLL
checkcmd('0','0','0',"00","-------0--------");
-- EMRS EMR1 OCD default, EMRS EMR1 exit OCD cal
-- (assume OCD impedance adjust not performed)
checkcmd('0','0','0',"01","------111-------");
assert cyctr >= 200 report "Less than 200 cycles (" & tost(cyctr) & ") between DLL reset and OCD cal" severity warning;
checkcmd('0','0','0',"01","------000-------");
initdone <= true;
wait;
end process;
-----------------------------------------------------------------------------
-- Command state machine
-----------------------------------------------------------------------------
cmdp: process(ck)
subtype coldata is std_logic_vector(width-1 downto 0);
type coldata_arr is array(0 to implbanks*(2**(colbits+rowbits))-1) of coldata;
variable memdata: coldata_arr;
procedure load_srec is
file TCF : text open read_mode is fname;
variable L1: line;
variable CH : character;
variable rectype : std_logic_vector(3 downto 0);
variable recaddr : std_logic_vector(31 downto 0);
variable reclen : std_logic_vector(7 downto 0);
variable recdata : std_logic_vector(0 to 16*8-1);
variable recdatatemp : std_logic_vector(0 to 7);
variable col, coloffs, len: integer;
begin
L1:= new string'("");
while not endfile(TCF) loop
readline(TCF,L1);
if (L1'length /= 0) then
while (not (L1'length=0)) and (L1(L1'left) = ' ') loop
std.textio.read(L1,CH);
end loop;
if L1'length > 0 then
read(L1, ch);
if (ch = 'S') or (ch = 's') then
hread(L1, rectype);
hread(L1, reclen);
len := to_integer(unsigned(reclen))-1;
recaddr := (others => '0');
case rectype is
when "0001" => hread(L1, recaddr(15 downto 0)); len := len - 2;
when "0010" => hread(L1, recaddr(23 downto 0)); len := len - 3;
when "0011" => hread(L1, recaddr); len := len - 4;
when others => next;
end case;
hread(L1, recdata(0 to len*8-1));
if swap=1 then -- byte swap during srec load
for i in 0 to 7 loop
recdatatemp := recdata(i*16 to i*16+7);
recdata(i*16 to i*16+7) := recdata(i*16+8 to i*16+15);
recdata(i*16+8 to i*16+15) := recdatatemp;
end loop;
end if;
col := to_integer(unsigned(recaddr(log2(width/8)+rowbits+colbits+1 downto log2(width/8))));
coloffs := 8*to_integer(unsigned(recaddr(log2(width/8)-1 downto 0)));
while len > width/8 loop
assert coloffs=0;
memdata(col) := recdata(0 to width-1);
col := col+1;
len := len-width/8;
recdata(0 to recdata'length-width-1) := recdata(width to recdata'length-1);
end loop;
memdata(col)(width-1-coloffs downto width-coloffs-len*8) := recdata(0 to len*8-1);
end if;
end if;
end if;
end loop;
end load_srec;
variable vmr: moderegs;
type bankstate is record
openrow: integer;
opentime: time;
closetime: time;
writetime: time;
readtime: time;
autopch: integer;
pchpush: boolean;
end record;
type bankstate_arr is array(natural range <>) of bankstate;
variable banks: bankstate_arr(7 downto 0) := (others => (-1, 0 ns, 0 ns, 0 ns, 0 ns, -1, false));
type int_arr is array(natural range <>) of integer;
type dataacc is record
r,w: boolean;
col: int_arr(0 to 1);
bank: integer;
end record;
type dataacc_arr is array(natural range <>) of dataacc;
variable accpipe: dataacc_arr(0 to 9);
variable cmd: std_logic_vector(2 downto 0);
variable bank: integer;
variable colv: unsigned(a'high-1 downto 0);
variable alow: unsigned(2 downto 0);
variable col: integer;
variable prev_re, re: time;
variable blen: integer;
variable lastref: time := 0 ns;
variable i, al, cl, wrap: integer;
variable b: boolean;
variable mrscount: integer := 0;
variable loaded: boolean := false;
procedure checktime(got, exp: time; gt: boolean; req: string) is
begin
assert (got + deltat > exp and gt) or (got-deltat < exp and not gt)
report (req & " violation, got: " & tost(got/(1 ps)) & " ps, exp: " & tost(exp/(1 ps)) & "ps")
severity warning;
end checktime;
begin
if rising_edge(ck) then
-- Update pipe regs
prev_re := re;
re := now;
accpipe(1 to accpipe'high) := accpipe(0 to accpipe'high-1);
accpipe(0).r:=false; accpipe(0).w:=false;
-- Parse MR fields
cmd := rasn & casn & wen;
if is_x(vmr.caslat) then cl:=0; else cl:=to_integer(unsigned(vmr.caslat)); end if;
if cl<2 or cl>6 then cl:=0; end if;
if is_x(vmr.al) then al:=0; else al:=to_integer(unsigned(vmr.al)); end if;
if al>5 then al:=0; end if;
if is_x(vmr.wr) then wrap:=0; else wrap:=1+to_integer(unsigned(vmr.wr)); end if;
if wrap<2 or wrap>6 then wrap:=0; end if;
-- Checks for all-bank commands
if mrscount > 0 then
mrscount := mrscount-1;
assert cke='1' and (csn='1' or cmd="111") report "tMRS violation!" severity warning;
end if;
if cke='1' and csn='0' and cmd/="111" then
checktime(now-lastref, tRFC(density), true, "tRFC");
end if;
-- Main command handler
if cke='1' and csn='0' then
case cmd is
when "111" => -- NOP
when "011" => -- RAS
assert initdone report "Opening row before init sequence done!" severity warning;
bank := to_integer(unsigned(ba));
assert banks(bank).openrow < 0
report "Row already open" severity warning;
checktime(now-banks(bank).closetime, tRP(speedbin), true, "tRP");
for x in 0 to 7 loop
checktime(now-banks(x).opentime, tRRD(pagesize), true, "tRRD");
end loop;
banks(bank).openrow := to_integer(unsigned(a(rowbits-1 downto 0)));
banks(bank).opentime := now;
when "101" | "100" => -- Read/Write
bank := to_integer(unsigned(ba));
-- Get additive latency
i := to_integer(unsigned(vmr.al));
assert banks(bank).openrow >= 0
report "Row not open" severity error;
checktime(now-banks(bank).opentime+al*(re-prev_re), tRCD(speedbin), true, "tRCD");
-- Allow interrupting read in case of middle of BL8 burst only
if (accpipe(3).r and accpipe(2).r and
not (accpipe(1).r or accpipe(1).w or accpipe(0).r or accpipe(0).w)) then
accpipe(3).r := false;
accpipe(2).r := false;
end if;
for x in 0 to 3 loop
assert not accpipe(x).r and not accpipe(x).w;
end loop;
if cmd(0)='1' then accpipe(3).r:=true; else accpipe(3).w:=true; end if;
colv := unsigned(std_logic_vector'(a(a'high downto 11) & a(9 downto 0)));
case vmr.blen is
when "010" => blen := 4;
when "011" => blen := 8;
when others => assert false report "Invalid burst length setting in MR!" severity error;
end case;
alow := unsigned(a(2 downto 0));
for x in 0 to blen-1 loop
accpipe(3-x/2).bank := bank;
if cmd(0)='1' then accpipe(3-x/2).r:=true; else accpipe(3-x/2).w:=true; end if;
if vmr.bt='0' then -- Sequential
colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) + x;
else -- Interleaved
colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) xor to_unsigned(x,log2(blen));
end if;
col := to_integer(unsigned(ba))*(2**(colbits+rowbits)) +
banks(bank).openrow * (2**colbits) + to_integer(colv(colbits-1 downto 0));
accpipe(3-x/2).col(x mod 2) := col;
end loop;
-- Auto precharge
if a(10)='1' then
if cmd(0)='1' then
banks(bank).autopch := al+blen/2;
else
banks(bank).autopch := cl+al-1+blen/2+wrap;
end if;
banks(bank).pchpush := true;
end if;
when "110" => -- Reserved (Burst terminate on DDR1)
assert false report "Invalid command RAS=1 CAS=1 WE=0" severity warning;
when "010" => -- Precharge
if a(10)='0' then bank := to_integer(unsigned(ba)); else bank:=0; end if;
for x in 3 downto 0 loop -- FIXME potential window which isn't checked if AL>0
assert (not (accpipe(x).r or accpipe(x).w)) or (a(10)='0' and bank/=accpipe(x).bank)
report "Precharging bank with access in progress"
severity warning;
end loop;
for x in 0 to (2**babits)-1 loop
if a(10)='1' or ba=std_logic_vector(to_unsigned(x,babits)) then
assert banks(x).autopch<0
report "Precharging bank that is auto-precharged!" severity note;
assert a(10)='1' or banks(x).openrow >= 0
report "Precharging single bank that is in idle state!" severity note;
banks(x).autopch := 0; -- Handled below case statement
banks(x).pchpush := false;
end if;
end loop;
when "001" => -- Auto refresh
for x in 0 to 7 loop
assert banks(x).openrow < 0
report "Bank in wrong state for auto refresh!" severity warning;
checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP");
end loop;
lastref := now;
when "000" => -- MRS
for x in 0 to 7 loop
checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP");
end loop;
bank := to_integer(unsigned(ba));
case bank is
when 0 =>
vmr.pd := a(12);
vmr.wr := a(11 downto 9);
vmr.dllres := a(8);
vmr.tm := a(7);
vmr.caslat := a(6 downto 4);
vmr.bt := a(3);
vmr.blen := a(2 downto 0);
when 1 =>
vmr.qoff := a(12);
vmr.rdqsen := a(11);
vmr.dqsndis := a(10);
vmr.ocdprog := a(9 downto 7);
vmr.al := a(5 downto 3);
vmr.rtt := a(6) & a(2);
vmr.ds := a(1);
vmr.dlldis := a(0);
when 2 =>
vmr.srf := a(7);
vmr.dccen := a(3);
vmr.pasr := a(2 downto 0);
when 3 =>
vmr.emr3 := a;
when others =>
assert false report ("MRS to invalid bank addr: " & std_logic'image(ba(1)) & std_logic'image(ba(0))) severity warning;
end case;
mrscount := tMRD_ck-1;
when others =>
assert false report ("Invalid command: " & std_logic'image(rasn) & std_logic'image(casn) & std_logic'image(wen)) severity warning;
end case;
end if;
-- Manual or auto precharge handling
for x in 0 to 7 loop
if banks(x).autopch=0 then
if banks(x).pchpush and (now-banks(x).opentime-deltat) < tRAS(speedbin) then
-- Auto delay auto-precharge to satisfy tRAS/tRC
banks(x).autopch := banks(x).autopch+1;
elsif banks(x).pchpush and (now-banks(x).readtime-deltat) < tRTP then
-- Auto delay auto-precharge to satisfy tRTP
banks(x).autopch := banks(x).autopch+1;
else
checktime(now-banks(x).writetime, tWR, true, "tWR");
checktime(now-banks(x).opentime, tRAS(speedbin), true, "tRAS");
checktime(now-banks(x).readtime, tRTP, true, "tRTP");
banks(x).openrow := -1;
banks(x).closetime := now;
end if;
end if;
if banks(x).autopch >= 0 then
banks(x).autopch := banks(x).autopch - 1;
end if;
end loop;
-- Read/write management
if not loaded and lddelay < now and (ldguard=0 or doload='1') then
load_srec;
loaded := true;
end if;
if accpipe(2+cl+al).r then
assert cl>1 report "Incorrect CL setting!" severity warning;
read_en <= true;
-- print("Reading from col " & tost(accpipe(2+i).col(0)) & " and " & tost(accpipe(2+i).col(1)));
-- col0 <= accpipe(2+i).col(0); col1 <= accpipe(2+i).col(1);
read_data <= memdata(accpipe(2+cl+al).col(0)) & memdata(accpipe(2+cl+al).col(1));
else
read_en <= false;
end if;
-- tRTP is counted from read command + AL for BL4, read command + AL + 2
-- for BL8. This check covers both cases by writing readtime on the next-to-last
-- transfer.
if accpipe(3+al).r and accpipe(2+al).r and accpipe(3+al).bank=accpipe(2+al).bank then
banks(accpipe(2+al).bank).readtime := now;
end if;
write_en <= accpipe(1+cl+al).w or accpipe(2+cl+al).w;
if accpipe(3+cl+al).w then
assert not is_x(write_mask) report "Write error!";
for x in 0 to 1 loop
for b in width/8-1 downto 0 loop
if write_mask((1-x)*width/8+b)='0' then
memdata(accpipe(3+cl+al).col(x))(8*b+7 downto 8*b) :=
write_data( (1-x)*width+b*8+7 downto (1-x)*width+b*8);
end if;
end loop;
end loop;
banks(accpipe(3+cl+al).bank).writetime := now;
end if;
end if;
mr <= vmr;
end process;
-----------------------------------------------------------------------------
-- DQS/DQ handling and data sampling process
-----------------------------------------------------------------------------
dqproc: process
variable rdata: std_logic_vector(2*width-1 downto 0);
variable hdata: std_logic_vector(width-1 downto 0);
variable hmask: std_logic_vector(width/8-1 downto 0);
variable prevdqs: std_logic_vector(width/8-1 downto 0);
begin
dq <= (others => 'Z');
dqs <= (others => 'Z');
dqsn <= (others => 'Z');
wait until read_en or write_en;
assert not (read_en and write_en);
if read_en then
dqs <= (others => '0');
dqsn <= (others => '1');
wait until falling_edge(ck);
while read_en loop
rdata := read_data;
wait until rising_edge(ck);
dqs <= (others => '1');
dqsn <= (others => '0');
dq <= rdata(2*width-1 downto width);
wait until falling_edge(ck);
dqs <= (others => '0');
dqsn <= (others => '1');
dq <= rdata(width-1 downto 0);
end loop;
wait until rising_edge(ck);
else
wait until falling_edge(ck);
assert (to_X01(dqs)=(dqs'range => '0')) or ((to_X01(dqs)=(dqs'range => '1')) and (to_X01(dm)=(dm'range => '1') or dm=(dm'range => 'Z')));
while write_en loop
prevdqs := to_X01(dqs);
wait until to_X01(dqs) /= prevdqs or not write_en or rising_edge(ck);
if rising_edge(ck) then
write_data <= (others => 'X');
write_mask <= (others => 'X');
end if;
for x in dqs'range loop
if prevdqs(x)='0' and to_X01(dqs(x))='1' then
hdata(8*x+7 downto 8*x) := dq(8*x+7 downto 8*x);
hmask(x) := dm(x);
elsif prevdqs(x)='1' and to_X01(dqs(x))='0' then
write_data(width+8*x+7 downto width+8*x) <= hdata(8*x+7 downto 8*x);
write_data(8*x+7 downto 8*x) <= dq(8*x+7 downto 8*x);
write_mask(width/8+x) <= hmask(x);
write_mask(x) <= dm(x);
end if;
end loop;
end loop;
end if;
end process;
end;
-- pragma translate_on
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_bram_ctrl_v4_0;
USE axi_bram_ctrl_v4_0.axi_bram_ctrl;
ENTITY design_1_axi_bram_ctrl_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_axi_bram_ctrl_0_0;
ARCHITECTURE design_1_axi_bram_ctrl_0_0_arch OF design_1_axi_bram_ctrl_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_bram_ctrl IS
GENERIC (
C_BRAM_INST_MODE : STRING;
C_MEMORY_DEPTH : INTEGER;
C_BRAM_ADDR_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ID_WIDTH : INTEGER;
C_S_AXI_PROTOCOL : STRING;
C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER;
C_SINGLE_PORT_BRAM : INTEGER;
C_FAMILY : STRING;
C_S_AXI_CTRL_ADDR_WIDTH : INTEGER;
C_S_AXI_CTRL_DATA_WIDTH : INTEGER;
C_ECC : INTEGER;
C_ECC_TYPE : INTEGER;
C_FAULT_INJECT : INTEGER;
C_ECC_ONOFF_RESET_VALUE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
ecc_interrupt : OUT STD_LOGIC;
ecc_ue : OUT STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_ctrl_awvalid : IN STD_LOGIC;
s_axi_ctrl_awready : OUT STD_LOGIC;
s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wvalid : IN STD_LOGIC;
s_axi_ctrl_wready : OUT STD_LOGIC;
s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_bvalid : OUT STD_LOGIC;
s_axi_ctrl_bready : IN STD_LOGIC;
s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_arvalid : IN STD_LOGIC;
s_axi_ctrl_arready : OUT STD_LOGIC;
s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_rvalid : OUT STD_LOGIC;
s_axi_ctrl_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rst_b : OUT STD_LOGIC;
bram_clk_b : OUT STD_LOGIC;
bram_en_b : OUT STD_LOGIC;
bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_bram_ctrl;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "axi_bram_ctrl,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_bram_ctrl_0_0_arch : ARCHITECTURE IS "design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_bram_ctrl,x_ipVersion=4.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_BRAM_INST_MODE=EXTERNAL,C_MEMORY_DEPTH=2048,C_BRAM_ADDR_WIDTH=11,C_S_AXI_ADDR_WIDTH=13,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ID_WIDTH=12,C_S_AXI_PROTOCOL=AXI4,C_S_AXI_SUPPORTS_NARROW_BURST=0,C_SINGLE_PORT_BRAM=1,C_FAMILY=zynq,C_S_AXI_CTRL_ADDR_WIDTH=32,C_S_AXI_CTRL_DATA_WIDTH=32,C_ECC=0,C_ECC_TYPE=0,C_FAULT_INJECT=0,C_ECC_ONOFF_RESET_VALUE=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : axi_bram_ctrl
GENERIC MAP (
C_BRAM_INST_MODE => "EXTERNAL",
C_MEMORY_DEPTH => 2048,
C_BRAM_ADDR_WIDTH => 11,
C_S_AXI_ADDR_WIDTH => 13,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ID_WIDTH => 12,
C_S_AXI_PROTOCOL => "AXI4",
C_S_AXI_SUPPORTS_NARROW_BURST => 0,
C_SINGLE_PORT_BRAM => 1,
C_FAMILY => "zynq",
C_S_AXI_CTRL_ADDR_WIDTH => 32,
C_S_AXI_CTRL_DATA_WIDTH => 32,
C_ECC => 0,
C_ECC_TYPE => 0,
C_FAULT_INJECT => 0,
C_ECC_ONOFF_RESET_VALUE => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awid => s_axi_awid,
s_axi_awaddr => s_axi_awaddr,
s_axi_awlen => s_axi_awlen,
s_axi_awsize => s_axi_awsize,
s_axi_awburst => s_axi_awburst,
s_axi_awlock => s_axi_awlock,
s_axi_awcache => s_axi_awcache,
s_axi_awprot => s_axi_awprot,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bid => s_axi_bid,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_arid => s_axi_arid,
s_axi_araddr => s_axi_araddr,
s_axi_arlen => s_axi_arlen,
s_axi_arsize => s_axi_arsize,
s_axi_arburst => s_axi_arburst,
s_axi_arlock => s_axi_arlock,
s_axi_arcache => s_axi_arcache,
s_axi_arprot => s_axi_arprot,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rid => s_axi_rid,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axi_ctrl_awvalid => '0',
s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wvalid => '0',
s_axi_ctrl_bready => '0',
s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_arvalid => '0',
s_axi_ctrl_rready => '0',
bram_rst_a => bram_rst_a,
bram_clk_a => bram_clk_a,
bram_en_a => bram_en_a,
bram_we_a => bram_we_a,
bram_addr_a => bram_addr_a,
bram_wrdata_a => bram_wrdata_a,
bram_rddata_a => bram_rddata_a,
bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END design_1_axi_bram_ctrl_0_0_arch;
|
-------------------------------------------------------------------------------
-- system_ac1_plb_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library plb_v46_v1_05_a;
use plb_v46_v1_05_a.all;
entity system_ac1_plb_wrapper is
port (
PLB_Clk : in std_logic;
SYS_Rst : in std_logic;
PLB_Rst : out std_logic;
SPLB_Rst : out std_logic_vector(0 to 0);
MPLB_Rst : out std_logic_vector(0 to 14);
PLB_dcrAck : out std_logic;
PLB_dcrDBus : out std_logic_vector(0 to 31);
DCR_ABus : in std_logic_vector(0 to 9);
DCR_DBus : in std_logic_vector(0 to 31);
DCR_Read : in std_logic;
DCR_Write : in std_logic;
M_ABus : in std_logic_vector(0 to 479);
M_UABus : in std_logic_vector(0 to 479);
M_BE : in std_logic_vector(0 to 119);
M_RNW : in std_logic_vector(0 to 14);
M_abort : in std_logic_vector(0 to 14);
M_busLock : in std_logic_vector(0 to 14);
M_TAttribute : in std_logic_vector(0 to 239);
M_lockErr : in std_logic_vector(0 to 14);
M_MSize : in std_logic_vector(0 to 29);
M_priority : in std_logic_vector(0 to 29);
M_rdBurst : in std_logic_vector(0 to 14);
M_request : in std_logic_vector(0 to 14);
M_size : in std_logic_vector(0 to 59);
M_type : in std_logic_vector(0 to 44);
M_wrBurst : in std_logic_vector(0 to 14);
M_wrDBus : in std_logic_vector(0 to 959);
Sl_addrAck : in std_logic_vector(0 to 0);
Sl_MRdErr : in std_logic_vector(0 to 14);
Sl_MWrErr : in std_logic_vector(0 to 14);
Sl_MBusy : in std_logic_vector(0 to 14);
Sl_rdBTerm : in std_logic_vector(0 to 0);
Sl_rdComp : in std_logic_vector(0 to 0);
Sl_rdDAck : in std_logic_vector(0 to 0);
Sl_rdDBus : in std_logic_vector(0 to 63);
Sl_rdWdAddr : in std_logic_vector(0 to 3);
Sl_rearbitrate : in std_logic_vector(0 to 0);
Sl_SSize : in std_logic_vector(0 to 1);
Sl_wait : in std_logic_vector(0 to 0);
Sl_wrBTerm : in std_logic_vector(0 to 0);
Sl_wrComp : in std_logic_vector(0 to 0);
Sl_wrDAck : in std_logic_vector(0 to 0);
Sl_MIRQ : in std_logic_vector(0 to 14);
PLB_MIRQ : out std_logic_vector(0 to 14);
PLB_ABus : out std_logic_vector(0 to 31);
PLB_UABus : out std_logic_vector(0 to 31);
PLB_BE : out std_logic_vector(0 to 7);
PLB_MAddrAck : out std_logic_vector(0 to 14);
PLB_MTimeout : out std_logic_vector(0 to 14);
PLB_MBusy : out std_logic_vector(0 to 14);
PLB_MRdErr : out std_logic_vector(0 to 14);
PLB_MWrErr : out std_logic_vector(0 to 14);
PLB_MRdBTerm : out std_logic_vector(0 to 14);
PLB_MRdDAck : out std_logic_vector(0 to 14);
PLB_MRdDBus : out std_logic_vector(0 to 959);
PLB_MRdWdAddr : out std_logic_vector(0 to 59);
PLB_MRearbitrate : out std_logic_vector(0 to 14);
PLB_MWrBTerm : out std_logic_vector(0 to 14);
PLB_MWrDAck : out std_logic_vector(0 to 14);
PLB_MSSize : out std_logic_vector(0 to 29);
PLB_PAValid : out std_logic;
PLB_RNW : out std_logic;
PLB_SAValid : out std_logic;
PLB_abort : out std_logic;
PLB_busLock : out std_logic;
PLB_TAttribute : out std_logic_vector(0 to 15);
PLB_lockErr : out std_logic;
PLB_masterID : out std_logic_vector(0 to 3);
PLB_MSize : out std_logic_vector(0 to 1);
PLB_rdPendPri : out std_logic_vector(0 to 1);
PLB_wrPendPri : out std_logic_vector(0 to 1);
PLB_rdPendReq : out std_logic;
PLB_wrPendReq : out std_logic;
PLB_rdBurst : out std_logic;
PLB_rdPrim : out std_logic_vector(0 to 0);
PLB_reqPri : out std_logic_vector(0 to 1);
PLB_size : out std_logic_vector(0 to 3);
PLB_type : out std_logic_vector(0 to 2);
PLB_wrBurst : out std_logic;
PLB_wrDBus : out std_logic_vector(0 to 63);
PLB_wrPrim : out std_logic_vector(0 to 0);
PLB_SaddrAck : out std_logic;
PLB_SMRdErr : out std_logic_vector(0 to 14);
PLB_SMWrErr : out std_logic_vector(0 to 14);
PLB_SMBusy : out std_logic_vector(0 to 14);
PLB_SrdBTerm : out std_logic;
PLB_SrdComp : out std_logic;
PLB_SrdDAck : out std_logic;
PLB_SrdDBus : out std_logic_vector(0 to 63);
PLB_SrdWdAddr : out std_logic_vector(0 to 3);
PLB_Srearbitrate : out std_logic;
PLB_Sssize : out std_logic_vector(0 to 1);
PLB_Swait : out std_logic;
PLB_SwrBTerm : out std_logic;
PLB_SwrComp : out std_logic;
PLB_SwrDAck : out std_logic;
Bus_Error_Det : out std_logic
);
attribute x_core_info : STRING;
attribute x_core_info of system_ac1_plb_wrapper : entity is "plb_v46_v1_05_a";
end system_ac1_plb_wrapper;
architecture STRUCTURE of system_ac1_plb_wrapper is
component plb_v46 is
generic (
C_PLBV46_NUM_MASTERS : integer;
C_PLBV46_NUM_SLAVES : integer;
C_PLBV46_MID_WIDTH : integer;
C_PLBV46_AWIDTH : integer;
C_PLBV46_DWIDTH : integer;
C_DCR_INTFCE : integer;
C_BASEADDR : std_logic_vector;
C_HIGHADDR : std_logic_vector;
C_DCR_AWIDTH : integer;
C_DCR_DWIDTH : integer;
C_EXT_RESET_HIGH : integer;
C_IRQ_ACTIVE : std_logic;
C_ADDR_PIPELINING_TYPE : integer;
C_FAMILY : string;
C_P2P : integer;
C_ARB_TYPE : integer
);
port (
PLB_Clk : in std_logic;
SYS_Rst : in std_logic;
PLB_Rst : out std_logic;
SPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
MPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_dcrAck : out std_logic;
PLB_dcrDBus : out std_logic_vector(0 to C_DCR_DWIDTH-1);
DCR_ABus : in std_logic_vector(0 to C_DCR_AWIDTH-1);
DCR_DBus : in std_logic_vector(0 to C_DCR_DWIDTH-1);
DCR_Read : in std_logic;
DCR_Write : in std_logic;
M_ABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1);
M_UABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1);
M_BE : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*(C_PLBV46_DWIDTH/8))-1);
M_RNW : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_abort : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_busLock : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_TAttribute : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*16)-1);
M_lockErr : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_MSize : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
M_priority : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
M_rdBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_request : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_size : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1);
M_type : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*3)-1);
M_wrBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_wrDBus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1);
Sl_addrAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_MRdErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1);
Sl_MWrErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1);
Sl_MBusy : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS - 1 );
Sl_rdBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdDBus : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_DWIDTH-1);
Sl_rdWdAddr : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*4-1);
Sl_rearbitrate : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_SSize : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*2-1);
Sl_wait : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_MIRQ : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS-1);
PLB_MIRQ : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_ABus : out std_logic_vector(0 to 31);
PLB_UABus : out std_logic_vector(0 to 31);
PLB_BE : out std_logic_vector(0 to (C_PLBV46_DWIDTH/8)-1);
PLB_MAddrAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MTimeout : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdDBus : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1);
PLB_MRdWdAddr : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1);
PLB_MRearbitrate : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MSSize : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
PLB_PAValid : out std_logic;
PLB_RNW : out std_logic;
PLB_SAValid : out std_logic;
PLB_abort : out std_logic;
PLB_busLock : out std_logic;
PLB_TAttribute : out std_logic_vector(0 to 15);
PLB_lockErr : out std_logic;
PLB_masterID : out std_logic_vector(0 to C_PLBV46_MID_WIDTH-1);
PLB_MSize : out std_logic_vector(0 to 1);
PLB_rdPendPri : out std_logic_vector(0 to 1);
PLB_wrPendPri : out std_logic_vector(0 to 1);
PLB_rdPendReq : out std_logic;
PLB_wrPendReq : out std_logic;
PLB_rdBurst : out std_logic;
PLB_rdPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
PLB_reqPri : out std_logic_vector(0 to 1);
PLB_size : out std_logic_vector(0 to 3);
PLB_type : out std_logic_vector(0 to 2);
PLB_wrBurst : out std_logic;
PLB_wrDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1);
PLB_wrPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
PLB_SaddrAck : out std_logic;
PLB_SMRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SMWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SMBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SrdBTerm : out std_logic;
PLB_SrdComp : out std_logic;
PLB_SrdDAck : out std_logic;
PLB_SrdDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1);
PLB_SrdWdAddr : out std_logic_vector(0 to 3);
PLB_Srearbitrate : out std_logic;
PLB_Sssize : out std_logic_vector(0 to 1);
PLB_Swait : out std_logic;
PLB_SwrBTerm : out std_logic;
PLB_SwrComp : out std_logic;
PLB_SwrDAck : out std_logic;
Bus_Error_Det : out std_logic
);
end component;
begin
ac1_plb : plb_v46
generic map (
C_PLBV46_NUM_MASTERS => 15,
C_PLBV46_NUM_SLAVES => 1,
C_PLBV46_MID_WIDTH => 4,
C_PLBV46_AWIDTH => 32,
C_PLBV46_DWIDTH => 64,
C_DCR_INTFCE => 0,
C_BASEADDR => B"1111111111",
C_HIGHADDR => B"0000000000",
C_DCR_AWIDTH => 10,
C_DCR_DWIDTH => 32,
C_EXT_RESET_HIGH => 1,
C_IRQ_ACTIVE => '1',
C_ADDR_PIPELINING_TYPE => 1,
C_FAMILY => "virtex5",
C_P2P => 0,
C_ARB_TYPE => 0
)
port map (
PLB_Clk => PLB_Clk,
SYS_Rst => SYS_Rst,
PLB_Rst => PLB_Rst,
SPLB_Rst => SPLB_Rst,
MPLB_Rst => MPLB_Rst,
PLB_dcrAck => PLB_dcrAck,
PLB_dcrDBus => PLB_dcrDBus,
DCR_ABus => DCR_ABus,
DCR_DBus => DCR_DBus,
DCR_Read => DCR_Read,
DCR_Write => DCR_Write,
M_ABus => M_ABus,
M_UABus => M_UABus,
M_BE => M_BE,
M_RNW => M_RNW,
M_abort => M_abort,
M_busLock => M_busLock,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
Sl_addrAck => Sl_addrAck,
Sl_MRdErr => Sl_MRdErr,
Sl_MWrErr => Sl_MWrErr,
Sl_MBusy => Sl_MBusy,
Sl_rdBTerm => Sl_rdBTerm,
Sl_rdComp => Sl_rdComp,
Sl_rdDAck => Sl_rdDAck,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rearbitrate => Sl_rearbitrate,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_wrBTerm => Sl_wrBTerm,
Sl_wrComp => Sl_wrComp,
Sl_wrDAck => Sl_wrDAck,
Sl_MIRQ => Sl_MIRQ,
PLB_MIRQ => PLB_MIRQ,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_BE => PLB_BE,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MSSize => PLB_MSSize,
PLB_PAValid => PLB_PAValid,
PLB_RNW => PLB_RNW,
PLB_SAValid => PLB_SAValid,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_TAttribute => PLB_TAttribute,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_rdPendPri => PLB_rdPendPri,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdBurst => PLB_rdBurst,
PLB_rdPrim => PLB_rdPrim,
PLB_reqPri => PLB_reqPri,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
PLB_wrPrim => PLB_wrPrim,
PLB_SaddrAck => PLB_SaddrAck,
PLB_SMRdErr => PLB_SMRdErr,
PLB_SMWrErr => PLB_SMWrErr,
PLB_SMBusy => PLB_SMBusy,
PLB_SrdBTerm => PLB_SrdBTerm,
PLB_SrdComp => PLB_SrdComp,
PLB_SrdDAck => PLB_SrdDAck,
PLB_SrdDBus => PLB_SrdDBus,
PLB_SrdWdAddr => PLB_SrdWdAddr,
PLB_Srearbitrate => PLB_Srearbitrate,
PLB_Sssize => PLB_Sssize,
PLB_Swait => PLB_Swait,
PLB_SwrBTerm => PLB_SwrBTerm,
PLB_SwrComp => PLB_SwrComp,
PLB_SwrDAck => PLB_SwrDAck,
Bus_Error_Det => Bus_Error_Det
);
end architecture STRUCTURE;
|
component osc is
port (
clkout : out std_logic; -- clk
oscena : in std_logic := 'X' -- oscena
);
end component osc;
u0 : component osc
port map (
clkout => CONNECTED_TO_clkout, -- clkout.clk
oscena => CONNECTED_TO_oscena -- oscena.oscena
);
|
-------------------------------------------------------------------------------
-- Title : Package for Ultrasonic transmitter
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.bus_pkg.all;
use work.motor_control_pkg.all;
package uss_tx_pkg is
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
component uss_tx_module
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF#);
port (
uss_tx0_out_p : out half_bridge_type;
uss_tx1_out_p : out half_bridge_type;
uss_tx2_out_p : out half_bridge_type;
clk_uss_enable_p : out std_logic;
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
clk : in std_logic);
end component;
component serialiser is
generic (
BITPATTERN_WIDTH : positive);
port (
pattern_in_p : in std_logic_vector(BITPATTERN_WIDTH - 1 downto 0);
bitstream_out_p : out std_logic;
clk_bit : in std_logic;
clk : in std_logic);
end component serialiser;
end uss_tx_pkg;
|
-------------------------------------------------------------------------------
-- Title : Package for Ultrasonic transmitter
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.bus_pkg.all;
use work.motor_control_pkg.all;
package uss_tx_pkg is
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
component uss_tx_module
generic (
BASE_ADDRESS : integer range 0 to 16#7FFF#);
port (
uss_tx0_out_p : out half_bridge_type;
uss_tx1_out_p : out half_bridge_type;
uss_tx2_out_p : out half_bridge_type;
clk_uss_enable_p : out std_logic;
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
clk : in std_logic);
end component;
component serialiser is
generic (
BITPATTERN_WIDTH : positive);
port (
pattern_in_p : in std_logic_vector(BITPATTERN_WIDTH - 1 downto 0);
bitstream_out_p : out std_logic;
clk_bit : in std_logic;
clk : in std_logic);
end component serialiser;
end uss_tx_pkg;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SRAM_Controller is
port(
CLKin : in std_logic;
READADDRin : in std_logic_vector(22 downto 0);
WRITEADDRin : in std_logic_vector(22 downto 0);
DATAin : in std_logic_vector(14 downto 0);
READin : in std_logic;
WRITEin : in std_logic;
------------------------
SRAMDATA_io : inout std_logic_vector(14 downto 0);
------------------------
SRAMADDR_out : out std_logic_vector(22 downto 0);
DATA_out : out std_logic_vector(14 downto 0);
OE_out : out std_logic;
WE_out : out std_logic;
CE1_out : out std_logic;
CE2_out : out std_logic;
UB1_out : out std_logic;
LB1_out : out std_logic
);
end SRAM_Controller;
architecture Behavioral of SRAM_Controller is
signal CLK : std_logic;
signal READENA : std_logic;
signal READCOM : std_logic;
signal WRITEENA : std_logic;
signal WRITECOM : std_logic;
signal QWRITE : std_logic_vector(1 downto 0);
signal QREAD : std_logic_vector(1 downto 0);
signal WRITESTATE : integer range 0 to 3 := 0;
signal READSTATE : integer range 0 to 3 := 0;
begin
CE1_out <= '0';
CE2_out <= '0';
UB1_out <= '0';
LB1_out <= '0';
process(CLKin)
begin
if (rising_edge(CLKin)) then
QWRITE(0) <= WRITEin;
QREAD(0) <= READin;
end if;
end process;
process(CLKin)
begin
if(rising_edge(CLKin)) then
if (READin = '1') then
SRAMADDR_out<=READADDRin;
READENA <= '1';
SRAMDATA_io <= (others => 'Z');
elsif (WRITEin = '1' and READENA = '0') then
SRAMADDR_out<=WRITEADDRin;
WRITEENA <= '1';
end if;
if (READENA = '1') then
case READSTATE is
when 0 =>
READSTATE <= 1;
when 1 =>
READSTATE <= 2;
when 2 =>
READSTATE <= 3;
when 3 =>
READSTATE <= 0;
end case;
else
if (READSTATE = 3) then
READSTATE <= 0;
end if;
end if;
if (WRITEENA = '1') then
case WRITESTATE is
when 0 =>
WRITESTATE <= 1;
when 1 =>
WRITESTATE <= 2;
when 2 =>
WRITESTATE <= 3;
when 3 =>
WRITESTATE <= 0;
end case;
else
if (WRITESTATE = 3) then
WRITESTATE <= 0;
end if;
end if;
case READSTATE is
when 0 =>
OE_out <= '1';
when 1 =>
OE_out <= '0';
DATA_out <= SRAMDATA_io(14 downto 0);
when 2 =>
OE_out <= '1';
DATA_out <= SRAMDATA_io(14 downto 0);
READENA <= '0';
when 3 =>
OE_out <= '1';
end case;
case WRITESTATE is
when 0 =>
WE_out <= '1';
when 1 =>
WE_out <= '0';
SRAMDATA_io <= DATAin;
when 2 =>
WE_out <= '1';
SRAMDATA_io <= DATAin;
WRITEENA <= '0';
when 3 =>
WE_out <= '1';
end case;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010, Gideon's Logic Architectures
--
-------------------------------------------------------------------------------
-- Title : Character Generator Registers
-------------------------------------------------------------------------------
-- File : char_generator_regs.vhd
-- Author : Gideon Zweijtzer <[email protected]>
-------------------------------------------------------------------------------
-- Description: Registers for the character generator
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.io_bus_pkg.all;
use work.char_generator_pkg.all;
entity char_generator_regs is
port (
clock : in std_logic;
reset : in std_logic;
io_req : in t_io_req;
io_resp : out t_io_resp;
keyb_row : in std_logic_vector(7 downto 0);
keyb_col : inout std_logic_vector(7 downto 0);
control : out t_chargen_control );
end entity;
architecture gideon of char_generator_regs is
signal control_i : t_chargen_control := c_chargen_control_init;
begin
process(clock)
begin
if rising_edge(clock) then
io_resp <= c_io_resp_init;
if io_req.write='1' then
io_resp.ack <= '1';
case io_req.address(3 downto 0) is
when c_chargen_line_clocks_hi =>
control_i.clocks_per_line(10 downto 8) <= unsigned(io_req.data(2 downto 0));
when c_chargen_line_clocks_lo =>
control_i.clocks_per_line(7 downto 0) <= unsigned(io_req.data);
when c_chargen_char_width =>
control_i.char_width <= unsigned(io_req.data(2 downto 0));
when c_chargen_char_height =>
control_i.char_height <= unsigned(io_req.data(4 downto 0));
control_i.stretch_y <= io_req.data(7);
when c_chargen_chars_per_line =>
control_i.chars_per_line <= unsigned(io_req.data);
when c_chargen_active_lines =>
control_i.active_lines <= unsigned(io_req.data(5 downto 0));
when c_chargen_x_on_hi =>
control_i.x_on(11 downto 8) <= unsigned(io_req.data(3 downto 0));
when c_chargen_x_on_lo =>
control_i.x_on(7 downto 0) <= unsigned(io_req.data);
when c_chargen_y_on_hi =>
control_i.y_on(11 downto 8) <= unsigned(io_req.data(3 downto 0));
when c_chargen_y_on_lo =>
control_i.y_on(7 downto 0) <= unsigned(io_req.data);
when c_chargen_pointer_hi =>
control_i.pointer(14 downto 8) <= unsigned(io_req.data(6 downto 0));
when c_chargen_pointer_lo =>
control_i.pointer(7 downto 0) <= unsigned(io_req.data);
when c_chargen_perform_sync =>
control_i.perform_sync <= io_req.data(0);
when c_chargen_transparency =>
control_i.transparent <= io_req.data(3 downto 0);
control_i.overlay_on <= io_req.data(7);
when c_chargen_keyb_col =>
keyb_col <= io_req.data;
when others =>
null;
end case;
elsif io_req.read='1' then
io_resp.ack <= '1';
case io_req.address(3 downto 0) is
when c_chargen_keyb_row =>
io_resp.data <= keyb_row;
when c_chargen_keyb_col =>
io_resp.data <= keyb_col;
when others =>
null;
end case;
end if;
if reset='1' then
-- control_i <= c_chargen_control_init;
keyb_col <= (others => '1');
end if;
end if;
end process;
control <= control_i;
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop_routing_part_pseudo_checkers is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port (
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
faulty: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Rxy: in std_logic_vector(7 downto 0);
packet_drop: in std_logic;
N1_out, E1_out, W1_out, S1_out: in std_logic;
Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic;
grants: in std_logic;
packet_drop_order: in std_logic;
packet_drop_in: in std_logic;
-- Checker outputs
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_tail_empty_Requests_FF_Requests_in,
err_tail_not_empty_not_grants_Requests_FF_Requests_in,
err_grants_onehot,
err_grants_mismatch,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_Req_L_in,
err_dst_addr_cur_addr_not_Req_L_in,
err_header_not_empty_faulty_drop_packet_in, -- added according to new design
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design
err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design
--err_header_not_empty_Req_L_in, -- added according to new design
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in,
err_header_empty_packet_drop_in_packet_drop_equal,
err_tail_not_empty_packet_drop_not_packet_drop_in,
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal,
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal,
err_packet_drop_order : out std_logic
);
end LBDR_packet_drop_routing_part_pseudo_checkers;
architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal Requests_FF: std_logic_vector(4 downto 0);
signal Requests_in: std_logic_vector(4 downto 0);
signal grant_signals: std_logic_vector(4 downto 0);
begin
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF;
Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in;
grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L;
-- Implementing checkers in form of concurrent assignments (combinational assertions)
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then
err_header_empty_Requests_FF_Requests_in <= '1';
else
err_header_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then
err_tail_Requests_in_all_zero <= '1';
else
err_tail_Requests_in_all_zero <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then
err_tail_empty_Requests_FF_Requests_in <= '1';
else
err_tail_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1';
else
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or
grant_signals = "01000" or grant_signals = "10000") and grants = '0') then
err_grants_onehot <= '1';
else
err_grants_onehot <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( grant_signals = "00000" and grants = '1') then
err_grants_mismatch <= '1';
else
err_grants_mismatch <= '0';
end if;
end process;
-- Checked !
process (flit_type, Requests_FF, Requests_FF, Requests_in)
begin
if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then
err_header_tail_Requests_FF_Requests_in <= '1';
else
err_header_tail_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then
err_dst_addr_cur_addr_N1 <= '1';
else
err_dst_addr_cur_addr_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then
err_dst_addr_cur_addr_not_N1 <= '1';
else
err_dst_addr_cur_addr_not_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then
err_dst_addr_cur_addr_E1 <= '1';
else
err_dst_addr_cur_addr_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then
err_dst_addr_cur_addr_not_E1 <= '1';
else
err_dst_addr_cur_addr_not_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then
err_dst_addr_cur_addr_W1 <= '1';
else
err_dst_addr_cur_addr_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then
err_dst_addr_cur_addr_not_W1 <= '1';
else
err_dst_addr_cur_addr_not_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then
err_dst_addr_cur_addr_S1 <= '1';
else
err_dst_addr_cur_addr_S1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then
err_dst_addr_cur_addr_not_S1 <= '1';
else
err_dst_addr_cur_addr_not_S1 <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then
err_dst_addr_cur_addr_Req_L_in <= '1';
else
err_dst_addr_cur_addr_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr /= cur_addr and Req_L_in = '1') then
err_dst_addr_cur_addr_not_Req_L_in <= '1';
else
err_dst_addr_cur_addr_not_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in = '0') then
err_header_not_empty_faulty_drop_packet_in <= '1';
else
err_header_not_empty_faulty_drop_packet_in <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '0' and not (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in /= packet_drop) then
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '1';
else
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, Requests_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and Requests_in /= "00000") then
err_header_not_empty_faulty_Req_in_all_zero <= '1';
else
err_header_not_empty_faulty_Req_in_all_zero <= '0';
end if;
end process;
-- Added (according to new design)!
--process (flit_type, empty, Req_L_in, N1_out, E1_out, W1_out, S1_out)
--begin
-- if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then
-- err_header_not_empty_Req_L_in <= '1';
-- else
-- err_header_not_empty_Req_L_in <= '0';
-- end if;
--end process;
-- Updated !
process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then
err_header_not_empty_Req_N_in <= '1';
else
err_header_not_empty_Req_N_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then
err_header_not_empty_Req_E_in <= '1';
else
err_header_not_empty_Req_E_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then
err_header_not_empty_Req_W_in <= '1';
else
err_header_not_empty_Req_W_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then
err_header_not_empty_Req_S_in <= '1';
else
err_header_not_empty_Req_S_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then
err_header_empty_packet_drop_in_packet_drop_equal <= '1';
else
err_header_empty_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then
err_tail_not_empty_packet_drop_not_packet_drop_in <= '1';
else
err_tail_not_empty_packet_drop_not_packet_drop_in <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1';
else
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1';
else
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (packet_drop_order, packet_drop)
begin
if (packet_drop_order /= packet_drop) then
err_packet_drop_order <= '1';
else
err_packet_drop_order <= '0';
end if;
end process;
-- Added !
end behavior; |
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop_routing_part_pseudo_checkers is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port (
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
faulty: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Rxy: in std_logic_vector(7 downto 0);
packet_drop: in std_logic;
N1_out, E1_out, W1_out, S1_out: in std_logic;
Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic;
grants: in std_logic;
packet_drop_order: in std_logic;
packet_drop_in: in std_logic;
-- Checker outputs
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_tail_empty_Requests_FF_Requests_in,
err_tail_not_empty_not_grants_Requests_FF_Requests_in,
err_grants_onehot,
err_grants_mismatch,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_Req_L_in,
err_dst_addr_cur_addr_not_Req_L_in,
err_header_not_empty_faulty_drop_packet_in, -- added according to new design
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design
err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design
--err_header_not_empty_Req_L_in, -- added according to new design
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in,
err_header_empty_packet_drop_in_packet_drop_equal,
err_tail_not_empty_packet_drop_not_packet_drop_in,
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal,
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal,
err_packet_drop_order : out std_logic
);
end LBDR_packet_drop_routing_part_pseudo_checkers;
architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal Requests_FF: std_logic_vector(4 downto 0);
signal Requests_in: std_logic_vector(4 downto 0);
signal grant_signals: std_logic_vector(4 downto 0);
begin
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF;
Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in;
grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L;
-- Implementing checkers in form of concurrent assignments (combinational assertions)
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then
err_header_empty_Requests_FF_Requests_in <= '1';
else
err_header_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then
err_tail_Requests_in_all_zero <= '1';
else
err_tail_Requests_in_all_zero <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then
err_tail_empty_Requests_FF_Requests_in <= '1';
else
err_tail_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1';
else
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or
grant_signals = "01000" or grant_signals = "10000") and grants = '0') then
err_grants_onehot <= '1';
else
err_grants_onehot <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( grant_signals = "00000" and grants = '1') then
err_grants_mismatch <= '1';
else
err_grants_mismatch <= '0';
end if;
end process;
-- Checked !
process (flit_type, Requests_FF, Requests_FF, Requests_in)
begin
if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then
err_header_tail_Requests_FF_Requests_in <= '1';
else
err_header_tail_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then
err_dst_addr_cur_addr_N1 <= '1';
else
err_dst_addr_cur_addr_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then
err_dst_addr_cur_addr_not_N1 <= '1';
else
err_dst_addr_cur_addr_not_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then
err_dst_addr_cur_addr_E1 <= '1';
else
err_dst_addr_cur_addr_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then
err_dst_addr_cur_addr_not_E1 <= '1';
else
err_dst_addr_cur_addr_not_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then
err_dst_addr_cur_addr_W1 <= '1';
else
err_dst_addr_cur_addr_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then
err_dst_addr_cur_addr_not_W1 <= '1';
else
err_dst_addr_cur_addr_not_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then
err_dst_addr_cur_addr_S1 <= '1';
else
err_dst_addr_cur_addr_S1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then
err_dst_addr_cur_addr_not_S1 <= '1';
else
err_dst_addr_cur_addr_not_S1 <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then
err_dst_addr_cur_addr_Req_L_in <= '1';
else
err_dst_addr_cur_addr_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr /= cur_addr and Req_L_in = '1') then
err_dst_addr_cur_addr_not_Req_L_in <= '1';
else
err_dst_addr_cur_addr_not_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in = '0') then
err_header_not_empty_faulty_drop_packet_in <= '1';
else
err_header_not_empty_faulty_drop_packet_in <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '0' and not (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in /= packet_drop) then
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '1';
else
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, Requests_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and Requests_in /= "00000") then
err_header_not_empty_faulty_Req_in_all_zero <= '1';
else
err_header_not_empty_faulty_Req_in_all_zero <= '0';
end if;
end process;
-- Added (according to new design)!
--process (flit_type, empty, Req_L_in, N1_out, E1_out, W1_out, S1_out)
--begin
-- if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then
-- err_header_not_empty_Req_L_in <= '1';
-- else
-- err_header_not_empty_Req_L_in <= '0';
-- end if;
--end process;
-- Updated !
process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then
err_header_not_empty_Req_N_in <= '1';
else
err_header_not_empty_Req_N_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then
err_header_not_empty_Req_E_in <= '1';
else
err_header_not_empty_Req_E_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then
err_header_not_empty_Req_W_in <= '1';
else
err_header_not_empty_Req_W_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then
err_header_not_empty_Req_S_in <= '1';
else
err_header_not_empty_Req_S_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then
err_header_empty_packet_drop_in_packet_drop_equal <= '1';
else
err_header_empty_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then
err_tail_not_empty_packet_drop_not_packet_drop_in <= '1';
else
err_tail_not_empty_packet_drop_not_packet_drop_in <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1';
else
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1';
else
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (packet_drop_order, packet_drop)
begin
if (packet_drop_order /= packet_drop) then
err_packet_drop_order <= '1';
else
err_packet_drop_order <= '0';
end if;
end process;
-- Added !
end behavior; |
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop_routing_part_pseudo_checkers is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port (
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
faulty: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Rxy: in std_logic_vector(7 downto 0);
packet_drop: in std_logic;
N1_out, E1_out, W1_out, S1_out: in std_logic;
Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic;
grants: in std_logic;
packet_drop_order: in std_logic;
packet_drop_in: in std_logic;
-- Checker outputs
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_tail_empty_Requests_FF_Requests_in,
err_tail_not_empty_not_grants_Requests_FF_Requests_in,
err_grants_onehot,
err_grants_mismatch,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_Req_L_in,
err_dst_addr_cur_addr_not_Req_L_in,
err_header_not_empty_faulty_drop_packet_in, -- added according to new design
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design
err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design
--err_header_not_empty_Req_L_in, -- added according to new design
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in,
err_header_empty_packet_drop_in_packet_drop_equal,
err_tail_not_empty_packet_drop_not_packet_drop_in,
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal,
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal,
err_packet_drop_order : out std_logic
);
end LBDR_packet_drop_routing_part_pseudo_checkers;
architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal Requests_FF: std_logic_vector(4 downto 0);
signal Requests_in: std_logic_vector(4 downto 0);
signal grant_signals: std_logic_vector(4 downto 0);
begin
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF;
Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in;
grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L;
-- Implementing checkers in form of concurrent assignments (combinational assertions)
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then
err_header_empty_Requests_FF_Requests_in <= '1';
else
err_header_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then
err_tail_Requests_in_all_zero <= '1';
else
err_tail_Requests_in_all_zero <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then
err_tail_empty_Requests_FF_Requests_in <= '1';
else
err_tail_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1';
else
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or
grant_signals = "01000" or grant_signals = "10000") and grants = '0') then
err_grants_onehot <= '1';
else
err_grants_onehot <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( grant_signals = "00000" and grants = '1') then
err_grants_mismatch <= '1';
else
err_grants_mismatch <= '0';
end if;
end process;
-- Checked !
process (flit_type, Requests_FF, Requests_FF, Requests_in)
begin
if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then
err_header_tail_Requests_FF_Requests_in <= '1';
else
err_header_tail_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then
err_dst_addr_cur_addr_N1 <= '1';
else
err_dst_addr_cur_addr_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then
err_dst_addr_cur_addr_not_N1 <= '1';
else
err_dst_addr_cur_addr_not_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then
err_dst_addr_cur_addr_E1 <= '1';
else
err_dst_addr_cur_addr_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then
err_dst_addr_cur_addr_not_E1 <= '1';
else
err_dst_addr_cur_addr_not_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then
err_dst_addr_cur_addr_W1 <= '1';
else
err_dst_addr_cur_addr_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then
err_dst_addr_cur_addr_not_W1 <= '1';
else
err_dst_addr_cur_addr_not_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then
err_dst_addr_cur_addr_S1 <= '1';
else
err_dst_addr_cur_addr_S1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then
err_dst_addr_cur_addr_not_S1 <= '1';
else
err_dst_addr_cur_addr_not_S1 <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then
err_dst_addr_cur_addr_Req_L_in <= '1';
else
err_dst_addr_cur_addr_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr /= cur_addr and Req_L_in = '1') then
err_dst_addr_cur_addr_not_Req_L_in <= '1';
else
err_dst_addr_cur_addr_not_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in = '0') then
err_header_not_empty_faulty_drop_packet_in <= '1';
else
err_header_not_empty_faulty_drop_packet_in <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '0' and not (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in /= packet_drop) then
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '1';
else
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, Requests_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and Requests_in /= "00000") then
err_header_not_empty_faulty_Req_in_all_zero <= '1';
else
err_header_not_empty_faulty_Req_in_all_zero <= '0';
end if;
end process;
-- Added (according to new design)!
--process (flit_type, empty, Req_L_in, N1_out, E1_out, W1_out, S1_out)
--begin
-- if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then
-- err_header_not_empty_Req_L_in <= '1';
-- else
-- err_header_not_empty_Req_L_in <= '0';
-- end if;
--end process;
-- Updated !
process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then
err_header_not_empty_Req_N_in <= '1';
else
err_header_not_empty_Req_N_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then
err_header_not_empty_Req_E_in <= '1';
else
err_header_not_empty_Req_E_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then
err_header_not_empty_Req_W_in <= '1';
else
err_header_not_empty_Req_W_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then
err_header_not_empty_Req_S_in <= '1';
else
err_header_not_empty_Req_S_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then
err_header_empty_packet_drop_in_packet_drop_equal <= '1';
else
err_header_empty_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then
err_tail_not_empty_packet_drop_not_packet_drop_in <= '1';
else
err_tail_not_empty_packet_drop_not_packet_drop_in <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1';
else
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1';
else
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (packet_drop_order, packet_drop)
begin
if (packet_drop_order /= packet_drop) then
err_packet_drop_order <= '1';
else
err_packet_drop_order <= '0';
end if;
end process;
-- Added !
end behavior; |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- board options
constant CFG_ADS_DAU_MEZZ : integer := 1;
-- Technology and synthesis options
constant CFG_FABTECH : integer := spartan3;
constant CFG_MEMTECH : integer := spartan3;
constant CFG_PADTECH : integer := spartan3;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := spartan3;
constant CFG_CLKMUL : integer := (3);
constant CFG_CLKDIV : integer := (5);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 1;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 2 + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 1;
constant CFG_NWP : integer := (2);
constant CFG_PWD : integer := 1*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 4;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 1;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 1;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1*2 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 0;
constant CFG_ITLBNUM : integer := 2;
constant CFG_DTLBNUM : integer := 2;
constant CFG_TLB_TYPE : integer := 1 + 0*2;
constant CFG_TLB_REP : integer := 1;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 4;
constant CFG_ATBSZ : integer := 4;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 0;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 1 + 0 + 0;
constant CFG_ETH_BUF : integer := 2;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000013#;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 0;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 1;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 0 + 0;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 1;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 32;
-- CAN 2.0 interface
constant CFG_CAN : integer := 1;
constant CFG_CANIO : integer := 16#C00#;
constant CFG_CANIRQ : integer := (13);
constant CFG_CANLOOP : integer := 0;
constant CFG_CAN_SYNCRST : integer := 0;
constant CFG_CANFT : integer := 0;
-- PCI interface
constant CFG_PCI : integer := 2;
constant CFG_PCIVID : integer := 16#1AC8#;
constant CFG_PCIDID : integer := 16#0054#;
constant CFG_PCIDEPTH : integer := 16;
constant CFG_PCI_MTF : integer := 1;
-- PCI arbiter
constant CFG_PCI_ARB : integer := 0;
constant CFG_PCI_ARBAPB : integer := 0;
constant CFG_PCI_ARB_NGNT : integer := 4;
-- PCI trace buffer
constant CFG_PCITBUFEN: integer := 0;
constant CFG_PCITBUF : integer := 256;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 8;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- VGA and PS2/ interface
constant CFG_KBD_ENABLE : integer := 1;
constant CFG_VGA_ENABLE : integer := 0;
constant CFG_SVGA_ENABLE : integer := 1;
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
|
-- ********************
-- * Flip Flop tipo D *
-- ********************
-- Con reinicio asíncrono y activador
library ieee; use ieee.std_logic_1164.all;
entity ffden is
port(
clk, rst: in std_logic;
en: in std_logic; -- Activador
d: in std_logic;
q: out std_logic
);
end ffden;
architecture arq of ffden is
begin
process(clk,rst)
begin
if (rst='1') then
q <='0';
elsif (clk'event and clk='1') then
if (en='1') then
q <= d;
end if;
end if;
end process;
end arq;
-- Con una lógica simple de siguiente estado
architecture arq_dos_est of ffden is
signal r_alm: std_logic; -- Registro almacenado
signal r_sig: std_logic; -- Registro siguiente entrada
begin
process(clk,rst)
begin
if (rst='1') then
r_alm <='0';
elsif (clk'event and clk='1') then
r_alm <= r_sig;
end if;
end process;
-- Lógica del siguiente estado
r_sig <= d when en ='1' else
r_alm;
-- Lógica de la salida
q <= r_alm;
end arq_dos_est;
|
----------------------------------------------------------------------------------
-- Company: ITESM CQ
-- Engineer: Miguel Gonzalez A01203712
--
-- Create Date: 10:33:26 09/30/2015
-- Design Name:
-- Module Name: D_Type_FFs - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Several implementations of D-Type Flip Flops
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity D_Type_FFs is
Port ( D : in STD_LOGIC;
Clk : in STD_LOGIC;
En : in STD_LOGIC;
Pr : in STD_LOGIC;
Clr: in STD_LOGIC;
Q : out STD_LOGIC);
end D_Type_FFs;
architecture Behavioral of D_Type_FFs is
signal Demb : STD_LOGIC;
begin
-- --Basic D Type Flip flop
-- -- with a rising edge
-- --Old school style
-- process (Clk)
-- begin
-- --check for a clk transition
-- if (Clk'event and Clk = '1') then
-- Q <= D;
-- end if;
-- end process;
-- --Basic D Type Flip flop
-- -- with a falling edge
-- --Old school style
-- process (Clk)
-- begin
-- --check for a clk transition
-- if (Clk'event and Clk = '0') then
-- Q <= D;
-- end if;
-- end process;
-- --Basic D Type Flip flop
-- -- with a rising edge
-- -- New school style
-- process (Clk)
-- begin
-- --check for a clk transition
-- if (rising_edge(Clk)) then
-- Q <= D;
-- end if;
-- end process;
-- --Basic D Type Flip flop
-- -- with a rising edge
-- -- New school style
-- process (Clk)
-- begin
-- --check for a clk transition
-- if (falling_edge(Clk)) then
-- Q <= D;
-- end if;
-- end process;
-- -- Basic D Type flip flop
-- -- with a rising edged and enable
-- process(Clk, En)
-- begin
-- if (rising_edge(Clk)) then
-- if (En = '1') then
-- Q <= D;
-- end if;
-- end if;
-- end process;
--
----D type flip flop with asynchonous clear
-- process(Clr, Clk)
-- begin
--
-- if (Clr = '1') then
-- Q <= '0';
-- elsif (rising_edge(Clk)) then
-- Q <= D;
-- end if;
-- end process;
----D type flip flop with asynchonous preset
-- process(Pr, Clk)
-- begin
-- if (Pr = '1') then
-- Q <= '1';
-- elsif (rising_edge(Clk)) then
-- Q <= D;
-- end if;
-- end process;
----D type flip flop with asynchonous preset and clear
-- process(Clr, Pr, Clk)
-- begin
-- if (Clr = '1') then
-- Q <= '0';
-- elsif (Pr = '1') then
-- Q <= '1';
-- elsif (rising_edge(Clk)) then
-- Q <= D;
-- end if;
-- end process;
--
----D type flip flop with synchonous clear
-- process(Clr, Clk)
-- begin
-- if (rising_edge(Clk)) then
-- if (Clr = '1') then
-- Q <= '0';
-- else
-- Q <= D;
-- end if;
-- end if;
-- end process;
--D type flip flop with synchonous clear, style 2
-- Demb <= D and (not Clr);
-- process(Clk)
-- begin
-- if (rising_edge(Clk)) then
-- Q <= Demb;
-- end if;
-- end process;
--D type flip flop with synchonous preset
-- process(Pr, Clk)
-- begin
-- if (rising_edge(Clk)) then
-- if (Pr = '1') then
-- Q <= '1';
-- else
-- Q <= D;
-- end if;
-- end if;
-- end process;
--D type flip flop with synchonous clear, style 2
Demb <= D or Pr;
process(Clk)
begin
if (rising_edge(Clk)) then
Q <= Demb;
end if;
end process;
----D type flip flop with synchonous preset and clear
-- process(Clr, Pr, Clk)
-- begin
-- if (rising_edge(Clk)) then
-- if (Clr = '1') then
-- Q <= '0';
-- elsif (Pr = '1') then
-- Q <= '1';
-- else
-- Q <= D;
-- end if;
-- end if;
-- end process;
--
end Behavioral; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: allclkgen
-- File: allclkgen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Clock generator interface package
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
package allclkgen is
component clkgen_virtex2
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic);
end component;
component clkgen_spartan3
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic);
end component;
component clkgen_virtex5
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic);
end component;
component clkgen_virtex7
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
freq : integer := 25000);
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk90 : out std_ulogic; -- main clock 90deg
clkio : out std_ulogic; -- IO ref clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_axcelerator
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
sdinvclk : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000);
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_altera_mf
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
sdinvclk : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0);
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_stratixii
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
sdinvclk : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0);
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_cycloneiii
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
sdinvclk : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0);
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_stratixiii
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
sdinvclk : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0);
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- double clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type);
end component;
component clkgen_rh_lib18t
generic (
clk_mul : integer := 1;
clk_div : integer := 1);
port (
rst : in std_logic;
clkin : in std_logic;
clk : out std_logic;
sdclk : out std_logic; -- SDRAM clock
clk2x : out std_logic;
clk4x : out std_logic
);
end component;
component clkmul_virtex2
generic ( clk_mul : integer := 2 ; clk_div : integer := 2);
port (
resetin : in std_logic;
clkin : in std_logic;
clk : out std_logic;
resetout: out std_logic
);
end component;
component clkand_unisim
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic
);
end component;
component clkand_ut025crh
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic
);
end component;
component clkand_ut130hbd
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic
);
end component;
component clkand_ut90nhbd
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic
);
end component;
component clkrand_ut130hbd
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic
);
end component;
component clkand_rh_lib18t
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic
);
end component;
component clkmux_unisim
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end component;
component clkmux_ut130hbd
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end component;
component clkmux_ut90nhbd
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end component;
component clkmux_fusion
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic
);
end component;
component altera_pll
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_freq : integer := 25000;
clk2xen : integer := 0;
sdramen : integer := 0
);
port (
inclk0 : in std_ulogic;
c0 : out std_ulogic;
c0_2x : out std_ulogic;
e0 : out std_ulogic;
locked : out std_ulogic);
end component;
component clkgen_proasic3
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_odiv : integer := 1; -- output divider
pcien : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clkb_odiv: integer := 0;
clkc_odiv: integer := 0);
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic;
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clkb : out std_logic;
clkc : out std_logic);
end component;
component clkgen_fusion
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_odiv : integer := 1; -- output divider
pcien : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clkb_odiv: integer := 0;
clkc_odiv: integer := 0);
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic;
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clkb : out std_logic;
clkc : out std_logic);
end component;
component clkgen_proasic3e
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_odiv : integer := 1; -- output divider
pcien : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clkb_odiv: integer := 0;
clkc_odiv: integer := 0);
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic;
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clkb : out std_logic;
clkc : out std_logic);
end component;
component clkgen_proasic3l
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_odiv : integer := 1; -- output divider
pcien : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clkb_odiv: integer := 0;
clkc_odiv: integer := 0);
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic;
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clkb : out std_logic;
clkc : out std_logic);
end component;
component cyclone3_pll is
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_freq : integer := 25000;
clk2xen : integer := 0;
sdramen : integer := 0
);
port (
inclk0 : in std_ulogic;
c0 : out std_ulogic;
c0_2x : out std_ulogic;
e0 : out std_ulogic;
locked : out std_ulogic);
end component;
component stratix3_pll
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
clk_freq : integer := 25000;
clk2xen : integer := 0;
sdramen : integer := 0
);
port (
inclk0 : in std_ulogic;
c0 : out std_ulogic;
c0_2x : out std_ulogic;
e0 : out std_ulogic;
locked : out std_ulogic);
end component;
component clkgen_rhumc
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic -- unscaled 2X clock
);
end component;
component clkinv_saed32
port(
i : in std_ulogic;
o : out std_ulogic);
end component;
component clkand_saed32
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
component clkmux_saed32
port (
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkgen_saed32
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic -- unscaled 2X clock
);
end component;
component clkinv_rhs65
port(
i : in std_ulogic;
o : out std_ulogic);
end component;
component clkand_rhs65
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
component clkmux_rhs65
port (
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkgen_rhs65
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic -- unscaled 2X clock
);
end component;
component clkinv_dare
port(
i : in std_ulogic;
o : out std_ulogic);
end component;
component clkand_dare
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
component clkmux_rhumc
port (
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkgen_dare
generic (
noclkfb : integer := 1
);
port (
clkin : in std_logic;
clk : out std_logic; -- main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic; -- unscaled 2X clock
clk8x : out std_logic
);
end component;
component clkgen_easic90
generic (
clk_mul : integer;
clk_div : integer;
freq : integer;
pcisysclk : integer;
pcien : integer);
port (
clkin : in std_ulogic;
pciclkin : in std_ulogic;
clk : out std_ulogic;
clk2x : out std_ulogic;
clk4x : out std_ulogic;
clkn : out std_ulogic;
lock : out std_ulogic);
end component;
component clkmux_dare
port(
i0 : in std_ulogic;
i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkmux_rhlib18t
port(
i0 : in std_ulogic;
i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkand_n2x
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
component clkmux_n2x
port (
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic);
end component;
component clkgen_n2x
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clk2xen : integer := 0;
clksel : integer := 0; -- enable clock select
clk270en : integer := 0);
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
clkn : out std_ulogic; -- inverted main clock
clk2x : out std_ulogic; -- double clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic; -- unscaled 2X clock
clk270 : out std_ulogic -- clk shifted 270 degrees
);
end component;
component clkgen_ut130hbd
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
clkn : out std_ulogic; -- inverted main clock
clk2x : out std_ulogic; -- double clock
clk4x : out std_ulogic;
clk8x : out std_ulogic;
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic -- unscaled 2X clock
);
end component;
component clkgen_ut90nhbd is
generic (
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 0;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000; -- clock frequency in KHz
clk2xen : integer := 0;
clksel : integer := 0); -- enable clock select
port (
clkin : in std_ulogic;
pciclkin: in std_ulogic;
clk : out std_ulogic; -- main clock
clkn : out std_ulogic; -- inverted main clock
clk2x : out std_ulogic; -- double clock
sdclk : out std_ulogic; -- SDRAM clock
pciclk : out std_ulogic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk1xu : out std_ulogic; -- unscaled clock
clk2xu : out std_ulogic -- unscaled 2X clock
);
end component;
component sim_pll is
generic (
clkmul: integer := 1;
clkdiv1: integer := 1;
clkphase1: integer := 0;
clkdiv2: integer := 1;
clkphase2: integer := 0;
clkdiv3: integer := 1;
clkphase3: integer := 0;
clkdiv4: integer := 1;
clkphase4: integer := 0;
-- Frequency limits in kHz, for checking only
minfreq: integer := 0;
maxfreq: integer := 10000000
);
port (
i: in std_logic;
o1: out std_logic;
o2: out std_logic;
o3: out std_logic;
o4: out std_logic;
lock: out std_logic;
rst: in std_logic
);
end component;
end;
|
-- NEED RESULT: ARCH00320.P2: Execution continues with first statement after last statement in process is executed passed
-- NEED RESULT: ARCH00320.P1: Execution continues with first statement after last statement in process is executed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00320
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.2 (8)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00320)
-- ENT00320_Test_Bench(ARCH00320_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00320 of E00000 is
signal s1, s2 : boolean := false;
begin
P1 :
process ( s1 )
variable count : Integer := 0 ;
variable First_Time : boolean := True ;
variable SavTime : Time := Std.Standard.Now ;
begin
count := count + 1;
if First_Time then
First_Time := False ;
s1 <= transport Not s1 after 10 ns ;
else
test_report ( "ARCH00320.P1" ,
"Execution continues with first statement after "&
"last statement in process is executed" ,
((SavTime + 10 ns) = Std.Standard.Now) and
(count = 2) and s1) ;
end if ;
end process P1 ;
P2 :
process
variable count : Integer := 0 ;
variable First_Time : boolean := True ;
variable SavTime : Time := Std.Standard.Now ;
variable correct : boolean := False ;
begin
count := count + 1;
if First_Time then
First_Time := False ;
s2 <= transport Not s2 after 10 ns ;
wait on s2 ;
correct := s2 and
(count = 1) and
((SavTime + 10 ns) = Std.Standard.Now) ;
SavTime := Std.Standard.Now ;
else
test_report ( "ARCH00320.P2" ,
"Execution continues with first statement after "&
"last statement in process is executed" ,
(SavTime = Std.Standard.Now) and s2 and correct and
(count = 2) ) ;
wait;
end if ;
end process P2 ;
end ARCH00320 ;
entity ENT00320_Test_Bench is
end ENT00320_Test_Bench ;
architecture ARCH00320_Test_Bench of ENT00320_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00320 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00320_Test_Bench ;
|
entity tb_arr04 is
end tb_arr04;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_arr04 is
signal clk : std_logic;
signal rst : std_logic;
signal sel_i : std_logic;
signal v : std_logic;
signal r : std_logic_vector(0 to 1);
begin
dut: entity work.arr04
port map (clk => clk, rst => rst, sel_i => sel_i, v => v, res => r);
process
constant siv : std_logic_vector := b"0010";
constant v_v : std_logic_vector := b"0011";
constant r1v : std_logic_vector := b"0011";
constant r0v : std_logic_vector := b"0001";
begin
clk <= '0';
rst <= '1';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
rst <= '0';
for i in siv'range loop
sel_i <= siv (i);
v <= v_v (i);
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
assert r(0) = r0v(i) severity failure;
assert r(1) = r1v(i) severity failure;
end loop;
wait;
end process;
end behav;
|
--
-- This file is part of the Crypto-PAn core.
--
-- Copyright (c) 2007 The University of Waikato, Hamilton, New Zealand.
-- Authors: Anthony Blake ([email protected])
--
-- All rights reserved.
--
-- This code has been developed by the University of Waikato WAND
-- research group. For further information please see http://www.wand.net.nz/
--
-- This source file is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This source is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with libtrace; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
--
library ieee;
use ieee.std_logic_1164.all;
use work.cryptopan.all;
entity sbox is
port (
clk : in std_logic;
reset : in std_logic;
addra : in std_logic_vector(7 downto 0);
douta : out std_logic_vector(7 downto 0));
end sbox;
architecture rtl of sbox is
signal data : std_logic_vector(7 downto 0);
attribute syn_romstyle : string;
attribute syn_romstyle of data : signal is "logic";
begin
CLKLOGIC : process (clk, reset)
begin
if reset = '1' then
douta <= (others => '0');
elsif clk'event and clk = '1' then
douta <= data;
end if;
end process CLKLOGIC;
DATALOGIC : process (addra)
begin
case addra is
when "00000000" => data <= X"63";
when "00000001" => data <= X"7C";
when "00000010" => data <= X"77";
when "00000011" => data <= X"7B";
when "00000100" => data <= X"F2";
when "00000101" => data <= X"6B";
when "00000110" => data <= X"6F";
when "00000111" => data <= X"C5";
when "00001000" => data <= X"30";
when "00001001" => data <= X"01";
when "00001010" => data <= X"67";
when "00001011" => data <= X"2B";
when "00001100" => data <= X"FE";
when "00001101" => data <= X"D7";
when "00001110" => data <= X"AB";
when "00001111" => data <= X"76";
when "00010000" => data <= X"CA";
when "00010001" => data <= X"82";
when "00010010" => data <= X"C9";
when "00010011" => data <= X"7D";
when "00010100" => data <= X"FA";
when "00010101" => data <= X"59";
when "00010110" => data <= X"47";
when "00010111" => data <= X"F0";
when "00011000" => data <= X"AD";
when "00011001" => data <= X"D4";
when "00011010" => data <= X"A2";
when "00011011" => data <= X"AF";
when "00011100" => data <= X"9C";
when "00011101" => data <= X"A4";
when "00011110" => data <= X"72";
when "00011111" => data <= X"C0";
when "00100000" => data <= X"B7";
when "00100001" => data <= X"FD";
when "00100010" => data <= X"93";
when "00100011" => data <= X"26";
when "00100100" => data <= X"36";
when "00100101" => data <= X"3F";
when "00100110" => data <= X"F7";
when "00100111" => data <= X"CC";
when "00101000" => data <= X"34";
when "00101001" => data <= X"A5";
when "00101010" => data <= X"E5";
when "00101011" => data <= X"F1";
when "00101100" => data <= X"71";
when "00101101" => data <= X"D8";
when "00101110" => data <= X"31";
when "00101111" => data <= X"15";
when "00110000" => data <= X"04";
when "00110001" => data <= X"C7";
when "00110010" => data <= X"23";
when "00110011" => data <= X"C3";
when "00110100" => data <= X"18";
when "00110101" => data <= X"96";
when "00110110" => data <= X"05";
when "00110111" => data <= X"9A";
when "00111000" => data <= X"07";
when "00111001" => data <= X"12";
when "00111010" => data <= X"80";
when "00111011" => data <= X"E2";
when "00111100" => data <= X"EB";
when "00111101" => data <= X"27";
when "00111110" => data <= X"B2";
when "00111111" => data <= X"75";
when "01000000" => data <= X"09";
when "01000001" => data <= X"83";
when "01000010" => data <= X"2C";
when "01000011" => data <= X"1A";
when "01000100" => data <= X"1B";
when "01000101" => data <= X"6E";
when "01000110" => data <= X"5A";
when "01000111" => data <= X"A0";
when "01001000" => data <= X"52";
when "01001001" => data <= X"3B";
when "01001010" => data <= X"D6";
when "01001011" => data <= X"B3";
when "01001100" => data <= X"29";
when "01001101" => data <= X"E3";
when "01001110" => data <= X"2F";
when "01001111" => data <= X"84";
when "01010000" => data <= X"53";
when "01010001" => data <= X"D1";
when "01010010" => data <= X"00";
when "01010011" => data <= X"ED";
when "01010100" => data <= X"20";
when "01010101" => data <= X"FC";
when "01010110" => data <= X"B1";
when "01010111" => data <= X"5B";
when "01011000" => data <= X"6A";
when "01011001" => data <= X"CB";
when "01011010" => data <= X"BE";
when "01011011" => data <= X"39";
when "01011100" => data <= X"4A";
when "01011101" => data <= X"4C";
when "01011110" => data <= X"58";
when "01011111" => data <= X"CF";
when "01100000" => data <= X"D0";
when "01100001" => data <= X"EF";
when "01100010" => data <= X"AA";
when "01100011" => data <= X"FB";
when "01100100" => data <= X"43";
when "01100101" => data <= X"4D";
when "01100110" => data <= X"33";
when "01100111" => data <= X"85";
when "01101000" => data <= X"45";
when "01101001" => data <= X"F9";
when "01101010" => data <= X"02";
when "01101011" => data <= X"7F";
when "01101100" => data <= X"50";
when "01101101" => data <= X"3C";
when "01101110" => data <= X"9F";
when "01101111" => data <= X"A8";
when "01110000" => data <= X"51";
when "01110001" => data <= X"A3";
when "01110010" => data <= X"40";
when "01110011" => data <= X"8F";
when "01110100" => data <= X"92";
when "01110101" => data <= X"9D";
when "01110110" => data <= X"38";
when "01110111" => data <= X"F5";
when "01111000" => data <= X"BC";
when "01111001" => data <= X"B6";
when "01111010" => data <= X"DA";
when "01111011" => data <= X"21";
when "01111100" => data <= X"10";
when "01111101" => data <= X"FF";
when "01111110" => data <= X"F3";
when "01111111" => data <= X"D2";
when "10000000" => data <= X"CD";
when "10000001" => data <= X"0C";
when "10000010" => data <= X"13";
when "10000011" => data <= X"EC";
when "10000100" => data <= X"5F";
when "10000101" => data <= X"97";
when "10000110" => data <= X"44";
when "10000111" => data <= X"17";
when "10001000" => data <= X"C4";
when "10001001" => data <= X"A7";
when "10001010" => data <= X"7E";
when "10001011" => data <= X"3D";
when "10001100" => data <= X"64";
when "10001101" => data <= X"5D";
when "10001110" => data <= X"19";
when "10001111" => data <= X"73";
when "10010000" => data <= X"60";
when "10010001" => data <= X"81";
when "10010010" => data <= X"4F";
when "10010011" => data <= X"DC";
when "10010100" => data <= X"22";
when "10010101" => data <= X"2A";
when "10010110" => data <= X"90";
when "10010111" => data <= X"88";
when "10011000" => data <= X"46";
when "10011001" => data <= X"EE";
when "10011010" => data <= X"B8";
when "10011011" => data <= X"14";
when "10011100" => data <= X"DE";
when "10011101" => data <= X"5E";
when "10011110" => data <= X"0B";
when "10011111" => data <= X"DB";
when "10100000" => data <= X"E0";
when "10100001" => data <= X"32";
when "10100010" => data <= X"3A";
when "10100011" => data <= X"0A";
when "10100100" => data <= X"49";
when "10100101" => data <= X"06";
when "10100110" => data <= X"24";
when "10100111" => data <= X"5C";
when "10101000" => data <= X"C2";
when "10101001" => data <= X"D3";
when "10101010" => data <= X"AC";
when "10101011" => data <= X"62";
when "10101100" => data <= X"91";
when "10101101" => data <= X"95";
when "10101110" => data <= X"E4";
when "10101111" => data <= X"79";
when "10110000" => data <= X"E7";
when "10110001" => data <= X"C8";
when "10110010" => data <= X"37";
when "10110011" => data <= X"6D";
when "10110100" => data <= X"8D";
when "10110101" => data <= X"D5";
when "10110110" => data <= X"4E";
when "10110111" => data <= X"A9";
when "10111000" => data <= X"6C";
when "10111001" => data <= X"56";
when "10111010" => data <= X"F4";
when "10111011" => data <= X"EA";
when "10111100" => data <= X"65";
when "10111101" => data <= X"7A";
when "10111110" => data <= X"AE";
when "10111111" => data <= X"08";
when "11000000" => data <= X"BA";
when "11000001" => data <= X"78";
when "11000010" => data <= X"25";
when "11000011" => data <= X"2E";
when "11000100" => data <= X"1C";
when "11000101" => data <= X"A6";
when "11000110" => data <= X"B4";
when "11000111" => data <= X"C6";
when "11001000" => data <= X"E8";
when "11001001" => data <= X"DD";
when "11001010" => data <= X"74";
when "11001011" => data <= X"1F";
when "11001100" => data <= X"4B";
when "11001101" => data <= X"BD";
when "11001110" => data <= X"8B";
when "11001111" => data <= X"8A";
when "11010000" => data <= X"70";
when "11010001" => data <= X"3E";
when "11010010" => data <= X"B5";
when "11010011" => data <= X"66";
when "11010100" => data <= X"48";
when "11010101" => data <= X"03";
when "11010110" => data <= X"F6";
when "11010111" => data <= X"0E";
when "11011000" => data <= X"61";
when "11011001" => data <= X"35";
when "11011010" => data <= X"57";
when "11011011" => data <= X"B9";
when "11011100" => data <= X"86";
when "11011101" => data <= X"C1";
when "11011110" => data <= X"1D";
when "11011111" => data <= X"9E";
when "11100000" => data <= X"E1";
when "11100001" => data <= X"F8";
when "11100010" => data <= X"98";
when "11100011" => data <= X"11";
when "11100100" => data <= X"69";
when "11100101" => data <= X"D9";
when "11100110" => data <= X"8E";
when "11100111" => data <= X"94";
when "11101000" => data <= X"9B";
when "11101001" => data <= X"1E";
when "11101010" => data <= X"87";
when "11101011" => data <= X"E9";
when "11101100" => data <= X"CE";
when "11101101" => data <= X"55";
when "11101110" => data <= X"28";
when "11101111" => data <= X"DF";
when "11110000" => data <= X"8C";
when "11110001" => data <= X"A1";
when "11110010" => data <= X"89";
when "11110011" => data <= X"0D";
when "11110100" => data <= X"BF";
when "11110101" => data <= X"E6";
when "11110110" => data <= X"42";
when "11110111" => data <= X"68";
when "11111000" => data <= X"41";
when "11111001" => data <= X"99";
when "11111010" => data <= X"2D";
when "11111011" => data <= X"0F";
when "11111100" => data <= X"B0";
when "11111101" => data <= X"54";
when "11111110" => data <= X"BB";
when "11111111" => data <= X"16";
when others => null;
end case;
end process DATALOGIC;
end rtl;
|
--!
--! Copyright 2018 Sergey Khabarov, [email protected]
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
--! Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--! Data transformation and math functions library
library commonlib;
use commonlib.types_common.all;
--! Technology definition library.
library techmap;
--! Technology constants definition.
use techmap.gencomp.all;
--! "Virtual" PLL declaration.
use techmap.types_pll.all;
-- "Virtual" memory banks
use techmap.types_mem.all;
--! "Virtual" buffers declaration.
use techmap.types_buf.all;
--! Top-level implementaion library
library work;
--! Target dependable configuration: RTL, FPGA or ASIC.
use work.config_target.all;
entity asic_top is port
(
--! Input reset. Active HIGH.
i_rst : in std_logic;
--! Differential clock (LVDS) positive/negaive signal.
i_sclk_p : in std_logic;
i_sclk_n : in std_logic;
--! GPIO: [11:4] LEDs; [3:0] DIP switch
io_gpio : inout std_logic_vector(11 downto 0);
--! Timers
o_pwm : out std_logic_vector(1 downto 0);
--! JTAG signals:
i_jtag_tck : in std_logic;
i_jtag_ntrst : in std_logic;
i_jtag_tms : in std_logic;
i_jtag_tdi : in std_logic;
o_jtag_tdo : out std_logic;
o_jtag_vref : out std_logic;
--! UART1 signals:
i_uart1_rd : in std_logic;
o_uart1_td : out std_logic;
--! UART2 TAP (debug port) signals: DO NOT SUPPORT FIRMWARE OUTPUT!
i_uart2_rd : in std_logic;
o_uart2_td : out std_logic;
--! SPI Flash/ext OTP
i_flash_si : in std_logic;
o_flash_so : out std_logic;
o_flash_sck : out std_logic;
o_flash_csn : out std_logic;
-- OTP power
io_otp_gnd : inout std_logic;
io_otp_vdd : inout std_logic;
io_otp_vdd18 : inout std_logic;
io_otp_upp : inout std_logic;
--! Ethernet MAC PHY interface signals
i_gmiiclk_p : in std_ulogic;
i_gmiiclk_n : in std_ulogic;
o_egtx_clk : out std_ulogic;
i_etx_clk : in std_ulogic;
i_erx_clk : in std_ulogic;
i_erxd : in std_logic_vector(3 downto 0);
i_erx_dv : in std_ulogic;
i_erx_er : in std_ulogic;
i_erx_col : in std_ulogic;
i_erx_crs : in std_ulogic;
i_emdint : in std_ulogic;
o_etxd : out std_logic_vector(3 downto 0);
o_etx_en : out std_ulogic;
o_etx_er : out std_ulogic;
o_emdc : out std_ulogic;
io_emdio : inout std_logic;
o_erstn : out std_ulogic
);
end asic_top;
architecture arch_asic_top of asic_top is
component riscv_soc is port
(
i_rst : in std_logic;
i_clk : in std_logic;
--! GPIO.
i_gpio : in std_logic_vector(11 downto 0);
o_gpio : out std_logic_vector(11 downto 0);
o_gpio_dir : out std_logic_vector(11 downto 0);
--! GPTimers
o_pwm : out std_logic_vector(1 downto 0);
--! JTAG signals:
i_jtag_tck : in std_logic;
i_jtag_ntrst : in std_logic;
i_jtag_tms : in std_logic;
i_jtag_tdi : in std_logic;
o_jtag_tdo : out std_logic;
o_jtag_vref : out std_logic;
--! UART1 signals:
i_uart1_ctsn : in std_logic;
i_uart1_rd : in std_logic;
o_uart1_td : out std_logic;
o_uart1_rtsn : out std_logic;
--! UART2 (debug port) signals:
i_uart2_ctsn : in std_logic;
i_uart2_rd : in std_logic;
o_uart2_td : out std_logic;
o_uart2_rtsn : out std_logic;
--! SPI Flash
i_flash_si : in std_logic;
o_flash_so : out std_logic;
o_flash_sck : out std_logic;
o_flash_csn : out std_logic;
o_flash_wpn : out std_logic;
o_flash_holdn : out std_logic;
o_flash_reset : out std_logic;
--! OTP Memory
i_otp_d : in std_logic_vector(15 downto 0);
o_otp_d : out std_logic_vector(15 downto 0);
o_otp_a : out std_logic_vector(11 downto 0);
o_otp_we : out std_logic;
o_otp_re : out std_logic;
--! Ethernet MAC PHY interface signals
i_etx_clk : in std_ulogic;
i_erx_clk : in std_ulogic;
i_erxd : in std_logic_vector(3 downto 0);
i_erx_dv : in std_ulogic;
i_erx_er : in std_ulogic;
i_erx_col : in std_ulogic;
i_erx_crs : in std_ulogic;
i_emdint : in std_ulogic;
o_etxd : out std_logic_vector(3 downto 0);
o_etx_en : out std_ulogic;
o_etx_er : out std_ulogic;
o_emdc : out std_ulogic;
i_eth_mdio : in std_logic;
o_eth_mdio : out std_logic;
o_eth_mdio_oe : out std_logic;
i_eth_gtx_clk : in std_logic;
i_eth_gtx_clk_90 : in std_logic;
o_erstn : out std_ulogic;
-- GNSS Sub-system signals:
i_clk_adc : in std_logic;
i_gps_I : in std_logic_vector(1 downto 0);
i_gps_Q : in std_logic_vector(1 downto 0);
i_glo_I : in std_logic_vector(1 downto 0);
i_glo_Q : in std_logic_vector(1 downto 0);
o_pps : out std_logic;
i_gps_ld : in std_logic;
i_glo_ld : in std_logic;
o_max_sclk : out std_logic;
o_max_sdata : out std_logic;
o_max_ncs : out std_logic_vector(1 downto 0);
i_antext_stat : in std_logic;
i_antext_detect : in std_logic;
o_antext_ena : out std_logic;
o_antint_contr : out std_logic
);
end component;
signal ib_rst : std_logic;
signal ib_clk_tcxo : std_logic;
signal ib_sclk_n : std_logic;
signal ob_gpio_direction : std_logic_vector(11 downto 0);
signal ob_gpio_opins : std_logic_vector(11 downto 0);
signal ib_gpio_ipins : std_logic_vector(11 downto 0);
signal ob_pwm : std_logic_vector(1 downto 0);
signal ib_uart1_rd : std_logic;
signal ob_uart1_td : std_logic;
signal ib_uart2_rd : std_logic;
signal ob_uart2_td : std_logic;
signal ib_flash_si : std_logic;
signal ob_flash_so : std_logic;
signal ob_flash_sck : std_logic;
signal ob_flash_csn : std_logic;
--! JTAG signals:
signal ib_jtag_tck : std_logic;
signal ib_jtag_ntrst : std_logic;
signal ib_jtag_tms : std_logic;
signal ib_jtag_tdi : std_logic;
signal ob_jtag_tdo : std_logic;
signal ob_jtag_vref : std_logic;
signal ib_gmiiclk : std_logic;
signal ib_eth_mdio : std_logic;
signal ob_eth_mdio : std_logic;
signal ob_eth_mdio_oe : std_logic;
signal w_eth_gtx_clk : std_logic;
signal w_eth_gtx_clk_90 : std_logic;
signal w_ext_reset : std_ulogic; -- External system reset or PLL unlcoked. MUST NOT USED BY DEVICES.
signal w_glob_rst : std_ulogic; -- Global reset active HIGH
signal w_glob_nrst : std_ulogic; -- Global reset active LOW
signal w_soft_rst : std_ulogic; -- Software reset (acitve HIGH) from DSU
signal w_bus_nrst : std_ulogic; -- Global reset and Soft Reset active LOW
signal w_clk_bus : std_ulogic; -- bus clock from the internal PLL (100MHz virtex6/40MHz Spartan6)
signal w_pll_lock : std_ulogic; -- PLL status signal. 0=Unlocked; 1=locked.
signal wb_otp_wdata : std_logic_vector(15 downto 0);
signal wb_otp_addr : std_logic_vector(11 downto 0);
signal w_otp_we : std_logic;
signal w_otp_re : std_logic;
signal wb_otp_rdata : std_logic_vector(15 downto 0);
begin
--! PAD buffers:
irst0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_rst, i_rst);
iclk0 : idsbuf_tech generic map (CFG_PADTECH) port map (
i_sclk_p, i_sclk_n, ib_clk_tcxo);
ird1 : ibuf_tech generic map(CFG_PADTECH) port map (ib_uart1_rd, i_uart1_rd);
otd1 : obuf_tech generic map(CFG_PADTECH) port map (o_uart1_td, ob_uart1_td);
ird2 : ibuf_tech generic map(CFG_PADTECH) port map (ib_uart2_rd, i_uart2_rd);
otd2 : obuf_tech generic map(CFG_PADTECH) port map (o_uart2_td, ob_uart2_td);
iflshsi : ibuf_tech generic map(CFG_PADTECH) port map (ib_flash_si, i_flash_si);
oflshso : obuf_tech generic map(CFG_PADTECH) port map (o_flash_so, ob_flash_so);
oflshsck : obuf_tech generic map(CFG_PADTECH) port map (o_flash_sck, ob_flash_sck);
oflshcsn : obuf_tech generic map(CFG_PADTECH) port map (o_flash_csn, ob_flash_csn);
gpiox : for i in 0 to 11 generate
iob0 : iobuf_tech generic map(CFG_PADTECH)
port map (ib_gpio_ipins(i), io_gpio(i), ob_gpio_opins(i), ob_gpio_direction(i));
end generate;
pwmx : for i in 0 to 1 generate
opwm0 : obuf_tech generic map(CFG_PADTECH) port map (o_pwm(i), ob_pwm(i));
end generate;
--! JTAG signals:
ijtck0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tck, i_jtag_tck);
ijtrst0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_ntrst, i_jtag_ntrst);
ijtms0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tms, i_jtag_tms);
ijtdi0 : ibuf_tech generic map(CFG_PADTECH) port map (ib_jtag_tdi, i_jtag_tdi);
ojtdo0 : obuf_tech generic map(CFG_PADTECH) port map (o_jtag_tdo, ob_jtag_tdo);
ojvrf0 : obuf_tech generic map(CFG_PADTECH) port map (o_jtag_vref, ob_jtag_vref);
igbebuf0 : igdsbuf_tech generic map (CFG_PADTECH) port map (
i_gmiiclk_p, i_gmiiclk_n, ib_gmiiclk);
iomdio : iobuf_tech generic map(CFG_PADTECH)
port map (ib_eth_mdio, io_emdio, ob_eth_mdio, ob_eth_mdio_oe);
--! Gigabit clock phase rotator with buffers
clkrot90 : clkp90_tech generic map (
tech => CFG_FABTECH,
freq => 125000 -- KHz = 125 MHz
) port map (
i_rst => ib_rst,
i_clk => ib_gmiiclk,
o_clk => w_eth_gtx_clk,
o_clkp90 => w_eth_gtx_clk_90,
o_clk2x => open, -- used in gbe 'io_ref'
o_lock => open
);
o_egtx_clk <= w_eth_gtx_clk;
------------------------------------
-- @brief Internal PLL device instance.
pll0 : SysPLL_tech generic map (
tech => CFG_FABTECH
) port map (
i_reset => ib_rst,
i_clk_tcxo => ib_clk_tcxo,
o_clk_bus => w_clk_bus,
o_locked => w_pll_lock
);
w_ext_reset <= ib_rst or not w_pll_lock;
otp0 : otp_tech generic map (
memtech => CFG_MEMTECH
) port map (
clk => w_clk_bus, -- only for FPGA
i_we => w_otp_we,
i_re => w_otp_re,
i_addr => wb_otp_addr,
i_wdata => wb_otp_wdata,
o_rdata => wb_otp_rdata,
io_gnd => io_otp_gnd,
io_vdd => io_otp_vdd,
io_vdd18 => io_otp_vdd18,
io_upp => io_otp_upp
);
soc0 : riscv_soc port map
(
i_rst => w_ext_reset,
i_clk => w_clk_bus,
--! GPIO.
i_gpio => ib_gpio_ipins,
o_gpio => ob_gpio_opins,
o_gpio_dir => ob_gpio_direction,
--! GP Timers
o_pwm => ob_pwm,
--! JTAG signals:
i_jtag_tck => ib_jtag_tck,
i_jtag_ntrst => ib_jtag_ntrst,
i_jtag_tms => ib_jtag_tms,
i_jtag_tdi => ib_jtag_tdi,
o_jtag_tdo => ob_jtag_tdo,
o_jtag_vref => ob_jtag_vref,
--! UART1 signals:
i_uart1_ctsn => '0',
i_uart1_rd => ib_uart1_rd,
o_uart1_td => ob_uart1_td,
o_uart1_rtsn => open,
--! UART2 (debug port) signals:
i_uart2_ctsn => '0',
i_uart2_rd => ib_uart2_rd,
o_uart2_td => ob_uart2_td,
o_uart2_rtsn => open,
--! SPI Flash
i_flash_si => ib_flash_si,
o_flash_so => ob_flash_so,
o_flash_sck => ob_flash_sck,
o_flash_csn => ob_flash_csn,
o_flash_wpn => open,
o_flash_holdn => open,
o_flash_reset => open,
--! OTP Memory
i_otp_d => wb_otp_rdata,
o_otp_d => wb_otp_wdata,
o_otp_a => wb_otp_addr,
o_otp_we => w_otp_we,
o_otp_re => w_otp_re,
--! Ethernet MAC PHY interface signals
i_etx_clk => i_etx_clk,
i_erx_clk => i_erx_clk,
i_erxd => i_erxd,
i_erx_dv => i_erx_dv,
i_erx_er => i_erx_er,
i_erx_col => i_erx_col,
i_erx_crs => i_erx_crs,
i_emdint => i_emdint,
o_etxd => o_etxd,
o_etx_en => o_etx_en,
o_etx_er => o_etx_er,
o_emdc => o_emdc,
i_eth_mdio => ib_eth_mdio,
o_eth_mdio => ob_eth_mdio,
o_eth_mdio_oe => ob_eth_mdio_oe,
i_eth_gtx_clk => w_eth_gtx_clk,
i_eth_gtx_clk_90 => w_eth_gtx_clk_90,
o_erstn => o_erstn,
-- GNSS Sub-system signals:
i_clk_adc => '0',
i_gps_I => "00",
i_gps_Q => "00",
i_glo_I => "00",
i_glo_Q => "00",
o_pps => open,
i_gps_ld => '0',
i_glo_ld => '0',
o_max_sclk => open,
o_max_sdata => open,
o_max_ncs => open,
i_antext_stat => '0',
i_antext_detect => '0',
o_antext_ena => open,
o_antint_contr => open
);
end arch_asic_top;
|
-------------------------------------------------------------------------------
-- Title : FSMC Slave, synchronous
-------------------------------------------------------------------------------
-- Author : Carl Treudler ([email protected])
-------------------------------------------------------------------------------
-- Description: This is slave to the flexible static memory controller (FSMC)
-- of a STM32 device. The slave is a busmaster to the local bus.
-- Data can be transfered to and from the bus slaves on the bus.
--
-------------------------------------------------------------------------------
-- Copyright (c) 2014, German Aerospace Center (DLR)
-- All Rights Reserved.
--
-- The file is part for the Loa project and is released under the
-- 3-clause BSD license. See the file `LICENSE` for the full license
-- governing this code.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.fsmcslave_pkg.all;
use work.bus_pkg.all;
use work.bus_pkg.all;
-------------------------------------------------------------------------------
entity fsmcslave is
port (
-- slave side of the STM32's FSMC port
fsmcslave_o : out fsmc_in_type;
fsmcslave_i : in fsmc_out_type;
-- master port of loa bus
bus_o : out busmaster_out_type;
bus_i : in busmaster_in_type;
clk : in std_logic
);
end fsmcslave;
-------------------------------------------------------------------------------
architecture behavioral of fsmcslave is
type fsmc_out_type_array is array(1 downto 0) of fsmc_out_type;
type entity_name_state_type is (
IDLE, -- Idle state:
READ1,
READ2
);
type entity_name_type is record
nadv_old : std_logic;
addr : std_logic_vector(14 downto 0);
data : std_logic_vector(15 downto 0);
state : entity_name_state_type;
bus_o : busmaster_out_type;
reg_fsmcslave_i : fsmc_out_type_array;
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : entity_name_type :=
(nadv_old => '0',
data => (others => '0'),
addr => (others => '0'),
state => IDLE,
bus_o => (
addr => (others => '0'),
data => (others => '0'),
re => '0',
we => '0'),
reg_fsmcslave_i => ( -- init synchronizer with idle state of
-- fsmc, to aviod triggering the edge
-- detection
1 => (
data => (others => '0'),
adv_n => '1',
wr_n => '1',
oe_n => '1',
cs_n => '1'),
0 => (
data => (others => '0'),
adv_n => '1',
wr_n => '1',
oe_n => '1',
cs_n => '1'))
);
begin -- architecture behavourial
----------------------------------------------------------------------------
-- Connections between ports and registered signals
----------------------------------------------------------------------------
fsmcslave_o.data <= r.data;
bus_o <= r.bus_o;
----------------------------------------------------------------------------
-- Sequential part of finite state machine (FSM)
----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
----------------------------------------------------------------------------
-- Combinatorial part of FSM
----------------------------------------------------------------------------
comb_proc : process(bus_i, fsmcslave_i, r)
variable v : entity_name_type;
begin
v := r;
-- default values
v.bus_o.addr := (others => '0');
v.bus_o.data := (others => '0');
v.bus_o.we := '0';
v.bus_o.re := '0';
-- (0) is first stage of synchronizer, (1) is second
v.reg_fsmcslave_i(1 downto 0) := r.reg_fsmcslave_i(0) & fsmcslave_i;
case r.state is
when IDLE =>
-- if nadv is low, store addr
if(r.reg_fsmcslave_i(0).adv_n = '0') then
v.addr := r.reg_fsmcslave_i(0).data(14 downto 0);
end if;
-- Falling edge of WRn starts write access on loa bus
if(r.reg_fsmcslave_i(1).wr_n = '0' and r.reg_fsmcslave_i(0).wr_n = '1') then
v.bus_o.addr := r.addr;
v.bus_o.data := r.reg_fsmcslave_i(1).data;
v.bus_o.we := '1';
end if;
-- Raising edge of OEn starts read access
-- Note: Tristate driver should be in the toplevel
if(r.reg_fsmcslave_i(1).oe_n = '1' and r.reg_fsmcslave_i(0).oe_n = '0') then
v.bus_o.addr := r.addr;
v.bus_o.re := '1';
v.state := READ1;
end if;
when READ1 =>
-----------------------------------------------------------------------
-- wait for bus to react
-----------------------------------------------------------------------
v.state := READ2;
when READ2 =>
v.data := bus_i.data;
v.state := IDLE;
end case;
rin <= v;
end process comb_proc;
end behavioral;
|
-- file: UARTClockManager.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____15.360______0.000______50.0______407.321____200.759
-- CLK_OUT2____32.000______0.000______50.0______348.651____200.759
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________32.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity UARTClockManager is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic;
-- Status and control signals
RESET : in std_logic
);
end UARTClockManager;
architecture xilinx of UARTClockManager is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "UARTClockManager,clk_wiz_v3_6,{component_name=UARTClockManager,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=31.250,clkin2_period=31.250,use_power_down=false,use_reset=true,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK_IN1);
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => 24,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 50,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 24,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 31.250,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
-- Status and control signals
LOCKED => locked_unused,
RST => RESET,
-- Input clock control
CLKFBIN => clkfbout,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
clkout2_buf : BUFG
port map
(O => CLK_OUT2,
I => clkout1);
end xilinx;
|
-- $Id: sys_tst_mig_arty.vhd 1247 2022-07-06 07:04:33Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2018-2022 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: sys_tst_mig_arty - syn
-- Description: test of arty ddr and its mig controller
--
-- Dependencies: vlib/xlib/bufg_unisim
-- bplib/bpgen/s7_cmt_1ce1ce2c
-- cdclib/cdc_signal_s1_as
-- cdclib/cdc_pulse
-- bplib/bpgen/bp_rs232_2line_iob
-- rlink/rlink_sp2c
-- tst_mig
-- bplib/arty/migui_arty (generated core)
-- bplib/sysmon/sysmonx_rbus_arty
-- rbus/rbd_usracc
-- rbus/rb_sres_or_3
--
-- Test bench: tb/tb_tst_mig_arty
--
-- Target Devices: generic
-- Tool versions: viv 2017.2-2022.1; ghdl 0.34-2.0.0
--
-- Synthesized (viv):
-- Date Rev viv Target flop lutl lutm bram slic
-- 2022-07-05 1247 2022.1 xc7a35t-1l 4325 4197 415 1 1699
-- 2019-02-02 1108 2018.3 xc7a35t-1l 4323 4537 444 1 1874
-- 2019-02-02 1108 2017.2 xc7a35t-1l 4330 4773 444 1 1774
-- 2019-01-02 1101 2017.2 xc7a35t-1l 4320 4773 462 1 1770
--
-- Revision History:
-- Date Rev Version Comment
-- 2022-07-05 1247 1.0.1 use bufg_unisim
-- 2018-12-26 1094 1.0 Initial version
-- 2018-12-23 1092 0.1 First draft
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.xlib.all;
use work.cdclib.all;
use work.serportlib.all;
use work.rblib.all;
use work.rbdlib.all;
use work.rlinklib.all;
use work.bpgenlib.all;
use work.sysmonrbuslib.all;
use work.miglib_arty.all;
use work.sys_conf.all;
-- ----------------------------------------------------------------------------
entity sys_tst_mig_arty is -- top level
-- implements arty_mig_aif
port (
I_CLK100 : in slbit; -- 100 MHz clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
I_SWI : in slv4; -- arty switches
I_BTN : in slv4; -- arty buttons
O_LED : out slv4; -- arty leds
O_RGBLED0 : out slv3; -- arty rgb-led 0
O_RGBLED1 : out slv3; -- arty rgb-led 1
O_RGBLED2 : out slv3; -- arty rgb-led 2
O_RGBLED3 : out slv3; -- arty rgb-led 3
A_VPWRN : in slv4; -- arty pwrmon (neg)
A_VPWRP : in slv4; -- arty pwrmon (pos)
DDR3_DQ : inout slv16; -- dram: data in/out
DDR3_DQS_P : inout slv2; -- dram: data strobe (diff-p)
DDR3_DQS_N : inout slv2; -- dram: data strobe (diff-n)
DDR3_ADDR : out slv14; -- dram: address
DDR3_BA : out slv3; -- dram: bank address
DDR3_RAS_N : out slbit; -- dram: row addr strobe (act.low)
DDR3_CAS_N : out slbit; -- dram: column addr strobe (act.low)
DDR3_WE_N : out slbit; -- dram: write enable (act.low)
DDR3_RESET_N : out slbit; -- dram: reset (act.low)
DDR3_CK_P : out slv1; -- dram: clock (diff-p)
DDR3_CK_N : out slv1; -- dram: clock (diff-n)
DDR3_CKE : out slv1; -- dram: clock enable
DDR3_CS_N : out slv1; -- dram: chip select (act.low)
DDR3_DM : out slv2; -- dram: data input mask
DDR3_ODT : out slv1 -- dram: on-die termination
);
end sys_tst_mig_arty;
architecture syn of sys_tst_mig_arty is
signal CLK100_BUF : slbit := '0';
signal XX_CLK : slbit := '0'; -- kept to keep clock setup similar
signal XX_CE_USEC : slbit := '0'; -- to w11a or other 'normal' systems
signal XX_CE_MSEC : slbit := '0'; --
signal CLK : slbit := '0';
signal CE_USEC : slbit := '0';
signal CE_MSEC : slbit := '0';
signal CLKS : slbit := '0';
signal CES_MSEC : slbit := '0';
signal CLKMIG : slbit := '0';
signal CLKREF : slbit := '0';
signal LOCKED : slbit := '0'; -- raw LOCKED
signal LOCKED_CLKMIG : slbit := '0'; -- sync'ed to CLKMIG
signal MEM_RESET : slbit := '0';
signal MEM_RESET_RRI : slbit := '0';
signal RXD : slbit := '1';
signal TXD : slbit := '0';
signal SWI : slv16 := (others=>'0');
signal BTN : slv5 := (others=>'0');
signal LED : slv16 := (others=>'0');
signal DSP_DAT : slv32 := (others=>'0');
signal DSP_DP : slv8 := (others=>'0');
signal RB_MREQ : rb_mreq_type := rb_mreq_init;
signal RB_SRES : rb_sres_type := rb_sres_init;
signal RB_LAM : slv16 := (others=>'0');
signal RB_STAT : slv4 := (others=>'0');
signal SER_MONI : serport_moni_type := serport_moni_init;
signal RB_SRES_TST : rb_sres_type := rb_sres_init;
signal RB_SRES_SYSMON : rb_sres_type := rb_sres_init;
signal RB_SRES_USRACC : rb_sres_type := rb_sres_init;
signal RB_LAM_TST : slbit := '0';
signal APP_ADDR : slv(mig_mawidth-1 downto 0) := (others=>'0');
signal APP_CMD : slv3 := (others=>'0');
signal APP_EN : slbit := '0';
signal APP_WDF_DATA : slv(mig_dwidth-1 downto 0) := (others=>'0');
signal APP_WDF_END : slbit := '0';
signal APP_WDF_MASK : slv(mig_mwidth-1 downto 0) := (others=>'0');
signal APP_WDF_WREN : slbit := '0';
signal APP_RD_DATA : slv(mig_dwidth-1 downto 0) := (others=>'0');
signal APP_RD_DATA_END : slbit := '0';
signal APP_RD_DATA_VALID : slbit := '0';
signal APP_RDY : slbit := '0';
signal APP_WDF_RDY : slbit := '0';
signal APP_SR_REQ : slbit := '0';
signal APP_REF_REQ : slbit := '0';
signal APP_ZQ_REQ : slbit := '0';
signal APP_SR_ACTIVE : slbit := '0';
signal APP_REF_ACK : slbit := '0';
signal APP_ZQ_ACK : slbit := '0';
signal MIG_UI_CLK : slbit := '0';
signal MIG_UI_CLK_SYNC_RST : slbit := '0';
signal MIG_INIT_CALIB_COMPLETE : slbit := '0';
signal MIG_SYS_RST : slbit := '0';
signal XADC_TEMP : slv12 := (others=>'0'); -- xadc die temp; on CLK
signal R_DIMCNT : slv2 := (others=>'0');
signal R_DIMFLG : slbit := '0';
constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/0008: 1111 1111 1110 1xxx
constant rbaddr_sysmon: slv16 := x"fb00"; -- fb00/0080: 1111 1011 0xxx xxxx
constant sysid_proj : slv16 := x"0105"; -- tst_mig
constant sysid_board : slv8 := x"07"; -- arty
constant sysid_vers : slv8 := x"00";
begin
CLK100_BUFG: bufg_unisim
port map (
I => I_CLK100,
O => CLK100_BUF
);
GEN_CLKALL : s7_cmt_1ce1ce2c -- clock generator system ------------
generic map (
CLKIN_PERIOD => 10.0,
CLKIN_JITTER => 0.01,
STARTUP_WAIT => false,
CLK0_VCODIV => sys_conf_clksys_vcodivide,
CLK0_VCOMUL => sys_conf_clksys_vcomultiply,
CLK0_OUTDIV => sys_conf_clksys_outdivide,
CLK0_GENTYPE => sys_conf_clksys_gentype,
CLK0_CDUWIDTH => 7,
CLK0_USECDIV => sys_conf_clksys_mhz,
CLK0_MSECDIV => 1000,
CLK1_VCODIV => sys_conf_clkser_vcodivide,
CLK1_VCOMUL => sys_conf_clkser_vcomultiply,
CLK1_OUTDIV => sys_conf_clkser_outdivide,
CLK1_GENTYPE => sys_conf_clkser_gentype,
CLK1_CDUWIDTH => 7,
CLK1_USECDIV => sys_conf_clkser_mhz,
CLK1_MSECDIV => 1000,
CLK23_VCODIV => 1,
CLK23_VCOMUL => 10, -- vco 1000 MHz
CLK2_OUTDIV => 6, -- mig sys 166.6 MHz
CLK3_OUTDIV => 5, -- mig ref 200.0 MHz
CLK23_GENTYPE => "PLL")
port map (
CLKIN => CLK100_BUF,
CLK0 => XX_CLK,
CE0_USEC => XX_CE_USEC,
CE0_MSEC => XX_CE_MSEC,
CLK1 => CLKS,
CE1_USEC => open,
CE1_MSEC => CES_MSEC,
CLK2 => CLKMIG,
CLK3 => CLKREF,
LOCKED => LOCKED
);
-- Note: CLK0 is generated as in 'normal' systems to keep PPL/MMCM setup
-- as similar as possible. The CE_USEC and CE_MSEC pulses are forwarded
-- from the 80 MHz CLK0 domain to the 83.333 MHz MIG UI_CLK domain
CDC_CEUSEC : cdc_pulse -- provide CLK side CE_USEC
generic map (
POUT_SINGLE => true,
BUSY_WACK => false)
port map (
CLKM => XX_CLK,
RESET => '0',
CLKS => CLK,
PIN => XX_CE_USEC,
BUSY => open,
POUT => CE_USEC
);
CDC_CEMSEC : cdc_pulse -- provide CLK side CE_MSEC
generic map (
POUT_SINGLE => true,
BUSY_WACK => false)
port map (
CLKM => XX_CLK,
RESET => '0',
CLKS => CLK,
PIN => XX_CE_MSEC,
BUSY => open,
POUT => CE_MSEC
);
CDC_CLKMIG_LOCKED : cdc_signal_s1_as
port map (
CLKO => CLKMIG,
DI => LOCKED,
DO => LOCKED_CLKMIG
);
IOB_RS232 : bp_rs232_2line_iob
port map (
CLK => CLKS,
RXD => RXD,
TXD => TXD,
I_RXD => I_RXD,
O_TXD => O_TXD
);
RLINK : rlink_sp2c
generic map (
BTOWIDTH => 8, -- 256 cycles, for slow mem iface
RTAWIDTH => 12,
SYSID => sysid_proj & sysid_board & sysid_vers,
IFAWIDTH => 5, -- 32 word input fifo
OFAWIDTH => 5, -- 32 word output fifo
ENAPIN_RLMON => sbcntl_sbf_rlmon,
ENAPIN_RBMON => sbcntl_sbf_rbmon,
CDWIDTH => 12,
CDINIT => sys_conf_ser2rri_cdinit,
RBMON_AWIDTH => 0,
RBMON_RBADDR => rbaddr_rbmon)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
CE_MSEC => CE_MSEC,
CE_INT => CE_MSEC,
RESET => '0', -- FIXME: no RESET
CLKS => CLKS,
CES_MSEC => CES_MSEC,
ENAXON => '1',
ESCFILL => '0',
RXSD => RXD,
TXSD => TXD,
CTS_N => '0',
RTS_N => open,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES,
RB_LAM => RB_LAM,
RB_STAT => RB_STAT,
RL_MONI => open,
SER_MONI => SER_MONI
);
TST : entity work.tst_mig
generic map (
RB_ADDR => slv(to_unsigned(2#0000000000000000#,16)),
MAWIDTH => mig_mawidth,
MWIDTH => mig_mwidth)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
RESET => '0', -- FIXME: no RESET
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_TST,
RB_STAT => RB_STAT,
RB_LAM => RB_LAM_TST,
APP_ADDR => APP_ADDR,
APP_CMD => APP_CMD,
APP_EN => APP_EN,
APP_WDF_DATA => APP_WDF_DATA,
APP_WDF_END => APP_WDF_END,
APP_WDF_MASK => APP_WDF_MASK,
APP_WDF_WREN => APP_WDF_WREN,
APP_RD_DATA => APP_RD_DATA,
APP_RD_DATA_END => APP_RD_DATA_END,
APP_RD_DATA_VALID => APP_RD_DATA_VALID,
APP_RDY => APP_RDY,
APP_WDF_RDY => APP_WDF_RDY,
APP_SR_REQ => APP_SR_REQ,
APP_REF_REQ => APP_REF_REQ,
APP_ZQ_REQ => APP_ZQ_REQ,
APP_SR_ACTIVE => APP_SR_ACTIVE,
APP_REF_ACK => APP_REF_ACK,
APP_ZQ_ACK => APP_ZQ_ACK,
MIG_UI_CLK_SYNC_RST => MIG_UI_CLK_SYNC_RST,
MIG_INIT_CALIB_COMPLETE => MIG_INIT_CALIB_COMPLETE,
MIG_DEVICE_TEMP_I => XADC_TEMP
);
MIG_CTL: migui_arty -- MIG iface -----------------
port map (
DDR3_DQ => DDR3_DQ,
DDR3_DQS_P => DDR3_DQS_P,
DDR3_DQS_N => DDR3_DQS_N,
DDR3_ADDR => DDR3_ADDR,
DDR3_BA => DDR3_BA,
DDR3_RAS_N => DDR3_RAS_N,
DDR3_CAS_N => DDR3_CAS_N,
DDR3_WE_N => DDR3_WE_N,
DDR3_RESET_N => DDR3_RESET_N,
DDR3_CK_P => DDR3_CK_P,
DDR3_CK_N => DDR3_CK_N,
DDR3_CKE => DDR3_CKE,
DDR3_CS_N => DDR3_CS_N,
DDR3_DM => DDR3_DM,
DDR3_ODT => DDR3_ODT,
APP_ADDR => APP_ADDR,
APP_CMD => APP_CMD,
APP_EN => APP_EN,
APP_WDF_DATA => APP_WDF_DATA,
APP_WDF_END => APP_WDF_END,
APP_WDF_MASK => APP_WDF_MASK,
APP_WDF_WREN => APP_WDF_WREN,
APP_RD_DATA => APP_RD_DATA,
APP_RD_DATA_END => APP_RD_DATA_END,
APP_RD_DATA_VALID => APP_RD_DATA_VALID,
APP_RDY => APP_RDY,
APP_WDF_RDY => APP_WDF_RDY,
APP_SR_REQ => APP_SR_REQ,
APP_REF_REQ => APP_REF_REQ,
APP_ZQ_REQ => APP_ZQ_REQ,
APP_SR_ACTIVE => APP_SR_ACTIVE,
APP_REF_ACK => APP_REF_ACK,
APP_ZQ_ACK => APP_ZQ_ACK,
UI_CLK => CLK,
UI_CLK_SYNC_RST => MIG_UI_CLK_SYNC_RST,
INIT_CALIB_COMPLETE => MIG_INIT_CALIB_COMPLETE,
SYS_CLK_I => CLKMIG,
CLK_REF_I => CLKREF,
DEVICE_TEMP_I => XADC_TEMP,
SYS_RST => MIG_SYS_RST
);
MIG_SYS_RST <= (not LOCKED_CLKMIG) or I_BTN(3); -- provisional !
SMRB: sysmonx_rbus_arty
generic map ( -- use default INIT_ (LP: Vccint=0.95)
CLK_MHZ => sys_conf_clksys_mhz,
RB_ADDR => rbaddr_sysmon)
port map (
CLK => CLK,
RESET => '0', -- FIXME: no RESET
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_SYSMON,
ALM => open,
OT => open,
TEMP => XADC_TEMP,
VPWRN => A_VPWRN,
VPWRP => A_VPWRP
);
UARB : rbd_usracc
port map (
CLK => CLK,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_USRACC
);
RB_SRES_OR : rb_sres_or_3 -- rbus or ---------------------------
port map (
RB_SRES_1 => RB_SRES_TST,
RB_SRES_2 => RB_SRES_SYSMON,
RB_SRES_3 => RB_SRES_USRACC,
RB_SRES_OR => RB_SRES
);
proc_dim: process (CLKMIG)
begin
if rising_edge(CLKMIG) then
R_DIMCNT <= slv(unsigned(R_DIMCNT) + 1);
if unsigned(R_DIMCNT) = 0 then
R_DIMFLG <= '1';
else
R_DIMFLG <= '0';
end if;
end if;
end process proc_dim;
RB_LAM(0) <= RB_LAM_TST;
O_LED(1) <= SER_MONI.txact;
O_LED(0) <= SER_MONI.rxact;
-- red LED for serious error conditions
O_RGBLED0(0) <= R_DIMFLG and (I_BTN(0) or not LOCKED);
O_RGBLED1(0) <= R_DIMFLG and (I_BTN(0));
O_RGBLED2(0) <= R_DIMFLG and (I_BTN(0) or MIG_UI_CLK_SYNC_RST);
O_RGBLED3(0) <= R_DIMFLG and (I_BTN(0) or not MIG_INIT_CALIB_COMPLETE);
-- green LED for activity
O_RGBLED0(1) <= R_DIMFLG and (I_BTN(1));
O_RGBLED1(1) <= R_DIMFLG and (I_BTN(1));
O_RGBLED2(1) <= R_DIMFLG and (I_BTN(1) or not APP_RDY);
O_RGBLED3(1) <= R_DIMFLG and (I_BTN(1) or not APP_WDF_RDY);
-- blue LED currently unused
O_RGBLED0(2) <= R_DIMFLG and (I_BTN(2));
O_RGBLED1(2) <= R_DIMFLG and (I_BTN(2));
O_RGBLED2(2) <= R_DIMFLG and (I_BTN(2));
O_RGBLED3(2) <= R_DIMFLG and (I_BTN(2));
end syn;
|
----------------------------------------------------------------------------------
-- Company: NTU ATHNENS - BNL
-- Engineer: Panagiotis Gkountoumis
--
-- Create Date: 18.04.2016 13:00:21
-- Design Name:
-- Module Name: config_logic - Behavioral
-- Project Name: MMFE8
-- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484
-- Tool Versions: Vivado 2016.2
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use UNISIM.VComponents.all;
entity i2c_top is
generic(cnt_1ms : natural := 50_000; -- 20ns*50_000 = 1ms
cnt_10ms : natural := 500_000); --20ns*500_000 = 10ms
port(
clk_in : in std_logic; -- clk40, W19, LVCMOS33
phy_rstn_out : out std_logic
);
end i2c_top;
architecture rtl of i2c_top is
signal phy_resetn : std_logic := '0';
begin
phy_rstn_out <= phy_resetn;
phy_resetn_process : process(clk_in, phy_resetn) is
variable cnt : natural range 0 to cnt_1ms := 0; --1ms
begin
if (rising_edge(clk_in)) then
if phy_resetn = '0' then --resetn
if(cnt < cnt_1ms)then --cnt
cnt := cnt + 1;
elsif(cnt = cnt_1ms)then
cnt := 0;
phy_resetn <= '1';
else null;
end if; --cnt
else null;
end if; --resetn check
end if; --clk
end process;
end rtl;
|
----------------------------------------------------------------------------------
-- Company: NTU ATHNENS - BNL
-- Engineer: Panagiotis Gkountoumis
--
-- Create Date: 18.04.2016 13:00:21
-- Design Name:
-- Module Name: config_logic - Behavioral
-- Project Name: MMFE8
-- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484
-- Tool Versions: Vivado 2016.2
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use UNISIM.VComponents.all;
entity i2c_top is
generic(cnt_1ms : natural := 50_000; -- 20ns*50_000 = 1ms
cnt_10ms : natural := 500_000); --20ns*500_000 = 10ms
port(
clk_in : in std_logic; -- clk40, W19, LVCMOS33
phy_rstn_out : out std_logic
);
end i2c_top;
architecture rtl of i2c_top is
signal phy_resetn : std_logic := '0';
begin
phy_rstn_out <= phy_resetn;
phy_resetn_process : process(clk_in, phy_resetn) is
variable cnt : natural range 0 to cnt_1ms := 0; --1ms
begin
if (rising_edge(clk_in)) then
if phy_resetn = '0' then --resetn
if(cnt < cnt_1ms)then --cnt
cnt := cnt + 1;
elsif(cnt = cnt_1ms)then
cnt := 0;
phy_resetn <= '1';
else null;
end if; --cnt
else null;
end if; --resetn check
end if; --clk
end process;
end rtl;
|
----------------------------------------------------------------------------------
-- Data de criação: 18 de setembro de 2014;
-- Module Name: Teste de interface de recepção de dados;
-- Used TAB of 4 Spaces
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity interface_tb is
end interface_tb;
architecture Behavioral of interface_tb is
----------------------------------------------
-- Constants
----------------------------------------------
constant MAIN_CLK_PER : time := 20 ns; -- 50 MHz
constant MAIN_CLK : integer := 50;
constant BAUD_RATE : integer := 9600; -- Bits per Second
constant RST_LVL : std_logic := '1'; -- Active Level of Reset
----------------------------------------------
-- Signal Declaration
----------------------------------------------
-- Clock and reset Signals
signal clk_50m : std_logic := '0';
signal rst : std_logic;
signal rx_ready_in : std_logic;
signal rx_data_in : std_logic_vector(7 downto 0);
-- componente descrito como manda o documento de arquitetura,
-- segundo fontes, caso o mapeamento das portas seja esse, funciona
-- independentemente da linguagem.
component interfaceControl is
port (
clk: in std_logic;
reset: in std_logic;
rx_data_ready: in std_logic;
rx_data: in std_logic_vector(7 downto 0);
data_a: out std_logic_vector(7 downto 0);
data_b: out std_logic_vector(7 downto 0);
operation: out std_logic_vector(7 downto 0)
);
end component;
begin
----------------------------------------------
-- Components Instantiation
----------------------------------------------
uut: component interfaceControl port map(
-- Controle
clk => clk_50m, -- seta clock para o gerado por este rtl
reset => rst, -- seta o reset para o gerado por este rtl
-- interface de entrada
rx_data_ready => rx_ready_in, -- seta o pino que anuncia a transmissão
rx_data => rx_data_in, -- seta o pino que tem os dados da transmissão
-- Saídas
data_a => open,
data_b => open,
operation => open
);
----------------------------------------------
-- Main Signals Generation
----------------------------------------------
-- gera clocl que é enviado para o modulo de interface_control
main_clock_generation : process
begin
wait for MAIN_CLK_PER / 2;
clk_50m <= not clk_50m;
end process;
envia_dados : process
variable temp : integer := 1;
begin
--verifica qual o valor de temp, pois temp define qual dado será enviado
if temp = 1 then
rx_data_in <= "00000001";
temp:= temp +1;
elsif temp = 2 then
rx_data_in <= "01000010";
temp:= temp+1;
else
rx_data_in <= "11111111";
end if;
-- atraso
wait for 100ns;
-- rx_ready_in fica com valor '1' durante tempo de um pulso de clock
rx_ready_in <= '1';
wait for MAIN_CLK_PER / 2;
rx_ready_in <= '0';
-- reinicia a variavel temp e envia um reset caso 3 dados já forem enviados
if temp = 3 then
temp := 1;
wait for 200ns;
rst <= '1';
wait for MAIN_CLK_PER /2;
rst <= '0';
end if;
end process envia_dados;
end Behavioral; |
library IEEE;
use IEEE.std_logic_1164.all;
entity tb_dezctr is
end tb_dezctr;
-- Beim Testen den Prescaler anpassen
architecture sim of tb_dezctr is
component dezctr
port (
clk50 : in std_logic;
reset_n : in std_logic;
sw_i : in std_logic_vector(9 downto 0);
pb_i : in std_logic_vector(1 downto 0);
ss0_o : out std_logic_vector(7 downto 0);
ss1_o : out std_logic_vector(7 downto 0);
ss2_o : out std_logic_vector(7 downto 0);
ss3_o : out std_logic_vector(7 downto 0));
end component;
signal s_clk50 : std_logic := '0';
signal s_reset_n : std_logic := '0';
signal s_sw_i : std_logic_vector(9 downto 0) := (others =>'0');
signal s_pb_i : std_logic_vector(1 downto 0) := (others =>'0');
signal s_ss0_o, s_ss1_o,
s_ss2_o, s_ss3_o : std_logic_vector(7 downto 0) := (others =>'0');
begin
i_dezctr : dezctr
port map (
clk50 => s_clk50,
reset_n => s_reset_n,
sw_i => s_sw_i,
pb_i => s_pb_i,
ss0_o => s_ss0_o,
ss1_o => s_ss1_o,
ss2_o => s_ss2_o,
ss3_o => s_ss3_o
);
s_clk50 <= not s_clk50 after 1 ns;
p_test : process
begin
-- Nach Rest hochzählen
s_reset_n <= '0';
wait for 100 ns;
s_reset_n <= '1';
wait for 500 ns;
-- Runterzählen
s_pb_i(1) <= '1';
wait for 100 ns;
s_pb_i(1) <= '0';
wait for 500 ns;
end process;
end sim;
|
---------------------------------------------------------------------
-- Instruction fetch
--
-- Part of the LXP32 CPU
--
-- Copyright (c) 2016 by Alex I. Kuznetsov
--
-- The first stage of the LXP32 pipeline.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lxp32_fetch is
generic(
START_ADDR: std_logic_vector(31 downto 0)
);
port(
clk_i: in std_logic;
rst_i: in std_logic;
lli_re_o: out std_logic;
lli_adr_o: out std_logic_vector(29 downto 0);
lli_dat_i: in std_logic_vector(31 downto 0);
lli_busy_i: in std_logic;
word_o: out std_logic_vector(31 downto 0);
current_ip_o: out std_logic_vector(29 downto 0);
next_ip_o: out std_logic_vector(29 downto 0);
valid_o: out std_logic;
ready_i: in std_logic;
jump_valid_i: in std_logic;
jump_dst_i: in std_logic_vector(29 downto 0);
jump_ready_o: out std_logic
);
end entity;
architecture rtl of lxp32_fetch is
signal init: std_logic:='1';
signal init_cnt: unsigned(7 downto 0):=(others=>'0');
signal fetch_addr: std_logic_vector(29 downto 0):=START_ADDR(31 downto 2);
signal next_word: std_logic;
signal suppress_re: std_logic:='0';
signal re: std_logic;
signal requested: std_logic:='0';
signal fifo_rst: std_logic;
signal fifo_we: std_logic;
signal fifo_din: std_logic_vector(31 downto 0);
signal fifo_re: std_logic;
signal fifo_dout: std_logic_vector(31 downto 0);
signal fifo_empty: std_logic;
signal fifo_full: std_logic;
signal jr: std_logic:='0';
signal next_ip: std_logic_vector(fetch_addr'range);
signal current_ip: std_logic_vector(fetch_addr'range);
begin
-- INIT state machine (to initialize all registers)
-- All CPU registers are expected to be zero-initialized after reset.
-- Since these registers are implemented as a RAM block, we perform
-- the initialization sequentially by generating "mov rN, 0" instructions
-- for each N from 0 to 255.
--
-- With SRAM-based FPGAs, flip-flops and RAM blocks have deterministic
-- state after configuration. On these technologies the CPU can operate
-- without reset and the initialization procedure described above is not
-- needed. However, the initialization is still performed as usual when
-- external reset signal is asserted.
process (clk_i) is
begin
if rising_edge(clk_i) then
if rst_i='1' then
init<='0';
init_cnt<=(others=>'0');
else
if init='0' and ready_i='1' then
init_cnt<=init_cnt+1;
if init_cnt=X"FF" then
init<='1';
end if;
end if;
end if;
end if;
end process;
-- FETCH state machine
process (clk_i) is
begin
if rising_edge(clk_i) then
if rst_i='1' then
fetch_addr<=START_ADDR(31 downto 2);
requested<='0';
jr<='0';
suppress_re<='0';
next_ip<=(others=>'-');
else
jr<='0';
-- Suppress LLI request if jump signal is active but will not be processed
-- in this cycle. Helps to reduce jump latency with high-latency LLI slaves.
-- Note: gating "re" with "jump_valid_i and not jr" asynchronously would
-- reduce jump latency even more, but we really want to avoid too large
-- clock-to-out on LLI outputs.
suppress_re<=jump_valid_i and not jr and not next_word;
if lli_busy_i='0' then
requested<=re and not (jump_valid_i and not jr);
end if;
if next_word='1' then
-- It's not immediately obvious why, but current_ip and next_ip will contain
-- the addresses of the current instruction and the next instruction to be
-- fetched, respectively, by the time the instruction is passed to the decode
-- stage. Basically, this is because when either the decoder or the IBUS
-- stalls, the fetch_addr counter will also stop incrementing.
next_ip<=fetch_addr;
current_ip<=next_ip;
if jump_valid_i='1' and jr='0' then
fetch_addr<=jump_dst_i;
jr<='1';
else
fetch_addr<=std_logic_vector(unsigned(fetch_addr)+1);
end if;
end if;
end if;
end if;
end process;
next_word<=(fifo_empty or ready_i) and not lli_busy_i and init;
re<=(fifo_empty or ready_i) and init and not suppress_re;
lli_re_o<=re;
lli_adr_o<=fetch_addr;
jump_ready_o<=jr;
-- Small instruction buffer
fifo_rst<=rst_i or (jump_valid_i and not jr);
fifo_we<=requested and not lli_busy_i;
fifo_din<=lli_dat_i;
fifo_re<=ready_i and not fifo_empty;
ubuf_inst: entity work.lxp32_ubuf(rtl)
generic map(
DATA_WIDTH=>32
)
port map(
clk_i=>clk_i,
rst_i=>fifo_rst,
we_i=>fifo_we,
d_i=>fifo_din,
re_i=>fifo_re,
d_o=>fifo_dout,
empty_o=>fifo_empty,
full_o=>fifo_full
);
next_ip_o<=next_ip;
current_ip_o<=current_ip;
word_o<=fifo_dout when init='1' else X"40"&std_logic_vector(init_cnt)&X"0000";
valid_o<=not fifo_empty or not init;
-- Note: the following code contains a few simulation-only assertions
-- to check that current_ip and next_ip signals, used in procedure calls
-- and interrupts, are correct.
-- This code should be ignored by a synthesizer since it doesn't drive
-- any signals, but we also surround it by metacomments, just in case.
-- synthesis translate_off
process (clk_i) is
type Pair is record
addr: std_logic_vector(fetch_addr'range);
data: std_logic_vector(31 downto 0);
end record;
type Pairs is array (7 downto 0) of Pair;
variable buf: Pairs;
variable count: integer range buf'range:=0;
variable current_pair: Pair;
begin
if rising_edge(clk_i) then
if fifo_rst='1' then -- jump
count:=0;
elsif fifo_we='1' then -- LLI returned data
current_pair.data:=fifo_din;
buf(count):=current_pair;
count:=count+1;
end if;
if re='1' and lli_busy_i='0' then -- data requested
current_pair.addr:=fetch_addr;
end if;
if fifo_empty='0' and fifo_rst='0' then -- fetch output is valid
assert count>0
report "Fetch: buffer should be empty"
severity failure;
assert buf(0).data=fifo_dout
report "Fetch: incorrect data"
severity failure;
assert buf(0).addr=current_ip
report "Fetch: incorrect current_ip"
severity failure;
assert std_logic_vector(unsigned(buf(0).addr)+1)=next_ip
report "Fetch: incorrect next_ip"
severity failure;
if ready_i='1' then
buf(buf'high-1 downto 0):=buf(buf'high downto 1); -- we don't care about the highest item
count:=count-1;
end if;
end if;
end if;
end process;
-- synthesis translate_on
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
--use IEEE.std_logic_arith.ALL;
entity dynamic is
port (
clk_1hz : in std_logic;
leds : out std_logic_vector(3 downto 0)
);
end dynamic;
architecture Behavioral of dynamic is
signal output : std_logic_vector(3 downto 0) := "0000";
begin
process (clk_1hz)
begin
if rising_edge(clk_1hz) then
output <= output + 1;
end if;
end process;
leds <= output;
end Behavioral;
|
-------------------------------------------------------
--! @author Andrew Powell
--! @date January 28, 2017
--! @brief Contains the entity and architecture of the
--! Plasma-SoC's Interrupt Controller.
-------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.plasoc_int_pack.all;
--! The Interrupt Controller is developed to extend
--! the single external interrupt of the Plasma-SoC's CPU to
--! support multiple interrupts. The only goals behind the
--! development of the Interrupt Controller are simplicity and
--! having a Slave AXI4-Lite interface.
--!
--! The operation of the Interrupt Controller is as follows. Each
--! device interrupt, which are the interrupts associated with the
--! devices connecting to the Interrupt Controller, is enabled by
--! writing to the corresponding bit at the Interrupt Enables register
--! located at axi_int_enables_offset. A device can trigger its respective
--! interrupt by setting it high. At this point, the device interrupt is
--! considered active if it is both enabled in the Interrupt Enables register
--! and set high by the respective device.
--!
--! If there is at least one active device interrupt, the Interrupt Controller
--! will set the CPU interrupt, which is the single interrupt associated with the
--! CPU, high and set the Interrupt Identifier register at axi_int_id_offset
--! as the identifier (IRQ) of the active device interrupt.
--! If there are multiple active device interrupts, the lowest identifier will
--! always have priority over the Interrupt Identifier register. The CPU
--! interrupt will remain high until there are no active device interrupts.
--!
--! Information specific to the AXI4-Lite
--! protocol is excluded from this documentation since the information can
--! be found in official ARM AMBA4 AXI documentation.
entity plasoc_int is
generic(
-- Slave AXI4-Lite parameters.
axi_address_width : integer := 16; --! Defines the AXI4-Lite Address Width.
axi_data_width : integer := 32; --! Defines the AXI4-Lite Data Width.
axi_int_id_offset : integer := 4; --! Defines the offset from axi_base_address for the Interrupt Identifier register.
axi_int_enables_offset : integer := 0; --! Defines the offset from axi_base_address for the Interrupt Enables register.
axi_int_active_offset : integer := 8; --! Defines the offset from axi_base_address for the Interrupt Active register.
-- Interrupt Controller parameters.
interrupt_total : integer := 8 --! Defines the number of available device interrupts.
);
port(
-- Global Interface.
aclk : in std_logic; --! Clock. Tested with 50 MHz.
aresetn : in std_logic; --! Reset on low.
-- Slave AXI4-Lite Write interface.
axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Write signal.
axi_awprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Write signal.
axi_awvalid : in std_logic; --! AXI4-Lite Address Write signal.
axi_awready : out std_logic; --! AXI4-Lite Address Write signal.
axi_wvalid : in std_logic; --! AXI4-Lite Write Data signal.
axi_wready : out std_logic; --! AXI4-Lite Write Data signal.
axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); --! AXI4-Lite Write Data signal.
axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); --! AXI4-Lite Write Data signal.
axi_bvalid : out std_logic; --! AXI4-Lite Write Response signal.
axi_bready : in std_logic; --! AXI4-Lite Write Response signal.
axi_bresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Write Response signal.
-- Slave AXI4-Lite Read interface.
axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Read signal.
axi_arprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Read signal.
axi_arvalid : in std_logic; --! AXI4-Lite Address Read signal.
axi_arready : out std_logic; --! AXI4-Lite Address Read signal.
axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); --! AXI4-Lite Read Data signal.
axi_rvalid : out std_logic; --! AXI4-Lite Read Data signal.
axi_rready : in std_logic; --! AXI4-Lite Read Data signal.
axi_rresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Read Data signal.
-- CPU interface.
cpu_int : out std_logic; --! CPU interrupt.
-- Device interface.
dev_ints : in std_logic_vector(interrupt_total-1 downto 0)); --! Device interrupts.
end plasoc_int;
architecture Behavioral of plasoc_int is
component plasoc_int_cntrl is
generic (
interrupt_total : integer := 8 );
port (
clock : in std_logic;
nreset : in std_logic;
cpu_int : out std_logic := '0';
cpu_int_id : out std_logic_vector(clogb2(interrupt_total) downto 0) := (others=>'0');
cpu_int_enables : in std_logic_vector(interrupt_total-1 downto 0);
cpu_int_active : out std_logic_vector(interrupt_total-1 downto 0);
dev_ints : in std_logic_vector(interrupt_total-1 downto 0));
end component;
component plasoc_int_axi4_read_cntrl is
generic (
axi_address_width : integer := 16;
axi_data_width : integer := 32;
int_id_address : std_logic_vector := X"0004";
int_enables_address : std_logic_vector := X"0000";
int_active_address : std_logic_vector := X"0008");
port (
aclk : in std_logic;
aresetn : in std_logic;
axi_araddr : in std_logic_vector(axi_address_width-1 downto 0);
axi_arprot : in std_logic_vector(2 downto 0);
axi_arvalid : in std_logic;
axi_arready : out std_logic;
axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0');
axi_rvalid : out std_logic;
axi_rready : in std_logic;
axi_rresp : out std_logic_vector(1 downto 0);
int_id : in std_logic_vector(axi_data_width-1 downto 0);
int_enables : in std_logic_vector(axi_data_width-1 downto 0);
int_active : in std_logic_vector(axi_data_width-1 downto 0));
end component;
component plasoc_int_axi4_write_cntrl is
generic (
axi_address_width : integer := 16;
axi_data_width : integer := 32;
int_enables_address : std_logic_vector := X"0000");
port (
aclk : in std_logic;
aresetn : in std_logic;
axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0);
axi_awprot : in std_logic_vector(2 downto 0);
axi_awvalid : in std_logic;
axi_awready : out std_logic;
axi_wvalid : in std_logic;
axi_wready : out std_logic;
axi_wdata : in std_logic_vector(axi_data_width-1 downto 0);
axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0);
axi_bvalid : out std_logic;
axi_bready : in std_logic;
axi_bresp : out std_logic_vector(1 downto 0);
int_enables : out std_logic_vector(axi_data_width-1 downto 0));
end component;
constant axi_int_id_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(axi_int_id_offset,axi_address_width));
constant axi_int_enables_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(axi_int_enables_offset,axi_address_width));
constant axi_int_active_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(axi_int_active_offset,axi_address_width));
signal int_id : std_logic_vector(axi_data_width-1 downto 0);
signal int_enables : std_logic_vector(axi_data_width-1 downto 0);
signal int_active : std_logic_vector(axi_data_width-1 downto 0);
begin
int_id(axi_data_width-1 downto clogb2(interrupt_total)+1) <= (others=>'0');
int_active(axi_data_width-1 downto interrupt_total) <= (others=>'0');
plasoc_int_cntrl_inst :
plasoc_int_cntrl
generic map (
interrupt_total => interrupt_total )
port map (
clock => aclk,
nreset => aresetn,
cpu_int => cpu_int,
cpu_int_id => int_id(clogb2(interrupt_total) downto 0),
cpu_int_enables => int_enables(interrupt_total-1 downto 0),
cpu_int_active => int_active(interrupt_total-1 downto 0),
dev_ints => dev_ints);
plasoc_int_axi4_read_cntrl_inst :
plasoc_int_axi4_read_cntrl
generic map (
axi_address_width => axi_address_width,
axi_data_width => axi_data_width,
int_id_address => axi_int_id_offset_slv,
int_enables_address => axi_int_enables_offset_slv,
int_active_address => axi_int_active_offset_slv )
port map (
aclk => aclk,
aresetn => aresetn,
axi_araddr => axi_araddr,
axi_arprot => axi_arprot,
axi_arvalid => axi_arvalid,
axi_arready => axi_arready,
axi_rdata => axi_rdata,
axi_rvalid => axi_rvalid,
axi_rready => axi_rready,
axi_rresp => axi_rresp,
int_id => int_id,
int_enables => int_enables,
int_active => int_active);
plasoc_int_axi4_write_cntrl_inst :
plasoc_int_axi4_write_cntrl
generic map (
axi_address_width => axi_address_width,
axi_data_width => axi_data_width,
int_enables_address => axi_int_enables_offset_slv)
port map (
aclk => aclk,
aresetn => aresetn,
axi_awaddr => axi_awaddr,
axi_awprot => axi_awprot,
axi_awvalid => axi_awvalid,
axi_awready => axi_awready,
axi_wvalid => axi_wvalid,
axi_wready => axi_wready,
axi_wdata => axi_wdata,
axi_wstrb => axi_wstrb,
axi_bvalid => axi_bvalid,
axi_bready => axi_bready,
axi_bresp => axi_bresp,
int_enables => int_enables);
end Behavioral;
|
-------------------------------------------------------------------
-- System Generator version 13.4 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package conv_pkg is
constant simulating : boolean := false
-- synopsys translate_off
or true
-- synopsys translate_on
;
constant xlUnsigned : integer := 1;
constant xlSigned : integer := 2;
constant xlFloat : integer := 3;
constant xlWrap : integer := 1;
constant xlSaturate : integer := 2;
constant xlTruncate : integer := 1;
constant xlRound : integer := 2;
constant xlRoundBanker : integer := 3;
constant xlAddMode : integer := 1;
constant xlSubMode : integer := 2;
attribute black_box : boolean;
attribute syn_black_box : boolean;
attribute fpga_dont_touch: string;
attribute box_type : string;
attribute keep : string;
attribute syn_keep : boolean;
function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned;
function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector;
function std_logic_vector_to_signed(inp : std_logic_vector) return signed;
function signed_to_std_logic_vector(inp : signed) return std_logic_vector;
function unsigned_to_signed(inp : unsigned) return signed;
function signed_to_unsigned(inp : signed) return unsigned;
function pos(inp : std_logic_vector; arith : INTEGER) return boolean;
function all_same(inp: std_logic_vector) return boolean;
function all_zeros(inp: std_logic_vector) return boolean;
function is_point_five(inp: std_logic_vector) return boolean;
function all_ones(inp: std_logic_vector) return boolean;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector;
function cast (inp : std_logic_vector; old_bin_pt,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function shift_division_result(quotient, fraction: std_logic_vector;
fraction_width, shift_value, shift_dir: INTEGER)
return std_logic_vector;
function shift_op (inp: std_logic_vector;
result_width, shift_value, shift_dir: INTEGER)
return std_logic_vector;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned;
function s2s_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function u2s_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function s2u_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2u_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2v_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function s2v_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function max_signed(width : INTEGER) return std_logic_vector;
function min_signed(width : INTEGER) return std_logic_vector;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER) return std_logic_vector;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width, arith : integer)
return std_logic_vector;
function max(L, R: INTEGER) return INTEGER;
function min(L, R: INTEGER) return INTEGER;
function "="(left,right: STRING) return boolean;
function boolean_to_signed (inp : boolean; width: integer)
return signed;
function boolean_to_unsigned (inp : boolean; width: integer)
return unsigned;
function boolean_to_vector (inp : boolean)
return std_logic_vector;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector;
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector;
function hex_string_to_std_logic_vector (inp : string; width : integer)
return std_logic_vector;
function makeZeroBinStr (width : integer) return STRING;
function and_reduce(inp: std_logic_vector) return std_logic;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean;
function is_binary_string_undefined (inp : string)
return boolean;
function is_XorU(inp : std_logic_vector)
return boolean;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector;
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector;
constant display_precision : integer := 20;
function real_to_string (inp : real) return string;
function valid_bin_string(inp : string) return boolean;
function std_logic_vector_to_bin_string(inp : std_logic_vector) return string;
function std_logic_to_bin_string(inp : std_logic) return string;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string;
type stdlogic_to_char_t is array(std_logic) of character;
constant to_char : stdlogic_to_char_t := (
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-');
-- synopsys translate_on
end conv_pkg;
package body conv_pkg is
function std_logic_vector_to_unsigned(inp : std_logic_vector)
return unsigned
is
begin
return unsigned (inp);
end;
function unsigned_to_std_logic_vector(inp : unsigned)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function std_logic_vector_to_signed(inp : std_logic_vector)
return signed
is
begin
return signed (inp);
end;
function signed_to_std_logic_vector(inp : signed)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function unsigned_to_signed (inp : unsigned)
return signed
is
begin
return signed(std_logic_vector(inp));
end;
function signed_to_unsigned (inp : signed)
return unsigned
is
begin
return unsigned(std_logic_vector(inp));
end;
function pos(inp : std_logic_vector; arith : INTEGER)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
return true;
else
if vec(width-1) = '0' then
return true;
else
return false;
end if;
end if;
return true;
end;
function max_signed(width : INTEGER)
return std_logic_vector
is
variable ones : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
ones := (others => '1');
result(width-1) := '0';
result(width-2 downto 0) := ones;
return result;
end;
function min_signed(width : INTEGER)
return std_logic_vector
is
variable zeros : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
zeros := (others => '0');
result(width-1) := '1';
result(width-2 downto 0) := zeros;
return result;
end;
function and_reduce(inp: std_logic_vector) return std_logic
is
variable result: std_logic;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := vec(0);
if width > 1 then
for i in 1 to width-1 loop
result := result and vec(i);
end loop;
end if;
return result;
end;
function all_same(inp: std_logic_vector) return boolean
is
variable result: boolean;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := true;
if width > 0 then
for i in 1 to width-1 loop
if vec(i) /= vec(0) then
result := false;
end if;
end loop;
end if;
return result;
end;
function all_zeros(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable zero : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
zero := (others => '0');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then
result := true;
else
result := false;
end if;
return result;
end;
function is_point_five(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (width > 1) then
if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then
result := true;
else
result := false;
end if;
else
if (vec(width-1) = '1') then
result := true;
else
result := false;
end if;
end if;
return result;
end;
function all_ones(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable one : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
one := (others => '1');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then
result := true;
else
result := false;
end if;
return result;
end;
function full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return integer
is
variable result : integer;
begin
result := old_width + 2;
return result;
end;
function quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return integer
is
variable right_of_dp, left_of_dp, result : integer;
begin
right_of_dp := max(new_bin_pt, old_bin_pt);
left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt));
result := (old_width + 2) + (new_bin_pt - old_bin_pt);
return result;
end;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector
is
constant fp_width : integer :=
full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith, new_width,
new_bin_pt, new_arith);
constant fp_bin_pt : integer := old_bin_pt;
constant fp_arith : integer := old_arith;
variable full_precision_result : std_logic_vector(fp_width-1 downto 0);
constant q_width : integer :=
quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith);
constant q_bin_pt : integer := new_bin_pt;
constant q_arith : integer := old_arith;
variable quantized_result : std_logic_vector(q_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
result := (others => '0');
full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt,
fp_arith);
if (quantization = xlRound) then
quantized_result := round_towards_inf(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
elsif (quantization = xlRoundBanker) then
quantized_result := round_towards_even(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
else
quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt, q_arith);
end if;
if (overflow = xlSaturate) then
result := saturation_arith(quantized_result, q_width, q_bin_pt,
q_arith, new_width, new_bin_pt, new_arith);
else
result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith,
new_width, new_bin_pt, new_arith);
end if;
return result;
end;
function cast (inp : std_logic_vector; old_bin_pt, new_width,
new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
constant left_of_dp : integer := (new_width - new_bin_pt)
- (old_width - old_bin_pt);
constant right_of_dp : integer := (new_bin_pt - old_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable j : integer;
begin
vec := inp;
for i in new_width-1 downto 0 loop
j := i - right_of_dp;
if ( j > old_width-1) then
if (new_arith = xlUnsigned) then
result(i) := '0';
else
result(i) := vec(old_width-1);
end if;
elsif ( j >= 0) then
result(i) := vec(j);
else
result(i) := '0';
end if;
end loop;
return result;
end;
function shift_division_result(quotient, fraction: std_logic_vector;
fraction_width, shift_value, shift_dir: INTEGER)
return std_logic_vector
is
constant q_width : integer := quotient'length;
constant f_width : integer := fraction'length;
constant vec_MSB : integer := q_width+f_width-1;
constant result_MSB : integer := q_width+fraction_width-1;
constant result_LSB : integer := vec_MSB-result_MSB;
variable vec : std_logic_vector(vec_MSB downto 0);
variable result : std_logic_vector(result_MSB downto 0);
begin
vec := ( quotient & fraction );
if shift_dir = 1 then
for i in vec_MSB downto 0 loop
if (i < shift_value) then
vec(i) := '0';
else
vec(i) := vec(i-shift_value);
end if;
end loop;
else
for i in 0 to vec_MSB loop
if (i > vec_MSB-shift_value) then
vec(i) := vec(vec_MSB);
else
vec(i) := vec(i+shift_value);
end if;
end loop;
end if;
result := vec(vec_MSB downto result_LSB);
return result;
end;
function shift_op (inp: std_logic_vector;
result_width, shift_value, shift_dir: INTEGER)
return std_logic_vector
is
constant inp_width : integer := inp'length;
constant vec_MSB : integer := inp_width-1;
constant result_MSB : integer := result_width-1;
constant result_LSB : integer := vec_MSB-result_MSB;
variable vec : std_logic_vector(vec_MSB downto 0);
variable result : std_logic_vector(result_MSB downto 0);
begin
vec := inp;
if shift_dir = 1 then
for i in vec_MSB downto 0 loop
if (i < shift_value) then
vec(i) := '0';
else
vec(i) := vec(i-shift_value);
end if;
end loop;
else
for i in 0 to vec_MSB loop
if (i > vec_MSB-shift_value) then
vec(i) := vec(vec_MSB);
else
vec(i) := vec(i+shift_value);
end if;
end loop;
end if;
result := vec(vec_MSB downto result_LSB);
return result;
end;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector
is
begin
return inp(upper downto lower);
end;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function s2u_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function u2s_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2u_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2v_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned);
end;
function s2v_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned);
end;
function boolean_to_signed (inp : boolean; width : integer)
return signed
is
variable result : signed(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_unsigned (inp : boolean; width : integer)
return unsigned
is
variable result : unsigned(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_vector (inp : boolean)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result(0) := inp;
return result;
end;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
result := zero_ext(vec(old_width-1 downto right_of_dp), new_width);
else
result := sign_ext(vec(old_width-1 downto right_of_dp), new_width);
end if;
else
if new_arith = xlUnsigned then
result := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
result := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
return result;
end;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (new_arith = xlSigned) then
if (vec(old_width-1) = '0') then
one_or_zero(0) := '1';
end if;
if (right_of_dp >= 2) and (right_of_dp <= old_width) then
if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then
one_or_zero(0) := '1';
end if;
end if;
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if vec(right_of_dp-1) = '0' then
one_or_zero(0) := '0';
end if;
else
one_or_zero(0) := '0';
end if;
else
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
one_or_zero(0) := vec(right_of_dp-1);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then
one_or_zero(0) := vec(right_of_dp-1);
else
one_or_zero(0) := vec(right_of_dp);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant left_of_dp : integer := (old_width - old_bin_pt) -
(new_width - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable overflow : boolean;
begin
vec := inp;
overflow := true;
result := (others => '0');
if (new_width >= old_width) then
overflow := false;
end if;
if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if (old_arith = xlSigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
if (vec(new_width-1) = '0') then
overflow := false;
end if;
end if;
end if;
end if;
if (old_arith = xlUnsigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
overflow := false;
end if;
end if;
end if;
if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if overflow then
if new_arith = xlSigned then
if vec(old_width-1) = '0' then
result := max_signed(new_width);
else
result := min_signed(new_width);
end if;
else
if ((old_arith = xlSigned) and vec(old_width-1) = '1') then
result := (others => '0');
else
result := (others => '1');
end if;
end if;
else
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
if (vec(old_width-1) = '1') then
vec := (others => '0');
end if;
end if;
if new_width <= old_width then
result := vec(new_width-1 downto 0);
else
if new_arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
end if;
end if;
return result;
end;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
variable result_arith : integer;
begin
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
result_arith := xlSigned;
end if;
result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith);
return result;
end;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is
begin
return max(a_bin_pt, b_bin_pt);
end;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER is
begin
return max(a_width - a_bin_pt, b_width - b_bin_pt);
end;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
constant pad_pos : integer := new_width - orig_width - 1;
begin
vec := inp;
pos := new_width-1;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pad_pos >= 0 then
for i in pad_pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := vec(old_width-1);
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := '0';
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
begin
result(0) := inp;
for i in new_width-1 downto 1 loop
result(i) := '0';
end loop;
return result;
end;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
return result;
end;
function pad_LSB(inp : std_logic_vector; new_width, arith: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
begin
vec := inp;
pos := new_width-1;
if (arith = xlUnsigned) then
result(pos) := '0';
pos := pos - 1;
else
result(pos) := vec(orig_width-1);
pos := pos - 1;
end if;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pos >= 0 then
for i in pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector
is
variable vec : std_logic_vector(old_width-1 downto 0);
variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
function "="(left,right: STRING) return boolean is
begin
if (left'length /= right'length) then
return false;
else
test : for i in 1 to left'length loop
if left(i) /= right(i) then
return false;
end if;
end loop test;
return true;
end if;
end;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'X' ) then
result := true;
end if;
end loop;
return result;
end;
function is_binary_string_undefined (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'U' ) then
result := true;
end if;
end loop;
return result;
end;
function is_XorU(inp : std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 0 to width-1 loop
if (vec(i) = 'U') or (vec(i) = 'X') then
result := true;
end if;
end loop;
return result;
end;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real
is
variable vec : std_logic_vector(inp'length-1 downto 0);
variable result, shift_val, undefined_real : real;
variable neg_num : boolean;
begin
vec := inp;
result := 0.0;
neg_num := false;
if vec(inp'length-1) = '1' then
neg_num := true;
end if;
for i in 0 to inp'length-1 loop
if vec(i) = 'U' or vec(i) = 'X' then
return undefined_real;
end if;
if arith = xlSigned then
if neg_num then
if vec(i) = '0' then
result := result + 2.0**i;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
end loop;
if arith = xlSigned then
if neg_num then
result := result + 1.0;
result := result * (-1.0);
end if;
end if;
shift_val := 2.0**(-1*bin_pt);
result := result * shift_val;
return result;
end;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real
is
variable result : real := 0.0;
begin
if inp = '1' then
result := 1.0;
end if;
if arith = xlSigned then
assert false
report "It doesn't make sense to convert a 1 bit number to a signed real.";
end if;
return result;
end;
-- synopsys translate_on
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
begin
if (arith = xlSigned) then
signed_val := to_signed(inp, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(inp, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer
is
constant width : integer := inp'length;
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
variable result : integer;
begin
if (arith = xlSigned) then
signed_val := std_logic_vector_to_signed(inp);
result := to_integer(signed_val);
else
unsigned_val := std_logic_vector_to_unsigned(inp);
result := to_integer(unsigned_val);
end if;
return result;
end;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer
is
begin
if inp = '1' then
return 1;
else
return 0;
end if;
end;
function makeZeroBinStr (width : integer) return STRING is
variable result : string(1 to width+3);
begin
result(1) := '0';
result(2) := 'b';
for i in 3 to width+2 loop
result(i) := '0';
end loop;
result(width+3) := '.';
return result;
end;
-- synopsys translate_off
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
begin
result := (others => '0');
return result;
end;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector
is
variable real_val : real;
variable int_val : integer;
variable result : std_logic_vector(width-1 downto 0) := (others => '0');
variable unsigned_val : unsigned(width-1 downto 0) := (others => '0');
variable signed_val : signed(width-1 downto 0) := (others => '0');
begin
real_val := inp;
int_val := integer(real_val * 2.0**(bin_pt));
if (arith = xlSigned) then
signed_val := to_signed(int_val, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(int_val, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
-- synopsys translate_on
function valid_bin_string (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
begin
vec := inp;
if (vec(1) = '0' and vec(2) = 'b') then
return true;
else
return false;
end if;
end;
function hex_string_to_std_logic_vector(inp: string; width : integer)
return std_logic_vector is
constant strlen : integer := inp'LENGTH;
variable result : std_logic_vector(width-1 downto 0);
variable bitval : std_logic_vector((strlen*4)-1 downto 0);
variable posn : integer;
variable ch : character;
variable vec : string(1 to strlen);
begin
vec := inp;
result := (others => '0');
posn := (strlen*4)-1;
for i in 1 to strlen loop
ch := vec(i);
case ch is
when '0' => bitval(posn downto posn-3) := "0000";
when '1' => bitval(posn downto posn-3) := "0001";
when '2' => bitval(posn downto posn-3) := "0010";
when '3' => bitval(posn downto posn-3) := "0011";
when '4' => bitval(posn downto posn-3) := "0100";
when '5' => bitval(posn downto posn-3) := "0101";
when '6' => bitval(posn downto posn-3) := "0110";
when '7' => bitval(posn downto posn-3) := "0111";
when '8' => bitval(posn downto posn-3) := "1000";
when '9' => bitval(posn downto posn-3) := "1001";
when 'A' | 'a' => bitval(posn downto posn-3) := "1010";
when 'B' | 'b' => bitval(posn downto posn-3) := "1011";
when 'C' | 'c' => bitval(posn downto posn-3) := "1100";
when 'D' | 'd' => bitval(posn downto posn-3) := "1101";
when 'E' | 'e' => bitval(posn downto posn-3) := "1110";
when 'F' | 'f' => bitval(posn downto posn-3) := "1111";
when others => bitval(posn downto posn-3) := "XXXX";
-- synopsys translate_off
ASSERT false
REPORT "Invalid hex value" SEVERITY ERROR;
-- synopsys translate_on
end case;
posn := posn - 4;
end loop;
if (width <= strlen*4) then
result := bitval(width-1 downto 0);
else
result((strlen*4)-1 downto 0) := bitval;
end if;
return result;
end;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector
is
variable pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(inp'length-1 downto 0);
begin
vec := inp;
pos := inp'length-1;
result := (others => '0');
for i in 1 to vec'length loop
-- synopsys translate_off
if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then
assert false
report "Input string is larger than output std_logic_vector. Truncating output.";
return result;
end if;
-- synopsys translate_on
if vec(i) = '0' then
result(pos) := '0';
pos := pos - 1;
end if;
if vec(i) = '1' then
result(pos) := '1';
pos := pos - 1;
end if;
-- synopsys translate_off
if (vec(i) = 'X' or vec(i) = 'U') then
result(pos) := 'U';
pos := pos - 1;
end if;
-- synopsys translate_on
end loop;
return result;
end;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector
is
constant str_width : integer := width + 4;
constant inp_len : integer := inp'length;
constant num_elements : integer := (inp_len + 1)/str_width;
constant reverse_index : integer := (num_elements-1) - index;
variable left_pos : integer;
variable right_pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := (others => '0');
if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := 1;
right_pos := width + 3;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := (reverse_index * str_width) + 1;
right_pos := left_pos + width + 2;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
return result;
end;
-- synopsys translate_off
function std_logic_vector_to_bin_string(inp : std_logic_vector)
return string
is
variable vec : std_logic_vector(1 to inp'length);
variable result : string(vec'range);
begin
vec := inp;
for i in vec'range loop
result(i) := to_char(vec(i));
end loop;
return result;
end;
function std_logic_to_bin_string(inp : std_logic)
return string
is
variable result : string(1 to 3);
begin
result(1) := '0';
result(2) := 'b';
result(3) := to_char(inp);
return result;
end;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string
is
variable width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable str_pos : integer;
variable result : string(1 to width+3);
begin
vec := inp;
str_pos := 1;
result(str_pos) := '0';
str_pos := 2;
result(str_pos) := 'b';
str_pos := 3;
for i in width-1 downto 0 loop
if (((width+3) - bin_pt) = str_pos) then
result(str_pos) := '.';
str_pos := str_pos + 1;
end if;
result(str_pos) := to_char(vec(i));
str_pos := str_pos + 1;
end loop;
if (bin_pt = 0) then
result(str_pos) := '.';
end if;
return result;
end;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string
is
variable result : string(1 to width);
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := real_to_std_logic_vector(inp, width, bin_pt, arith);
result := std_logic_vector_to_bin_string(vec);
return result;
end;
function real_to_string (inp : real) return string
is
variable result : string(1 to display_precision) := (others => ' ');
begin
result(real'image(inp)'range) := real'image(inp);
return result;
end;
-- synopsys translate_on
end conv_pkg;
|
-- libraries --------------------------------------------------------------------------------- {{{
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
------------------------------------------------------------------------------------------------- }}}
package FGPU_simulation_pkg is
type kernel_type is ( copy, max_half_atomic, bitonic, fadd, median, floydwarshall, fir_char4, add_float, parallelSelection, mat_mul, fir, xcorr, sum_atomic, fft_hard, mul_float, sobel);
-- 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
CONSTANT kernel_name : kernel_type := fft_hard;
-- byte(0), half word(1), word(2)
CONSTANT COMP_TYPE : natural := 2;
-- slli(0), sll(1), srli(2), srl(3), srai(4), sra(5), andi(6), and(7), ori(8), or(9), xori(10), xor(11), nor(12), sllb(13), srlb(14), srab(15)
CONSTANT LOGIC_OP : natural := 15;
CONSTANT REDUCE_FACTOR : natural := 1;
function get_kernel_index (name: in kernel_type) return integer;
end FGPU_simulation_pkg;
package body FGPU_simulation_pkg is
function get_kernel_index (name: in kernel_type) return integer is
begin
case name is
when copy =>
return 0;
when max_half_atomic =>
return 1;
when bitonic =>
return 2;
when fadd =>
return 3;
when median =>
return 4;
when floydwarshall =>
return 5;
when fir_char4 =>
return 6;
when add_float =>
return 7;
when parallelSelection =>
return 8;
when mat_mul =>
return 9;
when fir =>
return 10;
when xcorr =>
return 11;
when sum_atomic =>
return 12;
when fft_hard =>
return 13;
when mul_float =>
return 14;
when sobel =>
return 15;
when others=>
assert(false) severity failure;
return 0;
end case;
end; -- function reverse_any_vector
end FGPU_simulation_pkg;
|
-------------------------------------------------------------------------------
-- Title : u2p_nios_ddr2
-- Author : Gideon Zweijtzer <[email protected]>
-------------------------------------------------------------------------------
-- Description: Toplevel with just the alt-mem phy. Testing and experimenting
-- with memory latency.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.io_bus_pkg.all;
use work.mem_bus_pkg.all;
entity u2p_nios_ddr2 is
port (
-- slot side
SLOT_PHI2 : in std_logic;
SLOT_DOTCLK : in std_logic;
SLOT_RSTn : inout std_logic;
SLOT_BUFFER_ENn : out std_logic;
SLOT_ADDR : inout std_logic_vector(15 downto 0);
SLOT_DATA : inout std_logic_vector(7 downto 0);
SLOT_RWn : inout std_logic;
SLOT_BA : in std_logic;
SLOT_DMAn : out std_logic;
SLOT_EXROMn : inout std_logic;
SLOT_GAMEn : inout std_logic;
SLOT_ROMHn : inout std_logic;
SLOT_ROMLn : inout std_logic;
SLOT_IO1n : inout std_logic;
SLOT_IO2n : inout std_logic;
SLOT_IRQn : inout std_logic;
SLOT_NMIn : inout std_logic;
SLOT_VCC : in std_logic;
-- memory
SDRAM_A : out std_logic_vector(13 downto 0); -- DRAM A
SDRAM_BA : out std_logic_vector(2 downto 0) := (others => '0');
SDRAM_DQ : inout std_logic_vector(7 downto 0);
SDRAM_CSn : out std_logic;
SDRAM_RASn : out std_logic;
SDRAM_CASn : out std_logic;
SDRAM_WEn : out std_logic;
SDRAM_DM : inout std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CLK : inout std_logic;
SDRAM_CLKn : inout std_logic;
SDRAM_ODT : out std_logic;
SDRAM_DQS : inout std_logic;
AUDIO_MCLK : out std_logic := '0';
AUDIO_BCLK : out std_logic := '0';
AUDIO_LRCLK : out std_logic := '0';
AUDIO_SDO : out std_logic := '0';
AUDIO_SDI : in std_logic;
-- IEC bus
IEC_ATN : inout std_logic;
IEC_DATA : inout std_logic;
IEC_CLOCK : inout std_logic;
IEC_RESET : in std_logic;
IEC_SRQ_IN : inout std_logic;
LED_DISKn : out std_logic; -- activity LED
LED_CARTn : out std_logic;
LED_SDACTn : out std_logic;
LED_MOTORn : out std_logic;
-- Ethernet RMII
ETH_RESETn : out std_logic := '1';
ETH_IRQn : in std_logic;
RMII_REFCLK : in std_logic;
RMII_CRS_DV : in std_logic;
RMII_RX_ER : in std_logic;
RMII_RX_DATA : in std_logic_vector(1 downto 0);
RMII_TX_DATA : out std_logic_vector(1 downto 0);
RMII_TX_EN : out std_logic;
MDIO_CLK : out std_logic := '0';
MDIO_DATA : inout std_logic := 'Z';
-- Speaker data
SPEAKER_DATA : out std_logic := '0';
SPEAKER_ENABLE : out std_logic := '0';
-- Debug UART
UART_TXD : out std_logic;
UART_RXD : in std_logic;
-- I2C Interface for RTC, audio codec and usb hub
I2C_SDA : inout std_logic := 'Z';
I2C_SCL : inout std_logic := 'Z';
I2C_SDA_18 : inout std_logic := 'Z';
I2C_SCL_18 : inout std_logic := 'Z';
-- Flash Interface
FLASH_CSn : out std_logic;
FLASH_SCK : out std_logic;
FLASH_MOSI : out std_logic;
FLASH_MISO : in std_logic;
FLASH_SEL : out std_logic := '0';
FLASH_SELCK : out std_logic := '0';
-- USB Interface (ULPI)
ULPI_RESET : out std_logic;
ULPI_CLOCK : in std_logic;
ULPI_NXT : in std_logic;
ULPI_STP : out std_logic;
ULPI_DIR : in std_logic;
ULPI_DATA : inout std_logic_vector(7 downto 0);
HUB_RESETn : out std_logic := '1';
HUB_CLOCK : out std_logic := '0';
-- Cassette Interface
CAS_MOTOR : in std_logic := '0';
CAS_SENSE : inout std_logic := 'Z';
CAS_READ : inout std_logic := 'Z';
CAS_WRITE : inout std_logic := 'Z';
-- Buttons
BUTTON : in std_logic_vector(2 downto 0));
end entity;
architecture rtl of u2p_nios_ddr2 is
component pll
PORT
(
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC ;
c1 : OUT STD_LOGIC ;
locked : OUT STD_LOGIC
);
end component;
component nios_solo is
port (
clk_clk : in std_logic := 'X'; -- clk
-- dram_waitrequest : in std_logic := 'X'; -- waitrequest
-- dram_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
-- dram_readdatavalid : in std_logic := 'X'; -- readdatavalid
-- dram_burstcount : out std_logic_vector(0 downto 0); -- burstcount
-- dram_writedata : out std_logic_vector(31 downto 0); -- writedata
-- dram_address : out std_logic_vector(25 downto 0); -- address
-- dram_write : out std_logic; -- write
-- dram_read : out std_logic; -- read
-- dram_byteenable : out std_logic_vector(3 downto 0); -- byteenable
-- dram_debugaccess : out std_logic; -- debugaccess
io_ack : in std_logic := 'X'; -- ack
io_rdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- rdata
io_read : out std_logic; -- read
io_wdata : out std_logic_vector(7 downto 0); -- wdata
io_write : out std_logic; -- write
io_address : out std_logic_vector(19 downto 0); -- address
io_irq : in std_logic := 'X'; -- irq
io_u2p_ack : in std_logic := 'X'; -- ack
io_u2p_rdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- rdata
io_u2p_read : out std_logic; -- read
io_u2p_wdata : out std_logic_vector(7 downto 0); -- wdata
io_u2p_write : out std_logic; -- write
io_u2p_address : out std_logic_vector(19 downto 0); -- address
io_u2p_irq : in std_logic := 'X'; -- irq
mem_mem_req_address : out std_logic_vector(25 downto 0); -- mem_req_address
mem_mem_req_byte_en : out std_logic_vector(3 downto 0); -- mem_req_byte_en
mem_mem_req_read_writen : out std_logic; -- mem_req_read_writen
mem_mem_req_request : out std_logic; -- mem_req_request
mem_mem_req_tag : out std_logic_vector(7 downto 0); -- mem_req_tag
mem_mem_req_wdata : out std_logic_vector(31 downto 0); -- mem_req_wdata
mem_mem_resp_dack_tag : in std_logic_vector(7 downto 0) := (others => 'X'); -- mem_resp_dack_tag
mem_mem_resp_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- mem_resp_data
mem_mem_resp_rack_tag : in std_logic_vector(7 downto 0) := (others => 'X'); -- mem_resp_rack_tag
reset_reset_n : in std_logic := 'X' -- reset_n
);
end component nios_solo;
signal por_n : std_logic;
signal por_count : unsigned(23 downto 0) := (others => '0');
signal led_n : std_logic_vector(0 to 3);
signal ref_reset : std_logic;
signal audio_clock : std_logic;
signal audio_reset : std_logic;
signal sys_clock : std_logic;
signal sys_reset : std_logic;
signal sys_reset_n : std_logic;
signal eth_reset : std_logic;
signal button_i : std_logic_vector(2 downto 0);
signal io_req : t_io_req;
signal io_resp : t_io_resp;
signal io_u2p_req : t_io_req;
signal io_u2p_resp : t_io_resp;
signal io_req_new_io : t_io_req;
signal io_resp_new_io : t_io_resp;
signal io_req_remote : t_io_req;
signal io_resp_remote : t_io_resp;
signal io_req_ddr2 : t_io_req;
signal io_resp_ddr2 : t_io_resp;
signal mem_req : t_mem_req_32;
signal mem_resp : t_mem_resp_32;
signal dram_waitrequest : std_logic := 'X'; -- waitrequest
signal dram_readdata : std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
signal dram_readdatavalid : std_logic := 'X'; -- readdatavalid
signal dram_writedata : std_logic_vector(31 downto 0); -- writedata
signal dram_address : std_logic_vector(25 downto 0); -- address
signal dram_write : std_logic; -- write
signal dram_read : std_logic; -- read
signal dram_byteenable : std_logic_vector(3 downto 0); -- byteenable
-- miscellaneous interconnect
signal ulpi_reset_i : std_logic;
signal reset_request_n : std_logic := '1';
signal is_idle : std_logic;
begin
process(RMII_REFCLK, reset_request_n)
begin
if reset_request_n = '0' then
por_count <= (others => '0');
elsif rising_edge(RMII_REFCLK) then
if por_count = X"FFFFFF" then
por_n <= '1';
else
por_n <= '0';
por_count <= por_count + 1;
end if;
end if;
end process;
ref_reset <= not por_n;
i_pll: pll port map (
inclk0 => RMII_REFCLK, -- 50 MHz
c0 => HUB_CLOCK, -- 24 MHz
c1 => audio_clock, -- 12.245 MHz (47.831 kHz sample rate)
locked => open );
i_audio_reset: entity work.level_synchronizer
generic map ('1')
port map (
clock => audio_clock,
input => not sys_reset_n,
input_c => audio_reset );
i_ulpi_reset: entity work.level_synchronizer
generic map ('1')
port map (
clock => ulpi_clock,
input => sys_reset,
input_c => ulpi_reset_i );
i_eth_reset: entity work.level_synchronizer
generic map ('1')
port map (
clock => RMII_REFCLK,
input => sys_reset,
input_c => eth_reset );
sys_reset_n <= not sys_reset;
i_nios: nios_solo
port map (
clk_clk => sys_clock,
reset_reset_n => sys_reset_n,
-- dram_waitrequest => dram_waitrequest,
-- dram_readdata => dram_readdata,
-- dram_readdatavalid => dram_readdatavalid,
-- dram_burstcount => open,
-- dram_writedata => dram_writedata,
-- dram_address => dram_address,
-- dram_write => dram_write,
-- dram_read => dram_read,
-- dram_byteenable => dram_byteenable,
-- dram_debugaccess => open,
io_ack => io_resp.ack,
io_rdata => io_resp.data,
io_read => io_req.read,
io_wdata => io_req.data,
io_write => io_req.write,
unsigned(io_address) => io_req.address,
io_irq => '0',
io_u2p_ack => io_u2p_resp.ack,
io_u2p_rdata => io_u2p_resp.data,
io_u2p_read => io_u2p_req.read,
io_u2p_wdata => io_u2p_req.data,
io_u2p_write => io_u2p_req.write,
unsigned(io_u2p_address) => io_u2p_req.address,
io_u2p_irq => '0',
unsigned(mem_mem_req_address) => mem_req.address,
mem_mem_req_byte_en => mem_req.byte_en,
mem_mem_req_read_writen => mem_req.read_writen,
mem_mem_req_request => mem_req.request,
mem_mem_req_tag => mem_req.tag,
mem_mem_req_wdata => mem_req.data,
mem_mem_resp_dack_tag => mem_resp.dack_tag,
mem_mem_resp_data => mem_resp.data,
mem_mem_resp_rack_tag => mem_resp.rack_tag
);
i_split: entity work.io_bus_splitter
generic map (
g_range_lo => 8,
g_range_hi => 9,
g_ports => 3
)
port map (
clock => sys_clock,
req => io_u2p_req,
resp => io_u2p_resp,
reqs(0) => io_req_new_io,
reqs(1) => io_req_ddr2,
reqs(2) => io_req_remote,
resps(0) => io_resp_new_io,
resps(1) => io_resp_ddr2,
resps(2) => io_resp_remote
);
-- i_dram_bridge: entity work.avalon_to_mem32_bridge
-- port map (
-- clock => sys_clock,
-- reset => sys_reset,
--
-- avs_read => dram_read,
-- avs_write => dram_write,
-- avs_address => dram_address,
-- avs_writedata => dram_writedata,
-- avs_byteenable => dram_byteenable,
-- avs_waitrequest => dram_waitrequest,
-- avs_readdata => dram_readdata,
-- avs_readdatavalid => dram_readdatavalid,
--
-- mem_req => mem_req,
-- mem_resp => mem_resp );
i_memphy: entity work.ddr2_ctrl
port map (
ref_clock => RMII_REFCLK,
ref_reset => ref_reset,
sys_clock_o => sys_clock,
sys_reset_o => sys_reset,
clock => sys_clock,
reset => sys_reset,
io_req => io_req_ddr2,
io_resp => io_resp_ddr2,
inhibit => '0',
is_idle => is_idle,
req => mem_req,
resp => mem_resp,
SDRAM_CLK => SDRAM_CLK,
SDRAM_CLKn => SDRAM_CLKn,
SDRAM_CKE => SDRAM_CKE,
SDRAM_ODT => SDRAM_ODT,
SDRAM_CSn => SDRAM_CSn,
SDRAM_RASn => SDRAM_RASn,
SDRAM_CASn => SDRAM_CASn,
SDRAM_WEn => SDRAM_WEn,
SDRAM_A => SDRAM_A,
SDRAM_BA => SDRAM_BA(1 downto 0),
SDRAM_DM => SDRAM_DM,
SDRAM_DQ => SDRAM_DQ,
SDRAM_DQS => SDRAM_DQS
);
i_remote: entity work.update_io
port map (
clock => sys_clock,
reset => sys_reset,
io_req => io_req_remote,
io_resp => io_resp_remote,
flash_selck => FLASH_SELCK,
flash_sel => FLASH_SEL
);
i_u2p_io: entity work.u2p_io
port map (
clock => sys_clock,
reset => sys_reset,
io_req => io_req_new_io,
io_resp => io_resp_new_io,
mdc => MDIO_CLK,
mdio => MDIO_DATA,
i2c_scl => I2C_SCL,
i2c_sda => I2C_SDA,
speaker_en => SPEAKER_ENABLE,
hub_reset_n=> HUB_RESETn
);
ETH_RESETn <= '1';
SLOT_ADDR <= (others => 'Z');
SLOT_DATA <= (others => 'Z');
-- top
SLOT_DMAn <= 'Z';
SLOT_ROMLn <= 'Z';
SLOT_IO2n <= 'Z';
SLOT_EXROMn <= 'Z';
SLOT_GAMEn <= 'Z';
SLOT_IO1n <= 'Z';
SLOT_RWn <= 'Z';
SLOT_IRQn <= 'Z';
SLOT_NMIn <= 'Z';
SLOT_RSTn <= 'Z';
SLOT_ROMHn <= 'Z';
-- Cassette Interface
CAS_SENSE <= '0';
CAS_READ <= '0';
CAS_WRITE <= '0';
LED_MOTORn <= sys_reset;
LED_DISKn <= is_idle;
LED_CARTn <= button_i(0) xor button_i(1) xor button_i(2);
LED_SDACTn <= SLOT_BA xor SLOT_DOTCLK xor SLOT_PHI2 xor CAS_MOTOR xor SLOT_VCC;
button_i <= not BUTTON;
SLOT_BUFFER_ENn <= '1'; -- we don't connect to a C64
-- Flash Interface
FLASH_CSn <= '1';
FLASH_SCK <= '1';
FLASH_MOSI <= '1';
-- USB Interface (ULPI)
ULPI_RESET <= por_n;
ULPI_STP <= '0';
ULPI_DATA <= (others => 'Z');
end architecture;
|
-- cb20_pwm_interface_0_avalon_slave_0_translator.vhd
-- Generated using ACDS version 13.0sp1 232 at 2020.06.03.16:36:13
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity cb20_pwm_interface_0_avalon_slave_0_translator is
generic (
AV_ADDRESS_W : integer := 6;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 1;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 17;
UAV_BURSTCOUNT_W : integer := 3;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 0;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 1;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
uav_address : in std_logic_vector(16 downto 0) := (others => '0'); -- avalon_universal_slave_0.address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount
uav_read : in std_logic := '0'; -- .read
uav_write : in std_logic := '0'; -- .write
uav_waitrequest : out std_logic; -- .waitrequest
uav_readdatavalid : out std_logic; -- .readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- .readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
uav_lock : in std_logic := '0'; -- .lock
uav_debugaccess : in std_logic := '0'; -- .debugaccess
av_address : out std_logic_vector(5 downto 0); -- avalon_anti_slave_0.address
av_write : out std_logic; -- .write
av_read : out std_logic; -- .read
av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata
av_writedata : out std_logic_vector(31 downto 0); -- .writedata
av_byteenable : out std_logic_vector(3 downto 0); -- .byteenable
av_waitrequest : in std_logic := '0'; -- .waitrequest
av_beginbursttransfer : out std_logic;
av_begintransfer : out std_logic;
av_burstcount : out std_logic_vector(0 downto 0);
av_chipselect : out std_logic;
av_clken : out std_logic;
av_debugaccess : out std_logic;
av_lock : out std_logic;
av_outputenable : out std_logic;
av_readdatavalid : in std_logic := '0';
av_response : in std_logic_vector(1 downto 0) := (others => '0');
av_writebyteenable : out std_logic_vector(3 downto 0);
av_writeresponserequest : out std_logic;
av_writeresponsevalid : in std_logic := '0';
uav_clken : in std_logic := '0';
uav_response : out std_logic_vector(1 downto 0);
uav_writeresponserequest : in std_logic := '0';
uav_writeresponsevalid : out std_logic
);
end entity cb20_pwm_interface_0_avalon_slave_0_translator;
architecture rtl of cb20_pwm_interface_0_avalon_slave_0_translator is
component altera_merlin_slave_translator is
generic (
AV_ADDRESS_W : integer := 30;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 4;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 32;
UAV_BURSTCOUNT_W : integer := 4;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 1;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 0;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
uav_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount
uav_read : in std_logic := 'X'; -- read
uav_write : in std_logic := 'X'; -- write
uav_waitrequest : out std_logic; -- waitrequest
uav_readdatavalid : out std_logic; -- readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
uav_lock : in std_logic := 'X'; -- lock
uav_debugaccess : in std_logic := 'X'; -- debugaccess
av_address : out std_logic_vector(5 downto 0); -- address
av_write : out std_logic; -- write
av_read : out std_logic; -- read
av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
av_writedata : out std_logic_vector(31 downto 0); -- writedata
av_byteenable : out std_logic_vector(3 downto 0); -- byteenable
av_waitrequest : in std_logic := 'X'; -- waitrequest
av_begintransfer : out std_logic; -- begintransfer
av_beginbursttransfer : out std_logic; -- beginbursttransfer
av_burstcount : out std_logic_vector(0 downto 0); -- burstcount
av_readdatavalid : in std_logic := 'X'; -- readdatavalid
av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable
av_lock : out std_logic; -- lock
av_chipselect : out std_logic; -- chipselect
av_clken : out std_logic; -- clken
uav_clken : in std_logic := 'X'; -- clken
av_debugaccess : out std_logic; -- debugaccess
av_outputenable : out std_logic; -- outputenable
uav_response : out std_logic_vector(1 downto 0); -- response
av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response
uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest
uav_writeresponsevalid : out std_logic; -- writeresponsevalid
av_writeresponserequest : out std_logic; -- writeresponserequest
av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid
);
end component altera_merlin_slave_translator;
begin
pwm_interface_0_avalon_slave_0_translator : component altera_merlin_slave_translator
generic map (
AV_ADDRESS_W => AV_ADDRESS_W,
AV_DATA_W => AV_DATA_W,
UAV_DATA_W => UAV_DATA_W,
AV_BURSTCOUNT_W => AV_BURSTCOUNT_W,
AV_BYTEENABLE_W => AV_BYTEENABLE_W,
UAV_BYTEENABLE_W => UAV_BYTEENABLE_W,
UAV_ADDRESS_W => UAV_ADDRESS_W,
UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W,
AV_READLATENCY => AV_READLATENCY,
USE_READDATAVALID => USE_READDATAVALID,
USE_WAITREQUEST => USE_WAITREQUEST,
USE_UAV_CLKEN => USE_UAV_CLKEN,
USE_READRESPONSE => USE_READRESPONSE,
USE_WRITERESPONSE => USE_WRITERESPONSE,
AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD,
AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS,
AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS,
AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR,
UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR,
AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES,
CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY,
AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES,
AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES,
AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES,
AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES
)
port map (
clk => clk, -- clk.clk
reset => reset, -- reset.reset
uav_address => uav_address, -- avalon_universal_slave_0.address
uav_burstcount => uav_burstcount, -- .burstcount
uav_read => uav_read, -- .read
uav_write => uav_write, -- .write
uav_waitrequest => uav_waitrequest, -- .waitrequest
uav_readdatavalid => uav_readdatavalid, -- .readdatavalid
uav_byteenable => uav_byteenable, -- .byteenable
uav_readdata => uav_readdata, -- .readdata
uav_writedata => uav_writedata, -- .writedata
uav_lock => uav_lock, -- .lock
uav_debugaccess => uav_debugaccess, -- .debugaccess
av_address => av_address, -- avalon_anti_slave_0.address
av_write => av_write, -- .write
av_read => av_read, -- .read
av_readdata => av_readdata, -- .readdata
av_writedata => av_writedata, -- .writedata
av_byteenable => av_byteenable, -- .byteenable
av_waitrequest => av_waitrequest, -- .waitrequest
av_begintransfer => open, -- (terminated)
av_beginbursttransfer => open, -- (terminated)
av_burstcount => open, -- (terminated)
av_readdatavalid => '0', -- (terminated)
av_writebyteenable => open, -- (terminated)
av_lock => open, -- (terminated)
av_chipselect => open, -- (terminated)
av_clken => open, -- (terminated)
uav_clken => '0', -- (terminated)
av_debugaccess => open, -- (terminated)
av_outputenable => open, -- (terminated)
uav_response => open, -- (terminated)
av_response => "00", -- (terminated)
uav_writeresponserequest => '0', -- (terminated)
uav_writeresponsevalid => open, -- (terminated)
av_writeresponserequest => open, -- (terminated)
av_writeresponsevalid => '0' -- (terminated)
);
end architecture rtl; -- of cb20_pwm_interface_0_avalon_slave_0_translator
|
-------------------------------------------------------------------------------
--
-- SD/MMC Bootloader
-- Simple SD and MMC model
--
-- $Id: card.vhd,v 1.2 2005-02-13 17:06:22 arniml Exp $
--
-- Copyright (c) 2005, Arnim Laeuger ([email protected])
--
-- All rights reserved, see COPYING.
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/projects.cgi/web/spi_boot/overview
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity card is
generic (
card_type_g : string := "none";
is_sd_card_g : integer := 1
);
port (
spi_clk_i : in std_logic;
spi_cs_n_i : in std_logic;
spi_data_i : in std_logic;
spi_data_o : out std_logic
);
end card;
library ieee;
use ieee.numeric_std.all;
library std;
use std.textio.all;
use work.tb_pack.all;
architecture behav of card is
signal power_on_n_s : std_logic;
signal soft_res_n_s : std_logic;
signal res_n_s : std_logic;
signal rx_s : std_logic_vector(47 downto 0);
signal set_spi_mode_s,
spi_mode_q : boolean;
signal set_idle_mode_s,
poll_idle_mode_s : boolean;
signal idle_mode_q : natural;
signal block_len_q,
block_len_s : unsigned(31 downto 0);
signal set_block_len_s : boolean;
signal new_read_addr_s,
read_addr_q : unsigned(31 downto 0);
signal set_read_addr_s,
inc_read_addr_s : boolean;
signal cmd_spi_data_s,
read_spi_data_s : std_logic;
signal start_read_s : boolean;
signal reading_s : boolean;
procedure rise_clk is
begin
wait until spi_clk_i'event and to_X01(spi_clk_i) = '1';
end rise_clk;
-- procedure rise_clk(num : natural) is
-- begin
-- for i in 1 to num loop
-- rise_clk;
-- end loop;
-- end rise_clk;
procedure fall_clk is
begin
wait until spi_clk_i'event and to_X01(spi_clk_i) = '0';
end fall_clk;
procedure fall_clk(num : natural) is
begin
for i in 1 to num loop
fall_clk;
end loop;
end fall_clk;
begin
res_n_s <= power_on_n_s and soft_res_n_s;
-----------------------------------------------------------------------------
-- Power on reset
-----------------------------------------------------------------------------
por: process
begin
power_on_n_s <= '0';
wait for 200 ns;
power_on_n_s <= '1';
wait;
end process por;
-----------------------------------------------------------------------------
--
ctrl: process
function check_crc(payload : in std_logic_vector(47 downto 0))
return boolean is
begin
return calc_crc(payload(47 downto 8)) = payload(7 downto 1);
end check_crc;
variable rx_v : std_logic_vector(47 downto 0);
variable cmd_v : std_logic_vector( 5 downto 0);
variable arg_v : std_logic_vector(31 downto 0);
variable crc_v : std_logic_vector( 6 downto 0);
variable wrong_v : std_logic;
variable read_data_v : boolean;
begin
rx_s <= (others => '0');
set_spi_mode_s <= false;
set_idle_mode_s <= false;
poll_idle_mode_s <= false;
cmd_spi_data_s <= '1';
soft_res_n_s <= '1';
set_block_len_s <= false;
block_len_s <= (others => '0');
new_read_addr_s <= (others => '0');
set_read_addr_s <= false;
start_read_s <= false;
read_data_v := false;
loop
rise_clk;
-- wait for startbit of command
while to_X01(spi_data_i) = '1' loop
rise_clk;
end loop;
rx_v(47) := '0';
-- read remaining 47 bits of command
for i in 46 downto 0 loop
rise_clk;
rx_v(i) := to_X01(spi_data_i);
end loop;
rx_s <= rx_v;
-- dissect received data
cmd_v := rx_v(45 downto 40);
arg_v := rx_v(39 downto 8);
crc_v := rx_v( 7 downto 1);
assert spi_mode_q or check_crc(payload => rx_v)
report "CRC mismatch"
severity error;
wrong_v := '0';
case cmd_v is
-- CMD0: GO_IDLE_STATE ------------------------------------------------
when "000000" =>
set_spi_mode_s <= true;
set_idle_mode_s <= true;
-- CMD1: SEND_OP_COND -------------------------------------------------
when "000001" =>
poll_idle_mode_s <= true;
-- CMD12: STOP_TRANSMISSION -------------------------------------------
when "001100" =>
start_read_s <= false;
read_data_v := false;
-- CMD16: SET_BLOCKLEN ------------------------------------------------
when "010000" =>
block_len_s <= unsigned(arg_v);
set_block_len_s <= true;
-- CMD18: READ_MULTIPLE_BLOCK -----------------------------------------
when "010010" =>
new_read_addr_s <= unsigned(arg_v);
set_read_addr_s <= true;
read_data_v := true;
-- CMD55: APPL_CMD ----------------------------------------------------
when "110111" =>
-- command only available for SD card
if is_sd_card_g /= 1 then
wrong_v := '1';
end if;
-- ACMD41: SEND_OP_COND -----------------------------------------------
when "101001" =>
-- command only available for SD card
if is_sd_card_g /= 1 then
wrong_v := '1';
else
poll_idle_mode_s <= true;
end if;
when others =>
wrong_v := '1';
null;
end case;
-- spend some time before removing control signals
fall_clk(2);
poll_idle_mode_s <= false;
set_idle_mode_s <= false;
fall_clk(6);
set_spi_mode_s <= false;
set_block_len_s <= false;
set_read_addr_s <= false;
if reading_s then
wait until not reading_s;
end if;
-- wait for a total two "bytes" before sending out response
for i in 1 to 8 loop
fall_clk;
end loop;
for i in 7 downto 0 loop
fall_clk;
case i is
when 2 =>
cmd_spi_data_s <= wrong_v;
when 0 =>
if idle_mode_q = 0 then
cmd_spi_data_s <= '0';
else
cmd_spi_data_s <= '1';
end if;
when others =>
cmd_spi_data_s <= '0';
end case;
end loop;
fall_clk;
cmd_spi_data_s <= '1';
-- transmit data if requested
start_read_s <= read_data_v;
end loop;
end process ctrl;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
--
seq: process (res_n_s,
spi_clk_i,
set_spi_mode_s,
set_idle_mode_s,
poll_idle_mode_s,
set_block_len_s,
block_len_s)
begin
if res_n_s = '0' then
spi_mode_q <= false;
idle_mode_q <= 5;
block_len_q <= (others => '0');
read_addr_q <= (others => '0');
elsif spi_clk_i'event and spi_clk_i = '1' then
if set_spi_mode_s then
spi_mode_q <= true;
end if;
if set_idle_mode_s then
idle_mode_q <= 5;
elsif poll_idle_mode_s then
if idle_mode_q > 0 then
idle_mode_q <= idle_mode_q - 1;
end if;
end if;
if set_block_len_s then
block_len_q <= block_len_s;
end if;
if set_read_addr_s then
read_addr_q <= new_read_addr_s;
elsif inc_read_addr_s then
read_addr_q <= read_addr_q + 1;
end if;
end if;
end process seq;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
--
read_block: process
variable t_v : unsigned(7 downto 0);
begin
-- default assignments
inc_read_addr_s <= false;
reading_s <= false;
read_spi_data_s <= '1';
loop
if not start_read_s then
wait until start_read_s;
end if;
reading_s <= true;
fall_clk(8); -- delay for one "byte"
-- send data token
fall_clk(7); -- 7 ones in a data token
read_spi_data_s <= '0';
-- send payload
payload: for i in 0 to to_integer(block_len_q)-1 loop
t_v := read_addr_q(0) & calc_crc(read_addr_q);
for bit in 7 downto 0 loop
fall_clk;
read_spi_data_s <= t_v(bit);
exit payload when not start_read_s;
end loop;
inc_read_addr_s <= true;
rise_clk;
inc_read_addr_s <= false;
wait for 10 ns;
end loop;
if start_read_s then
-- send crc
for i in 0 to 15 loop
fall_clk;
t_v := to_unsigned(i, 8);
read_spi_data_s <= t_v(0);
end loop;
fall_clk;
end if;
read_spi_data_s <= '1';
reading_s <= false;
-- loop for one "byte"
fall_clk(8);
end loop;
end process read_block;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
--
clk_check: process (spi_clk_i)
variable last_rising_v : time := 0 ns;
variable dump_line : line;
begin
if spi_clk_i'event and spi_clk_i = '1' then
if is_sd_card_g = 0 and card_type_g /= "Minimal Chip" and
idle_mode_q > 0 then
if now - last_rising_v < 2.5 us and last_rising_v > 0 ns then
write(dump_line, card_type_g);
write(dump_line, string'(" @ "));
write(dump_line, now);
write(dump_line, string'(": Last rising edge of SPI clock "));
write(dump_line, now - last_rising_v);
write(dump_line, string'(" ago."));
writeline(output, dump_line);
end if;
last_rising_v := now;
end if;
end if;
end process clk_check;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Output Mapping
-----------------------------------------------------------------------------
spi_data_o <= cmd_spi_data_s and read_spi_data_s
when spi_cs_n_i = '0' else
'Z';
end behav;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1 2005/02/08 21:09:20 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Control Circuit (FSM)
ENTITY control_circuit IS
PORT (
clock, reset : IN STD_LOGIC;
func : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
done, A_in, G_in, G_out, extern, R0_in, R1_in : OUT STD_LOGIC := '0';
R0_out, R1_out, R0_xor, R1_xor, PC_in, PC_out : OUT STD_LOGIC := '0';
c_state : OUT INTEGER
);
END;
ARCHITECTURE behavioural OF control_circuit IS
COMPONENT find_ns IS
PORT (
state : IN INTEGER;
reset : IN STD_LOGIC;
instr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rx, ry : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ns : OUT INTEGER
);
END COMPONENT;
SIGNAL c_state_temp : INTEGER := 255;
SIGNAL n_state : INTEGER := 0;
BEGIN
instance1 : find_ns
PORT MAP(
reset => reset,
state => c_state_temp,
instr => func(15 DOWNTO 12),
rx => func(11 DOWNTO 8),
ry => func(7 DOWNTO 4),
ns => n_state
);
PROCESS (c_state_temp, func)
BEGIN
done <= '0';
R0_in <= '0';
R0_in <= '0';
R0_out <= '0';
R0_xor <= '0';
R1_in <= '0';
R1_out <= '0';
R1_xor <= '0';
PC_in <= '0';
PC_out <= '0';
A_in <= '0';
G_in <= '0';
G_out <= '0';
extern <= '0';
CASE c_state_temp IS
-- START state
WHEN 255 =>
done <= '1';
-- IDLE State
WHEN 0 =>
-- Wait for next instruction
-- LOAD States
WHEN 10 =>
done <= '1';
WHEN 11 =>
--Rx = R0
extern <= '1';
R0_in <= '1';
WHEN 12 =>
-- Rx = R1
extern <= '1';
R1_in <= '1';
WHEN 13 =>
extern <= '1';
done <= '1';
WHEN 14 =>
done <= '1';
-- MOV States
WHEN 20 =>
-- Rx = R0
R0_in <= '1';
R1_out <= '1';
WHEN 21 =>
-- Rx = R1
R1_in <= '1';
R0_out <= '1';
WHEN 22 =>
done <= '1';
-- ADD States
WHEN 30 =>
R0_out <= '1';
A_in <= '1';
WHEN 31 =>
R1_out <= '1';
G_in <= '1';
WHEN 32 =>
-- Rx = R0
G_out <= '1';
R0_in <= '1';
WHEN 33 =>
-- Rx = R1
G_out <= '1';
R1_in <= '1';
WHEN 34 =>
done <= '1';
-- XOR States
WHEN 40 =>
--Rx = R0
R1_out <= '1';
R0_xor <= '1';
WHEN 41 =>
--Rx = R1
R0_out <= '1';
R1_xor <= '1';
WHEN 42 =>
done <= '1';
-- LDPC, Load PC to Rx
WHEN 50 =>
--Rx = R0
R0_in <= '1';
PC_out <= '1';
WHEN 51 =>
--Rx = R1
R1_in <= '1';
PC_out <= '1';
WHEN 52 =>
done <= '1';
-- BRANCH, Load Rx to PC
WHEN 60 =>
--Rx = R0
PC_in <= '1';
R0_out <= '1';
WHEN 61 =>
--Rx = R1
PC_in <= '1';
R1_out <= '1';
WHEN 62 =>
R1_out <= '1';
done <= '1';
--Double Ry, store in Rx
WHEN 701 =>
--Rx = R0, Ry = R0
R0_out <= '1';
A_in <= '1';
WHEN 702 =>
R0_out <= '1';
G_in <= '1';
WHEN 703 =>
G_out <= '1';
R0_in <= '1';
WHEN 711 =>
--Rx = R1, Ry = R0
R0_out <= '1';
A_in <= '1';
G_in <= '1';
WHEN 712 =>
R0_out <= '1';
G_in <= '1';
WHEN 713 =>
G_out <= '1';
R1_in <= '1';
WHEN 721 =>
--Rx = R0, Ry = R1
R1_out <= '1';
A_in <= '1';
G_in <= '1';
WHEN 722 =>
R1_out <= '1';
G_in <= '1';
WHEN 723 =>
G_out <= '1';
R0_in <= '1';
WHEN 731 =>
--Rx = R1, Ry = R1
R1_out <= '1';
A_in <= '1';
G_in <= '1';
WHEN 732 =>
R1_out <= '1';
G_in <= '1';
WHEN 733 =>
G_out <= '1';
R1_in <= '1';
WHEN 740 =>
done <= '1';
WHEN OTHERS =>
-- Return to IDLE
END CASE;
END PROCESS;
PROCESS (clock)
BEGIN
IF rising_edge(clock) THEN
c_state_temp <= n_state;
END IF;
END PROCESS;
c_state <= c_state_temp;
END behavioural; |
-- $Id: tb_cdata2byte.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2014- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: tb_cdata2byte - sim
-- Description: Test bench for cdata2byte and byte2cdata
--
-- Dependencies: simlib/simclk
-- simlib/simclkcnt
-- tbd_cdata2byte [UUT]
--
-- To test: cdata2byte
-- byte2cdata
--
-- Target Devices: generic
--
-- Verified (with tb_cdata2byte_stim.dat):
-- Date Rev Code ghdl ise Target Comment
-- 2014-10-25 599 _ssim 0.31 17.1 sc6slx16 c: ok
-- 2014-10-25 599 - 0.31 - c: ok
--
-- Revision History:
-- Date Rev Version Comment
-- 2014-10-25 599 1.1.1 use wait_* to control stim and moni timing
-- 2014-10-19 598 1.1 use simfifo with shared variables
-- 2014-10-18 597 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.simlib.all;
use work.comlib.all;
entity tb_cdata2byte is
end tb_cdata2byte;
architecture sim of tb_cdata2byte is
constant clk_dsc : clock_dsc := (20 ns, 1 ns, 1 ns);
constant clk_offset : Delay_length := 200 ns;
signal CLK : slbit := '0';
signal RESET : slbit := '0';
signal CLK_STOP : slbit := '0';
signal CLK_CYCLE : integer := 0;
signal C2B_ESCXON : slbit := '0';
signal C2B_ESCFILL : slbit := '0';
signal C2B_DI : slv9 := (others=>'0');
signal C2B_ENA : slbit := '0';
signal C2B_BUSY : slbit := '0';
signal C2B_DO : slv8 := (others=>'0');
signal C2B_VAL : slbit := '0';
signal B2C_BUSY : slbit := '0';
signal B2C_DO : slv9 := (others=>'0');
signal B2C_VAL : slbit := '0';
signal B2C_HOLD : slbit := '0';
shared variable sv_sff_monc_cnt : natural := 0;
shared variable sv_sff_monc_arr : simfifo_type(0 to 7, 7 downto 0);
shared variable sv_sff_monb_cnt : natural := 0;
shared variable sv_sff_monb_arr : simfifo_type(0 to 7, 8 downto 0);
begin
CLKGEN : simclk
generic map (
PERIOD => clk_dsc.period,
OFFSET => clk_offset)
port map (
CLK => CLK,
CLK_STOP => CLK_STOP
);
CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
UUT : entity work.tbd_cdata2byte
port map (
CLK => CLK,
RESET => RESET,
C2B_ESCXON => C2B_ESCXON,
C2B_ESCFILL => C2B_ESCFILL,
C2B_DI => C2B_DI,
C2B_ENA => C2B_ENA,
C2B_BUSY => C2B_BUSY,
C2B_DO => C2B_DO,
C2B_VAL => C2B_VAL,
B2C_BUSY => B2C_BUSY,
B2C_DO => B2C_DO,
B2C_VAL => B2C_VAL,
B2C_HOLD => B2C_HOLD
);
proc_stim: process
file fstim : text open read_mode is "tb_cdata2byte_stim";
variable iline : line;
variable oline : line;
variable ok : boolean;
variable dname : string(1 to 6) := (others=>' ');
variable idel : natural := 0;
variable ilen : natural := 0;
variable nbusy : integer := 0;
variable iesc : slbit := '0';
variable itxdata9 : slbit := '0';
variable itxdata : slv8 := (others=>'0');
variable irxdata9 : slbit := '0';
variable irxdata : slv8 := (others=>'0');
variable dat9 : slv9 := (others=>'0');
begin
wait_nextstim(CLK, clk_dsc);
file_loop: while not endfile(fstim) loop
readline (fstim, iline);
readcomment(iline, ok);
next file_loop when ok;
readword(iline, dname, ok);
if ok then
case dname is
when ".reset" => -- .reset
write(oline, string'(".reset"));
writeline(output, oline);
RESET <= '1';
wait_nextstim(CLK, clk_dsc);
RESET <= '0';
wait_nextstim(CLK, clk_dsc);
when ".wait " => -- .wait
read_ea(iline, idel);
wait_nextstim(CLK, clk_dsc, idel);
when "escxon" => -- escxon
read_ea(iline, iesc);
C2B_ESCXON <= iesc;
when "escfil" => -- escfil
read_ea(iline, iesc);
C2B_ESCFILL <= iesc;
when "bhold " => -- bhold
read_ea(iline, idel);
read_ea(iline, ilen);
B2C_HOLD <= '1' after idel*clk_dsc.period,
'0' after (idel+ilen)*clk_dsc.period;
when "data " => -- data
read_ea(iline, itxdata9);
readgen_ea(iline, itxdata);
read_ea(iline, irxdata9);
if irxdata9 = '0' then
simfifo_put(sv_sff_monc_cnt, sv_sff_monc_arr, itxdata);
else
readgen_ea(iline, irxdata);
simfifo_put(sv_sff_monc_cnt, sv_sff_monc_arr, c_cdata_escape);
simfifo_put(sv_sff_monc_cnt, sv_sff_monc_arr, irxdata);
end if;
dat9 := itxdata9 & itxdata;
simfifo_put(sv_sff_monb_cnt, sv_sff_monb_arr, dat9);
C2B_DI <= dat9;
C2B_ENA <= '1';
wait_stim2moni(CLK, clk_dsc);
wait_untilsignal(CLK, clk_dsc, C2B_BUSY, '0', nbusy);
writetimestamp(oline, CLK_CYCLE, ": stim ");
write(oline, itxdata9, right, 2);
write(oline, itxdata, right, 9);
writeoptint(oline, " nbusy=", nbusy);
writeline(output, oline);
wait_nextstim(CLK, clk_dsc);
C2B_ENA <= '0';
when others => -- unknown command
write(oline, string'("?? unknown command: "));
write(oline, dname);
writeline(output, oline);
report "aborting" severity failure;
end case;
else
report "failed to find command" severity failure;
end if;
testempty_ea(iline);
end loop; -- file_loop:
writetimestamp(oline, CLK_CYCLE, ": DONE ");
writeline(output, oline);
wait_nextstim(CLK, clk_dsc, 12);
CLK_STOP <= '1';
wait; -- suspend proc_stim forever
-- clock is stopped, sim will end
end process proc_stim;
proc_monc: process
variable oline : line;
variable nhold : integer := 0;
begin
loop
wait_nextmoni(CLK, clk_dsc);
if C2B_VAL = '1' then
if B2C_BUSY = '1' then -- c2b_hold = b2c_busy !
nhold := nhold + 1;
else
writetimestamp(oline, CLK_CYCLE, ": monc ");
write(oline, string'(" "));
write(oline, C2B_DO, right, 9);
writeoptint(oline, " nhold=", nhold);
simfifo_writetest(oline, sv_sff_monc_cnt, sv_sff_monc_arr, C2B_DO);
writeline(output, oline);
nhold := 0;
end if;
end if;
end loop;
end process proc_monc;
proc_monb: process
variable oline : line;
variable nhold : integer := 0;
begin
loop
wait_nextmoni(CLK, clk_dsc);
if B2C_VAL = '1' then
if B2C_HOLD = '1' then
nhold := nhold + 1;
else
writetimestamp(oline, CLK_CYCLE, ": monb ");
write(oline, B2C_DO(8), right, 2);
write(oline, B2C_DO(7 downto 0), right, 9);
writeoptint(oline, " nhold=", nhold);
simfifo_writetest(oline, sv_sff_monb_cnt, sv_sff_monb_arr, B2C_DO);
writeline(output, oline);
nhold := 0;
end if;
end if;
end loop;
end process proc_monb;
end sim;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity CalcBuckParams is
generic ( Vin : voltage range 1.0 to 50.0 := 42.0; -- input voltage [volts]
Vout : voltage := 4.8; -- output voltage [volts]
Vd : voltage := 0.7; -- diode voltage [volts]
Imin : current := 15.0e-3; -- min output current [amps]
Vripple : voltage range 1.0e-6 to 100.0
:= 100.0e-3 ); -- output voltage ripple [volts]
port ( quantity Fsw : in real range 1.0 to 1.0e6
:= 2.0; -- switching frequency [Hz]
quantity Lmin : out inductance; -- minimum inductance [henries]
quantity Cmin : out capacitance ); -- minimum capacitance [farads]
end entity CalcBuckParams;
----------------------------------------------------------------
architecture behavioral of CalcBuckParams is
constant D : real := (Vout + Vd) / Vin; -- duty cycle
quantity Ts : real; -- period
quantity Ton : real; -- on time
begin
Ts == 1.0 / Fsw;
Ton == D * Ts;
Lmin == (Vin - Vout) * Ton / (2.0 * Imin);
Cmin == (2.0 * Imin) / (8.0 * Fsw * Vripple);
end architecture behavioral;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity CalcBuckParams is
generic ( Vin : voltage range 1.0 to 50.0 := 42.0; -- input voltage [volts]
Vout : voltage := 4.8; -- output voltage [volts]
Vd : voltage := 0.7; -- diode voltage [volts]
Imin : current := 15.0e-3; -- min output current [amps]
Vripple : voltage range 1.0e-6 to 100.0
:= 100.0e-3 ); -- output voltage ripple [volts]
port ( quantity Fsw : in real range 1.0 to 1.0e6
:= 2.0; -- switching frequency [Hz]
quantity Lmin : out inductance; -- minimum inductance [henries]
quantity Cmin : out capacitance ); -- minimum capacitance [farads]
end entity CalcBuckParams;
----------------------------------------------------------------
architecture behavioral of CalcBuckParams is
constant D : real := (Vout + Vd) / Vin; -- duty cycle
quantity Ts : real; -- period
quantity Ton : real; -- on time
begin
Ts == 1.0 / Fsw;
Ton == D * Ts;
Lmin == (Vin - Vout) * Ton / (2.0 * Imin);
Cmin == (2.0 * Imin) / (8.0 * Fsw * Vripple);
end architecture behavioral;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity CalcBuckParams is
generic ( Vin : voltage range 1.0 to 50.0 := 42.0; -- input voltage [volts]
Vout : voltage := 4.8; -- output voltage [volts]
Vd : voltage := 0.7; -- diode voltage [volts]
Imin : current := 15.0e-3; -- min output current [amps]
Vripple : voltage range 1.0e-6 to 100.0
:= 100.0e-3 ); -- output voltage ripple [volts]
port ( quantity Fsw : in real range 1.0 to 1.0e6
:= 2.0; -- switching frequency [Hz]
quantity Lmin : out inductance; -- minimum inductance [henries]
quantity Cmin : out capacitance ); -- minimum capacitance [farads]
end entity CalcBuckParams;
----------------------------------------------------------------
architecture behavioral of CalcBuckParams is
constant D : real := (Vout + Vd) / Vin; -- duty cycle
quantity Ts : real; -- period
quantity Ton : real; -- on time
begin
Ts == 1.0 / Fsw;
Ton == D * Ts;
Lmin == (Vin - Vout) * Ton / (2.0 * Imin);
Cmin == (2.0 * Imin) / (8.0 * Fsw * Vripple);
end architecture behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity RomSmelk3006 is
port (
clk : in std_logic;
addr : in std_logic_vector(13 downto 0);
data : out std_logic_vector(7 downto 0)
);
end;
architecture RTL of RomSmelk3006 is
signal rom_addr : std_logic_vector(13 downto 0);
begin
p_addr : process(addr)
begin
rom_addr <= (others => '0');
rom_addr(13 downto 0) <= addr;
end process;
p_rom : process
begin
wait until rising_edge(clk);
data <= (others => '0');
case rom_addr is
when "00" & x"000" => data <= x"00";
when "00" & x"001" => data <= x"00";
when "00" & x"002" => data <= x"00";
when "00" & x"003" => data <= x"4c";
when "00" & x"004" => data <= x"55";
when "00" & x"005" => data <= x"94";
when "00" & x"006" => data <= x"82";
when "00" & x"007" => data <= x"11";
when "00" & x"008" => data <= x"5a";
when "00" & x"009" => data <= x"53";
when "00" & x"00a" => data <= x"50";
when "00" & x"00b" => data <= x"49";
when "00" & x"00c" => data <= x"00";
when "00" & x"00d" => data <= x"30";
when "00" & x"00e" => data <= x"2e";
when "00" & x"00f" => data <= x"39";
when "00" & x"010" => data <= x"30";
when "00" & x"011" => data <= x"00";
when "00" & x"012" => data <= x"28";
when "00" & x"013" => data <= x"43";
when "00" & x"014" => data <= x"29";
when "00" & x"015" => data <= x"6c";
when "00" & x"016" => data <= x"1e";
when "00" & x"017" => data <= x"02";
when "00" & x"018" => data <= x"20";
when "00" & x"019" => data <= x"5b";
when "00" & x"01a" => data <= x"80";
when "00" & x"01b" => data <= x"44";
when "00" & x"01c" => data <= x"69";
when "00" & x"01d" => data <= x"73";
when "00" & x"01e" => data <= x"6b";
when "00" & x"01f" => data <= x"20";
when "00" & x"020" => data <= x"90";
when "00" & x"021" => data <= x"11";
when "00" & x"022" => data <= x"20";
when "00" & x"023" => data <= x"5b";
when "00" & x"024" => data <= x"80";
when "00" & x"025" => data <= x"42";
when "00" & x"026" => data <= x"61";
when "00" & x"027" => data <= x"64";
when "00" & x"028" => data <= x"20";
when "00" & x"029" => data <= x"90";
when "00" & x"02a" => data <= x"08";
when "00" & x"02b" => data <= x"20";
when "00" & x"02c" => data <= x"5b";
when "00" & x"02d" => data <= x"80";
when "00" & x"02e" => data <= x"46";
when "00" & x"02f" => data <= x"69";
when "00" & x"030" => data <= x"6c";
when "00" & x"031" => data <= x"65";
when "00" & x"032" => data <= x"20";
when "00" & x"033" => data <= x"85";
when "00" & x"034" => data <= x"b3";
when "00" & x"035" => data <= x"68";
when "00" & x"036" => data <= x"85";
when "00" & x"037" => data <= x"ae";
when "00" & x"038" => data <= x"68";
when "00" & x"039" => data <= x"85";
when "00" & x"03a" => data <= x"af";
when "00" & x"03b" => data <= x"a5";
when "00" & x"03c" => data <= x"b3";
when "00" & x"03d" => data <= x"48";
when "00" & x"03e" => data <= x"98";
when "00" & x"03f" => data <= x"48";
when "00" & x"040" => data <= x"a0";
when "00" & x"041" => data <= x"00";
when "00" & x"042" => data <= x"20";
when "00" & x"043" => data <= x"da";
when "00" & x"044" => data <= x"83";
when "00" & x"045" => data <= x"b1";
when "00" & x"046" => data <= x"ae";
when "00" & x"047" => data <= x"8d";
when "00" & x"048" => data <= x"01";
when "00" & x"049" => data <= x"01";
when "00" & x"04a" => data <= x"2c";
when "00" & x"04b" => data <= x"de";
when "00" & x"04c" => data <= x"10";
when "00" & x"04d" => data <= x"10";
when "00" & x"04e" => data <= x"25";
when "00" & x"04f" => data <= x"a9";
when "00" & x"050" => data <= x"02";
when "00" & x"051" => data <= x"8d";
when "00" & x"052" => data <= x"de";
when "00" & x"053" => data <= x"10";
when "00" & x"054" => data <= x"a9";
when "00" & x"055" => data <= x"00";
when "00" & x"056" => data <= x"8d";
when "00" & x"057" => data <= x"00";
when "00" & x"058" => data <= x"01";
when "00" & x"059" => data <= x"f0";
when "00" & x"05a" => data <= x"19";
when "00" & x"05b" => data <= x"a9";
when "00" & x"05c" => data <= x"02";
when "00" & x"05d" => data <= x"8d";
when "00" & x"05e" => data <= x"de";
when "00" & x"05f" => data <= x"10";
when "00" & x"060" => data <= x"a9";
when "00" & x"061" => data <= x"00";
when "00" & x"062" => data <= x"8d";
when "00" & x"063" => data <= x"00";
when "00" & x"064" => data <= x"01";
when "00" & x"065" => data <= x"85";
when "00" & x"066" => data <= x"b3";
when "00" & x"067" => data <= x"68";
when "00" & x"068" => data <= x"85";
when "00" & x"069" => data <= x"ae";
when "00" & x"06a" => data <= x"68";
when "00" & x"06b" => data <= x"85";
when "00" & x"06c" => data <= x"af";
when "00" & x"06d" => data <= x"a5";
when "00" & x"06e" => data <= x"b3";
when "00" & x"06f" => data <= x"48";
when "00" & x"070" => data <= x"98";
when "00" & x"071" => data <= x"48";
when "00" & x"072" => data <= x"a0";
when "00" & x"073" => data <= x"00";
when "00" & x"074" => data <= x"20";
when "00" & x"075" => data <= x"da";
when "00" & x"076" => data <= x"83";
when "00" & x"077" => data <= x"b1";
when "00" & x"078" => data <= x"ae";
when "00" & x"079" => data <= x"30";
when "00" & x"07a" => data <= x"08";
when "00" & x"07b" => data <= x"f0";
when "00" & x"07c" => data <= x"0d";
when "00" & x"07d" => data <= x"20";
when "00" & x"07e" => data <= x"9c";
when "00" & x"07f" => data <= x"80";
when "00" & x"080" => data <= x"4c";
when "00" & x"081" => data <= x"74";
when "00" & x"082" => data <= x"80";
when "00" & x"083" => data <= x"68";
when "00" & x"084" => data <= x"a8";
when "00" & x"085" => data <= x"68";
when "00" & x"086" => data <= x"18";
when "00" & x"087" => data <= x"6c";
when "00" & x"088" => data <= x"ae";
when "00" & x"089" => data <= x"00";
when "00" & x"08a" => data <= x"a9";
when "00" & x"08b" => data <= x"00";
when "00" & x"08c" => data <= x"ae";
when "00" & x"08d" => data <= x"de";
when "00" & x"08e" => data <= x"10";
when "00" & x"08f" => data <= x"9d";
when "00" & x"090" => data <= x"00";
when "00" & x"091" => data <= x"01";
when "00" & x"092" => data <= x"a9";
when "00" & x"093" => data <= x"ff";
when "00" & x"094" => data <= x"8d";
when "00" & x"095" => data <= x"de";
when "00" & x"096" => data <= x"10";
when "00" & x"097" => data <= x"4c";
when "00" & x"098" => data <= x"00";
when "00" & x"099" => data <= x"01";
when "00" & x"09a" => data <= x"a9";
when "00" & x"09b" => data <= x"2e";
when "00" & x"09c" => data <= x"20";
when "00" & x"09d" => data <= x"e1";
when "00" & x"09e" => data <= x"83";
when "00" & x"09f" => data <= x"2c";
when "00" & x"0a0" => data <= x"de";
when "00" & x"0a1" => data <= x"10";
when "00" & x"0a2" => data <= x"10";
when "00" & x"0a3" => data <= x"14";
when "00" & x"0a4" => data <= x"48";
when "00" & x"0a5" => data <= x"20";
when "00" & x"0a6" => data <= x"1c";
when "00" & x"0a7" => data <= x"99";
when "00" & x"0a8" => data <= x"8a";
when "00" & x"0a9" => data <= x"48";
when "00" & x"0aa" => data <= x"09";
when "00" & x"0ab" => data <= x"10";
when "00" & x"0ac" => data <= x"20";
when "00" & x"0ad" => data <= x"17";
when "00" & x"0ae" => data <= x"99";
when "00" & x"0af" => data <= x"68";
when "00" & x"0b0" => data <= x"aa";
when "00" & x"0b1" => data <= x"68";
when "00" & x"0b2" => data <= x"20";
when "00" & x"0b3" => data <= x"e3";
when "00" & x"0b4" => data <= x"ff";
when "00" & x"0b5" => data <= x"4c";
when "00" & x"0b6" => data <= x"18";
when "00" & x"0b7" => data <= x"99";
when "00" & x"0b8" => data <= x"ae";
when "00" & x"0b9" => data <= x"de";
when "00" & x"0ba" => data <= x"10";
when "00" & x"0bb" => data <= x"9d";
when "00" & x"0bc" => data <= x"00";
when "00" & x"0bd" => data <= x"01";
when "00" & x"0be" => data <= x"ee";
when "00" & x"0bf" => data <= x"de";
when "00" & x"0c0" => data <= x"10";
when "00" & x"0c1" => data <= x"60";
when "00" & x"0c2" => data <= x"48";
when "00" & x"0c3" => data <= x"20";
when "00" & x"0c4" => data <= x"05";
when "00" & x"0c5" => data <= x"82";
when "00" & x"0c6" => data <= x"20";
when "00" & x"0c7" => data <= x"ca";
when "00" & x"0c8" => data <= x"80";
when "00" & x"0c9" => data <= x"68";
when "00" & x"0ca" => data <= x"48";
when "00" & x"0cb" => data <= x"29";
when "00" & x"0cc" => data <= x"0f";
when "00" & x"0cd" => data <= x"c9";
when "00" & x"0ce" => data <= x"0a";
when "00" & x"0cf" => data <= x"90";
when "00" & x"0d0" => data <= x"02";
when "00" & x"0d1" => data <= x"69";
when "00" & x"0d2" => data <= x"06";
when "00" & x"0d3" => data <= x"69";
when "00" & x"0d4" => data <= x"30";
when "00" & x"0d5" => data <= x"20";
when "00" & x"0d6" => data <= x"9c";
when "00" & x"0d7" => data <= x"80";
when "00" & x"0d8" => data <= x"68";
when "00" & x"0d9" => data <= x"60";
when "00" & x"0da" => data <= x"20";
when "00" & x"0db" => data <= x"ea";
when "00" & x"0dc" => data <= x"80";
when "00" & x"0dd" => data <= x"ca";
when "00" & x"0de" => data <= x"ca";
when "00" & x"0df" => data <= x"20";
when "00" & x"0e0" => data <= x"e2";
when "00" & x"0e1" => data <= x"80";
when "00" & x"0e2" => data <= x"b1";
when "00" & x"0e3" => data <= x"b0";
when "00" & x"0e4" => data <= x"9d";
when "00" & x"0e5" => data <= x"72";
when "00" & x"0e6" => data <= x"10";
when "00" & x"0e7" => data <= x"e8";
when "00" & x"0e8" => data <= x"c8";
when "00" & x"0e9" => data <= x"60";
when "00" & x"0ea" => data <= x"20";
when "00" & x"0eb" => data <= x"ed";
when "00" & x"0ec" => data <= x"80";
when "00" & x"0ed" => data <= x"b1";
when "00" & x"0ee" => data <= x"b0";
when "00" & x"0ef" => data <= x"95";
when "00" & x"0f0" => data <= x"bc";
when "00" & x"0f1" => data <= x"e8";
when "00" & x"0f2" => data <= x"c8";
when "00" & x"0f3" => data <= x"60";
when "00" & x"0f4" => data <= x"a9";
when "00" & x"0f5" => data <= x"20";
when "00" & x"0f6" => data <= x"a2";
when "00" & x"0f7" => data <= x"06";
when "00" & x"0f8" => data <= x"95";
when "00" & x"0f9" => data <= x"c7";
when "00" & x"0fa" => data <= x"ca";
when "00" & x"0fb" => data <= x"10";
when "00" & x"0fc" => data <= x"fb";
when "00" & x"0fd" => data <= x"60";
when "00" & x"0fe" => data <= x"20";
when "00" & x"0ff" => data <= x"4d";
when "00" & x"100" => data <= x"83";
when "00" & x"101" => data <= x"20";
when "00" & x"102" => data <= x"f4";
when "00" & x"103" => data <= x"80";
when "00" & x"104" => data <= x"30";
when "00" & x"105" => data <= x"13";
when "00" & x"106" => data <= x"20";
when "00" & x"107" => data <= x"4d";
when "00" & x"108" => data <= x"83";
when "00" & x"109" => data <= x"20";
when "00" & x"10a" => data <= x"f4";
when "00" & x"10b" => data <= x"80";
when "00" & x"10c" => data <= x"a5";
when "00" & x"10d" => data <= x"bc";
when "00" & x"10e" => data <= x"85";
when "00" & x"10f" => data <= x"f2";
when "00" & x"110" => data <= x"a5";
when "00" & x"111" => data <= x"bd";
when "00" & x"112" => data <= x"85";
when "00" & x"113" => data <= x"f3";
when "00" & x"114" => data <= x"a0";
when "00" & x"115" => data <= x"00";
when "00" & x"116" => data <= x"20";
when "00" & x"117" => data <= x"bf";
when "00" & x"118" => data <= x"86";
when "00" & x"119" => data <= x"a2";
when "00" & x"11a" => data <= x"01";
when "00" & x"11b" => data <= x"20";
when "00" & x"11c" => data <= x"c5";
when "00" & x"11d" => data <= x"ff";
when "00" & x"11e" => data <= x"b0";
when "00" & x"11f" => data <= x"dd";
when "00" & x"120" => data <= x"85";
when "00" & x"121" => data <= x"c7";
when "00" & x"122" => data <= x"c9";
when "00" & x"123" => data <= x"2e";
when "00" & x"124" => data <= x"d0";
when "00" & x"125" => data <= x"04";
when "00" & x"126" => data <= x"a9";
when "00" & x"127" => data <= x"20";
when "00" & x"128" => data <= x"d0";
when "00" & x"129" => data <= x"4d";
when "00" & x"12a" => data <= x"c9";
when "00" & x"12b" => data <= x"3a";
when "00" & x"12c" => data <= x"d0";
when "00" & x"12d" => data <= x"21";
when "00" & x"12e" => data <= x"20";
when "00" & x"12f" => data <= x"c5";
when "00" & x"130" => data <= x"ff";
when "00" & x"131" => data <= x"b0";
when "00" & x"132" => data <= x"15";
when "00" & x"133" => data <= x"38";
when "00" & x"134" => data <= x"e9";
when "00" & x"135" => data <= x"30";
when "00" & x"136" => data <= x"90";
when "00" & x"137" => data <= x"10";
when "00" & x"138" => data <= x"c9";
when "00" & x"139" => data <= x"04";
when "00" & x"13a" => data <= x"b0";
when "00" & x"13b" => data <= x"0c";
when "00" & x"13c" => data <= x"20";
when "00" & x"13d" => data <= x"7e";
when "00" & x"13e" => data <= x"87";
when "00" & x"13f" => data <= x"20";
when "00" & x"140" => data <= x"c5";
when "00" & x"141" => data <= x"ff";
when "00" & x"142" => data <= x"b0";
when "00" & x"143" => data <= x"5c";
when "00" & x"144" => data <= x"c9";
when "00" & x"145" => data <= x"2e";
when "00" & x"146" => data <= x"f0";
when "00" & x"147" => data <= x"03";
when "00" & x"148" => data <= x"4c";
when "00" & x"149" => data <= x"74";
when "00" & x"14a" => data <= x"83";
when "00" & x"14b" => data <= x"a9";
when "00" & x"14c" => data <= x"24";
when "00" & x"14d" => data <= x"d0";
when "00" & x"14e" => data <= x"28";
when "00" & x"14f" => data <= x"c9";
when "00" & x"150" => data <= x"2a";
when "00" & x"151" => data <= x"d0";
when "00" & x"152" => data <= x"19";
when "00" & x"153" => data <= x"20";
when "00" & x"154" => data <= x"c5";
when "00" & x"155" => data <= x"ff";
when "00" & x"156" => data <= x"b0";
when "00" & x"157" => data <= x"08";
when "00" & x"158" => data <= x"c9";
when "00" & x"159" => data <= x"2e";
when "00" & x"15a" => data <= x"d0";
when "00" & x"15b" => data <= x"32";
when "00" & x"15c" => data <= x"a9";
when "00" & x"15d" => data <= x"23";
when "00" & x"15e" => data <= x"d0";
when "00" & x"15f" => data <= x"17";
when "00" & x"160" => data <= x"a2";
when "00" & x"161" => data <= x"00";
when "00" & x"162" => data <= x"a9";
when "00" & x"163" => data <= x"23";
when "00" & x"164" => data <= x"95";
when "00" & x"165" => data <= x"c7";
when "00" & x"166" => data <= x"e8";
when "00" & x"167" => data <= x"e0";
when "00" & x"168" => data <= x"07";
when "00" & x"169" => data <= x"d0";
when "00" & x"16a" => data <= x"f9";
when "00" & x"16b" => data <= x"60";
when "00" & x"16c" => data <= x"20";
when "00" & x"16d" => data <= x"c5";
when "00" & x"16e" => data <= x"ff";
when "00" & x"16f" => data <= x"b0";
when "00" & x"170" => data <= x"2f";
when "00" & x"171" => data <= x"c9";
when "00" & x"172" => data <= x"2e";
when "00" & x"173" => data <= x"d0";
when "00" & x"174" => data <= x"10";
when "00" & x"175" => data <= x"a5";
when "00" & x"176" => data <= x"c7";
when "00" & x"177" => data <= x"85";
when "00" & x"178" => data <= x"ce";
when "00" & x"179" => data <= x"4c";
when "00" & x"17a" => data <= x"1b";
when "00" & x"17b" => data <= x"81";
when "00" & x"17c" => data <= x"20";
when "00" & x"17d" => data <= x"c5";
when "00" & x"17e" => data <= x"ff";
when "00" & x"17f" => data <= x"b0";
when "00" & x"180" => data <= x"1f";
when "00" & x"181" => data <= x"e0";
when "00" & x"182" => data <= x"07";
when "00" & x"183" => data <= x"f0";
when "00" & x"184" => data <= x"09";
when "00" & x"185" => data <= x"c9";
when "00" & x"186" => data <= x"2a";
when "00" & x"187" => data <= x"d0";
when "00" & x"188" => data <= x"12";
when "00" & x"189" => data <= x"20";
when "00" & x"18a" => data <= x"c5";
when "00" & x"18b" => data <= x"ff";
when "00" & x"18c" => data <= x"b0";
when "00" & x"18d" => data <= x"d4";
when "00" & x"18e" => data <= x"20";
when "00" & x"18f" => data <= x"22";
when "00" & x"190" => data <= x"80";
when "00" & x"191" => data <= x"cc";
when "00" & x"192" => data <= x"66";
when "00" & x"193" => data <= x"69";
when "00" & x"194" => data <= x"6c";
when "00" & x"195" => data <= x"65";
when "00" & x"196" => data <= x"6e";
when "00" & x"197" => data <= x"61";
when "00" & x"198" => data <= x"6d";
when "00" & x"199" => data <= x"65";
when "00" & x"19a" => data <= x"00";
when "00" & x"19b" => data <= x"95";
when "00" & x"19c" => data <= x"c7";
when "00" & x"19d" => data <= x"e8";
when "00" & x"19e" => data <= x"d0";
when "00" & x"19f" => data <= x"dc";
when "00" & x"1a0" => data <= x"60";
when "00" & x"1a1" => data <= x"20";
when "00" & x"1a2" => data <= x"e1";
when "00" & x"1a3" => data <= x"83";
when "00" & x"1a4" => data <= x"ad";
when "00" & x"1a5" => data <= x"04";
when "00" & x"1a6" => data <= x"0f";
when "00" & x"1a7" => data <= x"20";
when "00" & x"1a8" => data <= x"47";
when "00" & x"1a9" => data <= x"83";
when "00" & x"1aa" => data <= x"cd";
when "00" & x"1ab" => data <= x"04";
when "00" & x"1ac" => data <= x"0f";
when "00" & x"1ad" => data <= x"f0";
when "00" & x"1ae" => data <= x"f1";
when "00" & x"1af" => data <= x"20";
when "00" & x"1b0" => data <= x"33";
when "00" & x"1b1" => data <= x"80";
when "00" & x"1b2" => data <= x"c8";
when "00" & x"1b3" => data <= x"44";
when "00" & x"1b4" => data <= x"69";
when "00" & x"1b5" => data <= x"73";
when "00" & x"1b6" => data <= x"6b";
when "00" & x"1b7" => data <= x"20";
when "00" & x"1b8" => data <= x"63";
when "00" & x"1b9" => data <= x"68";
when "00" & x"1ba" => data <= x"61";
when "00" & x"1bb" => data <= x"6e";
when "00" & x"1bc" => data <= x"67";
when "00" & x"1bd" => data <= x"65";
when "00" & x"1be" => data <= x"64";
when "00" & x"1bf" => data <= x"00";
when "00" & x"1c0" => data <= x"20";
when "00" & x"1c1" => data <= x"e1";
when "00" & x"1c2" => data <= x"83";
when "00" & x"1c3" => data <= x"b9";
when "00" & x"1c4" => data <= x"0f";
when "00" & x"1c5" => data <= x"0e";
when "00" & x"1c6" => data <= x"08";
when "00" & x"1c7" => data <= x"29";
when "00" & x"1c8" => data <= x"7f";
when "00" & x"1c9" => data <= x"d0";
when "00" & x"1ca" => data <= x"05";
when "00" & x"1cb" => data <= x"20";
when "00" & x"1cc" => data <= x"cb";
when "00" & x"1cd" => data <= x"9f";
when "00" & x"1ce" => data <= x"f0";
when "00" & x"1cf" => data <= x"06";
when "00" & x"1d0" => data <= x"20";
when "00" & x"1d1" => data <= x"9c";
when "00" & x"1d2" => data <= x"80";
when "00" & x"1d3" => data <= x"20";
when "00" & x"1d4" => data <= x"9a";
when "00" & x"1d5" => data <= x"80";
when "00" & x"1d6" => data <= x"a2";
when "00" & x"1d7" => data <= x"06";
when "00" & x"1d8" => data <= x"b9";
when "00" & x"1d9" => data <= x"08";
when "00" & x"1da" => data <= x"0e";
when "00" & x"1db" => data <= x"29";
when "00" & x"1dc" => data <= x"7f";
when "00" & x"1dd" => data <= x"20";
when "00" & x"1de" => data <= x"9c";
when "00" & x"1df" => data <= x"80";
when "00" & x"1e0" => data <= x"c8";
when "00" & x"1e1" => data <= x"ca";
when "00" & x"1e2" => data <= x"10";
when "00" & x"1e3" => data <= x"f4";
when "00" & x"1e4" => data <= x"20";
when "00" & x"1e5" => data <= x"cb";
when "00" & x"1e6" => data <= x"9f";
when "00" & x"1e7" => data <= x"a9";
when "00" & x"1e8" => data <= x"20";
when "00" & x"1e9" => data <= x"28";
when "00" & x"1ea" => data <= x"10";
when "00" & x"1eb" => data <= x"02";
when "00" & x"1ec" => data <= x"a9";
when "00" & x"1ed" => data <= x"4c";
when "00" & x"1ee" => data <= x"20";
when "00" & x"1ef" => data <= x"9c";
when "00" & x"1f0" => data <= x"80";
when "00" & x"1f1" => data <= x"4c";
when "00" & x"1f2" => data <= x"ce";
when "00" & x"1f3" => data <= x"9f";
when "00" & x"1f4" => data <= x"20";
when "00" & x"1f5" => data <= x"ce";
when "00" & x"1f6" => data <= x"9f";
when "00" & x"1f7" => data <= x"88";
when "00" & x"1f8" => data <= x"d0";
when "00" & x"1f9" => data <= x"fa";
when "00" & x"1fa" => data <= x"60";
when "00" & x"1fb" => data <= x"4a";
when "00" & x"1fc" => data <= x"4a";
when "00" & x"1fd" => data <= x"4a";
when "00" & x"1fe" => data <= x"4a";
when "00" & x"1ff" => data <= x"4a";
when "00" & x"200" => data <= x"4a";
when "00" & x"201" => data <= x"29";
when "00" & x"202" => data <= x"03";
when "00" & x"203" => data <= x"60";
when "00" & x"204" => data <= x"4a";
when "00" & x"205" => data <= x"4a";
when "00" & x"206" => data <= x"4a";
when "00" & x"207" => data <= x"4a";
when "00" & x"208" => data <= x"4a";
when "00" & x"209" => data <= x"60";
when "00" & x"20a" => data <= x"0a";
when "00" & x"20b" => data <= x"0a";
when "00" & x"20c" => data <= x"0a";
when "00" & x"20d" => data <= x"0a";
when "00" & x"20e" => data <= x"0a";
when "00" & x"20f" => data <= x"60";
when "00" & x"210" => data <= x"c8";
when "00" & x"211" => data <= x"c8";
when "00" & x"212" => data <= x"c8";
when "00" & x"213" => data <= x"c8";
when "00" & x"214" => data <= x"c8";
when "00" & x"215" => data <= x"c8";
when "00" & x"216" => data <= x"c8";
when "00" & x"217" => data <= x"c8";
when "00" & x"218" => data <= x"60";
when "00" & x"219" => data <= x"88";
when "00" & x"21a" => data <= x"88";
when "00" & x"21b" => data <= x"88";
when "00" & x"21c" => data <= x"88";
when "00" & x"21d" => data <= x"88";
when "00" & x"21e" => data <= x"88";
when "00" & x"21f" => data <= x"88";
when "00" & x"220" => data <= x"88";
when "00" & x"221" => data <= x"60";
when "00" & x"222" => data <= x"00";
when "00" & x"223" => data <= x"00";
when "00" & x"224" => data <= x"00";
when "00" & x"225" => data <= x"00";
when "00" & x"226" => data <= x"00";
when "00" & x"227" => data <= x"00";
when "00" & x"228" => data <= x"00";
when "00" & x"229" => data <= x"00";
when "00" & x"22a" => data <= x"00";
when "00" & x"22b" => data <= x"00";
when "00" & x"22c" => data <= x"00";
when "00" & x"22d" => data <= x"00";
when "00" & x"22e" => data <= x"00";
when "00" & x"22f" => data <= x"00";
when "00" & x"230" => data <= x"00";
when "00" & x"231" => data <= x"00";
when "00" & x"232" => data <= x"00";
when "00" & x"233" => data <= x"00";
when "00" & x"234" => data <= x"00";
when "00" & x"235" => data <= x"00";
when "00" & x"236" => data <= x"00";
when "00" & x"237" => data <= x"00";
when "00" & x"238" => data <= x"00";
when "00" & x"239" => data <= x"00";
when "00" & x"23a" => data <= x"00";
when "00" & x"23b" => data <= x"00";
when "00" & x"23c" => data <= x"00";
when "00" & x"23d" => data <= x"00";
when "00" & x"23e" => data <= x"00";
when "00" & x"23f" => data <= x"00";
when "00" & x"240" => data <= x"00";
when "00" & x"241" => data <= x"00";
when "00" & x"242" => data <= x"00";
when "00" & x"243" => data <= x"00";
when "00" & x"244" => data <= x"00";
when "00" & x"245" => data <= x"00";
when "00" & x"246" => data <= x"00";
when "00" & x"247" => data <= x"00";
when "00" & x"248" => data <= x"00";
when "00" & x"249" => data <= x"00";
when "00" & x"24a" => data <= x"00";
when "00" & x"24b" => data <= x"00";
when "00" & x"24c" => data <= x"00";
when "00" & x"24d" => data <= x"00";
when "00" & x"24e" => data <= x"00";
when "00" & x"24f" => data <= x"00";
when "00" & x"250" => data <= x"00";
when "00" & x"251" => data <= x"00";
when "00" & x"252" => data <= x"00";
when "00" & x"253" => data <= x"00";
when "00" & x"254" => data <= x"00";
when "00" & x"255" => data <= x"00";
when "00" & x"256" => data <= x"00";
when "00" & x"257" => data <= x"00";
when "00" & x"258" => data <= x"00";
when "00" & x"259" => data <= x"00";
when "00" & x"25a" => data <= x"00";
when "00" & x"25b" => data <= x"00";
when "00" & x"25c" => data <= x"00";
when "00" & x"25d" => data <= x"60";
when "00" & x"25e" => data <= x"a9";
when "00" & x"25f" => data <= x"23";
when "00" & x"260" => data <= x"d0";
when "00" & x"261" => data <= x"02";
when "00" & x"262" => data <= x"a9";
when "00" & x"263" => data <= x"ff";
when "00" & x"264" => data <= x"8d";
when "00" & x"265" => data <= x"cf";
when "00" & x"266" => data <= x"10";
when "00" & x"267" => data <= x"60";
when "00" & x"268" => data <= x"20";
when "00" & x"269" => data <= x"fe";
when "00" & x"26a" => data <= x"80";
when "00" & x"26b" => data <= x"4c";
when "00" & x"26c" => data <= x"71";
when "00" & x"26d" => data <= x"82";
when "00" & x"26e" => data <= x"20";
when "00" & x"26f" => data <= x"06";
when "00" & x"270" => data <= x"81";
when "00" & x"271" => data <= x"20";
when "00" & x"272" => data <= x"96";
when "00" & x"273" => data <= x"82";
when "00" & x"274" => data <= x"b0";
when "00" & x"275" => data <= x"e7";
when "00" & x"276" => data <= x"20";
when "00" & x"277" => data <= x"2b";
when "00" & x"278" => data <= x"80";
when "00" & x"279" => data <= x"d6";
when "00" & x"27a" => data <= x"6e";
when "00" & x"27b" => data <= x"6f";
when "00" & x"27c" => data <= x"74";
when "00" & x"27d" => data <= x"20";
when "00" & x"27e" => data <= x"66";
when "00" & x"27f" => data <= x"6f";
when "00" & x"280" => data <= x"75";
when "00" & x"281" => data <= x"6e";
when "00" & x"282" => data <= x"64";
when "00" & x"283" => data <= x"00";
when "00" & x"284" => data <= x"20";
when "00" & x"285" => data <= x"5e";
when "00" & x"286" => data <= x"82";
when "00" & x"287" => data <= x"20";
when "00" & x"288" => data <= x"01";
when "00" & x"289" => data <= x"9a";
when "00" & x"28a" => data <= x"20";
when "00" & x"28b" => data <= x"68";
when "00" & x"28c" => data <= x"82";
when "00" & x"28d" => data <= x"20";
when "00" & x"28e" => data <= x"01";
when "00" & x"28f" => data <= x"83";
when "00" & x"290" => data <= x"20";
when "00" & x"291" => data <= x"9d";
when "00" & x"292" => data <= x"82";
when "00" & x"293" => data <= x"b0";
when "00" & x"294" => data <= x"f8";
when "00" & x"295" => data <= x"60";
when "00" & x"296" => data <= x"20";
when "00" & x"297" => data <= x"41";
when "00" & x"298" => data <= x"af";
when "00" & x"299" => data <= x"a0";
when "00" & x"29a" => data <= x"f8";
when "00" & x"29b" => data <= x"d0";
when "00" & x"29c" => data <= x"03";
when "00" & x"29d" => data <= x"ac";
when "00" & x"29e" => data <= x"ce";
when "00" & x"29f" => data <= x"10";
when "00" & x"2a0" => data <= x"20";
when "00" & x"2a1" => data <= x"10";
when "00" & x"2a2" => data <= x"82";
when "00" & x"2a3" => data <= x"cc";
when "00" & x"2a4" => data <= x"05";
when "00" & x"2a5" => data <= x"0f";
when "00" & x"2a6" => data <= x"b0";
when "00" & x"2a7" => data <= x"44";
when "00" & x"2a8" => data <= x"20";
when "00" & x"2a9" => data <= x"10";
when "00" & x"2aa" => data <= x"82";
when "00" & x"2ab" => data <= x"a2";
when "00" & x"2ac" => data <= x"07";
when "00" & x"2ad" => data <= x"b5";
when "00" & x"2ae" => data <= x"c7";
when "00" & x"2af" => data <= x"cd";
when "00" & x"2b0" => data <= x"cf";
when "00" & x"2b1" => data <= x"10";
when "00" & x"2b2" => data <= x"f0";
when "00" & x"2b3" => data <= x"0e";
when "00" & x"2b4" => data <= x"20";
when "00" & x"2b5" => data <= x"ee";
when "00" & x"2b6" => data <= x"82";
when "00" & x"2b7" => data <= x"59";
when "00" & x"2b8" => data <= x"07";
when "00" & x"2b9" => data <= x"0e";
when "00" & x"2ba" => data <= x"b0";
when "00" & x"2bb" => data <= x"02";
when "00" & x"2bc" => data <= x"29";
when "00" & x"2bd" => data <= x"df";
when "00" & x"2be" => data <= x"29";
when "00" & x"2bf" => data <= x"7f";
when "00" & x"2c0" => data <= x"d0";
when "00" & x"2c1" => data <= x"09";
when "00" & x"2c2" => data <= x"88";
when "00" & x"2c3" => data <= x"ca";
when "00" & x"2c4" => data <= x"10";
when "00" & x"2c5" => data <= x"e7";
when "00" & x"2c6" => data <= x"8c";
when "00" & x"2c7" => data <= x"ce";
when "00" & x"2c8" => data <= x"10";
when "00" & x"2c9" => data <= x"38";
when "00" & x"2ca" => data <= x"60";
when "00" & x"2cb" => data <= x"88";
when "00" & x"2cc" => data <= x"ca";
when "00" & x"2cd" => data <= x"10";
when "00" & x"2ce" => data <= x"fc";
when "00" & x"2cf" => data <= x"30";
when "00" & x"2d0" => data <= x"cf";
when "00" & x"2d1" => data <= x"20";
when "00" & x"2d2" => data <= x"4c";
when "00" & x"2d3" => data <= x"98";
when "00" & x"2d4" => data <= x"b9";
when "00" & x"2d5" => data <= x"10";
when "00" & x"2d6" => data <= x"0e";
when "00" & x"2d7" => data <= x"99";
when "00" & x"2d8" => data <= x"08";
when "00" & x"2d9" => data <= x"0e";
when "00" & x"2da" => data <= x"b9";
when "00" & x"2db" => data <= x"10";
when "00" & x"2dc" => data <= x"0f";
when "00" & x"2dd" => data <= x"99";
when "00" & x"2de" => data <= x"08";
when "00" & x"2df" => data <= x"0f";
when "00" & x"2e0" => data <= x"c8";
when "00" & x"2e1" => data <= x"cc";
when "00" & x"2e2" => data <= x"05";
when "00" & x"2e3" => data <= x"0f";
when "00" & x"2e4" => data <= x"90";
when "00" & x"2e5" => data <= x"ee";
when "00" & x"2e6" => data <= x"98";
when "00" & x"2e7" => data <= x"e9";
when "00" & x"2e8" => data <= x"08";
when "00" & x"2e9" => data <= x"8d";
when "00" & x"2ea" => data <= x"05";
when "00" & x"2eb" => data <= x"0f";
when "00" & x"2ec" => data <= x"18";
when "00" & x"2ed" => data <= x"60";
when "00" & x"2ee" => data <= x"48";
when "00" & x"2ef" => data <= x"29";
when "00" & x"2f0" => data <= x"df";
when "00" & x"2f1" => data <= x"c9";
when "00" & x"2f2" => data <= x"41";
when "00" & x"2f3" => data <= x"90";
when "00" & x"2f4" => data <= x"04";
when "00" & x"2f5" => data <= x"c9";
when "00" & x"2f6" => data <= x"5b";
when "00" & x"2f7" => data <= x"90";
when "00" & x"2f8" => data <= x"01";
when "00" & x"2f9" => data <= x"38";
when "00" & x"2fa" => data <= x"68";
when "00" & x"2fb" => data <= x"60";
when "00" & x"2fc" => data <= x"2c";
when "00" & x"2fd" => data <= x"c7";
when "00" & x"2fe" => data <= x"10";
when "00" & x"2ff" => data <= x"30";
when "00" & x"300" => data <= x"ec";
when "00" & x"301" => data <= x"20";
when "00" & x"302" => data <= x"e1";
when "00" & x"303" => data <= x"83";
when "00" & x"304" => data <= x"20";
when "00" & x"305" => data <= x"c0";
when "00" & x"306" => data <= x"81";
when "00" & x"307" => data <= x"98";
when "00" & x"308" => data <= x"48";
when "00" & x"309" => data <= x"a9";
when "00" & x"30a" => data <= x"60";
when "00" & x"30b" => data <= x"85";
when "00" & x"30c" => data <= x"b0";
when "00" & x"30d" => data <= x"a9";
when "00" & x"30e" => data <= x"10";
when "00" & x"30f" => data <= x"85";
when "00" & x"310" => data <= x"b1";
when "00" & x"311" => data <= x"20";
when "00" & x"312" => data <= x"7e";
when "00" & x"313" => data <= x"83";
when "00" & x"314" => data <= x"a0";
when "00" & x"315" => data <= x"02";
when "00" & x"316" => data <= x"20";
when "00" & x"317" => data <= x"ce";
when "00" & x"318" => data <= x"9f";
when "00" & x"319" => data <= x"20";
when "00" & x"31a" => data <= x"35";
when "00" & x"31b" => data <= x"83";
when "00" & x"31c" => data <= x"20";
when "00" & x"31d" => data <= x"35";
when "00" & x"31e" => data <= x"83";
when "00" & x"31f" => data <= x"20";
when "00" & x"320" => data <= x"35";
when "00" & x"321" => data <= x"83";
when "00" & x"322" => data <= x"68";
when "00" & x"323" => data <= x"a8";
when "00" & x"324" => data <= x"b9";
when "00" & x"325" => data <= x"0e";
when "00" & x"326" => data <= x"0f";
when "00" & x"327" => data <= x"29";
when "00" & x"328" => data <= x"03";
when "00" & x"329" => data <= x"20";
when "00" & x"32a" => data <= x"ca";
when "00" & x"32b" => data <= x"80";
when "00" & x"32c" => data <= x"b9";
when "00" & x"32d" => data <= x"0f";
when "00" & x"32e" => data <= x"0f";
when "00" & x"32f" => data <= x"20";
when "00" & x"330" => data <= x"c2";
when "00" & x"331" => data <= x"80";
when "00" & x"332" => data <= x"4c";
when "00" & x"333" => data <= x"9a";
when "00" & x"334" => data <= x"9f";
when "00" & x"335" => data <= x"a2";
when "00" & x"336" => data <= x"03";
when "00" & x"337" => data <= x"b9";
when "00" & x"338" => data <= x"62";
when "00" & x"339" => data <= x"10";
when "00" & x"33a" => data <= x"20";
when "00" & x"33b" => data <= x"c2";
when "00" & x"33c" => data <= x"80";
when "00" & x"33d" => data <= x"88";
when "00" & x"33e" => data <= x"ca";
when "00" & x"33f" => data <= x"d0";
when "00" & x"340" => data <= x"f6";
when "00" & x"341" => data <= x"20";
when "00" & x"342" => data <= x"11";
when "00" & x"343" => data <= x"82";
when "00" & x"344" => data <= x"4c";
when "00" & x"345" => data <= x"ce";
when "00" & x"346" => data <= x"9f";
when "00" & x"347" => data <= x"20";
when "00" & x"348" => data <= x"e1";
when "00" & x"349" => data <= x"83";
when "00" & x"34a" => data <= x"4c";
when "00" & x"34b" => data <= x"41";
when "00" & x"34c" => data <= x"af";
when "00" & x"34d" => data <= x"ad";
when "00" & x"34e" => data <= x"ca";
when "00" & x"34f" => data <= x"10";
when "00" & x"350" => data <= x"85";
when "00" & x"351" => data <= x"ce";
when "00" & x"352" => data <= x"ad";
when "00" & x"353" => data <= x"cb";
when "00" & x"354" => data <= x"10";
when "00" & x"355" => data <= x"4c";
when "00" & x"356" => data <= x"7e";
when "00" & x"357" => data <= x"87";
when "00" & x"358" => data <= x"20";
when "00" & x"359" => data <= x"bf";
when "00" & x"35a" => data <= x"86";
when "00" & x"35b" => data <= x"f0";
when "00" & x"35c" => data <= x"f5";
when "00" & x"35d" => data <= x"20";
when "00" & x"35e" => data <= x"c5";
when "00" & x"35f" => data <= x"ff";
when "00" & x"360" => data <= x"b0";
when "00" & x"361" => data <= x"12";
when "00" & x"362" => data <= x"c9";
when "00" & x"363" => data <= x"3a";
when "00" & x"364" => data <= x"f0";
when "00" & x"365" => data <= x"f7";
when "00" & x"366" => data <= x"38";
when "00" & x"367" => data <= x"e9";
when "00" & x"368" => data <= x"30";
when "00" & x"369" => data <= x"90";
when "00" & x"36a" => data <= x"09";
when "00" & x"36b" => data <= x"c9";
when "00" & x"36c" => data <= x"04";
when "00" & x"36d" => data <= x"b0";
when "00" & x"36e" => data <= x"05";
when "00" & x"36f" => data <= x"20";
when "00" & x"370" => data <= x"7e";
when "00" & x"371" => data <= x"87";
when "00" & x"372" => data <= x"18";
when "00" & x"373" => data <= x"60";
when "00" & x"374" => data <= x"20";
when "00" & x"375" => data <= x"22";
when "00" & x"376" => data <= x"80";
when "00" & x"377" => data <= x"cd";
when "00" & x"378" => data <= x"64";
when "00" & x"379" => data <= x"72";
when "00" & x"37a" => data <= x"69";
when "00" & x"37b" => data <= x"76";
when "00" & x"37c" => data <= x"65";
when "00" & x"37d" => data <= x"00";
when "00" & x"37e" => data <= x"20";
when "00" & x"37f" => data <= x"e1";
when "00" & x"380" => data <= x"83";
when "00" & x"381" => data <= x"98";
when "00" & x"382" => data <= x"48";
when "00" & x"383" => data <= x"aa";
when "00" & x"384" => data <= x"a0";
when "00" & x"385" => data <= x"02";
when "00" & x"386" => data <= x"a9";
when "00" & x"387" => data <= x"00";
when "00" & x"388" => data <= x"91";
when "00" & x"389" => data <= x"b0";
when "00" & x"38a" => data <= x"c8";
when "00" & x"38b" => data <= x"c0";
when "00" & x"38c" => data <= x"12";
when "00" & x"38d" => data <= x"d0";
when "00" & x"38e" => data <= x"f9";
when "00" & x"38f" => data <= x"a0";
when "00" & x"390" => data <= x"02";
when "00" & x"391" => data <= x"20";
when "00" & x"392" => data <= x"cf";
when "00" & x"393" => data <= x"83";
when "00" & x"394" => data <= x"c8";
when "00" & x"395" => data <= x"c8";
when "00" & x"396" => data <= x"c0";
when "00" & x"397" => data <= x"0e";
when "00" & x"398" => data <= x"d0";
when "00" & x"399" => data <= x"f7";
when "00" & x"39a" => data <= x"68";
when "00" & x"39b" => data <= x"aa";
when "00" & x"39c" => data <= x"bd";
when "00" & x"39d" => data <= x"0f";
when "00" & x"39e" => data <= x"0e";
when "00" & x"39f" => data <= x"10";
when "00" & x"3a0" => data <= x"06";
when "00" & x"3a1" => data <= x"a9";
when "00" & x"3a2" => data <= x"0a";
when "00" & x"3a3" => data <= x"a0";
when "00" & x"3a4" => data <= x"0e";
when "00" & x"3a5" => data <= x"91";
when "00" & x"3a6" => data <= x"b0";
when "00" & x"3a7" => data <= x"bd";
when "00" & x"3a8" => data <= x"0e";
when "00" & x"3a9" => data <= x"0f";
when "00" & x"3aa" => data <= x"a0";
when "00" & x"3ab" => data <= x"04";
when "00" & x"3ac" => data <= x"20";
when "00" & x"3ad" => data <= x"bb";
when "00" & x"3ae" => data <= x"83";
when "00" & x"3af" => data <= x"a0";
when "00" & x"3b0" => data <= x"0c";
when "00" & x"3b1" => data <= x"4a";
when "00" & x"3b2" => data <= x"4a";
when "00" & x"3b3" => data <= x"48";
when "00" & x"3b4" => data <= x"29";
when "00" & x"3b5" => data <= x"03";
when "00" & x"3b6" => data <= x"91";
when "00" & x"3b7" => data <= x"b0";
when "00" & x"3b8" => data <= x"68";
when "00" & x"3b9" => data <= x"a0";
when "00" & x"3ba" => data <= x"08";
when "00" & x"3bb" => data <= x"4a";
when "00" & x"3bc" => data <= x"4a";
when "00" & x"3bd" => data <= x"48";
when "00" & x"3be" => data <= x"29";
when "00" & x"3bf" => data <= x"03";
when "00" & x"3c0" => data <= x"91";
when "00" & x"3c1" => data <= x"b0";
when "00" & x"3c2" => data <= x"c9";
when "00" & x"3c3" => data <= x"03";
when "00" & x"3c4" => data <= x"d0";
when "00" & x"3c5" => data <= x"07";
when "00" & x"3c6" => data <= x"a9";
when "00" & x"3c7" => data <= x"ff";
when "00" & x"3c8" => data <= x"91";
when "00" & x"3c9" => data <= x"b0";
when "00" & x"3ca" => data <= x"c8";
when "00" & x"3cb" => data <= x"91";
when "00" & x"3cc" => data <= x"b0";
when "00" & x"3cd" => data <= x"68";
when "00" & x"3ce" => data <= x"60";
when "00" & x"3cf" => data <= x"20";
when "00" & x"3d0" => data <= x"d2";
when "00" & x"3d1" => data <= x"83";
when "00" & x"3d2" => data <= x"bd";
when "00" & x"3d3" => data <= x"08";
when "00" & x"3d4" => data <= x"0f";
when "00" & x"3d5" => data <= x"91";
when "00" & x"3d6" => data <= x"b0";
when "00" & x"3d7" => data <= x"e8";
when "00" & x"3d8" => data <= x"c8";
when "00" & x"3d9" => data <= x"60";
when "00" & x"3da" => data <= x"e6";
when "00" & x"3db" => data <= x"ae";
when "00" & x"3dc" => data <= x"d0";
when "00" & x"3dd" => data <= x"02";
when "00" & x"3de" => data <= x"e6";
when "00" & x"3df" => data <= x"af";
when "00" & x"3e0" => data <= x"60";
when "00" & x"3e1" => data <= x"48";
when "00" & x"3e2" => data <= x"8a";
when "00" & x"3e3" => data <= x"48";
when "00" & x"3e4" => data <= x"98";
when "00" & x"3e5" => data <= x"48";
when "00" & x"3e6" => data <= x"a9";
when "00" & x"3e7" => data <= x"84";
when "00" & x"3e8" => data <= x"48";
when "00" & x"3e9" => data <= x"a9";
when "00" & x"3ea" => data <= x"03";
when "00" & x"3eb" => data <= x"48";
when "00" & x"3ec" => data <= x"a0";
when "00" & x"3ed" => data <= x"05";
when "00" & x"3ee" => data <= x"ba";
when "00" & x"3ef" => data <= x"bd";
when "00" & x"3f0" => data <= x"07";
when "00" & x"3f1" => data <= x"01";
when "00" & x"3f2" => data <= x"48";
when "00" & x"3f3" => data <= x"88";
when "00" & x"3f4" => data <= x"d0";
when "00" & x"3f5" => data <= x"f8";
when "00" & x"3f6" => data <= x"a0";
when "00" & x"3f7" => data <= x"0a";
when "00" & x"3f8" => data <= x"bd";
when "00" & x"3f9" => data <= x"09";
when "00" & x"3fa" => data <= x"01";
when "00" & x"3fb" => data <= x"9d";
when "00" & x"3fc" => data <= x"0b";
when "00" & x"3fd" => data <= x"01";
when "00" & x"3fe" => data <= x"ca";
when "00" & x"3ff" => data <= x"88";
when "00" & x"400" => data <= x"d0";
when "00" & x"401" => data <= x"f6";
when "00" & x"402" => data <= x"68";
when "00" & x"403" => data <= x"68";
when "00" & x"404" => data <= x"68";
when "00" & x"405" => data <= x"a8";
when "00" & x"406" => data <= x"68";
when "00" & x"407" => data <= x"aa";
when "00" & x"408" => data <= x"68";
when "00" & x"409" => data <= x"60";
when "00" & x"40a" => data <= x"ba";
when "00" & x"40b" => data <= x"9d";
when "00" & x"40c" => data <= x"03";
when "00" & x"40d" => data <= x"01";
when "00" & x"40e" => data <= x"4c";
when "00" & x"40f" => data <= x"04";
when "00" & x"410" => data <= x"84";
when "00" & x"411" => data <= x"48";
when "00" & x"412" => data <= x"8a";
when "00" & x"413" => data <= x"48";
when "00" & x"414" => data <= x"98";
when "00" & x"415" => data <= x"48";
when "00" & x"416" => data <= x"a9";
when "00" & x"417" => data <= x"84";
when "00" & x"418" => data <= x"48";
when "00" & x"419" => data <= x"a9";
when "00" & x"41a" => data <= x"09";
when "00" & x"41b" => data <= x"48";
when "00" & x"41c" => data <= x"d0";
when "00" & x"41d" => data <= x"ce";
when "00" & x"41e" => data <= x"20";
when "00" & x"41f" => data <= x"b8";
when "00" & x"420" => data <= x"86";
when "00" & x"421" => data <= x"20";
when "00" & x"422" => data <= x"3b";
when "00" & x"423" => data <= x"af";
when "00" & x"424" => data <= x"a0";
when "00" & x"425" => data <= x"ff";
when "00" & x"426" => data <= x"84";
when "00" & x"427" => data <= x"a8";
when "00" & x"428" => data <= x"c8";
when "00" & x"429" => data <= x"84";
when "00" & x"42a" => data <= x"aa";
when "00" & x"42b" => data <= x"b9";
when "00" & x"42c" => data <= x"00";
when "00" & x"42d" => data <= x"0e";
when "00" & x"42e" => data <= x"c0";
when "00" & x"42f" => data <= x"08";
when "00" & x"430" => data <= x"90";
when "00" & x"431" => data <= x"03";
when "00" & x"432" => data <= x"b9";
when "00" & x"433" => data <= x"f8";
when "00" & x"434" => data <= x"0e";
when "00" & x"435" => data <= x"20";
when "00" & x"436" => data <= x"9c";
when "00" & x"437" => data <= x"80";
when "00" & x"438" => data <= x"c8";
when "00" & x"439" => data <= x"c0";
when "00" & x"43a" => data <= x"0c";
when "00" & x"43b" => data <= x"d0";
when "00" & x"43c" => data <= x"ee";
when "00" & x"43d" => data <= x"20";
when "00" & x"43e" => data <= x"65";
when "00" & x"43f" => data <= x"80";
when "00" & x"440" => data <= x"20";
when "00" & x"441" => data <= x"28";
when "00" & x"442" => data <= x"ad";
when "00" & x"443" => data <= x"04";
when "00" & x"444" => data <= x"0f";
when "00" & x"445" => data <= x"20";
when "00" & x"446" => data <= x"c2";
when "00" & x"447" => data <= x"80";
when "00" & x"448" => data <= x"20";
when "00" & x"449" => data <= x"65";
when "00" & x"44a" => data <= x"80";
when "00" & x"44b" => data <= x"29";
when "00" & x"44c" => data <= x"0d";
when "00" & x"44d" => data <= x"44";
when "00" & x"44e" => data <= x"72";
when "00" & x"44f" => data <= x"69";
when "00" & x"450" => data <= x"76";
when "00" & x"451" => data <= x"65";
when "00" & x"452" => data <= x"20";
when "00" & x"453" => data <= x"a5";
when "00" & x"454" => data <= x"cf";
when "00" & x"455" => data <= x"20";
when "00" & x"456" => data <= x"ca";
when "00" & x"457" => data <= x"80";
when "00" & x"458" => data <= x"a0";
when "00" & x"459" => data <= x"0d";
when "00" & x"45a" => data <= x"20";
when "00" & x"45b" => data <= x"f4";
when "00" & x"45c" => data <= x"81";
when "00" & x"45d" => data <= x"20";
when "00" & x"45e" => data <= x"65";
when "00" & x"45f" => data <= x"80";
when "00" & x"460" => data <= x"4f";
when "00" & x"461" => data <= x"70";
when "00" & x"462" => data <= x"74";
when "00" & x"463" => data <= x"69";
when "00" & x"464" => data <= x"6f";
when "00" & x"465" => data <= x"6e";
when "00" & x"466" => data <= x"20";
when "00" & x"467" => data <= x"ad";
when "00" & x"468" => data <= x"06";
when "00" & x"469" => data <= x"0f";
when "00" & x"46a" => data <= x"20";
when "00" & x"46b" => data <= x"05";
when "00" & x"46c" => data <= x"82";
when "00" & x"46d" => data <= x"20";
when "00" & x"46e" => data <= x"ca";
when "00" & x"46f" => data <= x"80";
when "00" & x"470" => data <= x"20";
when "00" & x"471" => data <= x"65";
when "00" & x"472" => data <= x"80";
when "00" & x"473" => data <= x"20";
when "00" & x"474" => data <= x"28";
when "00" & x"475" => data <= x"a0";
when "00" & x"476" => data <= x"03";
when "00" & x"477" => data <= x"0a";
when "00" & x"478" => data <= x"0a";
when "00" & x"479" => data <= x"aa";
when "00" & x"47a" => data <= x"bd";
when "00" & x"47b" => data <= x"6f";
when "00" & x"47c" => data <= x"85";
when "00" & x"47d" => data <= x"20";
when "00" & x"47e" => data <= x"9c";
when "00" & x"47f" => data <= x"80";
when "00" & x"480" => data <= x"e8";
when "00" & x"481" => data <= x"88";
when "00" & x"482" => data <= x"10";
when "00" & x"483" => data <= x"f6";
when "00" & x"484" => data <= x"20";
when "00" & x"485" => data <= x"65";
when "00" & x"486" => data <= x"80";
when "00" & x"487" => data <= x"29";
when "00" & x"488" => data <= x"0d";
when "00" & x"489" => data <= x"44";
when "00" & x"48a" => data <= x"69";
when "00" & x"48b" => data <= x"72";
when "00" & x"48c" => data <= x"65";
when "00" & x"48d" => data <= x"63";
when "00" & x"48e" => data <= x"74";
when "00" & x"48f" => data <= x"6f";
when "00" & x"490" => data <= x"72";
when "00" & x"491" => data <= x"79";
when "00" & x"492" => data <= x"20";
when "00" & x"493" => data <= x"3a";
when "00" & x"494" => data <= x"ad";
when "00" & x"495" => data <= x"cb";
when "00" & x"496" => data <= x"10";
when "00" & x"497" => data <= x"20";
when "00" & x"498" => data <= x"ca";
when "00" & x"499" => data <= x"80";
when "00" & x"49a" => data <= x"20";
when "00" & x"49b" => data <= x"9a";
when "00" & x"49c" => data <= x"80";
when "00" & x"49d" => data <= x"ad";
when "00" & x"49e" => data <= x"ca";
when "00" & x"49f" => data <= x"10";
when "00" & x"4a0" => data <= x"20";
when "00" & x"4a1" => data <= x"9c";
when "00" & x"4a2" => data <= x"80";
when "00" & x"4a3" => data <= x"a0";
when "00" & x"4a4" => data <= x"06";
when "00" & x"4a5" => data <= x"20";
when "00" & x"4a6" => data <= x"f4";
when "00" & x"4a7" => data <= x"81";
when "00" & x"4a8" => data <= x"20";
when "00" & x"4a9" => data <= x"65";
when "00" & x"4aa" => data <= x"80";
when "00" & x"4ab" => data <= x"4c";
when "00" & x"4ac" => data <= x"69";
when "00" & x"4ad" => data <= x"62";
when "00" & x"4ae" => data <= x"72";
when "00" & x"4af" => data <= x"61";
when "00" & x"4b0" => data <= x"72";
when "00" & x"4b1" => data <= x"79";
when "00" & x"4b2" => data <= x"20";
when "00" & x"4b3" => data <= x"3a";
when "00" & x"4b4" => data <= x"ad";
when "00" & x"4b5" => data <= x"cd";
when "00" & x"4b6" => data <= x"10";
when "00" & x"4b7" => data <= x"20";
when "00" & x"4b8" => data <= x"ca";
when "00" & x"4b9" => data <= x"80";
when "00" & x"4ba" => data <= x"20";
when "00" & x"4bb" => data <= x"9a";
when "00" & x"4bc" => data <= x"80";
when "00" & x"4bd" => data <= x"ad";
when "00" & x"4be" => data <= x"cc";
when "00" & x"4bf" => data <= x"10";
when "00" & x"4c0" => data <= x"20";
when "00" & x"4c1" => data <= x"9c";
when "00" & x"4c2" => data <= x"80";
when "00" & x"4c3" => data <= x"20";
when "00" & x"4c4" => data <= x"9a";
when "00" & x"4c5" => data <= x"9f";
when "00" & x"4c6" => data <= x"a0";
when "00" & x"4c7" => data <= x"00";
when "00" & x"4c8" => data <= x"cc";
when "00" & x"4c9" => data <= x"05";
when "00" & x"4ca" => data <= x"0f";
when "00" & x"4cb" => data <= x"b0";
when "00" & x"4cc" => data <= x"17";
when "00" & x"4cd" => data <= x"b9";
when "00" & x"4ce" => data <= x"0f";
when "00" & x"4cf" => data <= x"0e";
when "00" & x"4d0" => data <= x"4d";
when "00" & x"4d1" => data <= x"ca";
when "00" & x"4d2" => data <= x"10";
when "00" & x"4d3" => data <= x"29";
when "00" & x"4d4" => data <= x"7f";
when "00" & x"4d5" => data <= x"d0";
when "00" & x"4d6" => data <= x"08";
when "00" & x"4d7" => data <= x"b9";
when "00" & x"4d8" => data <= x"0f";
when "00" & x"4d9" => data <= x"0e";
when "00" & x"4da" => data <= x"29";
when "00" & x"4db" => data <= x"80";
when "00" & x"4dc" => data <= x"99";
when "00" & x"4dd" => data <= x"0f";
when "00" & x"4de" => data <= x"0e";
when "00" & x"4df" => data <= x"20";
when "00" & x"4e0" => data <= x"10";
when "00" & x"4e1" => data <= x"82";
when "00" & x"4e2" => data <= x"90";
when "00" & x"4e3" => data <= x"e4";
when "00" & x"4e4" => data <= x"a0";
when "00" & x"4e5" => data <= x"00";
when "00" & x"4e6" => data <= x"20";
when "00" & x"4e7" => data <= x"f6";
when "00" & x"4e8" => data <= x"84";
when "00" & x"4e9" => data <= x"90";
when "00" & x"4ea" => data <= x"16";
when "00" & x"4eb" => data <= x"a9";
when "00" & x"4ec" => data <= x"ff";
when "00" & x"4ed" => data <= x"8d";
when "00" & x"4ee" => data <= x"82";
when "00" & x"4ef" => data <= x"10";
when "00" & x"4f0" => data <= x"4c";
when "00" & x"4f1" => data <= x"9a";
when "00" & x"4f2" => data <= x"9f";
when "00" & x"4f3" => data <= x"20";
when "00" & x"4f4" => data <= x"10";
when "00" & x"4f5" => data <= x"82";
when "00" & x"4f6" => data <= x"cc";
when "00" & x"4f7" => data <= x"05";
when "00" & x"4f8" => data <= x"0f";
when "00" & x"4f9" => data <= x"b0";
when "00" & x"4fa" => data <= x"05";
when "00" & x"4fb" => data <= x"b9";
when "00" & x"4fc" => data <= x"08";
when "00" & x"4fd" => data <= x"0e";
when "00" & x"4fe" => data <= x"30";
when "00" & x"4ff" => data <= x"f3";
when "00" & x"500" => data <= x"60";
when "00" & x"501" => data <= x"84";
when "00" & x"502" => data <= x"ab";
when "00" & x"503" => data <= x"a2";
when "00" & x"504" => data <= x"00";
when "00" & x"505" => data <= x"b9";
when "00" & x"506" => data <= x"08";
when "00" & x"507" => data <= x"0e";
when "00" & x"508" => data <= x"29";
when "00" & x"509" => data <= x"7f";
when "00" & x"50a" => data <= x"9d";
when "00" & x"50b" => data <= x"60";
when "00" & x"50c" => data <= x"10";
when "00" & x"50d" => data <= x"c8";
when "00" & x"50e" => data <= x"e8";
when "00" & x"50f" => data <= x"e0";
when "00" & x"510" => data <= x"08";
when "00" & x"511" => data <= x"d0";
when "00" & x"512" => data <= x"f2";
when "00" & x"513" => data <= x"20";
when "00" & x"514" => data <= x"f6";
when "00" & x"515" => data <= x"84";
when "00" & x"516" => data <= x"b0";
when "00" & x"517" => data <= x"1f";
when "00" & x"518" => data <= x"38";
when "00" & x"519" => data <= x"a2";
when "00" & x"51a" => data <= x"06";
when "00" & x"51b" => data <= x"b9";
when "00" & x"51c" => data <= x"0e";
when "00" & x"51d" => data <= x"0e";
when "00" & x"51e" => data <= x"fd";
when "00" & x"51f" => data <= x"60";
when "00" & x"520" => data <= x"10";
when "00" & x"521" => data <= x"88";
when "00" & x"522" => data <= x"ca";
when "00" & x"523" => data <= x"10";
when "00" & x"524" => data <= x"f6";
when "00" & x"525" => data <= x"20";
when "00" & x"526" => data <= x"11";
when "00" & x"527" => data <= x"82";
when "00" & x"528" => data <= x"b9";
when "00" & x"529" => data <= x"0f";
when "00" & x"52a" => data <= x"0e";
when "00" & x"52b" => data <= x"29";
when "00" & x"52c" => data <= x"7f";
when "00" & x"52d" => data <= x"ed";
when "00" & x"52e" => data <= x"67";
when "00" & x"52f" => data <= x"10";
when "00" & x"530" => data <= x"90";
when "00" & x"531" => data <= x"cf";
when "00" & x"532" => data <= x"20";
when "00" & x"533" => data <= x"10";
when "00" & x"534" => data <= x"82";
when "00" & x"535" => data <= x"b0";
when "00" & x"536" => data <= x"dc";
when "00" & x"537" => data <= x"a4";
when "00" & x"538" => data <= x"ab";
when "00" & x"539" => data <= x"b9";
when "00" & x"53a" => data <= x"08";
when "00" & x"53b" => data <= x"0e";
when "00" & x"53c" => data <= x"09";
when "00" & x"53d" => data <= x"80";
when "00" & x"53e" => data <= x"99";
when "00" & x"53f" => data <= x"08";
when "00" & x"540" => data <= x"0e";
when "00" & x"541" => data <= x"ad";
when "00" & x"542" => data <= x"67";
when "00" & x"543" => data <= x"10";
when "00" & x"544" => data <= x"c5";
when "00" & x"545" => data <= x"aa";
when "00" & x"546" => data <= x"f0";
when "00" & x"547" => data <= x"10";
when "00" & x"548" => data <= x"a6";
when "00" & x"549" => data <= x"aa";
when "00" & x"54a" => data <= x"85";
when "00" & x"54b" => data <= x"aa";
when "00" & x"54c" => data <= x"d0";
when "00" & x"54d" => data <= x"0a";
when "00" & x"54e" => data <= x"20";
when "00" & x"54f" => data <= x"9a";
when "00" & x"550" => data <= x"9f";
when "00" & x"551" => data <= x"20";
when "00" & x"552" => data <= x"9a";
when "00" & x"553" => data <= x"9f";
when "00" & x"554" => data <= x"a0";
when "00" & x"555" => data <= x"ff";
when "00" & x"556" => data <= x"d0";
when "00" & x"557" => data <= x"09";
when "00" & x"558" => data <= x"a4";
when "00" & x"559" => data <= x"a8";
when "00" & x"55a" => data <= x"d0";
when "00" & x"55b" => data <= x"f5";
when "00" & x"55c" => data <= x"a0";
when "00" & x"55d" => data <= x"05";
when "00" & x"55e" => data <= x"20";
when "00" & x"55f" => data <= x"f4";
when "00" & x"560" => data <= x"81";
when "00" & x"561" => data <= x"c8";
when "00" & x"562" => data <= x"84";
when "00" & x"563" => data <= x"a8";
when "00" & x"564" => data <= x"a4";
when "00" & x"565" => data <= x"ab";
when "00" & x"566" => data <= x"20";
when "00" & x"567" => data <= x"cb";
when "00" & x"568" => data <= x"9f";
when "00" & x"569" => data <= x"20";
when "00" & x"56a" => data <= x"c0";
when "00" & x"56b" => data <= x"81";
when "00" & x"56c" => data <= x"4c";
when "00" & x"56d" => data <= x"e4";
when "00" & x"56e" => data <= x"84";
when "00" & x"56f" => data <= x"6f";
when "00" & x"570" => data <= x"66";
when "00" & x"571" => data <= x"66";
when "00" & x"572" => data <= x"00";
when "00" & x"573" => data <= x"4c";
when "00" & x"574" => data <= x"4f";
when "00" & x"575" => data <= x"41";
when "00" & x"576" => data <= x"44";
when "00" & x"577" => data <= x"52";
when "00" & x"578" => data <= x"55";
when "00" & x"579" => data <= x"4e";
when "00" & x"57a" => data <= x"00";
when "00" & x"57b" => data <= x"45";
when "00" & x"57c" => data <= x"58";
when "00" & x"57d" => data <= x"45";
when "00" & x"57e" => data <= x"43";
when "00" & x"57f" => data <= x"b9";
when "00" & x"580" => data <= x"0e";
when "00" & x"581" => data <= x"0f";
when "00" & x"582" => data <= x"20";
when "00" & x"583" => data <= x"fd";
when "00" & x"584" => data <= x"81";
when "00" & x"585" => data <= x"85";
when "00" & x"586" => data <= x"c4";
when "00" & x"587" => data <= x"18";
when "00" & x"588" => data <= x"a9";
when "00" & x"589" => data <= x"ff";
when "00" & x"58a" => data <= x"79";
when "00" & x"58b" => data <= x"0c";
when "00" & x"58c" => data <= x"0f";
when "00" & x"58d" => data <= x"b9";
when "00" & x"58e" => data <= x"0f";
when "00" & x"58f" => data <= x"0f";
when "00" & x"590" => data <= x"79";
when "00" & x"591" => data <= x"0d";
when "00" & x"592" => data <= x"0f";
when "00" & x"593" => data <= x"85";
when "00" & x"594" => data <= x"c5";
when "00" & x"595" => data <= x"b9";
when "00" & x"596" => data <= x"0e";
when "00" & x"597" => data <= x"0f";
when "00" & x"598" => data <= x"29";
when "00" & x"599" => data <= x"03";
when "00" & x"59a" => data <= x"65";
when "00" & x"59b" => data <= x"c4";
when "00" & x"59c" => data <= x"85";
when "00" & x"59d" => data <= x"c4";
when "00" & x"59e" => data <= x"38";
when "00" & x"59f" => data <= x"b9";
when "00" & x"5a0" => data <= x"07";
when "00" & x"5a1" => data <= x"0f";
when "00" & x"5a2" => data <= x"e5";
when "00" & x"5a3" => data <= x"c5";
when "00" & x"5a4" => data <= x"48";
when "00" & x"5a5" => data <= x"b9";
when "00" & x"5a6" => data <= x"06";
when "00" & x"5a7" => data <= x"0f";
when "00" & x"5a8" => data <= x"29";
when "00" & x"5a9" => data <= x"03";
when "00" & x"5aa" => data <= x"e5";
when "00" & x"5ab" => data <= x"c4";
when "00" & x"5ac" => data <= x"aa";
when "00" & x"5ad" => data <= x"a9";
when "00" & x"5ae" => data <= x"00";
when "00" & x"5af" => data <= x"c5";
when "00" & x"5b0" => data <= x"c2";
when "00" & x"5b1" => data <= x"68";
when "00" & x"5b2" => data <= x"e5";
when "00" & x"5b3" => data <= x"c3";
when "00" & x"5b4" => data <= x"8a";
when "00" & x"5b5" => data <= x"e5";
when "00" & x"5b6" => data <= x"c6";
when "00" & x"5b7" => data <= x"60";
when "00" & x"5b8" => data <= x"41";
when "00" & x"5b9" => data <= x"43";
when "00" & x"5ba" => data <= x"43";
when "00" & x"5bb" => data <= x"45";
when "00" & x"5bc" => data <= x"53";
when "00" & x"5bd" => data <= x"53";
when "00" & x"5be" => data <= x"88";
when "00" & x"5bf" => data <= x"d1";
when "00" & x"5c0" => data <= x"32";
when "00" & x"5c1" => data <= x"42";
when "00" & x"5c2" => data <= x"41";
when "00" & x"5c3" => data <= x"43";
when "00" & x"5c4" => data <= x"4b";
when "00" & x"5c5" => data <= x"55";
when "00" & x"5c6" => data <= x"50";
when "00" & x"5c7" => data <= x"9c";
when "00" & x"5c8" => data <= x"ba";
when "00" & x"5c9" => data <= x"54";
when "00" & x"5ca" => data <= x"43";
when "00" & x"5cb" => data <= x"4f";
when "00" & x"5cc" => data <= x"4d";
when "00" & x"5cd" => data <= x"50";
when "00" & x"5ce" => data <= x"41";
when "00" & x"5cf" => data <= x"43";
when "00" & x"5d0" => data <= x"54";
when "00" & x"5d1" => data <= x"9a";
when "00" & x"5d2" => data <= x"bf";
when "00" & x"5d3" => data <= x"0a";
when "00" & x"5d4" => data <= x"43";
when "00" & x"5d5" => data <= x"4f";
when "00" & x"5d6" => data <= x"50";
when "00" & x"5d7" => data <= x"59";
when "00" & x"5d8" => data <= x"9d";
when "00" & x"5d9" => data <= x"26";
when "00" & x"5da" => data <= x"64";
when "00" & x"5db" => data <= x"44";
when "00" & x"5dc" => data <= x"45";
when "00" & x"5dd" => data <= x"4c";
when "00" & x"5de" => data <= x"45";
when "00" & x"5df" => data <= x"54";
when "00" & x"5e0" => data <= x"45";
when "00" & x"5e1" => data <= x"86";
when "00" & x"5e2" => data <= x"fd";
when "00" & x"5e3" => data <= x"01";
when "00" & x"5e4" => data <= x"44";
when "00" & x"5e5" => data <= x"45";
when "00" & x"5e6" => data <= x"53";
when "00" & x"5e7" => data <= x"54";
when "00" & x"5e8" => data <= x"52";
when "00" & x"5e9" => data <= x"4f";
when "00" & x"5ea" => data <= x"59";
when "00" & x"5eb" => data <= x"87";
when "00" & x"5ec" => data <= x"0f";
when "00" & x"5ed" => data <= x"02";
when "00" & x"5ee" => data <= x"44";
when "00" & x"5ef" => data <= x"49";
when "00" & x"5f0" => data <= x"52";
when "00" & x"5f1" => data <= x"88";
when "00" & x"5f2" => data <= x"4d";
when "00" & x"5f3" => data <= x"09";
when "00" & x"5f4" => data <= x"44";
when "00" & x"5f5" => data <= x"52";
when "00" & x"5f6" => data <= x"49";
when "00" & x"5f7" => data <= x"56";
when "00" & x"5f8" => data <= x"45";
when "00" & x"5f9" => data <= x"87";
when "00" & x"5fa" => data <= x"74";
when "00" & x"5fb" => data <= x"0a";
when "00" & x"5fc" => data <= x"45";
when "00" & x"5fd" => data <= x"4e";
when "00" & x"5fe" => data <= x"41";
when "00" & x"5ff" => data <= x"42";
when "00" & x"600" => data <= x"4c";
when "00" & x"601" => data <= x"45";
when "00" & x"602" => data <= x"8a";
when "00" & x"603" => data <= x"38";
when "00" & x"604" => data <= x"00";
when "00" & x"605" => data <= x"49";
when "00" & x"606" => data <= x"4e";
when "00" & x"607" => data <= x"46";
when "00" & x"608" => data <= x"4f";
when "00" & x"609" => data <= x"82";
when "00" & x"60a" => data <= x"83";
when "00" & x"60b" => data <= x"02";
when "00" & x"60c" => data <= x"4c";
when "00" & x"60d" => data <= x"49";
when "00" & x"60e" => data <= x"42";
when "00" & x"60f" => data <= x"88";
when "00" & x"610" => data <= x"51";
when "00" & x"611" => data <= x"09";
when "00" & x"612" => data <= x"52";
when "00" & x"613" => data <= x"45";
when "00" & x"614" => data <= x"4e";
when "00" & x"615" => data <= x"41";
when "00" & x"616" => data <= x"4d";
when "00" & x"617" => data <= x"45";
when "00" & x"618" => data <= x"8a";
when "00" & x"619" => data <= x"6c";
when "00" & x"61a" => data <= x"87";
when "00" & x"61b" => data <= x"54";
when "00" & x"61c" => data <= x"49";
when "00" & x"61d" => data <= x"54";
when "00" & x"61e" => data <= x"4c";
when "00" & x"61f" => data <= x"45";
when "00" & x"620" => data <= x"88";
when "00" & x"621" => data <= x"a2";
when "00" & x"622" => data <= x"0b";
when "00" & x"623" => data <= x"57";
when "00" & x"624" => data <= x"49";
when "00" & x"625" => data <= x"50";
when "00" & x"626" => data <= x"45";
when "00" & x"627" => data <= x"86";
when "00" & x"628" => data <= x"c2";
when "00" & x"629" => data <= x"02";
when "00" & x"62a" => data <= x"b4";
when "00" & x"62b" => data <= x"6b";
when "00" & x"62c" => data <= x"00";
when "00" & x"62d" => data <= x"42";
when "00" & x"62e" => data <= x"55";
when "00" & x"62f" => data <= x"49";
when "00" & x"630" => data <= x"4c";
when "00" & x"631" => data <= x"44";
when "00" & x"632" => data <= x"9f";
when "00" & x"633" => data <= x"47";
when "00" & x"634" => data <= x"01";
when "00" & x"635" => data <= x"43";
when "00" & x"636" => data <= x"41";
when "00" & x"637" => data <= x"52";
when "00" & x"638" => data <= x"44";
when "00" & x"639" => data <= x"93";
when "00" & x"63a" => data <= x"37";
when "00" & x"63b" => data <= x"00";
when "00" & x"63c" => data <= x"44";
when "00" & x"63d" => data <= x"55";
when "00" & x"63e" => data <= x"4d";
when "00" & x"63f" => data <= x"50";
when "00" & x"640" => data <= x"9e";
when "00" & x"641" => data <= x"cf";
when "00" & x"642" => data <= x"01";
when "00" & x"643" => data <= x"4c";
when "00" & x"644" => data <= x"49";
when "00" & x"645" => data <= x"53";
when "00" & x"646" => data <= x"54";
when "00" & x"647" => data <= x"9e";
when "00" & x"648" => data <= x"8d";
when "00" & x"649" => data <= x"01";
when "00" & x"64a" => data <= x"54";
when "00" & x"64b" => data <= x"59";
when "00" & x"64c" => data <= x"50";
when "00" & x"64d" => data <= x"45";
when "00" & x"64e" => data <= x"9e";
when "00" & x"64f" => data <= x"86";
when "00" & x"650" => data <= x"01";
when "00" & x"651" => data <= x"44";
when "00" & x"652" => data <= x"4d";
when "00" & x"653" => data <= x"4d";
when "00" & x"654" => data <= x"43";
when "00" & x"655" => data <= x"93";
when "00" & x"656" => data <= x"37";
when "00" & x"657" => data <= x"00";
when "00" & x"658" => data <= x"85";
when "00" & x"659" => data <= x"b6";
when "00" & x"65a" => data <= x"00";
when "00" & x"65b" => data <= x"44";
when "00" & x"65c" => data <= x"46";
when "00" & x"65d" => data <= x"53";
when "00" & x"65e" => data <= x"99";
when "00" & x"65f" => data <= x"c5";
when "00" & x"660" => data <= x"00";
when "00" & x"661" => data <= x"55";
when "00" & x"662" => data <= x"54";
when "00" & x"663" => data <= x"49";
when "00" & x"664" => data <= x"4c";
when "00" & x"665" => data <= x"53";
when "00" & x"666" => data <= x"99";
when "00" & x"667" => data <= x"ed";
when "00" & x"668" => data <= x"00";
when "00" & x"669" => data <= x"99";
when "00" & x"66a" => data <= x"f4";
when "00" & x"66b" => data <= x"00";
when "00" & x"66c" => data <= x"20";
when "00" & x"66d" => data <= x"b8";
when "00" & x"66e" => data <= x"86";
when "00" & x"66f" => data <= x"a2";
when "00" & x"670" => data <= x"fd";
when "00" & x"671" => data <= x"8a";
when "00" & x"672" => data <= x"ba";
when "00" & x"673" => data <= x"86";
when "00" & x"674" => data <= x"b6";
when "00" & x"675" => data <= x"aa";
when "00" & x"676" => data <= x"98";
when "00" & x"677" => data <= x"48";
when "00" & x"678" => data <= x"e8";
when "00" & x"679" => data <= x"e8";
when "00" & x"67a" => data <= x"68";
when "00" & x"67b" => data <= x"48";
when "00" & x"67c" => data <= x"a8";
when "00" & x"67d" => data <= x"20";
when "00" & x"67e" => data <= x"bf";
when "00" & x"67f" => data <= x"86";
when "00" & x"680" => data <= x"e8";
when "00" & x"681" => data <= x"bd";
when "00" & x"682" => data <= x"b8";
when "00" & x"683" => data <= x"85";
when "00" & x"684" => data <= x"30";
when "00" & x"685" => data <= x"28";
when "00" & x"686" => data <= x"ca";
when "00" & x"687" => data <= x"88";
when "00" & x"688" => data <= x"86";
when "00" & x"689" => data <= x"b8";
when "00" & x"68a" => data <= x"e8";
when "00" & x"68b" => data <= x"c8";
when "00" & x"68c" => data <= x"bd";
when "00" & x"68d" => data <= x"b8";
when "00" & x"68e" => data <= x"85";
when "00" & x"68f" => data <= x"30";
when "00" & x"690" => data <= x"16";
when "00" & x"691" => data <= x"51";
when "00" & x"692" => data <= x"f2";
when "00" & x"693" => data <= x"29";
when "00" & x"694" => data <= x"5f";
when "00" & x"695" => data <= x"f0";
when "00" & x"696" => data <= x"f3";
when "00" & x"697" => data <= x"ca";
when "00" & x"698" => data <= x"e8";
when "00" & x"699" => data <= x"bd";
when "00" & x"69a" => data <= x"b8";
when "00" & x"69b" => data <= x"85";
when "00" & x"69c" => data <= x"10";
when "00" & x"69d" => data <= x"fa";
when "00" & x"69e" => data <= x"b1";
when "00" & x"69f" => data <= x"f2";
when "00" & x"6a0" => data <= x"c9";
when "00" & x"6a1" => data <= x"2e";
when "00" & x"6a2" => data <= x"d0";
when "00" & x"6a3" => data <= x"d4";
when "00" & x"6a4" => data <= x"c8";
when "00" & x"6a5" => data <= x"b0";
when "00" & x"6a6" => data <= x"07";
when "00" & x"6a7" => data <= x"b1";
when "00" & x"6a8" => data <= x"f2";
when "00" & x"6a9" => data <= x"20";
when "00" & x"6aa" => data <= x"ee";
when "00" & x"6ab" => data <= x"82";
when "00" & x"6ac" => data <= x"90";
when "00" & x"6ad" => data <= x"ca";
when "00" & x"6ae" => data <= x"68";
when "00" & x"6af" => data <= x"bd";
when "00" & x"6b0" => data <= x"b8";
when "00" & x"6b1" => data <= x"85";
when "00" & x"6b2" => data <= x"48";
when "00" & x"6b3" => data <= x"bd";
when "00" & x"6b4" => data <= x"b9";
when "00" & x"6b5" => data <= x"85";
when "00" & x"6b6" => data <= x"48";
when "00" & x"6b7" => data <= x"60";
when "00" & x"6b8" => data <= x"86";
when "00" & x"6b9" => data <= x"f2";
when "00" & x"6ba" => data <= x"84";
when "00" & x"6bb" => data <= x"f3";
when "00" & x"6bc" => data <= x"a0";
when "00" & x"6bd" => data <= x"00";
when "00" & x"6be" => data <= x"60";
when "00" & x"6bf" => data <= x"18";
when "00" & x"6c0" => data <= x"4c";
when "00" & x"6c1" => data <= x"c2";
when "00" & x"6c2" => data <= x"ff";
when "00" & x"6c3" => data <= x"20";
when "00" & x"6c4" => data <= x"5e";
when "00" & x"6c5" => data <= x"82";
when "00" & x"6c6" => data <= x"20";
when "00" & x"6c7" => data <= x"01";
when "00" & x"6c8" => data <= x"9a";
when "00" & x"6c9" => data <= x"20";
when "00" & x"6ca" => data <= x"68";
when "00" & x"6cb" => data <= x"82";
when "00" & x"6cc" => data <= x"b9";
when "00" & x"6cd" => data <= x"0f";
when "00" & x"6ce" => data <= x"0e";
when "00" & x"6cf" => data <= x"30";
when "00" & x"6d0" => data <= x"12";
when "00" & x"6d1" => data <= x"20";
when "00" & x"6d2" => data <= x"c0";
when "00" & x"6d3" => data <= x"81";
when "00" & x"6d4" => data <= x"20";
when "00" & x"6d5" => data <= x"65";
when "00" & x"6d6" => data <= x"80";
when "00" & x"6d7" => data <= x"20";
when "00" & x"6d8" => data <= x"3a";
when "00" & x"6d9" => data <= x"20";
when "00" & x"6da" => data <= x"ea";
when "00" & x"6db" => data <= x"20";
when "00" & x"6dc" => data <= x"9e";
when "00" & x"6dd" => data <= x"9c";
when "00" & x"6de" => data <= x"f0";
when "00" & x"6df" => data <= x"09";
when "00" & x"6e0" => data <= x"20";
when "00" & x"6e1" => data <= x"9a";
when "00" & x"6e2" => data <= x"9f";
when "00" & x"6e3" => data <= x"20";
when "00" & x"6e4" => data <= x"9d";
when "00" & x"6e5" => data <= x"82";
when "00" & x"6e6" => data <= x"b0";
when "00" & x"6e7" => data <= x"e4";
when "00" & x"6e8" => data <= x"60";
when "00" & x"6e9" => data <= x"20";
when "00" & x"6ea" => data <= x"a1";
when "00" & x"6eb" => data <= x"81";
when "00" & x"6ec" => data <= x"20";
when "00" & x"6ed" => data <= x"d1";
when "00" & x"6ee" => data <= x"82";
when "00" & x"6ef" => data <= x"20";
when "00" & x"6f0" => data <= x"b4";
when "00" & x"6f1" => data <= x"8a";
when "00" & x"6f2" => data <= x"ac";
when "00" & x"6f3" => data <= x"ce";
when "00" & x"6f4" => data <= x"10";
when "00" & x"6f5" => data <= x"20";
when "00" & x"6f6" => data <= x"19";
when "00" & x"6f7" => data <= x"82";
when "00" & x"6f8" => data <= x"8c";
when "00" & x"6f9" => data <= x"ce";
when "00" & x"6fa" => data <= x"10";
when "00" & x"6fb" => data <= x"4c";
when "00" & x"6fc" => data <= x"e0";
when "00" & x"6fd" => data <= x"86";
when "00" & x"6fe" => data <= x"20";
when "00" & x"6ff" => data <= x"62";
when "00" & x"700" => data <= x"82";
when "00" & x"701" => data <= x"20";
when "00" & x"702" => data <= x"01";
when "00" & x"703" => data <= x"9a";
when "00" & x"704" => data <= x"20";
when "00" & x"705" => data <= x"68";
when "00" & x"706" => data <= x"82";
when "00" & x"707" => data <= x"20";
when "00" & x"708" => data <= x"fc";
when "00" & x"709" => data <= x"82";
when "00" & x"70a" => data <= x"20";
when "00" & x"70b" => data <= x"d1";
when "00" & x"70c" => data <= x"82";
when "00" & x"70d" => data <= x"4c";
when "00" & x"70e" => data <= x"b4";
when "00" & x"70f" => data <= x"8a";
when "00" & x"710" => data <= x"20";
when "00" & x"711" => data <= x"bd";
when "00" & x"712" => data <= x"9b";
when "00" & x"713" => data <= x"20";
when "00" & x"714" => data <= x"5e";
when "00" & x"715" => data <= x"82";
when "00" & x"716" => data <= x"20";
when "00" & x"717" => data <= x"01";
when "00" & x"718" => data <= x"9a";
when "00" & x"719" => data <= x"20";
when "00" & x"71a" => data <= x"68";
when "00" & x"71b" => data <= x"82";
when "00" & x"71c" => data <= x"b9";
when "00" & x"71d" => data <= x"0f";
when "00" & x"71e" => data <= x"0e";
when "00" & x"71f" => data <= x"30";
when "00" & x"720" => data <= x"06";
when "00" & x"721" => data <= x"20";
when "00" & x"722" => data <= x"c0";
when "00" & x"723" => data <= x"81";
when "00" & x"724" => data <= x"20";
when "00" & x"725" => data <= x"9a";
when "00" & x"726" => data <= x"9f";
when "00" & x"727" => data <= x"20";
when "00" & x"728" => data <= x"9d";
when "00" & x"729" => data <= x"82";
when "00" & x"72a" => data <= x"b0";
when "00" & x"72b" => data <= x"f0";
when "00" & x"72c" => data <= x"20";
when "00" & x"72d" => data <= x"65";
when "00" & x"72e" => data <= x"80";
when "00" & x"72f" => data <= x"0d";
when "00" & x"730" => data <= x"44";
when "00" & x"731" => data <= x"65";
when "00" & x"732" => data <= x"6c";
when "00" & x"733" => data <= x"65";
when "00" & x"734" => data <= x"74";
when "00" & x"735" => data <= x"65";
when "00" & x"736" => data <= x"20";
when "00" & x"737" => data <= x"28";
when "00" & x"738" => data <= x"59";
when "00" & x"739" => data <= x"2f";
when "00" & x"73a" => data <= x"4e";
when "00" & x"73b" => data <= x"29";
when "00" & x"73c" => data <= x"20";
when "00" & x"73d" => data <= x"3f";
when "00" & x"73e" => data <= x"20";
when "00" & x"73f" => data <= x"ea";
when "00" & x"740" => data <= x"20";
when "00" & x"741" => data <= x"9e";
when "00" & x"742" => data <= x"9c";
when "00" & x"743" => data <= x"f0";
when "00" & x"744" => data <= x"03";
when "00" & x"745" => data <= x"4c";
when "00" & x"746" => data <= x"9a";
when "00" & x"747" => data <= x"9f";
when "00" & x"748" => data <= x"20";
when "00" & x"749" => data <= x"a1";
when "00" & x"74a" => data <= x"81";
when "00" & x"74b" => data <= x"20";
when "00" & x"74c" => data <= x"96";
when "00" & x"74d" => data <= x"82";
when "00" & x"74e" => data <= x"b9";
when "00" & x"74f" => data <= x"0f";
when "00" & x"750" => data <= x"0e";
when "00" & x"751" => data <= x"30";
when "00" & x"752" => data <= x"0c";
when "00" & x"753" => data <= x"20";
when "00" & x"754" => data <= x"d1";
when "00" & x"755" => data <= x"82";
when "00" & x"756" => data <= x"ac";
when "00" & x"757" => data <= x"ce";
when "00" & x"758" => data <= x"10";
when "00" & x"759" => data <= x"20";
when "00" & x"75a" => data <= x"19";
when "00" & x"75b" => data <= x"82";
when "00" & x"75c" => data <= x"8c";
when "00" & x"75d" => data <= x"ce";
when "00" & x"75e" => data <= x"10";
when "00" & x"75f" => data <= x"20";
when "00" & x"760" => data <= x"9d";
when "00" & x"761" => data <= x"82";
when "00" & x"762" => data <= x"b0";
when "00" & x"763" => data <= x"ea";
when "00" & x"764" => data <= x"20";
when "00" & x"765" => data <= x"b4";
when "00" & x"766" => data <= x"8a";
when "00" & x"767" => data <= x"20";
when "00" & x"768" => data <= x"65";
when "00" & x"769" => data <= x"80";
when "00" & x"76a" => data <= x"0d";
when "00" & x"76b" => data <= x"44";
when "00" & x"76c" => data <= x"65";
when "00" & x"76d" => data <= x"6c";
when "00" & x"76e" => data <= x"65";
when "00" & x"76f" => data <= x"74";
when "00" & x"770" => data <= x"65";
when "00" & x"771" => data <= x"64";
when "00" & x"772" => data <= x"0d";
when "00" & x"773" => data <= x"ea";
when "00" & x"774" => data <= x"60";
when "00" & x"775" => data <= x"20";
when "00" & x"776" => data <= x"01";
when "00" & x"777" => data <= x"9a";
when "00" & x"778" => data <= x"20";
when "00" & x"779" => data <= x"5d";
when "00" & x"77a" => data <= x"83";
when "00" & x"77b" => data <= x"8d";
when "00" & x"77c" => data <= x"cb";
when "00" & x"77d" => data <= x"10";
when "00" & x"77e" => data <= x"ea";
when "00" & x"77f" => data <= x"ea";
when "00" & x"780" => data <= x"ea";
when "00" & x"781" => data <= x"29";
when "00" & x"782" => data <= x"03";
when "00" & x"783" => data <= x"85";
when "00" & x"784" => data <= x"cf";
when "00" & x"785" => data <= x"60";
when "00" & x"786" => data <= x"20";
when "00" & x"787" => data <= x"61";
when "00" & x"788" => data <= x"89";
when "00" & x"789" => data <= x"20";
when "00" & x"78a" => data <= x"6e";
when "00" & x"78b" => data <= x"98";
when "00" & x"78c" => data <= x"20";
when "00" & x"78d" => data <= x"7e";
when "00" & x"78e" => data <= x"83";
when "00" & x"78f" => data <= x"4c";
when "00" & x"790" => data <= x"99";
when "00" & x"791" => data <= x"af";
when "00" & x"792" => data <= x"ea";
when "00" & x"793" => data <= x"ea";
when "00" & x"794" => data <= x"20";
when "00" & x"795" => data <= x"6e";
when "00" & x"796" => data <= x"82";
when "00" & x"797" => data <= x"20";
when "00" & x"798" => data <= x"6e";
when "00" & x"799" => data <= x"98";
when "00" & x"79a" => data <= x"20";
when "00" & x"79b" => data <= x"7e";
when "00" & x"79c" => data <= x"83";
when "00" & x"79d" => data <= x"84";
when "00" & x"79e" => data <= x"bc";
when "00" & x"79f" => data <= x"a2";
when "00" & x"7a0" => data <= x"00";
when "00" & x"7a1" => data <= x"a5";
when "00" & x"7a2" => data <= x"c0";
when "00" & x"7a3" => data <= x"d0";
when "00" & x"7a4" => data <= x"06";
when "00" & x"7a5" => data <= x"c8";
when "00" & x"7a6" => data <= x"c8";
when "00" & x"7a7" => data <= x"a2";
when "00" & x"7a8" => data <= x"02";
when "00" & x"7a9" => data <= x"d0";
when "00" & x"7aa" => data <= x"08";
when "00" & x"7ab" => data <= x"b9";
when "00" & x"7ac" => data <= x"0e";
when "00" & x"7ad" => data <= x"0f";
when "00" & x"7ae" => data <= x"85";
when "00" & x"7af" => data <= x"c4";
when "00" & x"7b0" => data <= x"20";
when "00" & x"7b1" => data <= x"3f";
when "00" & x"7b2" => data <= x"8a";
when "00" & x"7b3" => data <= x"b9";
when "00" & x"7b4" => data <= x"08";
when "00" & x"7b5" => data <= x"0f";
when "00" & x"7b6" => data <= x"95";
when "00" & x"7b7" => data <= x"be";
when "00" & x"7b8" => data <= x"c8";
when "00" & x"7b9" => data <= x"e8";
when "00" & x"7ba" => data <= x"e0";
when "00" & x"7bb" => data <= x"08";
when "00" & x"7bc" => data <= x"d0";
when "00" & x"7bd" => data <= x"f5";
when "00" & x"7be" => data <= x"20";
when "00" & x"7bf" => data <= x"56";
when "00" & x"7c0" => data <= x"8a";
when "00" & x"7c1" => data <= x"a4";
when "00" & x"7c2" => data <= x"bc";
when "00" & x"7c3" => data <= x"20";
when "00" & x"7c4" => data <= x"fc";
when "00" & x"7c5" => data <= x"82";
when "00" & x"7c6" => data <= x"4c";
when "00" & x"7c7" => data <= x"8a";
when "00" & x"7c8" => data <= x"af";
when "00" & x"7c9" => data <= x"00";
when "00" & x"7ca" => data <= x"00";
when "00" & x"7cb" => data <= x"00";
when "00" & x"7cc" => data <= x"00";
when "00" & x"7cd" => data <= x"00";
when "00" & x"7ce" => data <= x"00";
when "00" & x"7cf" => data <= x"00";
when "00" & x"7d0" => data <= x"00";
when "00" & x"7d1" => data <= x"00";
when "00" & x"7d2" => data <= x"00";
when "00" & x"7d3" => data <= x"00";
when "00" & x"7d4" => data <= x"20";
when "00" & x"7d5" => data <= x"b8";
when "00" & x"7d6" => data <= x"86";
when "00" & x"7d7" => data <= x"20";
when "00" & x"7d8" => data <= x"41";
when "00" & x"7d9" => data <= x"88";
when "00" & x"7da" => data <= x"8c";
when "00" & x"7db" => data <= x"db";
when "00" & x"7dc" => data <= x"10";
when "00" & x"7dd" => data <= x"20";
when "00" & x"7de" => data <= x"06";
when "00" & x"7df" => data <= x"81";
when "00" & x"7e0" => data <= x"8c";
when "00" & x"7e1" => data <= x"da";
when "00" & x"7e2" => data <= x"10";
when "00" & x"7e3" => data <= x"20";
when "00" & x"7e4" => data <= x"96";
when "00" & x"7e5" => data <= x"82";
when "00" & x"7e6" => data <= x"b0";
when "00" & x"7e7" => data <= x"22";
when "00" & x"7e8" => data <= x"ac";
when "00" & x"7e9" => data <= x"db";
when "00" & x"7ea" => data <= x"10";
when "00" & x"7eb" => data <= x"ad";
when "00" & x"7ec" => data <= x"cc";
when "00" & x"7ed" => data <= x"10";
when "00" & x"7ee" => data <= x"85";
when "00" & x"7ef" => data <= x"ce";
when "00" & x"7f0" => data <= x"ad";
when "00" & x"7f1" => data <= x"cd";
when "00" & x"7f2" => data <= x"10";
when "00" & x"7f3" => data <= x"20";
when "00" & x"7f4" => data <= x"7e";
when "00" & x"7f5" => data <= x"87";
when "00" & x"7f6" => data <= x"20";
when "00" & x"7f7" => data <= x"09";
when "00" & x"7f8" => data <= x"81";
when "00" & x"7f9" => data <= x"20";
when "00" & x"7fa" => data <= x"96";
when "00" & x"7fb" => data <= x"82";
when "00" & x"7fc" => data <= x"b0";
when "00" & x"7fd" => data <= x"0c";
when "00" & x"7fe" => data <= x"20";
when "00" & x"7ff" => data <= x"22";
when "00" & x"800" => data <= x"80";
when "00" & x"801" => data <= x"fe";
when "00" & x"802" => data <= x"63";
when "00" & x"803" => data <= x"6f";
when "00" & x"804" => data <= x"6d";
when "00" & x"805" => data <= x"6d";
when "00" & x"806" => data <= x"61";
when "00" & x"807" => data <= x"6e";
when "00" & x"808" => data <= x"64";
when "00" & x"809" => data <= x"00";
when "00" & x"80a" => data <= x"20";
when "00" & x"80b" => data <= x"9d";
when "00" & x"80c" => data <= x"87";
when "00" & x"80d" => data <= x"18";
when "00" & x"80e" => data <= x"ad";
when "00" & x"80f" => data <= x"da";
when "00" & x"810" => data <= x"10";
when "00" & x"811" => data <= x"a8";
when "00" & x"812" => data <= x"65";
when "00" & x"813" => data <= x"f2";
when "00" & x"814" => data <= x"8d";
when "00" & x"815" => data <= x"da";
when "00" & x"816" => data <= x"10";
when "00" & x"817" => data <= x"a5";
when "00" & x"818" => data <= x"f3";
when "00" & x"819" => data <= x"69";
when "00" & x"81a" => data <= x"00";
when "00" & x"81b" => data <= x"8d";
when "00" & x"81c" => data <= x"db";
when "00" & x"81d" => data <= x"10";
when "00" & x"81e" => data <= x"ad";
when "00" & x"81f" => data <= x"76";
when "00" & x"820" => data <= x"10";
when "00" & x"821" => data <= x"2d";
when "00" & x"822" => data <= x"77";
when "00" & x"823" => data <= x"10";
when "00" & x"824" => data <= x"0d";
when "00" & x"825" => data <= x"d7";
when "00" & x"826" => data <= x"10";
when "00" & x"827" => data <= x"c9";
when "00" & x"828" => data <= x"ff";
when "00" & x"829" => data <= x"f0";
when "00" & x"82a" => data <= x"13";
when "00" & x"82b" => data <= x"a5";
when "00" & x"82c" => data <= x"c0";
when "00" & x"82d" => data <= x"8d";
when "00" & x"82e" => data <= x"74";
when "00" & x"82f" => data <= x"10";
when "00" & x"830" => data <= x"a5";
when "00" & x"831" => data <= x"c1";
when "00" & x"832" => data <= x"8d";
when "00" & x"833" => data <= x"75";
when "00" & x"834" => data <= x"10";
when "00" & x"835" => data <= x"a2";
when "00" & x"836" => data <= x"74";
when "00" & x"837" => data <= x"a0";
when "00" & x"838" => data <= x"10";
when "00" & x"839" => data <= x"a9";
when "00" & x"83a" => data <= x"04";
when "00" & x"83b" => data <= x"4c";
when "00" & x"83c" => data <= x"06";
when "00" & x"83d" => data <= x"04";
when "00" & x"83e" => data <= x"6c";
when "00" & x"83f" => data <= x"c0";
when "00" & x"840" => data <= x"00";
when "00" & x"841" => data <= x"a9";
when "00" & x"842" => data <= x"ff";
when "00" & x"843" => data <= x"85";
when "00" & x"844" => data <= x"c0";
when "00" & x"845" => data <= x"a5";
when "00" & x"846" => data <= x"f2";
when "00" & x"847" => data <= x"85";
when "00" & x"848" => data <= x"bc";
when "00" & x"849" => data <= x"a5";
when "00" & x"84a" => data <= x"f3";
when "00" & x"84b" => data <= x"85";
when "00" & x"84c" => data <= x"bd";
when "00" & x"84d" => data <= x"60";
when "00" & x"84e" => data <= x"a2";
when "00" & x"84f" => data <= x"00";
when "00" & x"850" => data <= x"f0";
when "00" & x"851" => data <= x"02";
when "00" & x"852" => data <= x"a2";
when "00" & x"853" => data <= x"02";
when "00" & x"854" => data <= x"20";
when "00" & x"855" => data <= x"60";
when "00" & x"856" => data <= x"88";
when "00" & x"857" => data <= x"9d";
when "00" & x"858" => data <= x"cb";
when "00" & x"859" => data <= x"10";
when "00" & x"85a" => data <= x"a5";
when "00" & x"85b" => data <= x"ce";
when "00" & x"85c" => data <= x"9d";
when "00" & x"85d" => data <= x"ca";
when "00" & x"85e" => data <= x"10";
when "00" & x"85f" => data <= x"60";
when "00" & x"860" => data <= x"a9";
when "00" & x"861" => data <= x"24";
when "00" & x"862" => data <= x"85";
when "00" & x"863" => data <= x"ce";
when "00" & x"864" => data <= x"20";
when "00" & x"865" => data <= x"bf";
when "00" & x"866" => data <= x"86";
when "00" & x"867" => data <= x"d0";
when "00" & x"868" => data <= x"07";
when "00" & x"869" => data <= x"a9";
when "00" & x"86a" => data <= x"00";
when "00" & x"86b" => data <= x"20";
when "00" & x"86c" => data <= x"7e";
when "00" & x"86d" => data <= x"87";
when "00" & x"86e" => data <= x"f0";
when "00" & x"86f" => data <= x"30";
when "00" & x"870" => data <= x"ad";
when "00" & x"871" => data <= x"cb";
when "00" & x"872" => data <= x"10";
when "00" & x"873" => data <= x"20";
when "00" & x"874" => data <= x"7e";
when "00" & x"875" => data <= x"87";
when "00" & x"876" => data <= x"20";
when "00" & x"877" => data <= x"c5";
when "00" & x"878" => data <= x"ff";
when "00" & x"879" => data <= x"b0";
when "00" & x"87a" => data <= x"10";
when "00" & x"87b" => data <= x"c9";
when "00" & x"87c" => data <= x"3a";
when "00" & x"87d" => data <= x"d0";
when "00" & x"87e" => data <= x"1a";
when "00" & x"87f" => data <= x"20";
when "00" & x"880" => data <= x"5d";
when "00" & x"881" => data <= x"83";
when "00" & x"882" => data <= x"20";
when "00" & x"883" => data <= x"c5";
when "00" & x"884" => data <= x"ff";
when "00" & x"885" => data <= x"b0";
when "00" & x"886" => data <= x"19";
when "00" & x"887" => data <= x"c9";
when "00" & x"888" => data <= x"2e";
when "00" & x"889" => data <= x"f0";
when "00" & x"88a" => data <= x"eb";
when "00" & x"88b" => data <= x"20";
when "00" & x"88c" => data <= x"22";
when "00" & x"88d" => data <= x"80";
when "00" & x"88e" => data <= x"ce";
when "00" & x"88f" => data <= x"64";
when "00" & x"890" => data <= x"69";
when "00" & x"891" => data <= x"72";
when "00" & x"892" => data <= x"65";
when "00" & x"893" => data <= x"63";
when "00" & x"894" => data <= x"74";
when "00" & x"895" => data <= x"6f";
when "00" & x"896" => data <= x"72";
when "00" & x"897" => data <= x"79";
when "00" & x"898" => data <= x"00";
when "00" & x"899" => data <= x"85";
when "00" & x"89a" => data <= x"ce";
when "00" & x"89b" => data <= x"20";
when "00" & x"89c" => data <= x"c5";
when "00" & x"89d" => data <= x"ff";
when "00" & x"89e" => data <= x"90";
when "00" & x"89f" => data <= x"eb";
when "00" & x"8a0" => data <= x"a5";
when "00" & x"8a1" => data <= x"cf";
when "00" & x"8a2" => data <= x"60";
when "00" & x"8a3" => data <= x"20";
when "00" & x"8a4" => data <= x"01";
when "00" & x"8a5" => data <= x"9a";
when "00" & x"8a6" => data <= x"20";
when "00" & x"8a7" => data <= x"4d";
when "00" & x"8a8" => data <= x"83";
when "00" & x"8a9" => data <= x"20";
when "00" & x"8aa" => data <= x"47";
when "00" & x"8ab" => data <= x"83";
when "00" & x"8ac" => data <= x"4c";
when "00" & x"8ad" => data <= x"ce";
when "00" & x"8ae" => data <= x"b2";
when "00" & x"8af" => data <= x"00";
when "00" & x"8b0" => data <= x"20";
when "00" & x"8b1" => data <= x"c6";
when "00" & x"8b2" => data <= x"88";
when "00" & x"8b3" => data <= x"ca";
when "00" & x"8b4" => data <= x"10";
when "00" & x"8b5" => data <= x"fa";
when "00" & x"8b6" => data <= x"e8";
when "00" & x"8b7" => data <= x"20";
when "00" & x"8b8" => data <= x"c5";
when "00" & x"8b9" => data <= x"ff";
when "00" & x"8ba" => data <= x"b0";
when "00" & x"8bb" => data <= x"07";
when "00" & x"8bc" => data <= x"20";
when "00" & x"8bd" => data <= x"c6";
when "00" & x"8be" => data <= x"88";
when "00" & x"8bf" => data <= x"e0";
when "00" & x"8c0" => data <= x"0b";
when "00" & x"8c1" => data <= x"90";
when "00" & x"8c2" => data <= x"f3";
when "00" & x"8c3" => data <= x"4c";
when "00" & x"8c4" => data <= x"b4";
when "00" & x"8c5" => data <= x"8a";
when "00" & x"8c6" => data <= x"e0";
when "00" & x"8c7" => data <= x"08";
when "00" & x"8c8" => data <= x"90";
when "00" & x"8c9" => data <= x"04";
when "00" & x"8ca" => data <= x"9d";
when "00" & x"8cb" => data <= x"f8";
when "00" & x"8cc" => data <= x"0e";
when "00" & x"8cd" => data <= x"60";
when "00" & x"8ce" => data <= x"9d";
when "00" & x"8cf" => data <= x"00";
when "00" & x"8d0" => data <= x"0e";
when "00" & x"8d1" => data <= x"60";
when "00" & x"8d2" => data <= x"20";
when "00" & x"8d3" => data <= x"5e";
when "00" & x"8d4" => data <= x"82";
when "00" & x"8d5" => data <= x"20";
when "00" & x"8d6" => data <= x"01";
when "00" & x"8d7" => data <= x"9a";
when "00" & x"8d8" => data <= x"20";
when "00" & x"8d9" => data <= x"fe";
when "00" & x"8da" => data <= x"80";
when "00" & x"8db" => data <= x"a2";
when "00" & x"8dc" => data <= x"00";
when "00" & x"8dd" => data <= x"20";
when "00" & x"8de" => data <= x"bf";
when "00" & x"8df" => data <= x"86";
when "00" & x"8e0" => data <= x"d0";
when "00" & x"8e1" => data <= x"23";
when "00" & x"8e2" => data <= x"86";
when "00" & x"8e3" => data <= x"aa";
when "00" & x"8e4" => data <= x"20";
when "00" & x"8e5" => data <= x"96";
when "00" & x"8e6" => data <= x"82";
when "00" & x"8e7" => data <= x"b0";
when "00" & x"8e8" => data <= x"03";
when "00" & x"8e9" => data <= x"4c";
when "00" & x"8ea" => data <= x"76";
when "00" & x"8eb" => data <= x"82";
when "00" & x"8ec" => data <= x"20";
when "00" & x"8ed" => data <= x"4f";
when "00" & x"8ee" => data <= x"98";
when "00" & x"8ef" => data <= x"b9";
when "00" & x"8f0" => data <= x"0f";
when "00" & x"8f1" => data <= x"0e";
when "00" & x"8f2" => data <= x"29";
when "00" & x"8f3" => data <= x"7f";
when "00" & x"8f4" => data <= x"05";
when "00" & x"8f5" => data <= x"aa";
when "00" & x"8f6" => data <= x"99";
when "00" & x"8f7" => data <= x"0f";
when "00" & x"8f8" => data <= x"0e";
when "00" & x"8f9" => data <= x"20";
when "00" & x"8fa" => data <= x"fc";
when "00" & x"8fb" => data <= x"82";
when "00" & x"8fc" => data <= x"20";
when "00" & x"8fd" => data <= x"9d";
when "00" & x"8fe" => data <= x"82";
when "00" & x"8ff" => data <= x"b0";
when "00" & x"900" => data <= x"eb";
when "00" & x"901" => data <= x"90";
when "00" & x"902" => data <= x"c0";
when "00" & x"903" => data <= x"a2";
when "00" & x"904" => data <= x"80";
when "00" & x"905" => data <= x"20";
when "00" & x"906" => data <= x"c5";
when "00" & x"907" => data <= x"ff";
when "00" & x"908" => data <= x"b0";
when "00" & x"909" => data <= x"d8";
when "00" & x"90a" => data <= x"c9";
when "00" & x"90b" => data <= x"4c";
when "00" & x"90c" => data <= x"f0";
when "00" & x"90d" => data <= x"f5";
when "00" & x"90e" => data <= x"20";
when "00" & x"90f" => data <= x"22";
when "00" & x"910" => data <= x"80";
when "00" & x"911" => data <= x"cf";
when "00" & x"912" => data <= x"61";
when "00" & x"913" => data <= x"74";
when "00" & x"914" => data <= x"74";
when "00" & x"915" => data <= x"72";
when "00" & x"916" => data <= x"69";
when "00" & x"917" => data <= x"62";
when "00" & x"918" => data <= x"75";
when "00" & x"919" => data <= x"74";
when "00" & x"91a" => data <= x"65";
when "00" & x"91b" => data <= x"00";
when "00" & x"91c" => data <= x"20";
when "00" & x"91d" => data <= x"e1";
when "00" & x"91e" => data <= x"83";
when "00" & x"91f" => data <= x"8a";
when "00" & x"920" => data <= x"c9";
when "00" & x"921" => data <= x"04";
when "00" & x"922" => data <= x"f0";
when "00" & x"923" => data <= x"1a";
when "00" & x"924" => data <= x"c9";
when "00" & x"925" => data <= x"02";
when "00" & x"926" => data <= x"90";
when "00" & x"927" => data <= x"0b";
when "00" & x"928" => data <= x"20";
when "00" & x"929" => data <= x"22";
when "00" & x"92a" => data <= x"80";
when "00" & x"92b" => data <= x"cb";
when "00" & x"92c" => data <= x"6f";
when "00" & x"92d" => data <= x"70";
when "00" & x"92e" => data <= x"74";
when "00" & x"92f" => data <= x"69";
when "00" & x"930" => data <= x"6f";
when "00" & x"931" => data <= x"6e";
when "00" & x"932" => data <= x"00";
when "00" & x"933" => data <= x"a2";
when "00" & x"934" => data <= x"ff";
when "00" & x"935" => data <= x"98";
when "00" & x"936" => data <= x"f0";
when "00" & x"937" => data <= x"02";
when "00" & x"938" => data <= x"a2";
when "00" & x"939" => data <= x"00";
when "00" & x"93a" => data <= x"8e";
when "00" & x"93b" => data <= x"c7";
when "00" & x"93c" => data <= x"10";
when "00" & x"93d" => data <= x"60";
when "00" & x"93e" => data <= x"98";
when "00" & x"93f" => data <= x"48";
when "00" & x"940" => data <= x"20";
when "00" & x"941" => data <= x"4d";
when "00" & x"942" => data <= x"83";
when "00" & x"943" => data <= x"20";
when "00" & x"944" => data <= x"41";
when "00" & x"945" => data <= x"af";
when "00" & x"946" => data <= x"68";
when "00" & x"947" => data <= x"20";
when "00" & x"948" => data <= x"0b";
when "00" & x"949" => data <= x"82";
when "00" & x"94a" => data <= x"4d";
when "00" & x"94b" => data <= x"06";
when "00" & x"94c" => data <= x"0f";
when "00" & x"94d" => data <= x"29";
when "00" & x"94e" => data <= x"30";
when "00" & x"94f" => data <= x"4d";
when "00" & x"950" => data <= x"06";
when "00" & x"951" => data <= x"0f";
when "00" & x"952" => data <= x"8d";
when "00" & x"953" => data <= x"06";
when "00" & x"954" => data <= x"0f";
when "00" & x"955" => data <= x"4c";
when "00" & x"956" => data <= x"b4";
when "00" & x"957" => data <= x"8a";
when "00" & x"958" => data <= x"20";
when "00" & x"959" => data <= x"18";
when "00" & x"95a" => data <= x"80";
when "00" & x"95b" => data <= x"c6";
when "00" & x"95c" => data <= x"66";
when "00" & x"95d" => data <= x"75";
when "00" & x"95e" => data <= x"6c";
when "00" & x"95f" => data <= x"6c";
when "00" & x"960" => data <= x"00";
when "00" & x"961" => data <= x"20";
when "00" & x"962" => data <= x"06";
when "00" & x"963" => data <= x"81";
when "00" & x"964" => data <= x"20";
when "00" & x"965" => data <= x"96";
when "00" & x"966" => data <= x"82";
when "00" & x"967" => data <= x"90";
when "00" & x"968" => data <= x"03";
when "00" & x"969" => data <= x"20";
when "00" & x"96a" => data <= x"d1";
when "00" & x"96b" => data <= x"82";
when "00" & x"96c" => data <= x"a5";
when "00" & x"96d" => data <= x"c2";
when "00" & x"96e" => data <= x"48";
when "00" & x"96f" => data <= x"a5";
when "00" & x"970" => data <= x"c3";
when "00" & x"971" => data <= x"48";
when "00" & x"972" => data <= x"38";
when "00" & x"973" => data <= x"a5";
when "00" & x"974" => data <= x"c4";
when "00" & x"975" => data <= x"e5";
when "00" & x"976" => data <= x"c2";
when "00" & x"977" => data <= x"85";
when "00" & x"978" => data <= x"c2";
when "00" & x"979" => data <= x"a5";
when "00" & x"97a" => data <= x"c5";
when "00" & x"97b" => data <= x"e5";
when "00" & x"97c" => data <= x"c3";
when "00" & x"97d" => data <= x"85";
when "00" & x"97e" => data <= x"c3";
when "00" & x"97f" => data <= x"ad";
when "00" & x"980" => data <= x"7a";
when "00" & x"981" => data <= x"10";
when "00" & x"982" => data <= x"ed";
when "00" & x"983" => data <= x"78";
when "00" & x"984" => data <= x"10";
when "00" & x"985" => data <= x"85";
when "00" & x"986" => data <= x"c6";
when "00" & x"987" => data <= x"20";
when "00" & x"988" => data <= x"9d";
when "00" & x"989" => data <= x"89";
when "00" & x"98a" => data <= x"ad";
when "00" & x"98b" => data <= x"79";
when "00" & x"98c" => data <= x"10";
when "00" & x"98d" => data <= x"8d";
when "00" & x"98e" => data <= x"75";
when "00" & x"98f" => data <= x"10";
when "00" & x"990" => data <= x"ad";
when "00" & x"991" => data <= x"78";
when "00" & x"992" => data <= x"10";
when "00" & x"993" => data <= x"8d";
when "00" & x"994" => data <= x"74";
when "00" & x"995" => data <= x"10";
when "00" & x"996" => data <= x"68";
when "00" & x"997" => data <= x"85";
when "00" & x"998" => data <= x"bf";
when "00" & x"999" => data <= x"68";
when "00" & x"99a" => data <= x"85";
when "00" & x"99b" => data <= x"be";
when "00" & x"99c" => data <= x"60";
when "00" & x"99d" => data <= x"a9";
when "00" & x"99e" => data <= x"00";
when "00" & x"99f" => data <= x"85";
when "00" & x"9a0" => data <= x"c4";
when "00" & x"9a1" => data <= x"a9";
when "00" & x"9a2" => data <= x"02";
when "00" & x"9a3" => data <= x"85";
when "00" & x"9a4" => data <= x"c5";
when "00" & x"9a5" => data <= x"ac";
when "00" & x"9a6" => data <= x"05";
when "00" & x"9a7" => data <= x"0f";
when "00" & x"9a8" => data <= x"f0";
when "00" & x"9a9" => data <= x"2d";
when "00" & x"9aa" => data <= x"c0";
when "00" & x"9ab" => data <= x"f8";
when "00" & x"9ac" => data <= x"b0";
when "00" & x"9ad" => data <= x"56";
when "00" & x"9ae" => data <= x"20";
when "00" & x"9af" => data <= x"9e";
when "00" & x"9b0" => data <= x"85";
when "00" & x"9b1" => data <= x"4c";
when "00" & x"9b2" => data <= x"bc";
when "00" & x"9b3" => data <= x"89";
when "00" & x"9b4" => data <= x"f0";
when "00" & x"9b5" => data <= x"a2";
when "00" & x"9b6" => data <= x"20";
when "00" & x"9b7" => data <= x"19";
when "00" & x"9b8" => data <= x"82";
when "00" & x"9b9" => data <= x"20";
when "00" & x"9ba" => data <= x"7f";
when "00" & x"9bb" => data <= x"85";
when "00" & x"9bc" => data <= x"98";
when "00" & x"9bd" => data <= x"90";
when "00" & x"9be" => data <= x"f5";
when "00" & x"9bf" => data <= x"84";
when "00" & x"9c0" => data <= x"b0";
when "00" & x"9c1" => data <= x"ac";
when "00" & x"9c2" => data <= x"05";
when "00" & x"9c3" => data <= x"0f";
when "00" & x"9c4" => data <= x"c4";
when "00" & x"9c5" => data <= x"b0";
when "00" & x"9c6" => data <= x"f0";
when "00" & x"9c7" => data <= x"0f";
when "00" & x"9c8" => data <= x"b9";
when "00" & x"9c9" => data <= x"07";
when "00" & x"9ca" => data <= x"0e";
when "00" & x"9cb" => data <= x"99";
when "00" & x"9cc" => data <= x"0f";
when "00" & x"9cd" => data <= x"0e";
when "00" & x"9ce" => data <= x"b9";
when "00" & x"9cf" => data <= x"07";
when "00" & x"9d0" => data <= x"0f";
when "00" & x"9d1" => data <= x"99";
when "00" & x"9d2" => data <= x"0f";
when "00" & x"9d3" => data <= x"0f";
when "00" & x"9d4" => data <= x"88";
when "00" & x"9d5" => data <= x"b0";
when "00" & x"9d6" => data <= x"ed";
when "00" & x"9d7" => data <= x"a2";
when "00" & x"9d8" => data <= x"00";
when "00" & x"9d9" => data <= x"20";
when "00" & x"9da" => data <= x"17";
when "00" & x"9db" => data <= x"8a";
when "00" & x"9dc" => data <= x"b5";
when "00" & x"9dd" => data <= x"c7";
when "00" & x"9de" => data <= x"99";
when "00" & x"9df" => data <= x"08";
when "00" & x"9e0" => data <= x"0e";
when "00" & x"9e1" => data <= x"c8";
when "00" & x"9e2" => data <= x"e8";
when "00" & x"9e3" => data <= x"e0";
when "00" & x"9e4" => data <= x"08";
when "00" & x"9e5" => data <= x"d0";
when "00" & x"9e6" => data <= x"f5";
when "00" & x"9e7" => data <= x"b5";
when "00" & x"9e8" => data <= x"bd";
when "00" & x"9e9" => data <= x"88";
when "00" & x"9ea" => data <= x"99";
when "00" & x"9eb" => data <= x"08";
when "00" & x"9ec" => data <= x"0f";
when "00" & x"9ed" => data <= x"ca";
when "00" & x"9ee" => data <= x"d0";
when "00" & x"9ef" => data <= x"f7";
when "00" & x"9f0" => data <= x"20";
when "00" & x"9f1" => data <= x"fc";
when "00" & x"9f2" => data <= x"82";
when "00" & x"9f3" => data <= x"98";
when "00" & x"9f4" => data <= x"48";
when "00" & x"9f5" => data <= x"ac";
when "00" & x"9f6" => data <= x"05";
when "00" & x"9f7" => data <= x"0f";
when "00" & x"9f8" => data <= x"20";
when "00" & x"9f9" => data <= x"10";
when "00" & x"9fa" => data <= x"82";
when "00" & x"9fb" => data <= x"8c";
when "00" & x"9fc" => data <= x"05";
when "00" & x"9fd" => data <= x"0f";
when "00" & x"9fe" => data <= x"20";
when "00" & x"9ff" => data <= x"b4";
when "00" & x"a00" => data <= x"8a";
when "00" & x"a01" => data <= x"68";
when "00" & x"a02" => data <= x"a8";
when "00" & x"a03" => data <= x"60";
when "00" & x"a04" => data <= x"20";
when "00" & x"a05" => data <= x"33";
when "00" & x"a06" => data <= x"80";
when "00" & x"a07" => data <= x"be";
when "00" & x"a08" => data <= x"43";
when "00" & x"a09" => data <= x"61";
when "00" & x"a0a" => data <= x"74";
when "00" & x"a0b" => data <= x"61";
when "00" & x"a0c" => data <= x"6c";
when "00" & x"a0d" => data <= x"6f";
when "00" & x"a0e" => data <= x"67";
when "00" & x"a0f" => data <= x"75";
when "00" & x"a10" => data <= x"65";
when "00" & x"a11" => data <= x"20";
when "00" & x"a12" => data <= x"66";
when "00" & x"a13" => data <= x"75";
when "00" & x"a14" => data <= x"6c";
when "00" & x"a15" => data <= x"6c";
when "00" & x"a16" => data <= x"00";
when "00" & x"a17" => data <= x"ad";
when "00" & x"a18" => data <= x"76";
when "00" & x"a19" => data <= x"10";
when "00" & x"a1a" => data <= x"29";
when "00" & x"a1b" => data <= x"03";
when "00" & x"a1c" => data <= x"0a";
when "00" & x"a1d" => data <= x"0a";
when "00" & x"a1e" => data <= x"45";
when "00" & x"a1f" => data <= x"c6";
when "00" & x"a20" => data <= x"29";
when "00" & x"a21" => data <= x"fc";
when "00" & x"a22" => data <= x"45";
when "00" & x"a23" => data <= x"c6";
when "00" & x"a24" => data <= x"0a";
when "00" & x"a25" => data <= x"0a";
when "00" & x"a26" => data <= x"4d";
when "00" & x"a27" => data <= x"74";
when "00" & x"a28" => data <= x"10";
when "00" & x"a29" => data <= x"29";
when "00" & x"a2a" => data <= x"fc";
when "00" & x"a2b" => data <= x"4d";
when "00" & x"a2c" => data <= x"74";
when "00" & x"a2d" => data <= x"10";
when "00" & x"a2e" => data <= x"0a";
when "00" & x"a2f" => data <= x"0a";
when "00" & x"a30" => data <= x"45";
when "00" & x"a31" => data <= x"c4";
when "00" & x"a32" => data <= x"29";
when "00" & x"a33" => data <= x"fc";
when "00" & x"a34" => data <= x"45";
when "00" & x"a35" => data <= x"c4";
when "00" & x"a36" => data <= x"85";
when "00" & x"a37" => data <= x"c4";
when "00" & x"a38" => data <= x"60";
when "00" & x"a39" => data <= x"a9";
when "00" & x"a3a" => data <= x"01";
when "00" & x"a3b" => data <= x"8d";
when "00" & x"a3c" => data <= x"c8";
when "00" & x"a3d" => data <= x"10";
when "00" & x"a3e" => data <= x"60";
when "00" & x"a3f" => data <= x"a9";
when "00" & x"a40" => data <= x"00";
when "00" & x"a41" => data <= x"8d";
when "00" & x"a42" => data <= x"75";
when "00" & x"a43" => data <= x"10";
when "00" & x"a44" => data <= x"a5";
when "00" & x"a45" => data <= x"c4";
when "00" & x"a46" => data <= x"20";
when "00" & x"a47" => data <= x"ff";
when "00" & x"a48" => data <= x"81";
when "00" & x"a49" => data <= x"c9";
when "00" & x"a4a" => data <= x"03";
when "00" & x"a4b" => data <= x"d0";
when "00" & x"a4c" => data <= x"05";
when "00" & x"a4d" => data <= x"a9";
when "00" & x"a4e" => data <= x"ff";
when "00" & x"a4f" => data <= x"8d";
when "00" & x"a50" => data <= x"75";
when "00" & x"a51" => data <= x"10";
when "00" & x"a52" => data <= x"8d";
when "00" & x"a53" => data <= x"74";
when "00" & x"a54" => data <= x"10";
when "00" & x"a55" => data <= x"60";
when "00" & x"a56" => data <= x"a9";
when "00" & x"a57" => data <= x"00";
when "00" & x"a58" => data <= x"8d";
when "00" & x"a59" => data <= x"77";
when "00" & x"a5a" => data <= x"10";
when "00" & x"a5b" => data <= x"a5";
when "00" & x"a5c" => data <= x"c4";
when "00" & x"a5d" => data <= x"20";
when "00" & x"a5e" => data <= x"fb";
when "00" & x"a5f" => data <= x"81";
when "00" & x"a60" => data <= x"c9";
when "00" & x"a61" => data <= x"03";
when "00" & x"a62" => data <= x"d0";
when "00" & x"a63" => data <= x"05";
when "00" & x"a64" => data <= x"a9";
when "00" & x"a65" => data <= x"ff";
when "00" & x"a66" => data <= x"8d";
when "00" & x"a67" => data <= x"77";
when "00" & x"a68" => data <= x"10";
when "00" & x"a69" => data <= x"8d";
when "00" & x"a6a" => data <= x"76";
when "00" & x"a6b" => data <= x"10";
when "00" & x"a6c" => data <= x"60";
when "00" & x"a6d" => data <= x"20";
when "00" & x"a6e" => data <= x"62";
when "00" & x"a6f" => data <= x"82";
when "00" & x"a70" => data <= x"20";
when "00" & x"a71" => data <= x"bf";
when "00" & x"a72" => data <= x"86";
when "00" & x"a73" => data <= x"d0";
when "00" & x"a74" => data <= x"03";
when "00" & x"a75" => data <= x"4c";
when "00" & x"a76" => data <= x"06";
when "00" & x"a77" => data <= x"9a";
when "00" & x"a78" => data <= x"20";
when "00" & x"a79" => data <= x"fe";
when "00" & x"a7a" => data <= x"80";
when "00" & x"a7b" => data <= x"98";
when "00" & x"a7c" => data <= x"48";
when "00" & x"a7d" => data <= x"20";
when "00" & x"a7e" => data <= x"96";
when "00" & x"a7f" => data <= x"82";
when "00" & x"a80" => data <= x"b0";
when "00" & x"a81" => data <= x"03";
when "00" & x"a82" => data <= x"4c";
when "00" & x"a83" => data <= x"76";
when "00" & x"a84" => data <= x"82";
when "00" & x"a85" => data <= x"20";
when "00" & x"a86" => data <= x"4c";
when "00" & x"a87" => data <= x"98";
when "00" & x"a88" => data <= x"84";
when "00" & x"a89" => data <= x"b3";
when "00" & x"a8a" => data <= x"68";
when "00" & x"a8b" => data <= x"a8";
when "00" & x"a8c" => data <= x"20";
when "00" & x"a8d" => data <= x"bf";
when "00" & x"a8e" => data <= x"86";
when "00" & x"a8f" => data <= x"f0";
when "00" & x"a90" => data <= x"e4";
when "00" & x"a91" => data <= x"20";
when "00" & x"a92" => data <= x"fe";
when "00" & x"a93" => data <= x"80";
when "00" & x"a94" => data <= x"20";
when "00" & x"a95" => data <= x"96";
when "00" & x"a96" => data <= x"82";
when "00" & x"a97" => data <= x"90";
when "00" & x"a98" => data <= x"0b";
when "00" & x"a99" => data <= x"20";
when "00" & x"a9a" => data <= x"2b";
when "00" & x"a9b" => data <= x"80";
when "00" & x"a9c" => data <= x"c4";
when "00" & x"a9d" => data <= x"65";
when "00" & x"a9e" => data <= x"78";
when "00" & x"a9f" => data <= x"69";
when "00" & x"aa0" => data <= x"73";
when "00" & x"aa1" => data <= x"74";
when "00" & x"aa2" => data <= x"73";
when "00" & x"aa3" => data <= x"00";
when "00" & x"aa4" => data <= x"a4";
when "00" & x"aa5" => data <= x"b3";
when "00" & x"aa6" => data <= x"20";
when "00" & x"aa7" => data <= x"10";
when "00" & x"aa8" => data <= x"82";
when "00" & x"aa9" => data <= x"a2";
when "00" & x"aaa" => data <= x"07";
when "00" & x"aab" => data <= x"b5";
when "00" & x"aac" => data <= x"c7";
when "00" & x"aad" => data <= x"99";
when "00" & x"aae" => data <= x"07";
when "00" & x"aaf" => data <= x"0e";
when "00" & x"ab0" => data <= x"88";
when "00" & x"ab1" => data <= x"ca";
when "00" & x"ab2" => data <= x"10";
when "00" & x"ab3" => data <= x"f7";
when "00" & x"ab4" => data <= x"18";
when "00" & x"ab5" => data <= x"f8";
when "00" & x"ab6" => data <= x"ad";
when "00" & x"ab7" => data <= x"04";
when "00" & x"ab8" => data <= x"0f";
when "00" & x"ab9" => data <= x"69";
when "00" & x"aba" => data <= x"01";
when "00" & x"abb" => data <= x"d8";
when "00" & x"abc" => data <= x"8d";
when "00" & x"abd" => data <= x"04";
when "00" & x"abe" => data <= x"0f";
when "00" & x"abf" => data <= x"4c";
when "00" & x"ac0" => data <= x"55";
when "00" & x"ac1" => data <= x"af";
when "00" & x"ac2" => data <= x"a9";
when "00" & x"ac3" => data <= x"ff";
when "00" & x"ac4" => data <= x"20";
when "00" & x"ac5" => data <= x"9e";
when "00" & x"ac6" => data <= x"06";
when "00" & x"ac7" => data <= x"ad";
when "00" & x"ac8" => data <= x"e3";
when "00" & x"ac9" => data <= x"fe";
when "00" & x"aca" => data <= x"a9";
when "00" & x"acb" => data <= x"00";
when "00" & x"acc" => data <= x"20";
when "00" & x"acd" => data <= x"95";
when "00" & x"ace" => data <= x"06";
when "00" & x"acf" => data <= x"a8";
when "00" & x"ad0" => data <= x"b1";
when "00" & x"ad1" => data <= x"fd";
when "00" & x"ad2" => data <= x"20";
when "00" & x"ad3" => data <= x"95";
when "00" & x"ad4" => data <= x"06";
when "00" & x"ad5" => data <= x"c8";
when "00" & x"ad6" => data <= x"b1";
when "00" & x"ad7" => data <= x"fd";
when "00" & x"ad8" => data <= x"20";
when "00" & x"ad9" => data <= x"95";
when "00" & x"ada" => data <= x"06";
when "00" & x"adb" => data <= x"aa";
when "00" & x"adc" => data <= x"d0";
when "00" & x"add" => data <= x"f7";
when "00" & x"ade" => data <= x"a2";
when "00" & x"adf" => data <= x"ff";
when "00" & x"ae0" => data <= x"9a";
when "00" & x"ae1" => data <= x"58";
when "00" & x"ae2" => data <= x"2c";
when "00" & x"ae3" => data <= x"e0";
when "00" & x"ae4" => data <= x"fe";
when "00" & x"ae5" => data <= x"10";
when "00" & x"ae6" => data <= x"06";
when "00" & x"ae7" => data <= x"ad";
when "00" & x"ae8" => data <= x"e1";
when "00" & x"ae9" => data <= x"fe";
when "00" & x"aea" => data <= x"20";
when "00" & x"aeb" => data <= x"ee";
when "00" & x"aec" => data <= x"ff";
when "00" & x"aed" => data <= x"2c";
when "00" & x"aee" => data <= x"e2";
when "00" & x"aef" => data <= x"fe";
when "00" & x"af0" => data <= x"10";
when "00" & x"af1" => data <= x"f0";
when "00" & x"af2" => data <= x"2c";
when "00" & x"af3" => data <= x"e0";
when "00" & x"af4" => data <= x"fe";
when "00" & x"af5" => data <= x"30";
when "00" & x"af6" => data <= x"f0";
when "00" & x"af7" => data <= x"ae";
when "00" & x"af8" => data <= x"e3";
when "00" & x"af9" => data <= x"fe";
when "00" & x"afa" => data <= x"86";
when "00" & x"afb" => data <= x"51";
when "00" & x"afc" => data <= x"6c";
when "00" & x"afd" => data <= x"00";
when "00" & x"afe" => data <= x"05";
when "00" & x"aff" => data <= x"00";
when "00" & x"b00" => data <= x"80";
when "00" & x"b01" => data <= x"00";
when "00" & x"b02" => data <= x"00";
when "00" & x"b03" => data <= x"4c";
when "00" & x"b04" => data <= x"84";
when "00" & x"b05" => data <= x"04";
when "00" & x"b06" => data <= x"4c";
when "00" & x"b07" => data <= x"a7";
when "00" & x"b08" => data <= x"06";
when "00" & x"b09" => data <= x"c9";
when "00" & x"b0a" => data <= x"80";
when "00" & x"b0b" => data <= x"90";
when "00" & x"b0c" => data <= x"2b";
when "00" & x"b0d" => data <= x"c9";
when "00" & x"b0e" => data <= x"c0";
when "00" & x"b0f" => data <= x"b0";
when "00" & x"b10" => data <= x"1a";
when "00" & x"b11" => data <= x"09";
when "00" & x"b12" => data <= x"40";
when "00" & x"b13" => data <= x"c5";
when "00" & x"b14" => data <= x"15";
when "00" & x"b15" => data <= x"d0";
when "00" & x"b16" => data <= x"20";
when "00" & x"b17" => data <= x"08";
when "00" & x"b18" => data <= x"78";
when "00" & x"b19" => data <= x"a9";
when "00" & x"b1a" => data <= x"05";
when "00" & x"b1b" => data <= x"20";
when "00" & x"b1c" => data <= x"9e";
when "00" & x"b1d" => data <= x"06";
when "00" & x"b1e" => data <= x"a5";
when "00" & x"b1f" => data <= x"15";
when "00" & x"b20" => data <= x"20";
when "00" & x"b21" => data <= x"9e";
when "00" & x"b22" => data <= x"06";
when "00" & x"b23" => data <= x"28";
when "00" & x"b24" => data <= x"a9";
when "00" & x"b25" => data <= x"80";
when "00" & x"b26" => data <= x"85";
when "00" & x"b27" => data <= x"15";
when "00" & x"b28" => data <= x"85";
when "00" & x"b29" => data <= x"14";
when "00" & x"b2a" => data <= x"60";
when "00" & x"b2b" => data <= x"06";
when "00" & x"b2c" => data <= x"14";
when "00" & x"b2d" => data <= x"b0";
when "00" & x"b2e" => data <= x"06";
when "00" & x"b2f" => data <= x"c5";
when "00" & x"b30" => data <= x"15";
when "00" & x"b31" => data <= x"f0";
when "00" & x"b32" => data <= x"04";
when "00" & x"b33" => data <= x"18";
when "00" & x"b34" => data <= x"60";
when "00" & x"b35" => data <= x"85";
when "00" & x"b36" => data <= x"15";
when "00" & x"b37" => data <= x"60";
when "00" & x"b38" => data <= x"08";
when "00" & x"b39" => data <= x"78";
when "00" & x"b3a" => data <= x"84";
when "00" & x"b3b" => data <= x"13";
when "00" & x"b3c" => data <= x"86";
when "00" & x"b3d" => data <= x"12";
when "00" & x"b3e" => data <= x"20";
when "00" & x"b3f" => data <= x"9e";
when "00" & x"b40" => data <= x"06";
when "00" & x"b41" => data <= x"aa";
when "00" & x"b42" => data <= x"a0";
when "00" & x"b43" => data <= x"03";
when "00" & x"b44" => data <= x"a5";
when "00" & x"b45" => data <= x"15";
when "00" & x"b46" => data <= x"20";
when "00" & x"b47" => data <= x"9e";
when "00" & x"b48" => data <= x"06";
when "00" & x"b49" => data <= x"b1";
when "00" & x"b4a" => data <= x"12";
when "00" & x"b4b" => data <= x"20";
when "00" & x"b4c" => data <= x"9e";
when "00" & x"b4d" => data <= x"06";
when "00" & x"b4e" => data <= x"88";
when "00" & x"b4f" => data <= x"10";
when "00" & x"b50" => data <= x"f8";
when "00" & x"b51" => data <= x"a0";
when "00" & x"b52" => data <= x"18";
when "00" & x"b53" => data <= x"8c";
when "00" & x"b54" => data <= x"e0";
when "00" & x"b55" => data <= x"fe";
when "00" & x"b56" => data <= x"bd";
when "00" & x"b57" => data <= x"18";
when "00" & x"b58" => data <= x"05";
when "00" & x"b59" => data <= x"8d";
when "00" & x"b5a" => data <= x"e0";
when "00" & x"b5b" => data <= x"fe";
when "00" & x"b5c" => data <= x"4a";
when "00" & x"b5d" => data <= x"4a";
when "00" & x"b5e" => data <= x"90";
when "00" & x"b5f" => data <= x"06";
when "00" & x"b60" => data <= x"2c";
when "00" & x"b61" => data <= x"e5";
when "00" & x"b62" => data <= x"fe";
when "00" & x"b63" => data <= x"2c";
when "00" & x"b64" => data <= x"e5";
when "00" & x"b65" => data <= x"fe";
when "00" & x"b66" => data <= x"20";
when "00" & x"b67" => data <= x"9e";
when "00" & x"b68" => data <= x"06";
when "00" & x"b69" => data <= x"2c";
when "00" & x"b6a" => data <= x"e6";
when "00" & x"b6b" => data <= x"fe";
when "00" & x"b6c" => data <= x"50";
when "00" & x"b6d" => data <= x"fb";
when "00" & x"b6e" => data <= x"b0";
when "00" & x"b6f" => data <= x"0d";
when "00" & x"b70" => data <= x"e0";
when "00" & x"b71" => data <= x"04";
when "00" & x"b72" => data <= x"d0";
when "00" & x"b73" => data <= x"11";
when "00" & x"b74" => data <= x"20";
when "00" & x"b75" => data <= x"14";
when "00" & x"b76" => data <= x"04";
when "00" & x"b77" => data <= x"20";
when "00" & x"b78" => data <= x"95";
when "00" & x"b79" => data <= x"06";
when "00" & x"b7a" => data <= x"4c";
when "00" & x"b7b" => data <= x"32";
when "00" & x"b7c" => data <= x"00";
when "00" & x"b7d" => data <= x"4a";
when "00" & x"b7e" => data <= x"90";
when "00" & x"b7f" => data <= x"05";
when "00" & x"b80" => data <= x"a0";
when "00" & x"b81" => data <= x"88";
when "00" & x"b82" => data <= x"8c";
when "00" & x"b83" => data <= x"e0";
when "00" & x"b84" => data <= x"fe";
when "00" & x"b85" => data <= x"28";
when "00" & x"b86" => data <= x"60";
when "00" & x"b87" => data <= x"58";
when "00" & x"b88" => data <= x"b0";
when "00" & x"b89" => data <= x"11";
when "00" & x"b8a" => data <= x"d0";
when "00" & x"b8b" => data <= x"03";
when "00" & x"b8c" => data <= x"4c";
when "00" & x"b8d" => data <= x"9c";
when "00" & x"b8e" => data <= x"05";
when "00" & x"b8f" => data <= x"a2";
when "00" & x"b90" => data <= x"00";
when "00" & x"b91" => data <= x"a0";
when "00" & x"b92" => data <= x"ff";
when "00" & x"b93" => data <= x"a9";
when "00" & x"b94" => data <= x"fd";
when "00" & x"b95" => data <= x"20";
when "00" & x"b96" => data <= x"f4";
when "00" & x"b97" => data <= x"ff";
when "00" & x"b98" => data <= x"8a";
when "00" & x"b99" => data <= x"f0";
when "00" & x"b9a" => data <= x"d9";
when "00" & x"b9b" => data <= x"a9";
when "00" & x"b9c" => data <= x"ff";
when "00" & x"b9d" => data <= x"20";
when "00" & x"b9e" => data <= x"06";
when "00" & x"b9f" => data <= x"04";
when "00" & x"ba0" => data <= x"90";
when "00" & x"ba1" => data <= x"f9";
when "00" & x"ba2" => data <= x"20";
when "00" & x"ba3" => data <= x"d2";
when "00" & x"ba4" => data <= x"04";
when "00" & x"ba5" => data <= x"a9";
when "00" & x"ba6" => data <= x"07";
when "00" & x"ba7" => data <= x"20";
when "00" & x"ba8" => data <= x"cb";
when "00" & x"ba9" => data <= x"04";
when "00" & x"baa" => data <= x"a0";
when "00" & x"bab" => data <= x"00";
when "00" & x"bac" => data <= x"84";
when "00" & x"bad" => data <= x"00";
when "00" & x"bae" => data <= x"b1";
when "00" & x"baf" => data <= x"00";
when "00" & x"bb0" => data <= x"8d";
when "00" & x"bb1" => data <= x"e5";
when "00" & x"bb2" => data <= x"fe";
when "00" & x"bb3" => data <= x"ea";
when "00" & x"bb4" => data <= x"ea";
when "00" & x"bb5" => data <= x"ea";
when "00" & x"bb6" => data <= x"c8";
when "00" & x"bb7" => data <= x"d0";
when "00" & x"bb8" => data <= x"f5";
when "00" & x"bb9" => data <= x"e6";
when "00" & x"bba" => data <= x"54";
when "00" & x"bbb" => data <= x"d0";
when "00" & x"bbc" => data <= x"06";
when "00" & x"bbd" => data <= x"e6";
when "00" & x"bbe" => data <= x"55";
when "00" & x"bbf" => data <= x"d0";
when "00" & x"bc0" => data <= x"02";
when "00" & x"bc1" => data <= x"e6";
when "00" & x"bc2" => data <= x"56";
when "00" & x"bc3" => data <= x"e6";
when "00" & x"bc4" => data <= x"01";
when "00" & x"bc5" => data <= x"24";
when "00" & x"bc6" => data <= x"01";
when "00" & x"bc7" => data <= x"50";
when "00" & x"bc8" => data <= x"dc";
when "00" & x"bc9" => data <= x"20";
when "00" & x"bca" => data <= x"d2";
when "00" & x"bcb" => data <= x"04";
when "00" & x"bcc" => data <= x"a9";
when "00" & x"bcd" => data <= x"04";
when "00" & x"bce" => data <= x"a0";
when "00" & x"bcf" => data <= x"00";
when "00" & x"bd0" => data <= x"a2";
when "00" & x"bd1" => data <= x"53";
when "00" & x"bd2" => data <= x"4c";
when "00" & x"bd3" => data <= x"06";
when "00" & x"bd4" => data <= x"04";
when "00" & x"bd5" => data <= x"a9";
when "00" & x"bd6" => data <= x"80";
when "00" & x"bd7" => data <= x"85";
when "00" & x"bd8" => data <= x"54";
when "00" & x"bd9" => data <= x"85";
when "00" & x"bda" => data <= x"01";
when "00" & x"bdb" => data <= x"a9";
when "00" & x"bdc" => data <= x"20";
when "00" & x"bdd" => data <= x"2d";
when "00" & x"bde" => data <= x"06";
when "00" & x"bdf" => data <= x"80";
when "00" & x"be0" => data <= x"a8";
when "00" & x"be1" => data <= x"84";
when "00" & x"be2" => data <= x"53";
when "00" & x"be3" => data <= x"f0";
when "00" & x"be4" => data <= x"19";
when "00" & x"be5" => data <= x"ae";
when "00" & x"be6" => data <= x"07";
when "00" & x"be7" => data <= x"80";
when "00" & x"be8" => data <= x"e8";
when "00" & x"be9" => data <= x"bd";
when "00" & x"bea" => data <= x"00";
when "00" & x"beb" => data <= x"80";
when "00" & x"bec" => data <= x"d0";
when "00" & x"bed" => data <= x"fa";
when "00" & x"bee" => data <= x"bd";
when "00" & x"bef" => data <= x"01";
when "00" & x"bf0" => data <= x"80";
when "00" & x"bf1" => data <= x"85";
when "00" & x"bf2" => data <= x"53";
when "00" & x"bf3" => data <= x"bd";
when "00" & x"bf4" => data <= x"02";
when "00" & x"bf5" => data <= x"80";
when "00" & x"bf6" => data <= x"85";
when "00" & x"bf7" => data <= x"54";
when "00" & x"bf8" => data <= x"bc";
when "00" & x"bf9" => data <= x"03";
when "00" & x"bfa" => data <= x"80";
when "00" & x"bfb" => data <= x"bd";
when "00" & x"bfc" => data <= x"04";
when "00" & x"bfd" => data <= x"80";
when "00" & x"bfe" => data <= x"85";
when "00" & x"bff" => data <= x"56";
when "00" & x"c00" => data <= x"84";
when "00" & x"c01" => data <= x"55";
when "00" & x"c02" => data <= x"60";
when "00" & x"c03" => data <= x"37";
when "00" & x"c04" => data <= x"05";
when "00" & x"c05" => data <= x"96";
when "00" & x"c06" => data <= x"05";
when "00" & x"c07" => data <= x"f2";
when "00" & x"c08" => data <= x"05";
when "00" & x"c09" => data <= x"07";
when "00" & x"c0a" => data <= x"06";
when "00" & x"c0b" => data <= x"27";
when "00" & x"c0c" => data <= x"06";
when "00" & x"c0d" => data <= x"68";
when "00" & x"c0e" => data <= x"06";
when "00" & x"c0f" => data <= x"5e";
when "00" & x"c10" => data <= x"05";
when "00" & x"c11" => data <= x"2d";
when "00" & x"c12" => data <= x"05";
when "00" & x"c13" => data <= x"20";
when "00" & x"c14" => data <= x"05";
when "00" & x"c15" => data <= x"42";
when "00" & x"c16" => data <= x"05";
when "00" & x"c17" => data <= x"a9";
when "00" & x"c18" => data <= x"05";
when "00" & x"c19" => data <= x"d1";
when "00" & x"c1a" => data <= x"05";
when "00" & x"c1b" => data <= x"86";
when "00" & x"c1c" => data <= x"88";
when "00" & x"c1d" => data <= x"96";
when "00" & x"c1e" => data <= x"98";
when "00" & x"c1f" => data <= x"18";
when "00" & x"c20" => data <= x"18";
when "00" & x"c21" => data <= x"82";
when "00" & x"c22" => data <= x"18";
when "00" & x"c23" => data <= x"20";
when "00" & x"c24" => data <= x"c5";
when "00" & x"c25" => data <= x"06";
when "00" & x"c26" => data <= x"a8";
when "00" & x"c27" => data <= x"20";
when "00" & x"c28" => data <= x"c5";
when "00" & x"c29" => data <= x"06";
when "00" & x"c2a" => data <= x"20";
when "00" & x"c2b" => data <= x"d4";
when "00" & x"c2c" => data <= x"ff";
when "00" & x"c2d" => data <= x"4c";
when "00" & x"c2e" => data <= x"9c";
when "00" & x"c2f" => data <= x"05";
when "00" & x"c30" => data <= x"20";
when "00" & x"c31" => data <= x"c5";
when "00" & x"c32" => data <= x"06";
when "00" & x"c33" => data <= x"a8";
when "00" & x"c34" => data <= x"20";
when "00" & x"c35" => data <= x"d7";
when "00" & x"c36" => data <= x"ff";
when "00" & x"c37" => data <= x"4c";
when "00" & x"c38" => data <= x"3a";
when "00" & x"c39" => data <= x"05";
when "00" & x"c3a" => data <= x"20";
when "00" & x"c3b" => data <= x"e0";
when "00" & x"c3c" => data <= x"ff";
when "00" & x"c3d" => data <= x"6a";
when "00" & x"c3e" => data <= x"20";
when "00" & x"c3f" => data <= x"95";
when "00" & x"c40" => data <= x"06";
when "00" & x"c41" => data <= x"2a";
when "00" & x"c42" => data <= x"4c";
when "00" & x"c43" => data <= x"9e";
when "00" & x"c44" => data <= x"05";
when "00" & x"c45" => data <= x"20";
when "00" & x"c46" => data <= x"c5";
when "00" & x"c47" => data <= x"06";
when "00" & x"c48" => data <= x"f0";
when "00" & x"c49" => data <= x"0b";
when "00" & x"c4a" => data <= x"48";
when "00" & x"c4b" => data <= x"20";
when "00" & x"c4c" => data <= x"82";
when "00" & x"c4d" => data <= x"05";
when "00" & x"c4e" => data <= x"68";
when "00" & x"c4f" => data <= x"20";
when "00" & x"c50" => data <= x"ce";
when "00" & x"c51" => data <= x"ff";
when "00" & x"c52" => data <= x"4c";
when "00" & x"c53" => data <= x"9e";
when "00" & x"c54" => data <= x"05";
when "00" & x"c55" => data <= x"20";
when "00" & x"c56" => data <= x"c5";
when "00" & x"c57" => data <= x"06";
when "00" & x"c58" => data <= x"a8";
when "00" & x"c59" => data <= x"a9";
when "00" & x"c5a" => data <= x"00";
when "00" & x"c5b" => data <= x"20";
when "00" & x"c5c" => data <= x"ce";
when "00" & x"c5d" => data <= x"ff";
when "00" & x"c5e" => data <= x"4c";
when "00" & x"c5f" => data <= x"9c";
when "00" & x"c60" => data <= x"05";
when "00" & x"c61" => data <= x"20";
when "00" & x"c62" => data <= x"c5";
when "00" & x"c63" => data <= x"06";
when "00" & x"c64" => data <= x"a8";
when "00" & x"c65" => data <= x"a2";
when "00" & x"c66" => data <= x"04";
when "00" & x"c67" => data <= x"20";
when "00" & x"c68" => data <= x"c5";
when "00" & x"c69" => data <= x"06";
when "00" & x"c6a" => data <= x"95";
when "00" & x"c6b" => data <= x"ff";
when "00" & x"c6c" => data <= x"ca";
when "00" & x"c6d" => data <= x"d0";
when "00" & x"c6e" => data <= x"f8";
when "00" & x"c6f" => data <= x"20";
when "00" & x"c70" => data <= x"c5";
when "00" & x"c71" => data <= x"06";
when "00" & x"c72" => data <= x"20";
when "00" & x"c73" => data <= x"da";
when "00" & x"c74" => data <= x"ff";
when "00" & x"c75" => data <= x"20";
when "00" & x"c76" => data <= x"95";
when "00" & x"c77" => data <= x"06";
when "00" & x"c78" => data <= x"a2";
when "00" & x"c79" => data <= x"03";
when "00" & x"c7a" => data <= x"b5";
when "00" & x"c7b" => data <= x"00";
when "00" & x"c7c" => data <= x"20";
when "00" & x"c7d" => data <= x"95";
when "00" & x"c7e" => data <= x"06";
when "00" & x"c7f" => data <= x"ca";
when "00" & x"c80" => data <= x"10";
when "00" & x"c81" => data <= x"f8";
when "00" & x"c82" => data <= x"4c";
when "00" & x"c83" => data <= x"36";
when "00" & x"c84" => data <= x"00";
when "00" & x"c85" => data <= x"a2";
when "00" & x"c86" => data <= x"00";
when "00" & x"c87" => data <= x"a0";
when "00" & x"c88" => data <= x"00";
when "00" & x"c89" => data <= x"20";
when "00" & x"c8a" => data <= x"c5";
when "00" & x"c8b" => data <= x"06";
when "00" & x"c8c" => data <= x"99";
when "00" & x"c8d" => data <= x"00";
when "00" & x"c8e" => data <= x"07";
when "00" & x"c8f" => data <= x"c8";
when "00" & x"c90" => data <= x"f0";
when "00" & x"c91" => data <= x"04";
when "00" & x"c92" => data <= x"c9";
when "00" & x"c93" => data <= x"0d";
when "00" & x"c94" => data <= x"d0";
when "00" & x"c95" => data <= x"f3";
when "00" & x"c96" => data <= x"a0";
when "00" & x"c97" => data <= x"07";
when "00" & x"c98" => data <= x"60";
when "00" & x"c99" => data <= x"20";
when "00" & x"c9a" => data <= x"82";
when "00" & x"c9b" => data <= x"05";
when "00" & x"c9c" => data <= x"20";
when "00" & x"c9d" => data <= x"f7";
when "00" & x"c9e" => data <= x"ff";
when "00" & x"c9f" => data <= x"a9";
when "00" & x"ca0" => data <= x"7f";
when "00" & x"ca1" => data <= x"2c";
when "00" & x"ca2" => data <= x"e2";
when "00" & x"ca3" => data <= x"fe";
when "00" & x"ca4" => data <= x"50";
when "00" & x"ca5" => data <= x"fb";
when "00" & x"ca6" => data <= x"8d";
when "00" & x"ca7" => data <= x"e3";
when "00" & x"ca8" => data <= x"fe";
when "00" & x"ca9" => data <= x"4c";
when "00" & x"caa" => data <= x"36";
when "00" & x"cab" => data <= x"00";
when "00" & x"cac" => data <= x"a2";
when "00" & x"cad" => data <= x"10";
when "00" & x"cae" => data <= x"20";
when "00" & x"caf" => data <= x"c5";
when "00" & x"cb0" => data <= x"06";
when "00" & x"cb1" => data <= x"95";
when "00" & x"cb2" => data <= x"01";
when "00" & x"cb3" => data <= x"ca";
when "00" & x"cb4" => data <= x"d0";
when "00" & x"cb5" => data <= x"f8";
when "00" & x"cb6" => data <= x"20";
when "00" & x"cb7" => data <= x"82";
when "00" & x"cb8" => data <= x"05";
when "00" & x"cb9" => data <= x"86";
when "00" & x"cba" => data <= x"00";
when "00" & x"cbb" => data <= x"84";
when "00" & x"cbc" => data <= x"01";
when "00" & x"cbd" => data <= x"a0";
when "00" & x"cbe" => data <= x"00";
when "00" & x"cbf" => data <= x"20";
when "00" & x"cc0" => data <= x"c5";
when "00" & x"cc1" => data <= x"06";
when "00" & x"cc2" => data <= x"20";
when "00" & x"cc3" => data <= x"dd";
when "00" & x"cc4" => data <= x"ff";
when "00" & x"cc5" => data <= x"20";
when "00" & x"cc6" => data <= x"95";
when "00" & x"cc7" => data <= x"06";
when "00" & x"cc8" => data <= x"a2";
when "00" & x"cc9" => data <= x"10";
when "00" & x"cca" => data <= x"b5";
when "00" & x"ccb" => data <= x"01";
when "00" & x"ccc" => data <= x"20";
when "00" & x"ccd" => data <= x"95";
when "00" & x"cce" => data <= x"06";
when "00" & x"ccf" => data <= x"ca";
when "00" & x"cd0" => data <= x"d0";
when "00" & x"cd1" => data <= x"f8";
when "00" & x"cd2" => data <= x"f0";
when "00" & x"cd3" => data <= x"d5";
when "00" & x"cd4" => data <= x"a2";
when "00" & x"cd5" => data <= x"0d";
when "00" & x"cd6" => data <= x"20";
when "00" & x"cd7" => data <= x"c5";
when "00" & x"cd8" => data <= x"06";
when "00" & x"cd9" => data <= x"95";
when "00" & x"cda" => data <= x"ff";
when "00" & x"cdb" => data <= x"ca";
when "00" & x"cdc" => data <= x"d0";
when "00" & x"cdd" => data <= x"f8";
when "00" & x"cde" => data <= x"20";
when "00" & x"cdf" => data <= x"c5";
when "00" & x"ce0" => data <= x"06";
when "00" & x"ce1" => data <= x"a0";
when "00" & x"ce2" => data <= x"00";
when "00" & x"ce3" => data <= x"20";
when "00" & x"ce4" => data <= x"d1";
when "00" & x"ce5" => data <= x"ff";
when "00" & x"ce6" => data <= x"48";
when "00" & x"ce7" => data <= x"a2";
when "00" & x"ce8" => data <= x"0c";
when "00" & x"ce9" => data <= x"b5";
when "00" & x"cea" => data <= x"00";
when "00" & x"ceb" => data <= x"20";
when "00" & x"cec" => data <= x"95";
when "00" & x"ced" => data <= x"06";
when "00" & x"cee" => data <= x"ca";
when "00" & x"cef" => data <= x"10";
when "00" & x"cf0" => data <= x"f8";
when "00" & x"cf1" => data <= x"68";
when "00" & x"cf2" => data <= x"4c";
when "00" & x"cf3" => data <= x"3a";
when "00" & x"cf4" => data <= x"05";
when "00" & x"cf5" => data <= x"20";
when "00" & x"cf6" => data <= x"c5";
when "00" & x"cf7" => data <= x"06";
when "00" & x"cf8" => data <= x"aa";
when "00" & x"cf9" => data <= x"20";
when "00" & x"cfa" => data <= x"c5";
when "00" & x"cfb" => data <= x"06";
when "00" & x"cfc" => data <= x"20";
when "00" & x"cfd" => data <= x"f4";
when "00" & x"cfe" => data <= x"ff";
when "00" & x"cff" => data <= x"2c";
when "00" & x"d00" => data <= x"e2";
when "00" & x"d01" => data <= x"fe";
when "00" & x"d02" => data <= x"50";
when "00" & x"d03" => data <= x"fb";
when "00" & x"d04" => data <= x"8e";
when "00" & x"d05" => data <= x"e3";
when "00" & x"d06" => data <= x"fe";
when "00" & x"d07" => data <= x"4c";
when "00" & x"d08" => data <= x"36";
when "00" & x"d09" => data <= x"00";
when "00" & x"d0a" => data <= x"20";
when "00" & x"d0b" => data <= x"c5";
when "00" & x"d0c" => data <= x"06";
when "00" & x"d0d" => data <= x"aa";
when "00" & x"d0e" => data <= x"20";
when "00" & x"d0f" => data <= x"c5";
when "00" & x"d10" => data <= x"06";
when "00" & x"d11" => data <= x"a8";
when "00" & x"d12" => data <= x"20";
when "00" & x"d13" => data <= x"c5";
when "00" & x"d14" => data <= x"06";
when "00" & x"d15" => data <= x"20";
when "00" & x"d16" => data <= x"f4";
when "00" & x"d17" => data <= x"ff";
when "00" & x"d18" => data <= x"49";
when "00" & x"d19" => data <= x"9d";
when "00" & x"d1a" => data <= x"f0";
when "00" & x"d1b" => data <= x"eb";
when "00" & x"d1c" => data <= x"6a";
when "00" & x"d1d" => data <= x"20";
when "00" & x"d1e" => data <= x"95";
when "00" & x"d1f" => data <= x"06";
when "00" & x"d20" => data <= x"2c";
when "00" & x"d21" => data <= x"e2";
when "00" & x"d22" => data <= x"fe";
when "00" & x"d23" => data <= x"50";
when "00" & x"d24" => data <= x"fb";
when "00" & x"d25" => data <= x"8c";
when "00" & x"d26" => data <= x"e3";
when "00" & x"d27" => data <= x"fe";
when "00" & x"d28" => data <= x"70";
when "00" & x"d29" => data <= x"d5";
when "00" & x"d2a" => data <= x"20";
when "00" & x"d2b" => data <= x"c5";
when "00" & x"d2c" => data <= x"06";
when "00" & x"d2d" => data <= x"a8";
when "00" & x"d2e" => data <= x"2c";
when "00" & x"d2f" => data <= x"e2";
when "00" & x"d30" => data <= x"fe";
when "00" & x"d31" => data <= x"10";
when "00" & x"d32" => data <= x"fb";
when "00" & x"d33" => data <= x"ae";
when "00" & x"d34" => data <= x"e3";
when "00" & x"d35" => data <= x"fe";
when "00" & x"d36" => data <= x"ca";
when "00" & x"d37" => data <= x"30";
when "00" & x"d38" => data <= x"0f";
when "00" & x"d39" => data <= x"2c";
when "00" & x"d3a" => data <= x"e2";
when "00" & x"d3b" => data <= x"fe";
when "00" & x"d3c" => data <= x"10";
when "00" & x"d3d" => data <= x"fb";
when "00" & x"d3e" => data <= x"ad";
when "00" & x"d3f" => data <= x"e3";
when "00" & x"d40" => data <= x"fe";
when "00" & x"d41" => data <= x"9d";
when "00" & x"d42" => data <= x"28";
when "00" & x"d43" => data <= x"01";
when "00" & x"d44" => data <= x"ca";
when "00" & x"d45" => data <= x"10";
when "00" & x"d46" => data <= x"f2";
when "00" & x"d47" => data <= x"98";
when "00" & x"d48" => data <= x"a2";
when "00" & x"d49" => data <= x"28";
when "00" & x"d4a" => data <= x"a0";
when "00" & x"d4b" => data <= x"01";
when "00" & x"d4c" => data <= x"20";
when "00" & x"d4d" => data <= x"f1";
when "00" & x"d4e" => data <= x"ff";
when "00" & x"d4f" => data <= x"2c";
when "00" & x"d50" => data <= x"e2";
when "00" & x"d51" => data <= x"fe";
when "00" & x"d52" => data <= x"10";
when "00" & x"d53" => data <= x"fb";
when "00" & x"d54" => data <= x"ae";
when "00" & x"d55" => data <= x"e3";
when "00" & x"d56" => data <= x"fe";
when "00" & x"d57" => data <= x"ca";
when "00" & x"d58" => data <= x"30";
when "00" & x"d59" => data <= x"0e";
when "00" & x"d5a" => data <= x"bc";
when "00" & x"d5b" => data <= x"28";
when "00" & x"d5c" => data <= x"01";
when "00" & x"d5d" => data <= x"2c";
when "00" & x"d5e" => data <= x"e2";
when "00" & x"d5f" => data <= x"fe";
when "00" & x"d60" => data <= x"50";
when "00" & x"d61" => data <= x"fb";
when "00" & x"d62" => data <= x"8c";
when "00" & x"d63" => data <= x"e3";
when "00" & x"d64" => data <= x"fe";
when "00" & x"d65" => data <= x"ca";
when "00" & x"d66" => data <= x"10";
when "00" & x"d67" => data <= x"f2";
when "00" & x"d68" => data <= x"4c";
when "00" & x"d69" => data <= x"36";
when "00" & x"d6a" => data <= x"00";
when "00" & x"d6b" => data <= x"a2";
when "00" & x"d6c" => data <= x"04";
when "00" & x"d6d" => data <= x"20";
when "00" & x"d6e" => data <= x"c5";
when "00" & x"d6f" => data <= x"06";
when "00" & x"d70" => data <= x"95";
when "00" & x"d71" => data <= x"00";
when "00" & x"d72" => data <= x"ca";
when "00" & x"d73" => data <= x"10";
when "00" & x"d74" => data <= x"f8";
when "00" & x"d75" => data <= x"e8";
when "00" & x"d76" => data <= x"a0";
when "00" & x"d77" => data <= x"00";
when "00" & x"d78" => data <= x"8a";
when "00" & x"d79" => data <= x"20";
when "00" & x"d7a" => data <= x"f1";
when "00" & x"d7b" => data <= x"ff";
when "00" & x"d7c" => data <= x"90";
when "00" & x"d7d" => data <= x"05";
when "00" & x"d7e" => data <= x"a9";
when "00" & x"d7f" => data <= x"ff";
when "00" & x"d80" => data <= x"4c";
when "00" & x"d81" => data <= x"9e";
when "00" & x"d82" => data <= x"05";
when "00" & x"d83" => data <= x"a2";
when "00" & x"d84" => data <= x"00";
when "00" & x"d85" => data <= x"a9";
when "00" & x"d86" => data <= x"7f";
when "00" & x"d87" => data <= x"20";
when "00" & x"d88" => data <= x"95";
when "00" & x"d89" => data <= x"06";
when "00" & x"d8a" => data <= x"bd";
when "00" & x"d8b" => data <= x"00";
when "00" & x"d8c" => data <= x"07";
when "00" & x"d8d" => data <= x"20";
when "00" & x"d8e" => data <= x"95";
when "00" & x"d8f" => data <= x"06";
when "00" & x"d90" => data <= x"e8";
when "00" & x"d91" => data <= x"c9";
when "00" & x"d92" => data <= x"0d";
when "00" & x"d93" => data <= x"d0";
when "00" & x"d94" => data <= x"f5";
when "00" & x"d95" => data <= x"4c";
when "00" & x"d96" => data <= x"36";
when "00" & x"d97" => data <= x"00";
when "00" & x"d98" => data <= x"2c";
when "00" & x"d99" => data <= x"e2";
when "00" & x"d9a" => data <= x"fe";
when "00" & x"d9b" => data <= x"50";
when "00" & x"d9c" => data <= x"fb";
when "00" & x"d9d" => data <= x"8d";
when "00" & x"d9e" => data <= x"e3";
when "00" & x"d9f" => data <= x"fe";
when "00" & x"da0" => data <= x"60";
when "00" & x"da1" => data <= x"2c";
when "00" & x"da2" => data <= x"e6";
when "00" & x"da3" => data <= x"fe";
when "00" & x"da4" => data <= x"50";
when "00" & x"da5" => data <= x"fb";
when "00" & x"da6" => data <= x"8d";
when "00" & x"da7" => data <= x"e7";
when "00" & x"da8" => data <= x"fe";
when "00" & x"da9" => data <= x"60";
when "00" & x"daa" => data <= x"a5";
when "00" & x"dab" => data <= x"ff";
when "00" & x"dac" => data <= x"38";
when "00" & x"dad" => data <= x"6a";
when "00" & x"dae" => data <= x"30";
when "00" & x"daf" => data <= x"0f";
when "00" & x"db0" => data <= x"48";
when "00" & x"db1" => data <= x"a9";
when "00" & x"db2" => data <= x"00";
when "00" & x"db3" => data <= x"20";
when "00" & x"db4" => data <= x"bc";
when "00" & x"db5" => data <= x"06";
when "00" & x"db6" => data <= x"98";
when "00" & x"db7" => data <= x"20";
when "00" & x"db8" => data <= x"bc";
when "00" & x"db9" => data <= x"06";
when "00" & x"dba" => data <= x"8a";
when "00" & x"dbb" => data <= x"20";
when "00" & x"dbc" => data <= x"bc";
when "00" & x"dbd" => data <= x"06";
when "00" & x"dbe" => data <= x"68";
when "00" & x"dbf" => data <= x"2c";
when "00" & x"dc0" => data <= x"e0";
when "00" & x"dc1" => data <= x"fe";
when "00" & x"dc2" => data <= x"50";
when "00" & x"dc3" => data <= x"fb";
when "00" & x"dc4" => data <= x"8d";
when "00" & x"dc5" => data <= x"e1";
when "00" & x"dc6" => data <= x"fe";
when "00" & x"dc7" => data <= x"60";
when "00" & x"dc8" => data <= x"2c";
when "00" & x"dc9" => data <= x"e2";
when "00" & x"dca" => data <= x"fe";
when "00" & x"dcb" => data <= x"10";
when "00" & x"dcc" => data <= x"fb";
when "00" & x"dcd" => data <= x"ad";
when "00" & x"dce" => data <= x"e3";
when "00" & x"dcf" => data <= x"fe";
when "00" & x"dd0" => data <= x"60";
when "00" & x"dd1" => data <= x"00";
when "00" & x"dd2" => data <= x"00";
when "00" & x"dd3" => data <= x"00";
when "00" & x"dd4" => data <= x"00";
when "00" & x"dd5" => data <= x"00";
when "00" & x"dd6" => data <= x"00";
when "00" & x"dd7" => data <= x"00";
when "00" & x"dd8" => data <= x"00";
when "00" & x"dd9" => data <= x"00";
when "00" & x"dda" => data <= x"00";
when "00" & x"ddb" => data <= x"00";
when "00" & x"ddc" => data <= x"00";
when "00" & x"ddd" => data <= x"a2";
when "00" & x"dde" => data <= x"11";
when "00" & x"ddf" => data <= x"a0";
when "00" & x"de0" => data <= x"13";
when "00" & x"de1" => data <= x"60";
when "00" & x"de2" => data <= x"20";
when "00" & x"de3" => data <= x"e1";
when "00" & x"de4" => data <= x"83";
when "00" & x"de5" => data <= x"a9";
when "00" & x"de6" => data <= x"77";
when "00" & x"de7" => data <= x"4c";
when "00" & x"de8" => data <= x"f4";
when "00" & x"de9" => data <= x"ff";
when "00" & x"dea" => data <= x"20";
when "00" & x"deb" => data <= x"e2";
when "00" & x"dec" => data <= x"8d";
when "00" & x"ded" => data <= x"a9";
when "00" & x"dee" => data <= x"00";
when "00" & x"def" => data <= x"18";
when "00" & x"df0" => data <= x"69";
when "00" & x"df1" => data <= x"20";
when "00" & x"df2" => data <= x"f0";
when "00" & x"df3" => data <= x"ed";
when "00" & x"df4" => data <= x"a8";
when "00" & x"df5" => data <= x"20";
when "00" & x"df6" => data <= x"05";
when "00" & x"df7" => data <= x"8e";
when "00" & x"df8" => data <= x"d0";
when "00" & x"df9" => data <= x"f5";
when "00" & x"dfa" => data <= x"98";
when "00" & x"dfb" => data <= x"f0";
when "00" & x"dfc" => data <= x"ed";
when "00" & x"dfd" => data <= x"20";
when "00" & x"dfe" => data <= x"7b";
when "00" & x"dff" => data <= x"90";
when "00" & x"e00" => data <= x"90";
when "00" & x"e01" => data <= x"03";
when "00" & x"e02" => data <= x"4c";
when "00" & x"e03" => data <= x"ad";
when "00" & x"e04" => data <= x"90";
when "00" & x"e05" => data <= x"48";
when "00" & x"e06" => data <= x"20";
when "00" & x"e07" => data <= x"51";
when "00" & x"e08" => data <= x"90";
when "00" & x"e09" => data <= x"b0";
when "00" & x"e0a" => data <= x"45";
when "00" & x"e0b" => data <= x"b9";
when "00" & x"e0c" => data <= x"1b";
when "00" & x"e0d" => data <= x"11";
when "00" & x"e0e" => data <= x"49";
when "00" & x"e0f" => data <= x"ff";
when "00" & x"e10" => data <= x"2d";
when "00" & x"e11" => data <= x"c0";
when "00" & x"e12" => data <= x"10";
when "00" & x"e13" => data <= x"8d";
when "00" & x"e14" => data <= x"c0";
when "00" & x"e15" => data <= x"10";
when "00" & x"e16" => data <= x"b9";
when "00" & x"e17" => data <= x"17";
when "00" & x"e18" => data <= x"11";
when "00" & x"e19" => data <= x"29";
when "00" & x"e1a" => data <= x"60";
when "00" & x"e1b" => data <= x"f0";
when "00" & x"e1c" => data <= x"33";
when "00" & x"e1d" => data <= x"20";
when "00" & x"e1e" => data <= x"55";
when "00" & x"e1f" => data <= x"8e";
when "00" & x"e20" => data <= x"b9";
when "00" & x"e21" => data <= x"17";
when "00" & x"e22" => data <= x"11";
when "00" & x"e23" => data <= x"29";
when "00" & x"e24" => data <= x"20";
when "00" & x"e25" => data <= x"f0";
when "00" & x"e26" => data <= x"26";
when "00" & x"e27" => data <= x"ae";
when "00" & x"e28" => data <= x"c4";
when "00" & x"e29" => data <= x"10";
when "00" & x"e2a" => data <= x"b9";
when "00" & x"e2b" => data <= x"14";
when "00" & x"e2c" => data <= x"11";
when "00" & x"e2d" => data <= x"9d";
when "00" & x"e2e" => data <= x"0c";
when "00" & x"e2f" => data <= x"0f";
when "00" & x"e30" => data <= x"b9";
when "00" & x"e31" => data <= x"15";
when "00" & x"e32" => data <= x"11";
when "00" & x"e33" => data <= x"9d";
when "00" & x"e34" => data <= x"0d";
when "00" & x"e35" => data <= x"0f";
when "00" & x"e36" => data <= x"b9";
when "00" & x"e37" => data <= x"16";
when "00" & x"e38" => data <= x"11";
when "00" & x"e39" => data <= x"20";
when "00" & x"e3a" => data <= x"0b";
when "00" & x"e3b" => data <= x"82";
when "00" & x"e3c" => data <= x"5d";
when "00" & x"e3d" => data <= x"0e";
when "00" & x"e3e" => data <= x"0f";
when "00" & x"e3f" => data <= x"29";
when "00" & x"e40" => data <= x"30";
when "00" & x"e41" => data <= x"5d";
when "00" & x"e42" => data <= x"0e";
when "00" & x"e43" => data <= x"0f";
when "00" & x"e44" => data <= x"9d";
when "00" & x"e45" => data <= x"0e";
when "00" & x"e46" => data <= x"0f";
when "00" & x"e47" => data <= x"20";
when "00" & x"e48" => data <= x"b4";
when "00" & x"e49" => data <= x"8a";
when "00" & x"e4a" => data <= x"ac";
when "00" & x"e4b" => data <= x"c2";
when "00" & x"e4c" => data <= x"10";
when "00" & x"e4d" => data <= x"20";
when "00" & x"e4e" => data <= x"4b";
when "00" & x"e4f" => data <= x"91";
when "00" & x"e50" => data <= x"ae";
when "00" & x"e51" => data <= x"c6";
when "00" & x"e52" => data <= x"10";
when "00" & x"e53" => data <= x"68";
when "00" & x"e54" => data <= x"60";
when "00" & x"e55" => data <= x"20";
when "00" & x"e56" => data <= x"83";
when "00" & x"e57" => data <= x"8e";
when "00" & x"e58" => data <= x"a2";
when "00" & x"e59" => data <= x"07";
when "00" & x"e5a" => data <= x"b9";
when "00" & x"e5b" => data <= x"0c";
when "00" & x"e5c" => data <= x"11";
when "00" & x"e5d" => data <= x"95";
when "00" & x"e5e" => data <= x"c6";
when "00" & x"e5f" => data <= x"88";
when "00" & x"e60" => data <= x"88";
when "00" & x"e61" => data <= x"ca";
when "00" & x"e62" => data <= x"d0";
when "00" & x"e63" => data <= x"f6";
when "00" & x"e64" => data <= x"20";
when "00" & x"e65" => data <= x"96";
when "00" & x"e66" => data <= x"82";
when "00" & x"e67" => data <= x"90";
when "00" & x"e68" => data <= x"27";
when "00" & x"e69" => data <= x"8c";
when "00" & x"e6a" => data <= x"c4";
when "00" & x"e6b" => data <= x"10";
when "00" & x"e6c" => data <= x"b9";
when "00" & x"e6d" => data <= x"0e";
when "00" & x"e6e" => data <= x"0f";
when "00" & x"e6f" => data <= x"be";
when "00" & x"e70" => data <= x"0f";
when "00" & x"e71" => data <= x"0f";
when "00" & x"e72" => data <= x"ac";
when "00" & x"e73" => data <= x"c2";
when "00" & x"e74" => data <= x"10";
when "00" & x"e75" => data <= x"59";
when "00" & x"e76" => data <= x"0d";
when "00" & x"e77" => data <= x"11";
when "00" & x"e78" => data <= x"29";
when "00" & x"e79" => data <= x"03";
when "00" & x"e7a" => data <= x"d0";
when "00" & x"e7b" => data <= x"14";
when "00" & x"e7c" => data <= x"8a";
when "00" & x"e7d" => data <= x"d9";
when "00" & x"e7e" => data <= x"0f";
when "00" & x"e7f" => data <= x"11";
when "00" & x"e80" => data <= x"d0";
when "00" & x"e81" => data <= x"0e";
when "00" & x"e82" => data <= x"60";
when "00" & x"e83" => data <= x"b9";
when "00" & x"e84" => data <= x"0e";
when "00" & x"e85" => data <= x"11";
when "00" & x"e86" => data <= x"29";
when "00" & x"e87" => data <= x"7f";
when "00" & x"e88" => data <= x"85";
when "00" & x"e89" => data <= x"ce";
when "00" & x"e8a" => data <= x"b9";
when "00" & x"e8b" => data <= x"17";
when "00" & x"e8c" => data <= x"11";
when "00" & x"e8d" => data <= x"4c";
when "00" & x"e8e" => data <= x"7e";
when "00" & x"e8f" => data <= x"87";
when "00" & x"e90" => data <= x"4c";
when "00" & x"e91" => data <= x"af";
when "00" & x"e92" => data <= x"81";
when "00" & x"e93" => data <= x"c9";
when "00" & x"e94" => data <= x"00";
when "00" & x"e95" => data <= x"d0";
when "00" & x"e96" => data <= x"06";
when "00" & x"e97" => data <= x"20";
when "00" & x"e98" => data <= x"e1";
when "00" & x"e99" => data <= x"83";
when "00" & x"e9a" => data <= x"4c";
when "00" & x"e9b" => data <= x"fa";
when "00" & x"e9c" => data <= x"8d";
when "00" & x"e9d" => data <= x"20";
when "00" & x"e9e" => data <= x"11";
when "00" & x"e9f" => data <= x"84";
when "00" & x"ea0" => data <= x"86";
when "00" & x"ea1" => data <= x"bc";
when "00" & x"ea2" => data <= x"84";
when "00" & x"ea3" => data <= x"bd";
when "00" & x"ea4" => data <= x"85";
when "00" & x"ea5" => data <= x"b4";
when "00" & x"ea6" => data <= x"24";
when "00" & x"ea7" => data <= x"b4";
when "00" & x"ea8" => data <= x"08";
when "00" & x"ea9" => data <= x"20";
when "00" & x"eaa" => data <= x"06";
when "00" & x"eab" => data <= x"81";
when "00" & x"eac" => data <= x"20";
when "00" & x"ead" => data <= x"96";
when "00" & x"eae" => data <= x"82";
when "00" & x"eaf" => data <= x"b0";
when "00" & x"eb0" => data <= x"1a";
when "00" & x"eb1" => data <= x"28";
when "00" & x"eb2" => data <= x"50";
when "00" & x"eb3" => data <= x"03";
when "00" & x"eb4" => data <= x"a9";
when "00" & x"eb5" => data <= x"00";
when "00" & x"eb6" => data <= x"60";
when "00" & x"eb7" => data <= x"08";
when "00" & x"eb8" => data <= x"a9";
when "00" & x"eb9" => data <= x"00";
when "00" & x"eba" => data <= x"a2";
when "00" & x"ebb" => data <= x"07";
when "00" & x"ebc" => data <= x"95";
when "00" & x"ebd" => data <= x"be";
when "00" & x"ebe" => data <= x"9d";
when "00" & x"ebf" => data <= x"74";
when "00" & x"ec0" => data <= x"10";
when "00" & x"ec1" => data <= x"ca";
when "00" & x"ec2" => data <= x"10";
when "00" & x"ec3" => data <= x"f8";
when "00" & x"ec4" => data <= x"a9";
when "00" & x"ec5" => data <= x"40";
when "00" & x"ec6" => data <= x"85";
when "00" & x"ec7" => data <= x"c5";
when "00" & x"ec8" => data <= x"20";
when "00" & x"ec9" => data <= x"61";
when "00" & x"eca" => data <= x"89";
when "00" & x"ecb" => data <= x"28";
when "00" & x"ecc" => data <= x"08";
when "00" & x"ecd" => data <= x"70";
when "00" & x"ece" => data <= x"03";
when "00" & x"ecf" => data <= x"20";
when "00" & x"ed0" => data <= x"3c";
when "00" & x"ed1" => data <= x"98";
when "00" & x"ed2" => data <= x"20";
when "00" & x"ed3" => data <= x"9e";
when "00" & x"ed4" => data <= x"8f";
when "00" & x"ed5" => data <= x"90";
when "00" & x"ed6" => data <= x"0e";
when "00" & x"ed7" => data <= x"b9";
when "00" & x"ed8" => data <= x"0c";
when "00" & x"ed9" => data <= x"11";
when "00" & x"eda" => data <= x"10";
when "00" & x"edb" => data <= x"26";
when "00" & x"edc" => data <= x"24";
when "00" & x"edd" => data <= x"b4";
when "00" & x"ede" => data <= x"30";
when "00" & x"edf" => data <= x"22";
when "00" & x"ee0" => data <= x"20";
when "00" & x"ee1" => data <= x"99";
when "00" & x"ee2" => data <= x"8f";
when "00" & x"ee3" => data <= x"b0";
when "00" & x"ee4" => data <= x"f2";
when "00" & x"ee5" => data <= x"ac";
when "00" & x"ee6" => data <= x"c2";
when "00" & x"ee7" => data <= x"10";
when "00" & x"ee8" => data <= x"d0";
when "00" & x"ee9" => data <= x"21";
when "00" & x"eea" => data <= x"20";
when "00" & x"eeb" => data <= x"33";
when "00" & x"eec" => data <= x"80";
when "00" & x"eed" => data <= x"c0";
when "00" & x"eee" => data <= x"54";
when "00" & x"eef" => data <= x"6f";
when "00" & x"ef0" => data <= x"6f";
when "00" & x"ef1" => data <= x"20";
when "00" & x"ef2" => data <= x"6d";
when "00" & x"ef3" => data <= x"61";
when "00" & x"ef4" => data <= x"6e";
when "00" & x"ef5" => data <= x"79";
when "00" & x"ef6" => data <= x"20";
when "00" & x"ef7" => data <= x"66";
when "00" & x"ef8" => data <= x"69";
when "00" & x"ef9" => data <= x"6c";
when "00" & x"efa" => data <= x"65";
when "00" & x"efb" => data <= x"73";
when "00" & x"efc" => data <= x"20";
when "00" & x"efd" => data <= x"6f";
when "00" & x"efe" => data <= x"70";
when "00" & x"eff" => data <= x"65";
when "00" & x"f00" => data <= x"6e";
when "00" & x"f01" => data <= x"00";
when "00" & x"f02" => data <= x"20";
when "00" & x"f03" => data <= x"2b";
when "00" & x"f04" => data <= x"80";
when "00" & x"f05" => data <= x"c2";
when "00" & x"f06" => data <= x"6f";
when "00" & x"f07" => data <= x"70";
when "00" & x"f08" => data <= x"65";
when "00" & x"f09" => data <= x"6e";
when "00" & x"f0a" => data <= x"00";
when "00" & x"f0b" => data <= x"a9";
when "00" & x"f0c" => data <= x"08";
when "00" & x"f0d" => data <= x"8d";
when "00" & x"f0e" => data <= x"c5";
when "00" & x"f0f" => data <= x"10";
when "00" & x"f10" => data <= x"bd";
when "00" & x"f11" => data <= x"08";
when "00" & x"f12" => data <= x"0e";
when "00" & x"f13" => data <= x"99";
when "00" & x"f14" => data <= x"00";
when "00" & x"f15" => data <= x"11";
when "00" & x"f16" => data <= x"c8";
when "00" & x"f17" => data <= x"bd";
when "00" & x"f18" => data <= x"08";
when "00" & x"f19" => data <= x"0f";
when "00" & x"f1a" => data <= x"99";
when "00" & x"f1b" => data <= x"00";
when "00" & x"f1c" => data <= x"11";
when "00" & x"f1d" => data <= x"c8";
when "00" & x"f1e" => data <= x"e8";
when "00" & x"f1f" => data <= x"ce";
when "00" & x"f20" => data <= x"c5";
when "00" & x"f21" => data <= x"10";
when "00" & x"f22" => data <= x"d0";
when "00" & x"f23" => data <= x"ec";
when "00" & x"f24" => data <= x"a2";
when "00" & x"f25" => data <= x"10";
when "00" & x"f26" => data <= x"a9";
when "00" & x"f27" => data <= x"00";
when "00" & x"f28" => data <= x"99";
when "00" & x"f29" => data <= x"00";
when "00" & x"f2a" => data <= x"11";
when "00" & x"f2b" => data <= x"c8";
when "00" & x"f2c" => data <= x"ca";
when "00" & x"f2d" => data <= x"d0";
when "00" & x"f2e" => data <= x"f9";
when "00" & x"f2f" => data <= x"ad";
when "00" & x"f30" => data <= x"c2";
when "00" & x"f31" => data <= x"10";
when "00" & x"f32" => data <= x"a8";
when "00" & x"f33" => data <= x"20";
when "00" & x"f34" => data <= x"04";
when "00" & x"f35" => data <= x"82";
when "00" & x"f36" => data <= x"69";
when "00" & x"f37" => data <= x"11";
when "00" & x"f38" => data <= x"99";
when "00" & x"f39" => data <= x"13";
when "00" & x"f3a" => data <= x"11";
when "00" & x"f3b" => data <= x"ad";
when "00" & x"f3c" => data <= x"c1";
when "00" & x"f3d" => data <= x"10";
when "00" & x"f3e" => data <= x"99";
when "00" & x"f3f" => data <= x"1b";
when "00" & x"f40" => data <= x"11";
when "00" & x"f41" => data <= x"0d";
when "00" & x"f42" => data <= x"c0";
when "00" & x"f43" => data <= x"10";
when "00" & x"f44" => data <= x"8d";
when "00" & x"f45" => data <= x"c0";
when "00" & x"f46" => data <= x"10";
when "00" & x"f47" => data <= x"b9";
when "00" & x"f48" => data <= x"09";
when "00" & x"f49" => data <= x"11";
when "00" & x"f4a" => data <= x"69";
when "00" & x"f4b" => data <= x"ff";
when "00" & x"f4c" => data <= x"b9";
when "00" & x"f4d" => data <= x"0b";
when "00" & x"f4e" => data <= x"11";
when "00" & x"f4f" => data <= x"69";
when "00" & x"f50" => data <= x"00";
when "00" & x"f51" => data <= x"99";
when "00" & x"f52" => data <= x"19";
when "00" & x"f53" => data <= x"11";
when "00" & x"f54" => data <= x"b9";
when "00" & x"f55" => data <= x"0d";
when "00" & x"f56" => data <= x"11";
when "00" & x"f57" => data <= x"09";
when "00" & x"f58" => data <= x"0f";
when "00" & x"f59" => data <= x"69";
when "00" & x"f5a" => data <= x"00";
when "00" & x"f5b" => data <= x"20";
when "00" & x"f5c" => data <= x"fd";
when "00" & x"f5d" => data <= x"81";
when "00" & x"f5e" => data <= x"99";
when "00" & x"f5f" => data <= x"1a";
when "00" & x"f60" => data <= x"11";
when "00" & x"f61" => data <= x"28";
when "00" & x"f62" => data <= x"50";
when "00" & x"f63" => data <= x"2e";
when "00" & x"f64" => data <= x"30";
when "00" & x"f65" => data <= x"08";
when "00" & x"f66" => data <= x"a9";
when "00" & x"f67" => data <= x"80";
when "00" & x"f68" => data <= x"19";
when "00" & x"f69" => data <= x"0c";
when "00" & x"f6a" => data <= x"11";
when "00" & x"f6b" => data <= x"99";
when "00" & x"f6c" => data <= x"0c";
when "00" & x"f6d" => data <= x"11";
when "00" & x"f6e" => data <= x"b9";
when "00" & x"f6f" => data <= x"09";
when "00" & x"f70" => data <= x"11";
when "00" & x"f71" => data <= x"99";
when "00" & x"f72" => data <= x"14";
when "00" & x"f73" => data <= x"11";
when "00" & x"f74" => data <= x"b9";
when "00" & x"f75" => data <= x"0b";
when "00" & x"f76" => data <= x"11";
when "00" & x"f77" => data <= x"99";
when "00" & x"f78" => data <= x"15";
when "00" & x"f79" => data <= x"11";
when "00" & x"f7a" => data <= x"b9";
when "00" & x"f7b" => data <= x"0d";
when "00" & x"f7c" => data <= x"11";
when "00" & x"f7d" => data <= x"20";
when "00" & x"f7e" => data <= x"fd";
when "00" & x"f7f" => data <= x"81";
when "00" & x"f80" => data <= x"99";
when "00" & x"f81" => data <= x"16";
when "00" & x"f82" => data <= x"11";
when "00" & x"f83" => data <= x"a5";
when "00" & x"f84" => data <= x"cf";
when "00" & x"f85" => data <= x"19";
when "00" & x"f86" => data <= x"17";
when "00" & x"f87" => data <= x"11";
when "00" & x"f88" => data <= x"99";
when "00" & x"f89" => data <= x"17";
when "00" & x"f8a" => data <= x"11";
when "00" & x"f8b" => data <= x"98";
when "00" & x"f8c" => data <= x"20";
when "00" & x"f8d" => data <= x"04";
when "00" & x"f8e" => data <= x"82";
when "00" & x"f8f" => data <= x"09";
when "00" & x"f90" => data <= x"10";
when "00" & x"f91" => data <= x"60";
when "00" & x"f92" => data <= x"a9";
when "00" & x"f93" => data <= x"20";
when "00" & x"f94" => data <= x"99";
when "00" & x"f95" => data <= x"17";
when "00" & x"f96" => data <= x"11";
when "00" & x"f97" => data <= x"d0";
when "00" & x"f98" => data <= x"ea";
when "00" & x"f99" => data <= x"8a";
when "00" & x"f9a" => data <= x"48";
when "00" & x"f9b" => data <= x"4c";
when "00" & x"f9c" => data <= x"dd";
when "00" & x"f9d" => data <= x"8f";
when "00" & x"f9e" => data <= x"a9";
when "00" & x"f9f" => data <= x"00";
when "00" & x"fa0" => data <= x"8d";
when "00" & x"fa1" => data <= x"c2";
when "00" & x"fa2" => data <= x"10";
when "00" & x"fa3" => data <= x"a9";
when "00" & x"fa4" => data <= x"08";
when "00" & x"fa5" => data <= x"85";
when "00" & x"fa6" => data <= x"b5";
when "00" & x"fa7" => data <= x"98";
when "00" & x"fa8" => data <= x"aa";
when "00" & x"fa9" => data <= x"a0";
when "00" & x"faa" => data <= x"a0";
when "00" & x"fab" => data <= x"84";
when "00" & x"fac" => data <= x"b3";
when "00" & x"fad" => data <= x"8a";
when "00" & x"fae" => data <= x"48";
when "00" & x"faf" => data <= x"a9";
when "00" & x"fb0" => data <= x"08";
when "00" & x"fb1" => data <= x"85";
when "00" & x"fb2" => data <= x"b2";
when "00" & x"fb3" => data <= x"a5";
when "00" & x"fb4" => data <= x"b5";
when "00" & x"fb5" => data <= x"2c";
when "00" & x"fb6" => data <= x"c0";
when "00" & x"fb7" => data <= x"10";
when "00" & x"fb8" => data <= x"f0";
when "00" & x"fb9" => data <= x"1d";
when "00" & x"fba" => data <= x"b9";
when "00" & x"fbb" => data <= x"17";
when "00" & x"fbc" => data <= x"11";
when "00" & x"fbd" => data <= x"45";
when "00" & x"fbe" => data <= x"cf";
when "00" & x"fbf" => data <= x"29";
when "00" & x"fc0" => data <= x"03";
when "00" & x"fc1" => data <= x"d0";
when "00" & x"fc2" => data <= x"1a";
when "00" & x"fc3" => data <= x"bd";
when "00" & x"fc4" => data <= x"08";
when "00" & x"fc5" => data <= x"0e";
when "00" & x"fc6" => data <= x"59";
when "00" & x"fc7" => data <= x"00";
when "00" & x"fc8" => data <= x"11";
when "00" & x"fc9" => data <= x"29";
when "00" & x"fca" => data <= x"7f";
when "00" & x"fcb" => data <= x"d0";
when "00" & x"fcc" => data <= x"10";
when "00" & x"fcd" => data <= x"e8";
when "00" & x"fce" => data <= x"c8";
when "00" & x"fcf" => data <= x"c8";
when "00" & x"fd0" => data <= x"c6";
when "00" & x"fd1" => data <= x"b2";
when "00" & x"fd2" => data <= x"d0";
when "00" & x"fd3" => data <= x"ef";
when "00" & x"fd4" => data <= x"38";
when "00" & x"fd5" => data <= x"b0";
when "00" & x"fd6" => data <= x"10";
when "00" & x"fd7" => data <= x"8c";
when "00" & x"fd8" => data <= x"c2";
when "00" & x"fd9" => data <= x"10";
when "00" & x"fda" => data <= x"8d";
when "00" & x"fdb" => data <= x"c1";
when "00" & x"fdc" => data <= x"10";
when "00" & x"fdd" => data <= x"38";
when "00" & x"fde" => data <= x"a5";
when "00" & x"fdf" => data <= x"b3";
when "00" & x"fe0" => data <= x"e9";
when "00" & x"fe1" => data <= x"20";
when "00" & x"fe2" => data <= x"85";
when "00" & x"fe3" => data <= x"b3";
when "00" & x"fe4" => data <= x"06";
when "00" & x"fe5" => data <= x"b5";
when "00" & x"fe6" => data <= x"18";
when "00" & x"fe7" => data <= x"68";
when "00" & x"fe8" => data <= x"aa";
when "00" & x"fe9" => data <= x"a4";
when "00" & x"fea" => data <= x"b3";
when "00" & x"feb" => data <= x"a5";
when "00" & x"fec" => data <= x"b5";
when "00" & x"fed" => data <= x"b0";
when "00" & x"fee" => data <= x"02";
when "00" & x"fef" => data <= x"d0";
when "00" & x"ff0" => data <= x"ba";
when "00" & x"ff1" => data <= x"60";
when "00" & x"ff2" => data <= x"ad";
when "00" & x"ff3" => data <= x"c0";
when "00" & x"ff4" => data <= x"10";
when "00" & x"ff5" => data <= x"48";
when "00" & x"ff6" => data <= x"20";
when "00" & x"ff7" => data <= x"ed";
when "00" & x"ff8" => data <= x"8d";
when "00" & x"ff9" => data <= x"f0";
when "00" & x"ffa" => data <= x"07";
when "00" & x"ffb" => data <= x"ad";
when "00" & x"ffc" => data <= x"c0";
when "00" & x"ffd" => data <= x"10";
when "00" & x"ffe" => data <= x"48";
when "00" & x"fff" => data <= x"20";
when "01" & x"000" => data <= x"fa";
when "01" & x"001" => data <= x"8d";
when "01" & x"002" => data <= x"68";
when "01" & x"003" => data <= x"8d";
when "01" & x"004" => data <= x"c0";
when "01" & x"005" => data <= x"10";
when "01" & x"006" => data <= x"60";
when "01" & x"007" => data <= x"c0";
when "01" & x"008" => data <= x"00";
when "01" & x"009" => data <= x"f0";
when "01" & x"00a" => data <= x"11";
when "01" & x"00b" => data <= x"20";
when "01" & x"00c" => data <= x"e1";
when "01" & x"00d" => data <= x"83";
when "01" & x"00e" => data <= x"c9";
when "01" & x"00f" => data <= x"ff";
when "01" & x"010" => data <= x"f0";
when "01" & x"011" => data <= x"e9";
when "01" & x"012" => data <= x"c9";
when "01" & x"013" => data <= x"03";
when "01" & x"014" => data <= x"b0";
when "01" & x"015" => data <= x"17";
when "01" & x"016" => data <= x"4a";
when "01" & x"017" => data <= x"90";
when "01" & x"018" => data <= x"15";
when "01" & x"019" => data <= x"4c";
when "01" & x"01a" => data <= x"a7";
when "01" & x"01b" => data <= x"92";
when "01" & x"01c" => data <= x"20";
when "01" & x"01d" => data <= x"11";
when "01" & x"01e" => data <= x"84";
when "01" & x"01f" => data <= x"a8";
when "01" & x"020" => data <= x"c8";
when "01" & x"021" => data <= x"c0";
when "01" & x"022" => data <= x"03";
when "01" & x"023" => data <= x"b0";
when "01" & x"024" => data <= x"08";
when "01" & x"025" => data <= x"b9";
when "01" & x"026" => data <= x"81";
when "01" & x"027" => data <= x"99";
when "01" & x"028" => data <= x"48";
when "01" & x"029" => data <= x"b9";
when "01" & x"02a" => data <= x"7e";
when "01" & x"02b" => data <= x"99";
when "01" & x"02c" => data <= x"48";
when "01" & x"02d" => data <= x"60";
when "01" & x"02e" => data <= x"20";
when "01" & x"02f" => data <= x"e1";
when "01" & x"030" => data <= x"83";
when "01" & x"031" => data <= x"20";
when "01" & x"032" => data <= x"a5";
when "01" & x"033" => data <= x"90";
when "01" & x"034" => data <= x"8c";
when "01" & x"035" => data <= x"c2";
when "01" & x"036" => data <= x"10";
when "01" & x"037" => data <= x"0a";
when "01" & x"038" => data <= x"0a";
when "01" & x"039" => data <= x"6d";
when "01" & x"03a" => data <= x"c2";
when "01" & x"03b" => data <= x"10";
when "01" & x"03c" => data <= x"a8";
when "01" & x"03d" => data <= x"b9";
when "01" & x"03e" => data <= x"10";
when "01" & x"03f" => data <= x"11";
when "01" & x"040" => data <= x"95";
when "01" & x"041" => data <= x"00";
when "01" & x"042" => data <= x"b9";
when "01" & x"043" => data <= x"11";
when "01" & x"044" => data <= x"11";
when "01" & x"045" => data <= x"95";
when "01" & x"046" => data <= x"01";
when "01" & x"047" => data <= x"b9";
when "01" & x"048" => data <= x"12";
when "01" & x"049" => data <= x"11";
when "01" & x"04a" => data <= x"95";
when "01" & x"04b" => data <= x"02";
when "01" & x"04c" => data <= x"a9";
when "01" & x"04d" => data <= x"00";
when "01" & x"04e" => data <= x"95";
when "01" & x"04f" => data <= x"03";
when "01" & x"050" => data <= x"60";
when "01" & x"051" => data <= x"48";
when "01" & x"052" => data <= x"8e";
when "01" & x"053" => data <= x"c6";
when "01" & x"054" => data <= x"10";
when "01" & x"055" => data <= x"98";
when "01" & x"056" => data <= x"29";
when "01" & x"057" => data <= x"e0";
when "01" & x"058" => data <= x"8d";
when "01" & x"059" => data <= x"c2";
when "01" & x"05a" => data <= x"10";
when "01" & x"05b" => data <= x"f0";
when "01" & x"05c" => data <= x"13";
when "01" & x"05d" => data <= x"20";
when "01" & x"05e" => data <= x"04";
when "01" & x"05f" => data <= x"82";
when "01" & x"060" => data <= x"a8";
when "01" & x"061" => data <= x"a9";
when "01" & x"062" => data <= x"00";
when "01" & x"063" => data <= x"38";
when "01" & x"064" => data <= x"6a";
when "01" & x"065" => data <= x"88";
when "01" & x"066" => data <= x"d0";
when "01" & x"067" => data <= x"fc";
when "01" & x"068" => data <= x"ac";
when "01" & x"069" => data <= x"c2";
when "01" & x"06a" => data <= x"10";
when "01" & x"06b" => data <= x"2c";
when "01" & x"06c" => data <= x"c0";
when "01" & x"06d" => data <= x"10";
when "01" & x"06e" => data <= x"d0";
when "01" & x"06f" => data <= x"03";
when "01" & x"070" => data <= x"68";
when "01" & x"071" => data <= x"38";
when "01" & x"072" => data <= x"60";
when "01" & x"073" => data <= x"68";
when "01" & x"074" => data <= x"18";
when "01" & x"075" => data <= x"60";
when "01" & x"076" => data <= x"48";
when "01" & x"077" => data <= x"8a";
when "01" & x"078" => data <= x"4c";
when "01" & x"079" => data <= x"7d";
when "01" & x"07a" => data <= x"90";
when "01" & x"07b" => data <= x"48";
when "01" & x"07c" => data <= x"98";
when "01" & x"07d" => data <= x"c9";
when "01" & x"07e" => data <= x"10";
when "01" & x"07f" => data <= x"90";
when "01" & x"080" => data <= x"04";
when "01" & x"081" => data <= x"c9";
when "01" & x"082" => data <= x"18";
when "01" & x"083" => data <= x"90";
when "01" & x"084" => data <= x"02";
when "01" & x"085" => data <= x"a9";
when "01" & x"086" => data <= x"08";
when "01" & x"087" => data <= x"20";
when "01" & x"088" => data <= x"0a";
when "01" & x"089" => data <= x"82";
when "01" & x"08a" => data <= x"a8";
when "01" & x"08b" => data <= x"68";
when "01" & x"08c" => data <= x"60";
when "01" & x"08d" => data <= x"48";
when "01" & x"08e" => data <= x"98";
when "01" & x"08f" => data <= x"48";
when "01" & x"090" => data <= x"8a";
when "01" & x"091" => data <= x"a8";
when "01" & x"092" => data <= x"20";
when "01" & x"093" => data <= x"a5";
when "01" & x"094" => data <= x"90";
when "01" & x"095" => data <= x"98";
when "01" & x"096" => data <= x"20";
when "01" & x"097" => data <= x"f8";
when "01" & x"098" => data <= x"92";
when "01" & x"099" => data <= x"d0";
when "01" & x"09a" => data <= x"04";
when "01" & x"09b" => data <= x"a2";
when "01" & x"09c" => data <= x"ff";
when "01" & x"09d" => data <= x"d0";
when "01" & x"09e" => data <= x"02";
when "01" & x"09f" => data <= x"a2";
when "01" & x"0a0" => data <= x"00";
when "01" & x"0a1" => data <= x"68";
when "01" & x"0a2" => data <= x"a8";
when "01" & x"0a3" => data <= x"68";
when "01" & x"0a4" => data <= x"60";
when "01" & x"0a5" => data <= x"20";
when "01" & x"0a6" => data <= x"7b";
when "01" & x"0a7" => data <= x"90";
when "01" & x"0a8" => data <= x"20";
when "01" & x"0a9" => data <= x"51";
when "01" & x"0aa" => data <= x"90";
when "01" & x"0ab" => data <= x"90";
when "01" & x"0ac" => data <= x"f7";
when "01" & x"0ad" => data <= x"20";
when "01" & x"0ae" => data <= x"33";
when "01" & x"0af" => data <= x"80";
when "01" & x"0b0" => data <= x"de";
when "01" & x"0b1" => data <= x"43";
when "01" & x"0b2" => data <= x"68";
when "01" & x"0b3" => data <= x"61";
when "01" & x"0b4" => data <= x"6e";
when "01" & x"0b5" => data <= x"6e";
when "01" & x"0b6" => data <= x"65";
when "01" & x"0b7" => data <= x"6c";
when "01" & x"0b8" => data <= x"00";
when "01" & x"0b9" => data <= x"20";
when "01" & x"0ba" => data <= x"33";
when "01" & x"0bb" => data <= x"80";
when "01" & x"0bc" => data <= x"df";
when "01" & x"0bd" => data <= x"45";
when "01" & x"0be" => data <= x"4f";
when "01" & x"0bf" => data <= x"46";
when "01" & x"0c0" => data <= x"00";
when "01" & x"0c1" => data <= x"20";
when "01" & x"0c2" => data <= x"11";
when "01" & x"0c3" => data <= x"84";
when "01" & x"0c4" => data <= x"20";
when "01" & x"0c5" => data <= x"a5";
when "01" & x"0c6" => data <= x"90";
when "01" & x"0c7" => data <= x"98";
when "01" & x"0c8" => data <= x"20";
when "01" & x"0c9" => data <= x"f8";
when "01" & x"0ca" => data <= x"92";
when "01" & x"0cb" => data <= x"d0";
when "01" & x"0cc" => data <= x"13";
when "01" & x"0cd" => data <= x"b9";
when "01" & x"0ce" => data <= x"17";
when "01" & x"0cf" => data <= x"11";
when "01" & x"0d0" => data <= x"29";
when "01" & x"0d1" => data <= x"10";
when "01" & x"0d2" => data <= x"d0";
when "01" & x"0d3" => data <= x"e5";
when "01" & x"0d4" => data <= x"a9";
when "01" & x"0d5" => data <= x"10";
when "01" & x"0d6" => data <= x"20";
when "01" & x"0d7" => data <= x"3c";
when "01" & x"0d8" => data <= x"91";
when "01" & x"0d9" => data <= x"ae";
when "01" & x"0da" => data <= x"c6";
when "01" & x"0db" => data <= x"10";
when "01" & x"0dc" => data <= x"a9";
when "01" & x"0dd" => data <= x"fe";
when "01" & x"0de" => data <= x"38";
when "01" & x"0df" => data <= x"60";
when "01" & x"0e0" => data <= x"b9";
when "01" & x"0e1" => data <= x"17";
when "01" & x"0e2" => data <= x"11";
when "01" & x"0e3" => data <= x"30";
when "01" & x"0e4" => data <= x"0a";
when "01" & x"0e5" => data <= x"20";
when "01" & x"0e6" => data <= x"83";
when "01" & x"0e7" => data <= x"8e";
when "01" & x"0e8" => data <= x"20";
when "01" & x"0e9" => data <= x"4b";
when "01" & x"0ea" => data <= x"91";
when "01" & x"0eb" => data <= x"38";
when "01" & x"0ec" => data <= x"20";
when "01" & x"0ed" => data <= x"53";
when "01" & x"0ee" => data <= x"91";
when "01" & x"0ef" => data <= x"b9";
when "01" & x"0f0" => data <= x"10";
when "01" & x"0f1" => data <= x"11";
when "01" & x"0f2" => data <= x"85";
when "01" & x"0f3" => data <= x"bc";
when "01" & x"0f4" => data <= x"b9";
when "01" & x"0f5" => data <= x"13";
when "01" & x"0f6" => data <= x"11";
when "01" & x"0f7" => data <= x"85";
when "01" & x"0f8" => data <= x"bd";
when "01" & x"0f9" => data <= x"a0";
when "01" & x"0fa" => data <= x"00";
when "01" & x"0fb" => data <= x"b1";
when "01" & x"0fc" => data <= x"bc";
when "01" & x"0fd" => data <= x"48";
when "01" & x"0fe" => data <= x"ac";
when "01" & x"0ff" => data <= x"c2";
when "01" & x"100" => data <= x"10";
when "01" & x"101" => data <= x"a6";
when "01" & x"102" => data <= x"bc";
when "01" & x"103" => data <= x"e8";
when "01" & x"104" => data <= x"8a";
when "01" & x"105" => data <= x"99";
when "01" & x"106" => data <= x"10";
when "01" & x"107" => data <= x"11";
when "01" & x"108" => data <= x"d0";
when "01" & x"109" => data <= x"14";
when "01" & x"10a" => data <= x"18";
when "01" & x"10b" => data <= x"b9";
when "01" & x"10c" => data <= x"11";
when "01" & x"10d" => data <= x"11";
when "01" & x"10e" => data <= x"69";
when "01" & x"10f" => data <= x"01";
when "01" & x"110" => data <= x"99";
when "01" & x"111" => data <= x"11";
when "01" & x"112" => data <= x"11";
when "01" & x"113" => data <= x"b9";
when "01" & x"114" => data <= x"12";
when "01" & x"115" => data <= x"11";
when "01" & x"116" => data <= x"69";
when "01" & x"117" => data <= x"00";
when "01" & x"118" => data <= x"99";
when "01" & x"119" => data <= x"12";
when "01" & x"11a" => data <= x"11";
when "01" & x"11b" => data <= x"20";
when "01" & x"11c" => data <= x"41";
when "01" & x"11d" => data <= x"91";
when "01" & x"11e" => data <= x"18";
when "01" & x"11f" => data <= x"68";
when "01" & x"120" => data <= x"60";
when "01" & x"121" => data <= x"18";
when "01" & x"122" => data <= x"b9";
when "01" & x"123" => data <= x"0f";
when "01" & x"124" => data <= x"11";
when "01" & x"125" => data <= x"79";
when "01" & x"126" => data <= x"11";
when "01" & x"127" => data <= x"11";
when "01" & x"128" => data <= x"85";
when "01" & x"129" => data <= x"c5";
when "01" & x"12a" => data <= x"99";
when "01" & x"12b" => data <= x"1c";
when "01" & x"12c" => data <= x"11";
when "01" & x"12d" => data <= x"b9";
when "01" & x"12e" => data <= x"0d";
when "01" & x"12f" => data <= x"11";
when "01" & x"130" => data <= x"29";
when "01" & x"131" => data <= x"03";
when "01" & x"132" => data <= x"79";
when "01" & x"133" => data <= x"12";
when "01" & x"134" => data <= x"11";
when "01" & x"135" => data <= x"85";
when "01" & x"136" => data <= x"c4";
when "01" & x"137" => data <= x"99";
when "01" & x"138" => data <= x"1d";
when "01" & x"139" => data <= x"11";
when "01" & x"13a" => data <= x"a9";
when "01" & x"13b" => data <= x"80";
when "01" & x"13c" => data <= x"19";
when "01" & x"13d" => data <= x"17";
when "01" & x"13e" => data <= x"11";
when "01" & x"13f" => data <= x"d0";
when "01" & x"140" => data <= x"05";
when "01" & x"141" => data <= x"a9";
when "01" & x"142" => data <= x"7f";
when "01" & x"143" => data <= x"39";
when "01" & x"144" => data <= x"17";
when "01" & x"145" => data <= x"11";
when "01" & x"146" => data <= x"99";
when "01" & x"147" => data <= x"17";
when "01" & x"148" => data <= x"11";
when "01" & x"149" => data <= x"18";
when "01" & x"14a" => data <= x"60";
when "01" & x"14b" => data <= x"b9";
when "01" & x"14c" => data <= x"17";
when "01" & x"14d" => data <= x"11";
when "01" & x"14e" => data <= x"29";
when "01" & x"14f" => data <= x"40";
when "01" & x"150" => data <= x"f0";
when "01" & x"151" => data <= x"3d";
when "01" & x"152" => data <= x"18";
when "01" & x"153" => data <= x"08";
when "01" & x"154" => data <= x"20";
when "01" & x"155" => data <= x"3e";
when "01" & x"156" => data <= x"be";
when "01" & x"157" => data <= x"ac";
when "01" & x"158" => data <= x"c2";
when "01" & x"159" => data <= x"10";
when "01" & x"15a" => data <= x"b9";
when "01" & x"15b" => data <= x"13";
when "01" & x"15c" => data <= x"11";
when "01" & x"15d" => data <= x"85";
when "01" & x"15e" => data <= x"bf";
when "01" & x"15f" => data <= x"20";
when "01" & x"160" => data <= x"8d";
when "01" & x"161" => data <= x"a0";
when "01" & x"162" => data <= x"a9";
when "01" & x"163" => data <= x"00";
when "01" & x"164" => data <= x"85";
when "01" & x"165" => data <= x"be";
when "01" & x"166" => data <= x"85";
when "01" & x"167" => data <= x"c2";
when "01" & x"168" => data <= x"a9";
when "01" & x"169" => data <= x"01";
when "01" & x"16a" => data <= x"85";
when "01" & x"16b" => data <= x"c3";
when "01" & x"16c" => data <= x"28";
when "01" & x"16d" => data <= x"b0";
when "01" & x"16e" => data <= x"17";
when "01" & x"16f" => data <= x"b9";
when "01" & x"170" => data <= x"1c";
when "01" & x"171" => data <= x"11";
when "01" & x"172" => data <= x"85";
when "01" & x"173" => data <= x"c5";
when "01" & x"174" => data <= x"b9";
when "01" & x"175" => data <= x"1d";
when "01" & x"176" => data <= x"11";
when "01" & x"177" => data <= x"85";
when "01" & x"178" => data <= x"c4";
when "01" & x"179" => data <= x"20";
when "01" & x"17a" => data <= x"8f";
when "01" & x"17b" => data <= x"87";
when "01" & x"17c" => data <= x"ac";
when "01" & x"17d" => data <= x"c2";
when "01" & x"17e" => data <= x"10";
when "01" & x"17f" => data <= x"a9";
when "01" & x"180" => data <= x"bf";
when "01" & x"181" => data <= x"20";
when "01" & x"182" => data <= x"43";
when "01" & x"183" => data <= x"91";
when "01" & x"184" => data <= x"90";
when "01" & x"185" => data <= x"06";
when "01" & x"186" => data <= x"20";
when "01" & x"187" => data <= x"21";
when "01" & x"188" => data <= x"91";
when "01" & x"189" => data <= x"20";
when "01" & x"18a" => data <= x"c6";
when "01" & x"18b" => data <= x"87";
when "01" & x"18c" => data <= x"ac";
when "01" & x"18d" => data <= x"c2";
when "01" & x"18e" => data <= x"10";
when "01" & x"18f" => data <= x"60";
when "01" & x"190" => data <= x"4c";
when "01" & x"191" => data <= x"ad";
when "01" & x"192" => data <= x"90";
when "01" & x"193" => data <= x"4c";
when "01" & x"194" => data <= x"41";
when "01" & x"195" => data <= x"98";
when "01" & x"196" => data <= x"20";
when "01" & x"197" => data <= x"2b";
when "01" & x"198" => data <= x"80";
when "01" & x"199" => data <= x"c1";
when "01" & x"19a" => data <= x"72";
when "01" & x"19b" => data <= x"65";
when "01" & x"19c" => data <= x"61";
when "01" & x"19d" => data <= x"64";
when "01" & x"19e" => data <= x"20";
when "01" & x"19f" => data <= x"6f";
when "01" & x"1a0" => data <= x"6e";
when "01" & x"1a1" => data <= x"6c";
when "01" & x"1a2" => data <= x"79";
when "01" & x"1a3" => data <= x"00";
when "01" & x"1a4" => data <= x"20";
when "01" & x"1a5" => data <= x"e1";
when "01" & x"1a6" => data <= x"83";
when "01" & x"1a7" => data <= x"4c";
when "01" & x"1a8" => data <= x"b0";
when "01" & x"1a9" => data <= x"91";
when "01" & x"1aa" => data <= x"20";
when "01" & x"1ab" => data <= x"e1";
when "01" & x"1ac" => data <= x"83";
when "01" & x"1ad" => data <= x"20";
when "01" & x"1ae" => data <= x"a5";
when "01" & x"1af" => data <= x"90";
when "01" & x"1b0" => data <= x"48";
when "01" & x"1b1" => data <= x"b9";
when "01" & x"1b2" => data <= x"0c";
when "01" & x"1b3" => data <= x"11";
when "01" & x"1b4" => data <= x"30";
when "01" & x"1b5" => data <= x"e0";
when "01" & x"1b6" => data <= x"b9";
when "01" & x"1b7" => data <= x"0e";
when "01" & x"1b8" => data <= x"11";
when "01" & x"1b9" => data <= x"30";
when "01" & x"1ba" => data <= x"d8";
when "01" & x"1bb" => data <= x"20";
when "01" & x"1bc" => data <= x"83";
when "01" & x"1bd" => data <= x"8e";
when "01" & x"1be" => data <= x"98";
when "01" & x"1bf" => data <= x"18";
when "01" & x"1c0" => data <= x"69";
when "01" & x"1c1" => data <= x"04";
when "01" & x"1c2" => data <= x"20";
when "01" & x"1c3" => data <= x"f8";
when "01" & x"1c4" => data <= x"92";
when "01" & x"1c5" => data <= x"d0";
when "01" & x"1c6" => data <= x"76";
when "01" & x"1c7" => data <= x"20";
when "01" & x"1c8" => data <= x"58";
when "01" & x"1c9" => data <= x"8e";
when "01" & x"1ca" => data <= x"ae";
when "01" & x"1cb" => data <= x"c4";
when "01" & x"1cc" => data <= x"10";
when "01" & x"1cd" => data <= x"38";
when "01" & x"1ce" => data <= x"bd";
when "01" & x"1cf" => data <= x"07";
when "01" & x"1d0" => data <= x"0f";
when "01" & x"1d1" => data <= x"fd";
when "01" & x"1d2" => data <= x"0f";
when "01" & x"1d3" => data <= x"0f";
when "01" & x"1d4" => data <= x"48";
when "01" & x"1d5" => data <= x"bd";
when "01" & x"1d6" => data <= x"06";
when "01" & x"1d7" => data <= x"0f";
when "01" & x"1d8" => data <= x"fd";
when "01" & x"1d9" => data <= x"0e";
when "01" & x"1da" => data <= x"0f";
when "01" & x"1db" => data <= x"29";
when "01" & x"1dc" => data <= x"03";
when "01" & x"1dd" => data <= x"8d";
when "01" & x"1de" => data <= x"c3";
when "01" & x"1df" => data <= x"10";
when "01" & x"1e0" => data <= x"0a";
when "01" & x"1e1" => data <= x"0a";
when "01" & x"1e2" => data <= x"0a";
when "01" & x"1e3" => data <= x"0a";
when "01" & x"1e4" => data <= x"5d";
when "01" & x"1e5" => data <= x"0e";
when "01" & x"1e6" => data <= x"0f";
when "01" & x"1e7" => data <= x"29";
when "01" & x"1e8" => data <= x"30";
when "01" & x"1e9" => data <= x"5d";
when "01" & x"1ea" => data <= x"0e";
when "01" & x"1eb" => data <= x"0f";
when "01" & x"1ec" => data <= x"9d";
when "01" & x"1ed" => data <= x"0e";
when "01" & x"1ee" => data <= x"0f";
when "01" & x"1ef" => data <= x"ad";
when "01" & x"1f0" => data <= x"c3";
when "01" & x"1f1" => data <= x"10";
when "01" & x"1f2" => data <= x"d9";
when "01" & x"1f3" => data <= x"1a";
when "01" & x"1f4" => data <= x"11";
when "01" & x"1f5" => data <= x"d0";
when "01" & x"1f6" => data <= x"2b";
when "01" & x"1f7" => data <= x"68";
when "01" & x"1f8" => data <= x"d9";
when "01" & x"1f9" => data <= x"19";
when "01" & x"1fa" => data <= x"11";
when "01" & x"1fb" => data <= x"d0";
when "01" & x"1fc" => data <= x"26";
when "01" & x"1fd" => data <= x"84";
when "01" & x"1fe" => data <= x"b4";
when "01" & x"1ff" => data <= x"20";
when "01" & x"200" => data <= x"20";
when "01" & x"201" => data <= x"99";
when "01" & x"202" => data <= x"20";
when "01" & x"203" => data <= x"76";
when "01" & x"204" => data <= x"90";
when "01" & x"205" => data <= x"c4";
when "01" & x"206" => data <= x"b4";
when "01" & x"207" => data <= x"d0";
when "01" & x"208" => data <= x"03";
when "01" & x"209" => data <= x"20";
when "01" & x"20a" => data <= x"11";
when "01" & x"20b" => data <= x"99";
when "01" & x"20c" => data <= x"a4";
when "01" & x"20d" => data <= x"b4";
when "01" & x"20e" => data <= x"20";
when "01" & x"20f" => data <= x"05";
when "01" & x"210" => data <= x"8e";
when "01" & x"211" => data <= x"20";
when "01" & x"212" => data <= x"33";
when "01" & x"213" => data <= x"80";
when "01" & x"214" => data <= x"bf";
when "01" & x"215" => data <= x"43";
when "01" & x"216" => data <= x"61";
when "01" & x"217" => data <= x"6e";
when "01" & x"218" => data <= x"27";
when "01" & x"219" => data <= x"74";
when "01" & x"21a" => data <= x"20";
when "01" & x"21b" => data <= x"65";
when "01" & x"21c" => data <= x"78";
when "01" & x"21d" => data <= x"74";
when "01" & x"21e" => data <= x"65";
when "01" & x"21f" => data <= x"6e";
when "01" & x"220" => data <= x"64";
when "01" & x"221" => data <= x"00";
when "01" & x"222" => data <= x"68";
when "01" & x"223" => data <= x"9d";
when "01" & x"224" => data <= x"0d";
when "01" & x"225" => data <= x"0f";
when "01" & x"226" => data <= x"99";
when "01" & x"227" => data <= x"19";
when "01" & x"228" => data <= x"11";
when "01" & x"229" => data <= x"ad";
when "01" & x"22a" => data <= x"c3";
when "01" & x"22b" => data <= x"10";
when "01" & x"22c" => data <= x"99";
when "01" & x"22d" => data <= x"1a";
when "01" & x"22e" => data <= x"11";
when "01" & x"22f" => data <= x"a9";
when "01" & x"230" => data <= x"00";
when "01" & x"231" => data <= x"9d";
when "01" & x"232" => data <= x"0c";
when "01" & x"233" => data <= x"0f";
when "01" & x"234" => data <= x"20";
when "01" & x"235" => data <= x"b4";
when "01" & x"236" => data <= x"8a";
when "01" & x"237" => data <= x"ea";
when "01" & x"238" => data <= x"ea";
when "01" & x"239" => data <= x"ea";
when "01" & x"23a" => data <= x"ac";
when "01" & x"23b" => data <= x"c2";
when "01" & x"23c" => data <= x"10";
when "01" & x"23d" => data <= x"b9";
when "01" & x"23e" => data <= x"17";
when "01" & x"23f" => data <= x"11";
when "01" & x"240" => data <= x"30";
when "01" & x"241" => data <= x"17";
when "01" & x"242" => data <= x"20";
when "01" & x"243" => data <= x"4b";
when "01" & x"244" => data <= x"91";
when "01" & x"245" => data <= x"b9";
when "01" & x"246" => data <= x"14";
when "01" & x"247" => data <= x"11";
when "01" & x"248" => data <= x"d0";
when "01" & x"249" => data <= x"0b";
when "01" & x"24a" => data <= x"98";
when "01" & x"24b" => data <= x"20";
when "01" & x"24c" => data <= x"f8";
when "01" & x"24d" => data <= x"92";
when "01" & x"24e" => data <= x"d0";
when "01" & x"24f" => data <= x"05";
when "01" & x"250" => data <= x"20";
when "01" & x"251" => data <= x"21";
when "01" & x"252" => data <= x"91";
when "01" & x"253" => data <= x"d0";
when "01" & x"254" => data <= x"04";
when "01" & x"255" => data <= x"38";
when "01" & x"256" => data <= x"20";
when "01" & x"257" => data <= x"53";
when "01" & x"258" => data <= x"91";
when "01" & x"259" => data <= x"b9";
when "01" & x"25a" => data <= x"10";
when "01" & x"25b" => data <= x"11";
when "01" & x"25c" => data <= x"85";
when "01" & x"25d" => data <= x"bc";
when "01" & x"25e" => data <= x"b9";
when "01" & x"25f" => data <= x"13";
when "01" & x"260" => data <= x"11";
when "01" & x"261" => data <= x"85";
when "01" & x"262" => data <= x"bd";
when "01" & x"263" => data <= x"68";
when "01" & x"264" => data <= x"a0";
when "01" & x"265" => data <= x"00";
when "01" & x"266" => data <= x"91";
when "01" & x"267" => data <= x"bc";
when "01" & x"268" => data <= x"ac";
when "01" & x"269" => data <= x"c2";
when "01" & x"26a" => data <= x"10";
when "01" & x"26b" => data <= x"a9";
when "01" & x"26c" => data <= x"40";
when "01" & x"26d" => data <= x"20";
when "01" & x"26e" => data <= x"3c";
when "01" & x"26f" => data <= x"91";
when "01" & x"270" => data <= x"e6";
when "01" & x"271" => data <= x"bc";
when "01" & x"272" => data <= x"a5";
when "01" & x"273" => data <= x"bc";
when "01" & x"274" => data <= x"99";
when "01" & x"275" => data <= x"10";
when "01" & x"276" => data <= x"11";
when "01" & x"277" => data <= x"d0";
when "01" & x"278" => data <= x"13";
when "01" & x"279" => data <= x"20";
when "01" & x"27a" => data <= x"41";
when "01" & x"27b" => data <= x"91";
when "01" & x"27c" => data <= x"b9";
when "01" & x"27d" => data <= x"11";
when "01" & x"27e" => data <= x"11";
when "01" & x"27f" => data <= x"69";
when "01" & x"280" => data <= x"01";
when "01" & x"281" => data <= x"99";
when "01" & x"282" => data <= x"11";
when "01" & x"283" => data <= x"11";
when "01" & x"284" => data <= x"b9";
when "01" & x"285" => data <= x"12";
when "01" & x"286" => data <= x"11";
when "01" & x"287" => data <= x"69";
when "01" & x"288" => data <= x"00";
when "01" & x"289" => data <= x"99";
when "01" & x"28a" => data <= x"12";
when "01" & x"28b" => data <= x"11";
when "01" & x"28c" => data <= x"98";
when "01" & x"28d" => data <= x"20";
when "01" & x"28e" => data <= x"f8";
when "01" & x"28f" => data <= x"92";
when "01" & x"290" => data <= x"90";
when "01" & x"291" => data <= x"14";
when "01" & x"292" => data <= x"a9";
when "01" & x"293" => data <= x"20";
when "01" & x"294" => data <= x"20";
when "01" & x"295" => data <= x"3c";
when "01" & x"296" => data <= x"91";
when "01" & x"297" => data <= x"a2";
when "01" & x"298" => data <= x"02";
when "01" & x"299" => data <= x"b9";
when "01" & x"29a" => data <= x"10";
when "01" & x"29b" => data <= x"11";
when "01" & x"29c" => data <= x"99";
when "01" & x"29d" => data <= x"14";
when "01" & x"29e" => data <= x"11";
when "01" & x"29f" => data <= x"c8";
when "01" & x"2a0" => data <= x"ca";
when "01" & x"2a1" => data <= x"10";
when "01" & x"2a2" => data <= x"f6";
when "01" & x"2a3" => data <= x"88";
when "01" & x"2a4" => data <= x"88";
when "01" & x"2a5" => data <= x"88";
when "01" & x"2a6" => data <= x"60";
when "01" & x"2a7" => data <= x"20";
when "01" & x"2a8" => data <= x"e1";
when "01" & x"2a9" => data <= x"83";
when "01" & x"2aa" => data <= x"20";
when "01" & x"2ab" => data <= x"a5";
when "01" & x"2ac" => data <= x"90";
when "01" & x"2ad" => data <= x"20";
when "01" & x"2ae" => data <= x"63";
when "01" & x"2af" => data <= x"a1";
when "01" & x"2b0" => data <= x"ea";
when "01" & x"2b1" => data <= x"ea";
when "01" & x"2b2" => data <= x"ea";
when "01" & x"2b3" => data <= x"ea";
when "01" & x"2b4" => data <= x"ea";
when "01" & x"2b5" => data <= x"ea";
when "01" & x"2b6" => data <= x"20";
when "01" & x"2b7" => data <= x"10";
when "01" & x"2b8" => data <= x"93";
when "01" & x"2b9" => data <= x"b0";
when "01" & x"2ba" => data <= x"08";
when "01" & x"2bb" => data <= x"a9";
when "01" & x"2bc" => data <= x"00";
when "01" & x"2bd" => data <= x"20";
when "01" & x"2be" => data <= x"a4";
when "01" & x"2bf" => data <= x"91";
when "01" & x"2c0" => data <= x"4c";
when "01" & x"2c1" => data <= x"b6";
when "01" & x"2c2" => data <= x"92";
when "01" & x"2c3" => data <= x"b5";
when "01" & x"2c4" => data <= x"00";
when "01" & x"2c5" => data <= x"99";
when "01" & x"2c6" => data <= x"10";
when "01" & x"2c7" => data <= x"11";
when "01" & x"2c8" => data <= x"b5";
when "01" & x"2c9" => data <= x"01";
when "01" & x"2ca" => data <= x"99";
when "01" & x"2cb" => data <= x"11";
when "01" & x"2cc" => data <= x"11";
when "01" & x"2cd" => data <= x"b5";
when "01" & x"2ce" => data <= x"02";
when "01" & x"2cf" => data <= x"99";
when "01" & x"2d0" => data <= x"12";
when "01" & x"2d1" => data <= x"11";
when "01" & x"2d2" => data <= x"a9";
when "01" & x"2d3" => data <= x"6f";
when "01" & x"2d4" => data <= x"20";
when "01" & x"2d5" => data <= x"43";
when "01" & x"2d6" => data <= x"91";
when "01" & x"2d7" => data <= x"b9";
when "01" & x"2d8" => data <= x"0f";
when "01" & x"2d9" => data <= x"11";
when "01" & x"2da" => data <= x"79";
when "01" & x"2db" => data <= x"11";
when "01" & x"2dc" => data <= x"11";
when "01" & x"2dd" => data <= x"8d";
when "01" & x"2de" => data <= x"c5";
when "01" & x"2df" => data <= x"10";
when "01" & x"2e0" => data <= x"b9";
when "01" & x"2e1" => data <= x"0d";
when "01" & x"2e2" => data <= x"11";
when "01" & x"2e3" => data <= x"29";
when "01" & x"2e4" => data <= x"03";
when "01" & x"2e5" => data <= x"79";
when "01" & x"2e6" => data <= x"12";
when "01" & x"2e7" => data <= x"11";
when "01" & x"2e8" => data <= x"d9";
when "01" & x"2e9" => data <= x"1d";
when "01" & x"2ea" => data <= x"11";
when "01" & x"2eb" => data <= x"d0";
when "01" & x"2ec" => data <= x"b9";
when "01" & x"2ed" => data <= x"ad";
when "01" & x"2ee" => data <= x"c5";
when "01" & x"2ef" => data <= x"10";
when "01" & x"2f0" => data <= x"d9";
when "01" & x"2f1" => data <= x"1c";
when "01" & x"2f2" => data <= x"11";
when "01" & x"2f3" => data <= x"d0";
when "01" & x"2f4" => data <= x"b1";
when "01" & x"2f5" => data <= x"4c";
when "01" & x"2f6" => data <= x"3a";
when "01" & x"2f7" => data <= x"91";
when "01" & x"2f8" => data <= x"aa";
when "01" & x"2f9" => data <= x"b9";
when "01" & x"2fa" => data <= x"12";
when "01" & x"2fb" => data <= x"11";
when "01" & x"2fc" => data <= x"dd";
when "01" & x"2fd" => data <= x"16";
when "01" & x"2fe" => data <= x"11";
when "01" & x"2ff" => data <= x"d0";
when "01" & x"300" => data <= x"0e";
when "01" & x"301" => data <= x"b9";
when "01" & x"302" => data <= x"11";
when "01" & x"303" => data <= x"11";
when "01" & x"304" => data <= x"dd";
when "01" & x"305" => data <= x"15";
when "01" & x"306" => data <= x"11";
when "01" & x"307" => data <= x"d0";
when "01" & x"308" => data <= x"06";
when "01" & x"309" => data <= x"b9";
when "01" & x"30a" => data <= x"10";
when "01" & x"30b" => data <= x"11";
when "01" & x"30c" => data <= x"dd";
when "01" & x"30d" => data <= x"14";
when "01" & x"30e" => data <= x"11";
when "01" & x"30f" => data <= x"60";
when "01" & x"310" => data <= x"b9";
when "01" & x"311" => data <= x"14";
when "01" & x"312" => data <= x"11";
when "01" & x"313" => data <= x"d5";
when "01" & x"314" => data <= x"00";
when "01" & x"315" => data <= x"b9";
when "01" & x"316" => data <= x"15";
when "01" & x"317" => data <= x"11";
when "01" & x"318" => data <= x"f5";
when "01" & x"319" => data <= x"01";
when "01" & x"31a" => data <= x"b9";
when "01" & x"31b" => data <= x"16";
when "01" & x"31c" => data <= x"11";
when "01" & x"31d" => data <= x"f5";
when "01" & x"31e" => data <= x"02";
when "01" & x"31f" => data <= x"60";
when "01" & x"320" => data <= x"a5";
when "01" & x"321" => data <= x"b3";
when "01" & x"322" => data <= x"48";
when "01" & x"323" => data <= x"a9";
when "01" & x"324" => data <= x"ff";
when "01" & x"325" => data <= x"8d";
when "01" & x"326" => data <= x"de";
when "01" & x"327" => data <= x"10";
when "01" & x"328" => data <= x"20";
when "01" & x"329" => data <= x"65";
when "01" & x"32a" => data <= x"80";
when "01" & x"32b" => data <= x"53";
when "01" & x"32c" => data <= x"6d";
when "01" & x"32d" => data <= x"61";
when "01" & x"32e" => data <= x"72";
when "01" & x"32f" => data <= x"74";
when "01" & x"330" => data <= x"20";
when "01" & x"331" => data <= x"53";
when "01" & x"332" => data <= x"50";
when "01" & x"333" => data <= x"49";
when "01" & x"334" => data <= x"0d";
when "01" & x"335" => data <= x"0d";
when "01" & x"336" => data <= x"90";
when "01" & x"337" => data <= x"03";
when "01" & x"338" => data <= x"4c";
when "01" & x"339" => data <= x"28";
when "01" & x"33a" => data <= x"b1";
when "01" & x"33b" => data <= x"a9";
when "01" & x"33c" => data <= x"00";
when "01" & x"33d" => data <= x"ba";
when "01" & x"33e" => data <= x"9d";
when "01" & x"33f" => data <= x"06";
when "01" & x"340" => data <= x"01";
when "01" & x"341" => data <= x"a9";
when "01" & x"342" => data <= x"06";
when "01" & x"343" => data <= x"20";
when "01" & x"344" => data <= x"15";
when "01" & x"345" => data <= x"80";
when "01" & x"346" => data <= x"a2";
when "01" & x"347" => data <= x"0d";
when "01" & x"348" => data <= x"bd";
when "01" & x"349" => data <= x"49";
when "01" & x"34a" => data <= x"99";
when "01" & x"34b" => data <= x"9d";
when "01" & x"34c" => data <= x"12";
when "01" & x"34d" => data <= x"02";
when "01" & x"34e" => data <= x"ca";
when "01" & x"34f" => data <= x"10";
when "01" & x"350" => data <= x"f7";
when "01" & x"351" => data <= x"20";
when "01" & x"352" => data <= x"28";
when "01" & x"353" => data <= x"99";
when "01" & x"354" => data <= x"84";
when "01" & x"355" => data <= x"b1";
when "01" & x"356" => data <= x"86";
when "01" & x"357" => data <= x"b0";
when "01" & x"358" => data <= x"a2";
when "01" & x"359" => data <= x"07";
when "01" & x"35a" => data <= x"a0";
when "01" & x"35b" => data <= x"1b";
when "01" & x"35c" => data <= x"b9";
when "01" & x"35d" => data <= x"3c";
when "01" & x"35e" => data <= x"99";
when "01" & x"35f" => data <= x"91";
when "01" & x"360" => data <= x"b0";
when "01" & x"361" => data <= x"c8";
when "01" & x"362" => data <= x"b9";
when "01" & x"363" => data <= x"3c";
when "01" & x"364" => data <= x"99";
when "01" & x"365" => data <= x"91";
when "01" & x"366" => data <= x"b0";
when "01" & x"367" => data <= x"c8";
when "01" & x"368" => data <= x"a5";
when "01" & x"369" => data <= x"f4";
when "01" & x"36a" => data <= x"91";
when "01" & x"36b" => data <= x"b0";
when "01" & x"36c" => data <= x"c8";
when "01" & x"36d" => data <= x"ca";
when "01" & x"36e" => data <= x"d0";
when "01" & x"36f" => data <= x"ec";
when "01" & x"370" => data <= x"86";
when "01" & x"371" => data <= x"cf";
when "01" & x"372" => data <= x"8c";
when "01" & x"373" => data <= x"82";
when "01" & x"374" => data <= x"10";
when "01" & x"375" => data <= x"a2";
when "01" & x"376" => data <= x"0f";
when "01" & x"377" => data <= x"20";
when "01" & x"378" => data <= x"2c";
when "01" & x"379" => data <= x"99";
when "01" & x"37a" => data <= x"20";
when "01" & x"37b" => data <= x"9e";
when "01" & x"37c" => data <= x"98";
when "01" & x"37d" => data <= x"a0";
when "01" & x"37e" => data <= x"d4";
when "01" & x"37f" => data <= x"b1";
when "01" & x"380" => data <= x"b0";
when "01" & x"381" => data <= x"10";
when "01" & x"382" => data <= x"2f";
when "01" & x"383" => data <= x"a0";
when "01" & x"384" => data <= x"d5";
when "01" & x"385" => data <= x"b1";
when "01" & x"386" => data <= x"b0";
when "01" & x"387" => data <= x"30";
when "01" & x"388" => data <= x"27";
when "01" & x"389" => data <= x"20";
when "01" & x"38a" => data <= x"8f";
when "01" & x"38b" => data <= x"98";
when "01" & x"38c" => data <= x"a0";
when "01" & x"38d" => data <= x"00";
when "01" & x"38e" => data <= x"b1";
when "01" & x"38f" => data <= x"b0";
when "01" & x"390" => data <= x"c0";
when "01" & x"391" => data <= x"c0";
when "01" & x"392" => data <= x"90";
when "01" & x"393" => data <= x"05";
when "01" & x"394" => data <= x"99";
when "01" & x"395" => data <= x"00";
when "01" & x"396" => data <= x"10";
when "01" & x"397" => data <= x"b0";
when "01" & x"398" => data <= x"03";
when "01" & x"399" => data <= x"99";
when "01" & x"39a" => data <= x"00";
when "01" & x"39b" => data <= x"11";
when "01" & x"39c" => data <= x"88";
when "01" & x"39d" => data <= x"d0";
when "01" & x"39e" => data <= x"ef";
when "01" & x"39f" => data <= x"a9";
when "01" & x"3a0" => data <= x"a0";
when "01" & x"3a1" => data <= x"a8";
when "01" & x"3a2" => data <= x"48";
when "01" & x"3a3" => data <= x"a9";
when "01" & x"3a4" => data <= x"3f";
when "01" & x"3a5" => data <= x"20";
when "01" & x"3a6" => data <= x"43";
when "01" & x"3a7" => data <= x"91";
when "01" & x"3a8" => data <= x"68";
when "01" & x"3a9" => data <= x"99";
when "01" & x"3aa" => data <= x"1d";
when "01" & x"3ab" => data <= x"11";
when "01" & x"3ac" => data <= x"e9";
when "01" & x"3ad" => data <= x"1f";
when "01" & x"3ae" => data <= x"d0";
when "01" & x"3af" => data <= x"f1";
when "01" & x"3b0" => data <= x"68";
when "01" & x"3b1" => data <= x"60";
when "01" & x"3b2" => data <= x"a9";
when "01" & x"3b3" => data <= x"ff";
when "01" & x"3b4" => data <= x"91";
when "01" & x"3b5" => data <= x"b0";
when "01" & x"3b6" => data <= x"8d";
when "01" & x"3b7" => data <= x"d4";
when "01" & x"3b8" => data <= x"10";
when "01" & x"3b9" => data <= x"20";
when "01" & x"3ba" => data <= x"8f";
when "01" & x"3bb" => data <= x"98";
when "01" & x"3bc" => data <= x"20";
when "01" & x"3bd" => data <= x"24";
when "01" & x"3be" => data <= x"99";
when "01" & x"3bf" => data <= x"8a";
when "01" & x"3c0" => data <= x"49";
when "01" & x"3c1" => data <= x"ff";
when "01" & x"3c2" => data <= x"8d";
when "01" & x"3c3" => data <= x"d7";
when "01" & x"3c4" => data <= x"10";
when "01" & x"3c5" => data <= x"a9";
when "01" & x"3c6" => data <= x"24";
when "01" & x"3c7" => data <= x"8d";
when "01" & x"3c8" => data <= x"ca";
when "01" & x"3c9" => data <= x"10";
when "01" & x"3ca" => data <= x"8d";
when "01" & x"3cb" => data <= x"cc";
when "01" & x"3cc" => data <= x"10";
when "01" & x"3cd" => data <= x"a0";
when "01" & x"3ce" => data <= x"00";
when "01" & x"3cf" => data <= x"8c";
when "01" & x"3d0" => data <= x"cb";
when "01" & x"3d1" => data <= x"10";
when "01" & x"3d2" => data <= x"8c";
when "01" & x"3d3" => data <= x"cd";
when "01" & x"3d4" => data <= x"10";
when "01" & x"3d5" => data <= x"a0";
when "01" & x"3d6" => data <= x"00";
when "01" & x"3d7" => data <= x"8c";
when "01" & x"3d8" => data <= x"c0";
when "01" & x"3d9" => data <= x"10";
when "01" & x"3da" => data <= x"8c";
when "01" & x"3db" => data <= x"c9";
when "01" & x"3dc" => data <= x"10";
when "01" & x"3dd" => data <= x"88";
when "01" & x"3de" => data <= x"8c";
when "01" & x"3df" => data <= x"c8";
when "01" & x"3e0" => data <= x"10";
when "01" & x"3e1" => data <= x"8c";
when "01" & x"3e2" => data <= x"c7";
when "01" & x"3e3" => data <= x"10";
when "01" & x"3e4" => data <= x"8c";
when "01" & x"3e5" => data <= x"de";
when "01" & x"3e6" => data <= x"10";
when "01" & x"3e7" => data <= x"20";
when "01" & x"3e8" => data <= x"37";
when "01" & x"3e9" => data <= x"b1";
when "01" & x"3ea" => data <= x"4c";
when "01" & x"3eb" => data <= x"04";
when "01" & x"3ec" => data <= x"94";
when "01" & x"3ed" => data <= x"00";
when "01" & x"3ee" => data <= x"00";
when "01" & x"3ef" => data <= x"00";
when "01" & x"3f0" => data <= x"00";
when "01" & x"3f1" => data <= x"00";
when "01" & x"3f2" => data <= x"00";
when "01" & x"3f3" => data <= x"00";
when "01" & x"3f4" => data <= x"00";
when "01" & x"3f5" => data <= x"00";
when "01" & x"3f6" => data <= x"00";
when "01" & x"3f7" => data <= x"00";
when "01" & x"3f8" => data <= x"00";
when "01" & x"3f9" => data <= x"00";
when "01" & x"3fa" => data <= x"00";
when "01" & x"3fb" => data <= x"00";
when "01" & x"3fc" => data <= x"00";
when "01" & x"3fd" => data <= x"00";
when "01" & x"3fe" => data <= x"00";
when "01" & x"3ff" => data <= x"00";
when "01" & x"400" => data <= x"00";
when "01" & x"401" => data <= x"00";
when "01" & x"402" => data <= x"00";
when "01" & x"403" => data <= x"00";
when "01" & x"404" => data <= x"68";
when "01" & x"405" => data <= x"d0";
when "01" & x"406" => data <= x"34";
when "01" & x"407" => data <= x"20";
when "01" & x"408" => data <= x"41";
when "01" & x"409" => data <= x"af";
when "01" & x"40a" => data <= x"a0";
when "01" & x"40b" => data <= x"00";
when "01" & x"40c" => data <= x"a2";
when "01" & x"40d" => data <= x"00";
when "01" & x"40e" => data <= x"ad";
when "01" & x"40f" => data <= x"06";
when "01" & x"410" => data <= x"0f";
when "01" & x"411" => data <= x"20";
when "01" & x"412" => data <= x"05";
when "01" & x"413" => data <= x"82";
when "01" & x"414" => data <= x"f0";
when "01" & x"415" => data <= x"25";
when "01" & x"416" => data <= x"48";
when "01" & x"417" => data <= x"a2";
when "01" & x"418" => data <= x"43";
when "01" & x"419" => data <= x"a0";
when "01" & x"41a" => data <= x"99";
when "01" & x"41b" => data <= x"20";
when "01" & x"41c" => data <= x"b8";
when "01" & x"41d" => data <= x"86";
when "01" & x"41e" => data <= x"20";
when "01" & x"41f" => data <= x"fe";
when "01" & x"420" => data <= x"80";
when "01" & x"421" => data <= x"20";
when "01" & x"422" => data <= x"96";
when "01" & x"423" => data <= x"82";
when "01" & x"424" => data <= x"68";
when "01" & x"425" => data <= x"b0";
when "01" & x"426" => data <= x"15";
when "01" & x"427" => data <= x"20";
when "01" & x"428" => data <= x"65";
when "01" & x"429" => data <= x"80";
when "01" & x"42a" => data <= x"46";
when "01" & x"42b" => data <= x"69";
when "01" & x"42c" => data <= x"6c";
when "01" & x"42d" => data <= x"65";
when "01" & x"42e" => data <= x"20";
when "01" & x"42f" => data <= x"6e";
when "01" & x"430" => data <= x"6f";
when "01" & x"431" => data <= x"74";
when "01" & x"432" => data <= x"20";
when "01" & x"433" => data <= x"66";
when "01" & x"434" => data <= x"6f";
when "01" & x"435" => data <= x"75";
when "01" & x"436" => data <= x"6e";
when "01" & x"437" => data <= x"64";
when "01" & x"438" => data <= x"0d";
when "01" & x"439" => data <= x"0d";
when "01" & x"43a" => data <= x"ea";
when "01" & x"43b" => data <= x"60";
when "01" & x"43c" => data <= x"c9";
when "01" & x"43d" => data <= x"02";
when "01" & x"43e" => data <= x"90";
when "01" & x"43f" => data <= x"0e";
when "01" & x"440" => data <= x"f0";
when "01" & x"441" => data <= x"06";
when "01" & x"442" => data <= x"a2";
when "01" & x"443" => data <= x"41";
when "01" & x"444" => data <= x"a0";
when "01" & x"445" => data <= x"99";
when "01" & x"446" => data <= x"d0";
when "01" & x"447" => data <= x"0a";
when "01" & x"448" => data <= x"a2";
when "01" & x"449" => data <= x"43";
when "01" & x"44a" => data <= x"a0";
when "01" & x"44b" => data <= x"99";
when "01" & x"44c" => data <= x"d0";
when "01" & x"44d" => data <= x"04";
when "01" & x"44e" => data <= x"a2";
when "01" & x"44f" => data <= x"39";
when "01" & x"450" => data <= x"a0";
when "01" & x"451" => data <= x"99";
when "01" & x"452" => data <= x"4c";
when "01" & x"453" => data <= x"f7";
when "01" & x"454" => data <= x"ff";
when "01" & x"455" => data <= x"c9";
when "01" & x"456" => data <= x"01";
when "01" & x"457" => data <= x"d0";
when "01" & x"458" => data <= x"07";
when "01" & x"459" => data <= x"c0";
when "01" & x"45a" => data <= x"17";
when "01" & x"45b" => data <= x"b0";
when "01" & x"45c" => data <= x"02";
when "01" & x"45d" => data <= x"a0";
when "01" & x"45e" => data <= x"17";
when "01" & x"45f" => data <= x"60";
when "01" & x"460" => data <= x"c9";
when "01" & x"461" => data <= x"02";
when "01" & x"462" => data <= x"d0";
when "01" & x"463" => data <= x"1a";
when "01" & x"464" => data <= x"48";
when "01" & x"465" => data <= x"69";
when "01" & x"466" => data <= x"12";
when "01" & x"467" => data <= x"85";
when "01" & x"468" => data <= x"b1";
when "01" & x"469" => data <= x"9d";
when "01" & x"46a" => data <= x"f0";
when "01" & x"46b" => data <= x"0d";
when "01" & x"46c" => data <= x"98";
when "01" & x"46d" => data <= x"ea";
when "01" & x"46e" => data <= x"48";
when "01" & x"46f" => data <= x"a9";
when "01" & x"470" => data <= x"00";
when "01" & x"471" => data <= x"85";
when "01" & x"472" => data <= x"b0";
when "01" & x"473" => data <= x"a0";
when "01" & x"474" => data <= x"d4";
when "01" & x"475" => data <= x"91";
when "01" & x"476" => data <= x"b0";
when "01" & x"477" => data <= x"c8";
when "01" & x"478" => data <= x"91";
when "01" & x"479" => data <= x"b0";
when "01" & x"47a" => data <= x"68";
when "01" & x"47b" => data <= x"a8";
when "01" & x"47c" => data <= x"68";
when "01" & x"47d" => data <= x"60";
when "01" & x"47e" => data <= x"c9";
when "01" & x"47f" => data <= x"03";
when "01" & x"480" => data <= x"d0";
when "01" & x"481" => data <= x"19";
when "01" & x"482" => data <= x"84";
when "01" & x"483" => data <= x"b3";
when "01" & x"484" => data <= x"20";
when "01" & x"485" => data <= x"e1";
when "01" & x"486" => data <= x"83";
when "01" & x"487" => data <= x"4c";
when "01" & x"488" => data <= x"8f";
when "01" & x"489" => data <= x"a9";
when "01" & x"48a" => data <= x"f4";
when "01" & x"48b" => data <= x"ff";
when "01" & x"48c" => data <= x"8a";
when "01" & x"48d" => data <= x"30";
when "01" & x"48e" => data <= x"09";
when "01" & x"48f" => data <= x"c9";
when "01" & x"490" => data <= x"32";
when "01" & x"491" => data <= x"d0";
when "01" & x"492" => data <= x"ea";
when "01" & x"493" => data <= x"a9";
when "01" & x"494" => data <= x"78";
when "01" & x"495" => data <= x"20";
when "01" & x"496" => data <= x"f4";
when "01" & x"497" => data <= x"ff";
when "01" & x"498" => data <= x"4c";
when "01" & x"499" => data <= x"20";
when "01" & x"49a" => data <= x"93";
when "01" & x"49b" => data <= x"c9";
when "01" & x"49c" => data <= x"04";
when "01" & x"49d" => data <= x"d0";
when "01" & x"49e" => data <= x"08";
when "01" & x"49f" => data <= x"20";
when "01" & x"4a0" => data <= x"e1";
when "01" & x"4a1" => data <= x"83";
when "01" & x"4a2" => data <= x"a2";
when "01" & x"4a3" => data <= x"72";
when "01" & x"4a4" => data <= x"4c";
when "01" & x"4a5" => data <= x"71";
when "01" & x"4a6" => data <= x"86";
when "01" & x"4a7" => data <= x"c9";
when "01" & x"4a8" => data <= x"09";
when "01" & x"4a9" => data <= x"d0";
when "01" & x"4aa" => data <= x"12";
when "01" & x"4ab" => data <= x"20";
when "01" & x"4ac" => data <= x"e1";
when "01" & x"4ad" => data <= x"83";
when "01" & x"4ae" => data <= x"4c";
when "01" & x"4af" => data <= x"cc";
when "01" & x"4b0" => data <= x"b3";
when "01" & x"4b1" => data <= x"a0";
when "01" & x"4b2" => data <= x"c9";
when "01" & x"4b3" => data <= x"0d";
when "01" & x"4b4" => data <= x"d0";
when "01" & x"4b5" => data <= x"ee";
when "01" & x"4b6" => data <= x"98";
when "01" & x"4b7" => data <= x"e8";
when "01" & x"4b8" => data <= x"a0";
when "01" & x"4b9" => data <= x"02";
when "01" & x"4ba" => data <= x"4c";
when "01" & x"4bb" => data <= x"cb";
when "01" & x"4bc" => data <= x"99";
when "01" & x"4bd" => data <= x"c9";
when "01" & x"4be" => data <= x"0a";
when "01" & x"4bf" => data <= x"d0";
when "01" & x"4c0" => data <= x"29";
when "01" & x"4c1" => data <= x"20";
when "01" & x"4c2" => data <= x"e1";
when "01" & x"4c3" => data <= x"83";
when "01" & x"4c4" => data <= x"20";
when "01" & x"4c5" => data <= x"9e";
when "01" & x"4c6" => data <= x"98";
when "01" & x"4c7" => data <= x"a0";
when "01" & x"4c8" => data <= x"d5";
when "01" & x"4c9" => data <= x"b1";
when "01" & x"4ca" => data <= x"b0";
when "01" & x"4cb" => data <= x"10";
when "01" & x"4cc" => data <= x"1c";
when "01" & x"4cd" => data <= x"a0";
when "01" & x"4ce" => data <= x"00";
when "01" & x"4cf" => data <= x"c0";
when "01" & x"4d0" => data <= x"c0";
when "01" & x"4d1" => data <= x"90";
when "01" & x"4d2" => data <= x"05";
when "01" & x"4d3" => data <= x"b9";
when "01" & x"4d4" => data <= x"00";
when "01" & x"4d5" => data <= x"10";
when "01" & x"4d6" => data <= x"b0";
when "01" & x"4d7" => data <= x"03";
when "01" & x"4d8" => data <= x"b9";
when "01" & x"4d9" => data <= x"00";
when "01" & x"4da" => data <= x"11";
when "01" & x"4db" => data <= x"91";
when "01" & x"4dc" => data <= x"b0";
when "01" & x"4dd" => data <= x"88";
when "01" & x"4de" => data <= x"d0";
when "01" & x"4df" => data <= x"ef";
when "01" & x"4e0" => data <= x"20";
when "01" & x"4e1" => data <= x"f2";
when "01" & x"4e2" => data <= x"8f";
when "01" & x"4e3" => data <= x"a0";
when "01" & x"4e4" => data <= x"d5";
when "01" & x"4e5" => data <= x"a9";
when "01" & x"4e6" => data <= x"00";
when "01" & x"4e7" => data <= x"91";
when "01" & x"4e8" => data <= x"b0";
when "01" & x"4e9" => data <= x"60";
when "01" & x"4ea" => data <= x"c9";
when "01" & x"4eb" => data <= x"08";
when "01" & x"4ec" => data <= x"d0";
when "01" & x"4ed" => data <= x"15";
when "01" & x"4ee" => data <= x"20";
when "01" & x"4ef" => data <= x"11";
when "01" & x"4f0" => data <= x"84";
when "01" & x"4f1" => data <= x"a4";
when "01" & x"4f2" => data <= x"f0";
when "01" & x"4f3" => data <= x"84";
when "01" & x"4f4" => data <= x"b0";
when "01" & x"4f5" => data <= x"a4";
when "01" & x"4f6" => data <= x"f1";
when "01" & x"4f7" => data <= x"84";
when "01" & x"4f8" => data <= x"b1";
when "01" & x"4f9" => data <= x"a4";
when "01" & x"4fa" => data <= x"ef";
when "01" & x"4fb" => data <= x"c0";
when "01" & x"4fc" => data <= x"7f";
when "01" & x"4fd" => data <= x"d0";
when "01" & x"4fe" => data <= x"4c";
when "01" & x"4ff" => data <= x"4c";
when "01" & x"500" => data <= x"46";
when "01" & x"501" => data <= x"be";
when "01" & x"502" => data <= x"00";
when "01" & x"503" => data <= x"4c";
when "01" & x"504" => data <= x"f6";
when "01" & x"505" => data <= x"a0";
when "01" & x"506" => data <= x"00";
when "01" & x"507" => data <= x"00";
when "01" & x"508" => data <= x"00";
when "01" & x"509" => data <= x"00";
when "01" & x"50a" => data <= x"00";
when "01" & x"50b" => data <= x"00";
when "01" & x"50c" => data <= x"00";
when "01" & x"50d" => data <= x"00";
when "01" & x"50e" => data <= x"00";
when "01" & x"50f" => data <= x"00";
when "01" & x"510" => data <= x"00";
when "01" & x"511" => data <= x"00";
when "01" & x"512" => data <= x"00";
when "01" & x"513" => data <= x"00";
when "01" & x"514" => data <= x"00";
when "01" & x"515" => data <= x"00";
when "01" & x"516" => data <= x"00";
when "01" & x"517" => data <= x"00";
when "01" & x"518" => data <= x"00";
when "01" & x"519" => data <= x"00";
when "01" & x"51a" => data <= x"00";
when "01" & x"51b" => data <= x"00";
when "01" & x"51c" => data <= x"00";
when "01" & x"51d" => data <= x"00";
when "01" & x"51e" => data <= x"00";
when "01" & x"51f" => data <= x"00";
when "01" & x"520" => data <= x"00";
when "01" & x"521" => data <= x"00";
when "01" & x"522" => data <= x"00";
when "01" & x"523" => data <= x"00";
when "01" & x"524" => data <= x"00";
when "01" & x"525" => data <= x"00";
when "01" & x"526" => data <= x"00";
when "01" & x"527" => data <= x"00";
when "01" & x"528" => data <= x"00";
when "01" & x"529" => data <= x"00";
when "01" & x"52a" => data <= x"00";
when "01" & x"52b" => data <= x"00";
when "01" & x"52c" => data <= x"00";
when "01" & x"52d" => data <= x"00";
when "01" & x"52e" => data <= x"00";
when "01" & x"52f" => data <= x"00";
when "01" & x"530" => data <= x"00";
when "01" & x"531" => data <= x"00";
when "01" & x"532" => data <= x"00";
when "01" & x"533" => data <= x"00";
when "01" & x"534" => data <= x"00";
when "01" & x"535" => data <= x"00";
when "01" & x"536" => data <= x"00";
when "01" & x"537" => data <= x"00";
when "01" & x"538" => data <= x"00";
when "01" & x"539" => data <= x"00";
when "01" & x"53a" => data <= x"00";
when "01" & x"53b" => data <= x"00";
when "01" & x"53c" => data <= x"00";
when "01" & x"53d" => data <= x"00";
when "01" & x"53e" => data <= x"00";
when "01" & x"53f" => data <= x"00";
when "01" & x"540" => data <= x"00";
when "01" & x"541" => data <= x"00";
when "01" & x"542" => data <= x"00";
when "01" & x"543" => data <= x"00";
when "01" & x"544" => data <= x"00";
when "01" & x"545" => data <= x"00";
when "01" & x"546" => data <= x"00";
when "01" & x"547" => data <= x"00";
when "01" & x"548" => data <= x"00";
when "01" & x"549" => data <= x"00";
when "01" & x"54a" => data <= x"00";
when "01" & x"54b" => data <= x"c0";
when "01" & x"54c" => data <= x"7d";
when "01" & x"54d" => data <= x"90";
when "01" & x"54e" => data <= x"2b";
when "01" & x"54f" => data <= x"20";
when "01" & x"550" => data <= x"4d";
when "01" & x"551" => data <= x"83";
when "01" & x"552" => data <= x"20";
when "01" & x"553" => data <= x"47";
when "01" & x"554" => data <= x"83";
when "01" & x"555" => data <= x"c0";
when "01" & x"556" => data <= x"7e";
when "01" & x"557" => data <= x"f0";
when "01" & x"558" => data <= x"09";
when "01" & x"559" => data <= x"a0";
when "01" & x"55a" => data <= x"00";
when "01" & x"55b" => data <= x"ad";
when "01" & x"55c" => data <= x"04";
when "01" & x"55d" => data <= x"0f";
when "01" & x"55e" => data <= x"91";
when "01" & x"55f" => data <= x"b0";
when "01" & x"560" => data <= x"98";
when "01" & x"561" => data <= x"60";
when "01" & x"562" => data <= x"a9";
when "01" & x"563" => data <= x"00";
when "01" & x"564" => data <= x"a8";
when "01" & x"565" => data <= x"91";
when "01" & x"566" => data <= x"b0";
when "01" & x"567" => data <= x"c8";
when "01" & x"568" => data <= x"ad";
when "01" & x"569" => data <= x"07";
when "01" & x"56a" => data <= x"0f";
when "01" & x"56b" => data <= x"91";
when "01" & x"56c" => data <= x"b0";
when "01" & x"56d" => data <= x"c8";
when "01" & x"56e" => data <= x"ad";
when "01" & x"56f" => data <= x"06";
when "01" & x"570" => data <= x"0f";
when "01" & x"571" => data <= x"29";
when "01" & x"572" => data <= x"03";
when "01" & x"573" => data <= x"91";
when "01" & x"574" => data <= x"b0";
when "01" & x"575" => data <= x"c8";
when "01" & x"576" => data <= x"a9";
when "01" & x"577" => data <= x"00";
when "01" & x"578" => data <= x"91";
when "01" & x"579" => data <= x"b0";
when "01" & x"57a" => data <= x"60";
when "01" & x"57b" => data <= x"20";
when "01" & x"57c" => data <= x"11";
when "01" & x"57d" => data <= x"84";
when "01" & x"57e" => data <= x"48";
when "01" & x"57f" => data <= x"20";
when "01" & x"580" => data <= x"62";
when "01" & x"581" => data <= x"82";
when "01" & x"582" => data <= x"86";
when "01" & x"583" => data <= x"b0";
when "01" & x"584" => data <= x"8e";
when "01" & x"585" => data <= x"dc";
when "01" & x"586" => data <= x"10";
when "01" & x"587" => data <= x"84";
when "01" & x"588" => data <= x"b1";
when "01" & x"589" => data <= x"8c";
when "01" & x"58a" => data <= x"dd";
when "01" & x"58b" => data <= x"10";
when "01" & x"58c" => data <= x"a2";
when "01" & x"58d" => data <= x"00";
when "01" & x"58e" => data <= x"a0";
when "01" & x"58f" => data <= x"00";
when "01" & x"590" => data <= x"20";
when "01" & x"591" => data <= x"ea";
when "01" & x"592" => data <= x"80";
when "01" & x"593" => data <= x"20";
when "01" & x"594" => data <= x"da";
when "01" & x"595" => data <= x"80";
when "01" & x"596" => data <= x"c0";
when "01" & x"597" => data <= x"12";
when "01" & x"598" => data <= x"d0";
when "01" & x"599" => data <= x"f9";
when "01" & x"59a" => data <= x"68";
when "01" & x"59b" => data <= x"aa";
when "01" & x"59c" => data <= x"e8";
when "01" & x"59d" => data <= x"e0";
when "01" & x"59e" => data <= x"08";
when "01" & x"59f" => data <= x"b0";
when "01" & x"5a0" => data <= x"08";
when "01" & x"5a1" => data <= x"bd";
when "01" & x"5a2" => data <= x"8c";
when "01" & x"5a3" => data <= x"99";
when "01" & x"5a4" => data <= x"48";
when "01" & x"5a5" => data <= x"bd";
when "01" & x"5a6" => data <= x"84";
when "01" & x"5a7" => data <= x"99";
when "01" & x"5a8" => data <= x"48";
when "01" & x"5a9" => data <= x"60";
when "01" & x"5aa" => data <= x"c9";
when "01" & x"5ab" => data <= x"09";
when "01" & x"5ac" => data <= x"b0";
when "01" & x"5ad" => data <= x"fb";
when "01" & x"5ae" => data <= x"86";
when "01" & x"5af" => data <= x"b5";
when "01" & x"5b0" => data <= x"aa";
when "01" & x"5b1" => data <= x"bd";
when "01" & x"5b2" => data <= x"75";
when "01" & x"5b3" => data <= x"99";
when "01" & x"5b4" => data <= x"48";
when "01" & x"5b5" => data <= x"bd";
when "01" & x"5b6" => data <= x"6c";
when "01" & x"5b7" => data <= x"99";
when "01" & x"5b8" => data <= x"48";
when "01" & x"5b9" => data <= x"8a";
when "01" & x"5ba" => data <= x"a6";
when "01" & x"5bb" => data <= x"b5";
when "01" & x"5bc" => data <= x"60";
when "01" & x"5bd" => data <= x"a9";
when "01" & x"5be" => data <= x"ff";
when "01" & x"5bf" => data <= x"95";
when "01" & x"5c0" => data <= x"02";
when "01" & x"5c1" => data <= x"95";
when "01" & x"5c2" => data <= x"03";
when "01" & x"5c3" => data <= x"ad";
when "01" & x"5c4" => data <= x"da";
when "01" & x"5c5" => data <= x"10";
when "01" & x"5c6" => data <= x"95";
when "01" & x"5c7" => data <= x"00";
when "01" & x"5c8" => data <= x"ad";
when "01" & x"5c9" => data <= x"db";
when "01" & x"5ca" => data <= x"10";
when "01" & x"5cb" => data <= x"95";
when "01" & x"5cc" => data <= x"01";
when "01" & x"5cd" => data <= x"a9";
when "01" & x"5ce" => data <= x"00";
when "01" & x"5cf" => data <= x"60";
when "01" & x"5d0" => data <= x"c9";
when "01" & x"5d1" => data <= x"09";
when "01" & x"5d2" => data <= x"b0";
when "01" & x"5d3" => data <= x"fb";
when "01" & x"5d4" => data <= x"20";
when "01" & x"5d5" => data <= x"e1";
when "01" & x"5d6" => data <= x"83";
when "01" & x"5d7" => data <= x"8e";
when "01" & x"5d8" => data <= x"7d";
when "01" & x"5d9" => data <= x"10";
when "01" & x"5da" => data <= x"8c";
when "01" & x"5db" => data <= x"7e";
when "01" & x"5dc" => data <= x"10";
when "01" & x"5dd" => data <= x"a8";
when "01" & x"5de" => data <= x"ba";
when "01" & x"5df" => data <= x"a9";
when "01" & x"5e0" => data <= x"00";
when "01" & x"5e1" => data <= x"4c";
when "01" & x"5e2" => data <= x"d8";
when "01" & x"5e3" => data <= x"a0";
when "01" & x"5e4" => data <= x"b9";
when "01" & x"5e5" => data <= x"ab";
when "01" & x"5e6" => data <= x"99";
when "01" & x"5e7" => data <= x"8d";
when "01" & x"5e8" => data <= x"d8";
when "01" & x"5e9" => data <= x"10";
when "01" & x"5ea" => data <= x"b9";
when "01" & x"5eb" => data <= x"b4";
when "01" & x"5ec" => data <= x"99";
when "01" & x"5ed" => data <= x"8d";
when "01" & x"5ee" => data <= x"d9";
when "01" & x"5ef" => data <= x"10";
when "01" & x"5f0" => data <= x"b9";
when "01" & x"5f1" => data <= x"bd";
when "01" & x"5f2" => data <= x"99";
when "01" & x"5f3" => data <= x"4a";
when "01" & x"5f4" => data <= x"08";
when "01" & x"5f5" => data <= x"4a";
when "01" & x"5f6" => data <= x"08";
when "01" & x"5f7" => data <= x"8d";
when "01" & x"5f8" => data <= x"7f";
when "01" & x"5f9" => data <= x"10";
when "01" & x"5fa" => data <= x"20";
when "01" & x"5fb" => data <= x"56";
when "01" & x"5fc" => data <= x"97";
when "01" & x"5fd" => data <= x"a0";
when "01" & x"5fe" => data <= x"0c";
when "01" & x"5ff" => data <= x"b1";
when "01" & x"600" => data <= x"b4";
when "01" & x"601" => data <= x"99";
when "01" & x"602" => data <= x"60";
when "01" & x"603" => data <= x"10";
when "01" & x"604" => data <= x"88";
when "01" & x"605" => data <= x"10";
when "01" & x"606" => data <= x"f8";
when "01" & x"607" => data <= x"ad";
when "01" & x"608" => data <= x"63";
when "01" & x"609" => data <= x"10";
when "01" & x"60a" => data <= x"2d";
when "01" & x"60b" => data <= x"64";
when "01" & x"60c" => data <= x"10";
when "01" & x"60d" => data <= x"0d";
when "01" & x"60e" => data <= x"d7";
when "01" & x"60f" => data <= x"10";
when "01" & x"610" => data <= x"18";
when "01" & x"611" => data <= x"69";
when "01" & x"612" => data <= x"01";
when "01" & x"613" => data <= x"4c";
when "01" & x"614" => data <= x"eb";
when "01" & x"615" => data <= x"a0";
when "01" & x"616" => data <= x"ea";
when "01" & x"617" => data <= x"8d";
when "01" & x"618" => data <= x"81";
when "01" & x"619" => data <= x"10";
when "01" & x"61a" => data <= x"ad";
when "01" & x"61b" => data <= x"7f";
when "01" & x"61c" => data <= x"10";
when "01" & x"61d" => data <= x"b0";
when "01" & x"61e" => data <= x"07";
when "01" & x"61f" => data <= x"a2";
when "01" & x"620" => data <= x"61";
when "01" & x"621" => data <= x"a0";
when "01" & x"622" => data <= x"10";
when "01" & x"623" => data <= x"20";
when "01" & x"624" => data <= x"06";
when "01" & x"625" => data <= x"04";
when "01" & x"626" => data <= x"28";
when "01" & x"627" => data <= x"b0";
when "01" & x"628" => data <= x"04";
when "01" & x"629" => data <= x"28";
when "01" & x"62a" => data <= x"6c";
when "01" & x"62b" => data <= x"d8";
when "01" & x"62c" => data <= x"10";
when "01" & x"62d" => data <= x"a2";
when "01" & x"62e" => data <= x"03";
when "01" & x"62f" => data <= x"bd";
when "01" & x"630" => data <= x"69";
when "01" & x"631" => data <= x"10";
when "01" & x"632" => data <= x"95";
when "01" & x"633" => data <= x"b6";
when "01" & x"634" => data <= x"ca";
when "01" & x"635" => data <= x"10";
when "01" & x"636" => data <= x"f8";
when "01" & x"637" => data <= x"a2";
when "01" & x"638" => data <= x"b6";
when "01" & x"639" => data <= x"ac";
when "01" & x"63a" => data <= x"60";
when "01" & x"63b" => data <= x"10";
when "01" & x"63c" => data <= x"a9";
when "01" & x"63d" => data <= x"00";
when "01" & x"63e" => data <= x"28";
when "01" & x"63f" => data <= x"b0";
when "01" & x"640" => data <= x"03";
when "01" & x"641" => data <= x"20";
when "01" & x"642" => data <= x"a7";
when "01" & x"643" => data <= x"92";
when "01" & x"644" => data <= x"20";
when "01" & x"645" => data <= x"2e";
when "01" & x"646" => data <= x"90";
when "01" & x"647" => data <= x"a2";
when "01" & x"648" => data <= x"03";
when "01" & x"649" => data <= x"b5";
when "01" & x"64a" => data <= x"b6";
when "01" & x"64b" => data <= x"9d";
when "01" & x"64c" => data <= x"69";
when "01" & x"64d" => data <= x"10";
when "01" & x"64e" => data <= x"ca";
when "01" & x"64f" => data <= x"10";
when "01" & x"650" => data <= x"f8";
when "01" & x"651" => data <= x"20";
when "01" & x"652" => data <= x"48";
when "01" & x"653" => data <= x"97";
when "01" & x"654" => data <= x"30";
when "01" & x"655" => data <= x"0d";
when "01" & x"656" => data <= x"ac";
when "01" & x"657" => data <= x"60";
when "01" & x"658" => data <= x"10";
when "01" & x"659" => data <= x"20";
when "01" & x"65a" => data <= x"2a";
when "01" & x"65b" => data <= x"96";
when "01" & x"65c" => data <= x"b0";
when "01" & x"65d" => data <= x"0d";
when "01" & x"65e" => data <= x"a2";
when "01" & x"65f" => data <= x"09";
when "01" & x"660" => data <= x"20";
when "01" & x"661" => data <= x"3c";
when "01" & x"662" => data <= x"97";
when "01" & x"663" => data <= x"a2";
when "01" & x"664" => data <= x"05";
when "01" & x"665" => data <= x"20";
when "01" & x"666" => data <= x"3c";
when "01" & x"667" => data <= x"97";
when "01" & x"668" => data <= x"d0";
when "01" & x"669" => data <= x"ec";
when "01" & x"66a" => data <= x"18";
when "01" & x"66b" => data <= x"08";
when "01" & x"66c" => data <= x"20";
when "01" & x"66d" => data <= x"48";
when "01" & x"66e" => data <= x"97";
when "01" & x"66f" => data <= x"a2";
when "01" & x"670" => data <= x"05";
when "01" & x"671" => data <= x"20";
when "01" & x"672" => data <= x"3c";
when "01" & x"673" => data <= x"97";
when "01" & x"674" => data <= x"a0";
when "01" & x"675" => data <= x"0c";
when "01" & x"676" => data <= x"20";
when "01" & x"677" => data <= x"56";
when "01" & x"678" => data <= x"97";
when "01" & x"679" => data <= x"b9";
when "01" & x"67a" => data <= x"60";
when "01" & x"67b" => data <= x"10";
when "01" & x"67c" => data <= x"91";
when "01" & x"67d" => data <= x"b4";
when "01" & x"67e" => data <= x"88";
when "01" & x"67f" => data <= x"10";
when "01" & x"680" => data <= x"f8";
when "01" & x"681" => data <= x"28";
when "01" & x"682" => data <= x"60";
when "01" & x"683" => data <= x"20";
when "01" & x"684" => data <= x"4d";
when "01" & x"685" => data <= x"83";
when "01" & x"686" => data <= x"20";
when "01" & x"687" => data <= x"41";
when "01" & x"688" => data <= x"af";
when "01" & x"689" => data <= x"a9";
when "01" & x"68a" => data <= x"95";
when "01" & x"68b" => data <= x"8d";
when "01" & x"68c" => data <= x"d8";
when "01" & x"68d" => data <= x"10";
when "01" & x"68e" => data <= x"a9";
when "01" & x"68f" => data <= x"96";
when "01" & x"690" => data <= x"8d";
when "01" & x"691" => data <= x"d9";
when "01" & x"692" => data <= x"10";
when "01" & x"693" => data <= x"d0";
when "01" & x"694" => data <= x"bc";
when "01" & x"695" => data <= x"ac";
when "01" & x"696" => data <= x"69";
when "01" & x"697" => data <= x"10";
when "01" & x"698" => data <= x"cc";
when "01" & x"699" => data <= x"05";
when "01" & x"69a" => data <= x"0f";
when "01" & x"69b" => data <= x"b0";
when "01" & x"69c" => data <= x"28";
when "01" & x"69d" => data <= x"b9";
when "01" & x"69e" => data <= x"0f";
when "01" & x"69f" => data <= x"0e";
when "01" & x"6a0" => data <= x"20";
when "01" & x"6a1" => data <= x"ee";
when "01" & x"6a2" => data <= x"82";
when "01" & x"6a3" => data <= x"45";
when "01" & x"6a4" => data <= x"ce";
when "01" & x"6a5" => data <= x"b0";
when "01" & x"6a6" => data <= x"02";
when "01" & x"6a7" => data <= x"29";
when "01" & x"6a8" => data <= x"df";
when "01" & x"6a9" => data <= x"29";
when "01" & x"6aa" => data <= x"7f";
when "01" & x"6ab" => data <= x"f0";
when "01" & x"6ac" => data <= x"05";
when "01" & x"6ad" => data <= x"20";
when "01" & x"6ae" => data <= x"10";
when "01" & x"6af" => data <= x"82";
when "01" & x"6b0" => data <= x"d0";
when "01" & x"6b1" => data <= x"e6";
when "01" & x"6b2" => data <= x"a9";
when "01" & x"6b3" => data <= x"07";
when "01" & x"6b4" => data <= x"20";
when "01" & x"6b5" => data <= x"6a";
when "01" & x"6b6" => data <= x"97";
when "01" & x"6b7" => data <= x"85";
when "01" & x"6b8" => data <= x"b0";
when "01" & x"6b9" => data <= x"b9";
when "01" & x"6ba" => data <= x"08";
when "01" & x"6bb" => data <= x"0e";
when "01" & x"6bc" => data <= x"20";
when "01" & x"6bd" => data <= x"6a";
when "01" & x"6be" => data <= x"97";
when "01" & x"6bf" => data <= x"c8";
when "01" & x"6c0" => data <= x"c6";
when "01" & x"6c1" => data <= x"b0";
when "01" & x"6c2" => data <= x"d0";
when "01" & x"6c3" => data <= x"f5";
when "01" & x"6c4" => data <= x"18";
when "01" & x"6c5" => data <= x"8c";
when "01" & x"6c6" => data <= x"69";
when "01" & x"6c7" => data <= x"10";
when "01" & x"6c8" => data <= x"ad";
when "01" & x"6c9" => data <= x"04";
when "01" & x"6ca" => data <= x"0f";
when "01" & x"6cb" => data <= x"8d";
when "01" & x"6cc" => data <= x"60";
when "01" & x"6cd" => data <= x"10";
when "01" & x"6ce" => data <= x"60";
when "01" & x"6cf" => data <= x"20";
when "01" & x"6d0" => data <= x"4d";
when "01" & x"6d1" => data <= x"83";
when "01" & x"6d2" => data <= x"20";
when "01" & x"6d3" => data <= x"41";
when "01" & x"6d4" => data <= x"af";
when "01" & x"6d5" => data <= x"a9";
when "01" & x"6d6" => data <= x"0c";
when "01" & x"6d7" => data <= x"20";
when "01" & x"6d8" => data <= x"6a";
when "01" & x"6d9" => data <= x"97";
when "01" & x"6da" => data <= x"a0";
when "01" & x"6db" => data <= x"00";
when "01" & x"6dc" => data <= x"b9";
when "01" & x"6dd" => data <= x"00";
when "01" & x"6de" => data <= x"0e";
when "01" & x"6df" => data <= x"20";
when "01" & x"6e0" => data <= x"6a";
when "01" & x"6e1" => data <= x"97";
when "01" & x"6e2" => data <= x"c8";
when "01" & x"6e3" => data <= x"c0";
when "01" & x"6e4" => data <= x"08";
when "01" & x"6e5" => data <= x"d0";
when "01" & x"6e6" => data <= x"f5";
when "01" & x"6e7" => data <= x"b9";
when "01" & x"6e8" => data <= x"f8";
when "01" & x"6e9" => data <= x"0e";
when "01" & x"6ea" => data <= x"20";
when "01" & x"6eb" => data <= x"6a";
when "01" & x"6ec" => data <= x"97";
when "01" & x"6ed" => data <= x"c8";
when "01" & x"6ee" => data <= x"c0";
when "01" & x"6ef" => data <= x"0c";
when "01" & x"6f0" => data <= x"d0";
when "01" & x"6f1" => data <= x"f5";
when "01" & x"6f2" => data <= x"ad";
when "01" & x"6f3" => data <= x"06";
when "01" & x"6f4" => data <= x"0f";
when "01" & x"6f5" => data <= x"20";
when "01" & x"6f6" => data <= x"05";
when "01" & x"6f7" => data <= x"82";
when "01" & x"6f8" => data <= x"20";
when "01" & x"6f9" => data <= x"6a";
when "01" & x"6fa" => data <= x"97";
when "01" & x"6fb" => data <= x"a5";
when "01" & x"6fc" => data <= x"cf";
when "01" & x"6fd" => data <= x"4c";
when "01" & x"6fe" => data <= x"6a";
when "01" & x"6ff" => data <= x"97";
when "01" & x"700" => data <= x"20";
when "01" & x"701" => data <= x"61";
when "01" & x"702" => data <= x"97";
when "01" & x"703" => data <= x"ad";
when "01" & x"704" => data <= x"cb";
when "01" & x"705" => data <= x"10";
when "01" & x"706" => data <= x"09";
when "01" & x"707" => data <= x"30";
when "01" & x"708" => data <= x"20";
when "01" & x"709" => data <= x"6a";
when "01" & x"70a" => data <= x"97";
when "01" & x"70b" => data <= x"20";
when "01" & x"70c" => data <= x"61";
when "01" & x"70d" => data <= x"97";
when "01" & x"70e" => data <= x"ad";
when "01" & x"70f" => data <= x"ca";
when "01" & x"710" => data <= x"10";
when "01" & x"711" => data <= x"4c";
when "01" & x"712" => data <= x"6a";
when "01" & x"713" => data <= x"97";
when "01" & x"714" => data <= x"20";
when "01" & x"715" => data <= x"61";
when "01" & x"716" => data <= x"97";
when "01" & x"717" => data <= x"ad";
when "01" & x"718" => data <= x"cd";
when "01" & x"719" => data <= x"10";
when "01" & x"71a" => data <= x"09";
when "01" & x"71b" => data <= x"30";
when "01" & x"71c" => data <= x"20";
when "01" & x"71d" => data <= x"6a";
when "01" & x"71e" => data <= x"97";
when "01" & x"71f" => data <= x"20";
when "01" & x"720" => data <= x"61";
when "01" & x"721" => data <= x"97";
when "01" & x"722" => data <= x"ad";
when "01" & x"723" => data <= x"cc";
when "01" & x"724" => data <= x"10";
when "01" & x"725" => data <= x"4c";
when "01" & x"726" => data <= x"6a";
when "01" & x"727" => data <= x"97";
when "01" & x"728" => data <= x"48";
when "01" & x"729" => data <= x"ad";
when "01" & x"72a" => data <= x"61";
when "01" & x"72b" => data <= x"10";
when "01" & x"72c" => data <= x"85";
when "01" & x"72d" => data <= x"b8";
when "01" & x"72e" => data <= x"ad";
when "01" & x"72f" => data <= x"62";
when "01" & x"730" => data <= x"10";
when "01" & x"731" => data <= x"85";
when "01" & x"732" => data <= x"b9";
when "01" & x"733" => data <= x"a2";
when "01" & x"734" => data <= x"00";
when "01" & x"735" => data <= x"68";
when "01" & x"736" => data <= x"60";
when "01" & x"737" => data <= x"20";
when "01" & x"738" => data <= x"e1";
when "01" & x"739" => data <= x"83";
when "01" & x"73a" => data <= x"a2";
when "01" & x"73b" => data <= x"01";
when "01" & x"73c" => data <= x"a0";
when "01" & x"73d" => data <= x"04";
when "01" & x"73e" => data <= x"fe";
when "01" & x"73f" => data <= x"60";
when "01" & x"740" => data <= x"10";
when "01" & x"741" => data <= x"d0";
when "01" & x"742" => data <= x"04";
when "01" & x"743" => data <= x"e8";
when "01" & x"744" => data <= x"88";
when "01" & x"745" => data <= x"d0";
when "01" & x"746" => data <= x"f7";
when "01" & x"747" => data <= x"60";
when "01" & x"748" => data <= x"a2";
when "01" & x"749" => data <= x"03";
when "01" & x"74a" => data <= x"a9";
when "01" & x"74b" => data <= x"ff";
when "01" & x"74c" => data <= x"5d";
when "01" & x"74d" => data <= x"65";
when "01" & x"74e" => data <= x"10";
when "01" & x"74f" => data <= x"9d";
when "01" & x"750" => data <= x"65";
when "01" & x"751" => data <= x"10";
when "01" & x"752" => data <= x"ca";
when "01" & x"753" => data <= x"10";
when "01" & x"754" => data <= x"f5";
when "01" & x"755" => data <= x"60";
when "01" & x"756" => data <= x"ad";
when "01" & x"757" => data <= x"7d";
when "01" & x"758" => data <= x"10";
when "01" & x"759" => data <= x"85";
when "01" & x"75a" => data <= x"b4";
when "01" & x"75b" => data <= x"ad";
when "01" & x"75c" => data <= x"7e";
when "01" & x"75d" => data <= x"10";
when "01" & x"75e" => data <= x"85";
when "01" & x"75f" => data <= x"b5";
when "01" & x"760" => data <= x"60";
when "01" & x"761" => data <= x"a9";
when "01" & x"762" => data <= x"01";
when "01" & x"763" => data <= x"d0";
when "01" & x"764" => data <= x"05";
when "01" & x"765" => data <= x"20";
when "01" & x"766" => data <= x"c1";
when "01" & x"767" => data <= x"90";
when "01" & x"768" => data <= x"b0";
when "01" & x"769" => data <= x"f6";
when "01" & x"76a" => data <= x"2c";
when "01" & x"76b" => data <= x"81";
when "01" & x"76c" => data <= x"10";
when "01" & x"76d" => data <= x"10";
when "01" & x"76e" => data <= x"06";
when "01" & x"76f" => data <= x"8d";
when "01" & x"770" => data <= x"e5";
when "01" & x"771" => data <= x"fe";
when "01" & x"772" => data <= x"4c";
when "01" & x"773" => data <= x"37";
when "01" & x"774" => data <= x"97";
when "01" & x"775" => data <= x"20";
when "01" & x"776" => data <= x"28";
when "01" & x"777" => data <= x"97";
when "01" & x"778" => data <= x"81";
when "01" & x"779" => data <= x"b8";
when "01" & x"77a" => data <= x"4c";
when "01" & x"77b" => data <= x"37";
when "01" & x"77c" => data <= x"97";
when "01" & x"77d" => data <= x"20";
when "01" & x"77e" => data <= x"85";
when "01" & x"77f" => data <= x"97";
when "01" & x"780" => data <= x"20";
when "01" & x"781" => data <= x"aa";
when "01" & x"782" => data <= x"91";
when "01" & x"783" => data <= x"18";
when "01" & x"784" => data <= x"60";
when "01" & x"785" => data <= x"2c";
when "01" & x"786" => data <= x"81";
when "01" & x"787" => data <= x"10";
when "01" & x"788" => data <= x"10";
when "01" & x"789" => data <= x"06";
when "01" & x"78a" => data <= x"ad";
when "01" & x"78b" => data <= x"e5";
when "01" & x"78c" => data <= x"fe";
when "01" & x"78d" => data <= x"4c";
when "01" & x"78e" => data <= x"37";
when "01" & x"78f" => data <= x"97";
when "01" & x"790" => data <= x"20";
when "01" & x"791" => data <= x"28";
when "01" & x"792" => data <= x"97";
when "01" & x"793" => data <= x"a1";
when "01" & x"794" => data <= x"b8";
when "01" & x"795" => data <= x"4c";
when "01" & x"796" => data <= x"37";
when "01" & x"797" => data <= x"97";
when "01" & x"798" => data <= x"2c";
when "01" & x"799" => data <= x"c8";
when "01" & x"79a" => data <= x"10";
when "01" & x"79b" => data <= x"30";
when "01" & x"79c" => data <= x"03";
when "01" & x"79d" => data <= x"ce";
when "01" & x"79e" => data <= x"c8";
when "01" & x"79f" => data <= x"10";
when "01" & x"7a0" => data <= x"60";
when "01" & x"7a1" => data <= x"20";
when "01" & x"7a2" => data <= x"5a";
when "01" & x"7a3" => data <= x"98";
when "01" & x"7a4" => data <= x"20";
when "01" & x"7a5" => data <= x"7e";
when "01" & x"7a6" => data <= x"83";
when "01" & x"7a7" => data <= x"a9";
when "01" & x"7a8" => data <= x"01";
when "01" & x"7a9" => data <= x"60";
when "01" & x"7aa" => data <= x"20";
when "01" & x"7ab" => data <= x"37";
when "01" & x"7ac" => data <= x"98";
when "01" & x"7ad" => data <= x"20";
when "01" & x"7ae" => data <= x"7e";
when "01" & x"7af" => data <= x"83";
when "01" & x"7b0" => data <= x"20";
when "01" & x"7b1" => data <= x"d1";
when "01" & x"7b2" => data <= x"82";
when "01" & x"7b3" => data <= x"90";
when "01" & x"7b4" => data <= x"24";
when "01" & x"7b5" => data <= x"20";
when "01" & x"7b6" => data <= x"37";
when "01" & x"7b7" => data <= x"98";
when "01" & x"7b8" => data <= x"20";
when "01" & x"7b9" => data <= x"df";
when "01" & x"7ba" => data <= x"97";
when "01" & x"7bb" => data <= x"20";
when "01" & x"7bc" => data <= x"fb";
when "01" & x"7bd" => data <= x"97";
when "01" & x"7be" => data <= x"50";
when "01" & x"7bf" => data <= x"16";
when "01" & x"7c0" => data <= x"20";
when "01" & x"7c1" => data <= x"37";
when "01" & x"7c2" => data <= x"98";
when "01" & x"7c3" => data <= x"20";
when "01" & x"7c4" => data <= x"df";
when "01" & x"7c5" => data <= x"97";
when "01" & x"7c6" => data <= x"50";
when "01" & x"7c7" => data <= x"11";
when "01" & x"7c8" => data <= x"20";
when "01" & x"7c9" => data <= x"37";
when "01" & x"7ca" => data <= x"98";
when "01" & x"7cb" => data <= x"20";
when "01" & x"7cc" => data <= x"fb";
when "01" & x"7cd" => data <= x"97";
when "01" & x"7ce" => data <= x"50";
when "01" & x"7cf" => data <= x"09";
when "01" & x"7d0" => data <= x"20";
when "01" & x"7d1" => data <= x"5a";
when "01" & x"7d2" => data <= x"98";
when "01" & x"7d3" => data <= x"20";
when "01" & x"7d4" => data <= x"4f";
when "01" & x"7d5" => data <= x"98";
when "01" & x"7d6" => data <= x"20";
when "01" & x"7d7" => data <= x"1e";
when "01" & x"7d8" => data <= x"98";
when "01" & x"7d9" => data <= x"20";
when "01" & x"7da" => data <= x"c3";
when "01" & x"7db" => data <= x"88";
when "01" & x"7dc" => data <= x"a9";
when "01" & x"7dd" => data <= x"01";
when "01" & x"7de" => data <= x"60";
when "01" & x"7df" => data <= x"20";
when "01" & x"7e0" => data <= x"e1";
when "01" & x"7e1" => data <= x"83";
when "01" & x"7e2" => data <= x"a0";
when "01" & x"7e3" => data <= x"02";
when "01" & x"7e4" => data <= x"b1";
when "01" & x"7e5" => data <= x"b0";
when "01" & x"7e6" => data <= x"9d";
when "01" & x"7e7" => data <= x"08";
when "01" & x"7e8" => data <= x"0f";
when "01" & x"7e9" => data <= x"c8";
when "01" & x"7ea" => data <= x"b1";
when "01" & x"7eb" => data <= x"b0";
when "01" & x"7ec" => data <= x"9d";
when "01" & x"7ed" => data <= x"09";
when "01" & x"7ee" => data <= x"0f";
when "01" & x"7ef" => data <= x"c8";
when "01" & x"7f0" => data <= x"b1";
when "01" & x"7f1" => data <= x"b0";
when "01" & x"7f2" => data <= x"0a";
when "01" & x"7f3" => data <= x"0a";
when "01" & x"7f4" => data <= x"5d";
when "01" & x"7f5" => data <= x"0e";
when "01" & x"7f6" => data <= x"0f";
when "01" & x"7f7" => data <= x"29";
when "01" & x"7f8" => data <= x"0c";
when "01" & x"7f9" => data <= x"10";
when "01" & x"7fa" => data <= x"1b";
when "01" & x"7fb" => data <= x"20";
when "01" & x"7fc" => data <= x"e1";
when "01" & x"7fd" => data <= x"83";
when "01" & x"7fe" => data <= x"a0";
when "01" & x"7ff" => data <= x"06";
when "01" & x"800" => data <= x"b1";
when "01" & x"801" => data <= x"b0";
when "01" & x"802" => data <= x"9d";
when "01" & x"803" => data <= x"0a";
when "01" & x"804" => data <= x"0f";
when "01" & x"805" => data <= x"c8";
when "01" & x"806" => data <= x"b1";
when "01" & x"807" => data <= x"b0";
when "01" & x"808" => data <= x"9d";
when "01" & x"809" => data <= x"0b";
when "01" & x"80a" => data <= x"0f";
when "01" & x"80b" => data <= x"c8";
when "01" & x"80c" => data <= x"b1";
when "01" & x"80d" => data <= x"b0";
when "01" & x"80e" => data <= x"6a";
when "01" & x"80f" => data <= x"6a";
when "01" & x"810" => data <= x"6a";
when "01" & x"811" => data <= x"5d";
when "01" & x"812" => data <= x"0e";
when "01" & x"813" => data <= x"0f";
when "01" & x"814" => data <= x"29";
when "01" & x"815" => data <= x"c0";
when "01" & x"816" => data <= x"5d";
when "01" & x"817" => data <= x"0e";
when "01" & x"818" => data <= x"0f";
when "01" & x"819" => data <= x"9d";
when "01" & x"81a" => data <= x"0e";
when "01" & x"81b" => data <= x"0f";
when "01" & x"81c" => data <= x"b8";
when "01" & x"81d" => data <= x"60";
when "01" & x"81e" => data <= x"20";
when "01" & x"81f" => data <= x"e1";
when "01" & x"820" => data <= x"83";
when "01" & x"821" => data <= x"a0";
when "01" & x"822" => data <= x"0e";
when "01" & x"823" => data <= x"b1";
when "01" & x"824" => data <= x"b0";
when "01" & x"825" => data <= x"29";
when "01" & x"826" => data <= x"0a";
when "01" & x"827" => data <= x"f0";
when "01" & x"828" => data <= x"02";
when "01" & x"829" => data <= x"a9";
when "01" & x"82a" => data <= x"80";
when "01" & x"82b" => data <= x"5d";
when "01" & x"82c" => data <= x"0f";
when "01" & x"82d" => data <= x"0e";
when "01" & x"82e" => data <= x"29";
when "01" & x"82f" => data <= x"80";
when "01" & x"830" => data <= x"5d";
when "01" & x"831" => data <= x"0f";
when "01" & x"832" => data <= x"0e";
when "01" & x"833" => data <= x"9d";
when "01" & x"834" => data <= x"0f";
when "01" & x"835" => data <= x"0e";
when "01" & x"836" => data <= x"60";
when "01" & x"837" => data <= x"20";
when "01" & x"838" => data <= x"64";
when "01" & x"839" => data <= x"98";
when "01" & x"83a" => data <= x"90";
when "01" & x"83b" => data <= x"23";
when "01" & x"83c" => data <= x"b9";
when "01" & x"83d" => data <= x"0f";
when "01" & x"83e" => data <= x"0e";
when "01" & x"83f" => data <= x"10";
when "01" & x"840" => data <= x"22";
when "01" & x"841" => data <= x"20";
when "01" & x"842" => data <= x"2b";
when "01" & x"843" => data <= x"80";
when "01" & x"844" => data <= x"c3";
when "01" & x"845" => data <= x"6c";
when "01" & x"846" => data <= x"6f";
when "01" & x"847" => data <= x"63";
when "01" & x"848" => data <= x"6b";
when "01" & x"849" => data <= x"65";
when "01" & x"84a" => data <= x"64";
when "01" & x"84b" => data <= x"00";
when "01" & x"84c" => data <= x"20";
when "01" & x"84d" => data <= x"3c";
when "01" & x"84e" => data <= x"98";
when "01" & x"84f" => data <= x"20";
when "01" & x"850" => data <= x"e1";
when "01" & x"851" => data <= x"83";
when "01" & x"852" => data <= x"20";
when "01" & x"853" => data <= x"9e";
when "01" & x"854" => data <= x"8f";
when "01" & x"855" => data <= x"90";
when "01" & x"856" => data <= x"21";
when "01" & x"857" => data <= x"4c";
when "01" & x"858" => data <= x"02";
when "01" & x"859" => data <= x"8f";
when "01" & x"85a" => data <= x"20";
when "01" & x"85b" => data <= x"64";
when "01" & x"85c" => data <= x"98";
when "01" & x"85d" => data <= x"b0";
when "01" & x"85e" => data <= x"19";
when "01" & x"85f" => data <= x"68";
when "01" & x"860" => data <= x"68";
when "01" & x"861" => data <= x"a9";
when "01" & x"862" => data <= x"00";
when "01" & x"863" => data <= x"60";
when "01" & x"864" => data <= x"20";
when "01" & x"865" => data <= x"06";
when "01" & x"866" => data <= x"81";
when "01" & x"867" => data <= x"20";
when "01" & x"868" => data <= x"96";
when "01" & x"869" => data <= x"82";
when "01" & x"86a" => data <= x"90";
when "01" & x"86b" => data <= x"0c";
when "01" & x"86c" => data <= x"98";
when "01" & x"86d" => data <= x"aa";
when "01" & x"86e" => data <= x"ad";
when "01" & x"86f" => data <= x"dc";
when "01" & x"870" => data <= x"10";
when "01" & x"871" => data <= x"85";
when "01" & x"872" => data <= x"b0";
when "01" & x"873" => data <= x"ad";
when "01" & x"874" => data <= x"dd";
when "01" & x"875" => data <= x"10";
when "01" & x"876" => data <= x"85";
when "01" & x"877" => data <= x"b1";
when "01" & x"878" => data <= x"60";
when "01" & x"879" => data <= x"a9";
when "01" & x"87a" => data <= x"83";
when "01" & x"87b" => data <= x"20";
when "01" & x"87c" => data <= x"f4";
when "01" & x"87d" => data <= x"ff";
when "01" & x"87e" => data <= x"8c";
when "01" & x"87f" => data <= x"d0";
when "01" & x"880" => data <= x"10";
when "01" & x"881" => data <= x"a9";
when "01" & x"882" => data <= x"84";
when "01" & x"883" => data <= x"20";
when "01" & x"884" => data <= x"f4";
when "01" & x"885" => data <= x"ff";
when "01" & x"886" => data <= x"98";
when "01" & x"887" => data <= x"38";
when "01" & x"888" => data <= x"ed";
when "01" & x"889" => data <= x"d0";
when "01" & x"88a" => data <= x"10";
when "01" & x"88b" => data <= x"8d";
when "01" & x"88c" => data <= x"d1";
when "01" & x"88d" => data <= x"10";
when "01" & x"88e" => data <= x"60";
when "01" & x"88f" => data <= x"a2";
when "01" & x"890" => data <= x"0a";
when "01" & x"891" => data <= x"20";
when "01" & x"892" => data <= x"2c";
when "01" & x"893" => data <= x"99";
when "01" & x"894" => data <= x"20";
when "01" & x"895" => data <= x"9e";
when "01" & x"896" => data <= x"98";
when "01" & x"897" => data <= x"a0";
when "01" & x"898" => data <= x"d5";
when "01" & x"899" => data <= x"a9";
when "01" & x"89a" => data <= x"ff";
when "01" & x"89b" => data <= x"91";
when "01" & x"89c" => data <= x"b0";
when "01" & x"89d" => data <= x"60";
when "01" & x"89e" => data <= x"48";
when "01" & x"89f" => data <= x"a6";
when "01" & x"8a0" => data <= x"f4";
when "01" & x"8a1" => data <= x"a9";
when "01" & x"8a2" => data <= x"00";
when "01" & x"8a3" => data <= x"85";
when "01" & x"8a4" => data <= x"b0";
when "01" & x"8a5" => data <= x"bd";
when "01" & x"8a6" => data <= x"f0";
when "01" & x"8a7" => data <= x"0d";
when "01" & x"8a8" => data <= x"85";
when "01" & x"8a9" => data <= x"b1";
when "01" & x"8aa" => data <= x"68";
when "01" & x"8ab" => data <= x"60";
when "01" & x"8ac" => data <= x"00";
when "01" & x"8ad" => data <= x"00";
when "01" & x"8ae" => data <= x"00";
when "01" & x"8af" => data <= x"00";
when "01" & x"8b0" => data <= x"00";
when "01" & x"8b1" => data <= x"00";
when "01" & x"8b2" => data <= x"00";
when "01" & x"8b3" => data <= x"00";
when "01" & x"8b4" => data <= x"00";
when "01" & x"8b5" => data <= x"00";
when "01" & x"8b6" => data <= x"00";
when "01" & x"8b7" => data <= x"00";
when "01" & x"8b8" => data <= x"00";
when "01" & x"8b9" => data <= x"00";
when "01" & x"8ba" => data <= x"00";
when "01" & x"8bb" => data <= x"00";
when "01" & x"8bc" => data <= x"00";
when "01" & x"8bd" => data <= x"00";
when "01" & x"8be" => data <= x"00";
when "01" & x"8bf" => data <= x"00";
when "01" & x"8c0" => data <= x"00";
when "01" & x"8c1" => data <= x"00";
when "01" & x"8c2" => data <= x"00";
when "01" & x"8c3" => data <= x"00";
when "01" & x"8c4" => data <= x"00";
when "01" & x"8c5" => data <= x"00";
when "01" & x"8c6" => data <= x"00";
when "01" & x"8c7" => data <= x"00";
when "01" & x"8c8" => data <= x"00";
when "01" & x"8c9" => data <= x"00";
when "01" & x"8ca" => data <= x"00";
when "01" & x"8cb" => data <= x"00";
when "01" & x"8cc" => data <= x"00";
when "01" & x"8cd" => data <= x"00";
when "01" & x"8ce" => data <= x"00";
when "01" & x"8cf" => data <= x"00";
when "01" & x"8d0" => data <= x"00";
when "01" & x"8d1" => data <= x"00";
when "01" & x"8d2" => data <= x"00";
when "01" & x"8d3" => data <= x"00";
when "01" & x"8d4" => data <= x"00";
when "01" & x"8d5" => data <= x"00";
when "01" & x"8d6" => data <= x"00";
when "01" & x"8d7" => data <= x"00";
when "01" & x"8d8" => data <= x"00";
when "01" & x"8d9" => data <= x"00";
when "01" & x"8da" => data <= x"00";
when "01" & x"8db" => data <= x"00";
when "01" & x"8dc" => data <= x"00";
when "01" & x"8dd" => data <= x"00";
when "01" & x"8de" => data <= x"00";
when "01" & x"8df" => data <= x"00";
when "01" & x"8e0" => data <= x"00";
when "01" & x"8e1" => data <= x"00";
when "01" & x"8e2" => data <= x"00";
when "01" & x"8e3" => data <= x"00";
when "01" & x"8e4" => data <= x"00";
when "01" & x"8e5" => data <= x"00";
when "01" & x"8e6" => data <= x"00";
when "01" & x"8e7" => data <= x"00";
when "01" & x"8e8" => data <= x"00";
when "01" & x"8e9" => data <= x"00";
when "01" & x"8ea" => data <= x"00";
when "01" & x"8eb" => data <= x"00";
when "01" & x"8ec" => data <= x"00";
when "01" & x"8ed" => data <= x"00";
when "01" & x"8ee" => data <= x"00";
when "01" & x"8ef" => data <= x"00";
when "01" & x"8f0" => data <= x"00";
when "01" & x"8f1" => data <= x"00";
when "01" & x"8f2" => data <= x"00";
when "01" & x"8f3" => data <= x"00";
when "01" & x"8f4" => data <= x"00";
when "01" & x"8f5" => data <= x"00";
when "01" & x"8f6" => data <= x"00";
when "01" & x"8f7" => data <= x"00";
when "01" & x"8f8" => data <= x"00";
when "01" & x"8f9" => data <= x"00";
when "01" & x"8fa" => data <= x"00";
when "01" & x"8fb" => data <= x"00";
when "01" & x"8fc" => data <= x"00";
when "01" & x"8fd" => data <= x"00";
when "01" & x"8fe" => data <= x"00";
when "01" & x"8ff" => data <= x"00";
when "01" & x"900" => data <= x"00";
when "01" & x"901" => data <= x"00";
when "01" & x"902" => data <= x"00";
when "01" & x"903" => data <= x"00";
when "01" & x"904" => data <= x"00";
when "01" & x"905" => data <= x"60";
when "01" & x"906" => data <= x"20";
when "01" & x"907" => data <= x"e1";
when "01" & x"908" => data <= x"83";
when "01" & x"909" => data <= x"a9";
when "01" & x"90a" => data <= x"0f";
when "01" & x"90b" => data <= x"a2";
when "01" & x"90c" => data <= x"01";
when "01" & x"90d" => data <= x"a0";
when "01" & x"90e" => data <= x"00";
when "01" & x"90f" => data <= x"f0";
when "01" & x"910" => data <= x"25";
when "01" & x"911" => data <= x"a9";
when "01" & x"912" => data <= x"c7";
when "01" & x"913" => data <= x"a2";
when "01" & x"914" => data <= x"00";
when "01" & x"915" => data <= x"f0";
when "01" & x"916" => data <= x"f6";
when "01" & x"917" => data <= x"aa";
when "01" & x"918" => data <= x"a9";
when "01" & x"919" => data <= x"03";
when "01" & x"91a" => data <= x"d0";
when "01" & x"91b" => data <= x"1a";
when "01" & x"91c" => data <= x"a9";
when "01" & x"91d" => data <= x"ec";
when "01" & x"91e" => data <= x"d0";
when "01" & x"91f" => data <= x"12";
when "01" & x"920" => data <= x"a9";
when "01" & x"921" => data <= x"c7";
when "01" & x"922" => data <= x"d0";
when "01" & x"923" => data <= x"0e";
when "01" & x"924" => data <= x"a9";
when "01" & x"925" => data <= x"ea";
when "01" & x"926" => data <= x"d0";
when "01" & x"927" => data <= x"0a";
when "01" & x"928" => data <= x"a9";
when "01" & x"929" => data <= x"a8";
when "01" & x"92a" => data <= x"d0";
when "01" & x"92b" => data <= x"06";
when "01" & x"92c" => data <= x"a9";
when "01" & x"92d" => data <= x"8f";
when "01" & x"92e" => data <= x"d0";
when "01" & x"92f" => data <= x"06";
when "01" & x"930" => data <= x"a9";
when "01" & x"931" => data <= x"ff";
when "01" & x"932" => data <= x"a2";
when "01" & x"933" => data <= x"00";
when "01" & x"934" => data <= x"a0";
when "01" & x"935" => data <= x"ff";
when "01" & x"936" => data <= x"4c";
when "01" & x"937" => data <= x"f4";
when "01" & x"938" => data <= x"ff";
when "01" & x"939" => data <= x"4c";
when "01" & x"93a" => data <= x"2e";
when "01" & x"93b" => data <= x"21";
when "01" & x"93c" => data <= x"42";
when "01" & x"93d" => data <= x"4f";
when "01" & x"93e" => data <= x"4f";
when "01" & x"93f" => data <= x"54";
when "01" & x"940" => data <= x"0d";
when "01" & x"941" => data <= x"45";
when "01" & x"942" => data <= x"2e";
when "01" & x"943" => data <= x"21";
when "01" & x"944" => data <= x"42";
when "01" & x"945" => data <= x"4f";
when "01" & x"946" => data <= x"4f";
when "01" & x"947" => data <= x"54";
when "01" & x"948" => data <= x"0d";
when "01" & x"949" => data <= x"1b";
when "01" & x"94a" => data <= x"ff";
when "01" & x"94b" => data <= x"1e";
when "01" & x"94c" => data <= x"ff";
when "01" & x"94d" => data <= x"21";
when "01" & x"94e" => data <= x"ff";
when "01" & x"94f" => data <= x"24";
when "01" & x"950" => data <= x"ff";
when "01" & x"951" => data <= x"27";
when "01" & x"952" => data <= x"ff";
when "01" & x"953" => data <= x"2a";
when "01" & x"954" => data <= x"ff";
when "01" & x"955" => data <= x"2d";
when "01" & x"956" => data <= x"ff";
when "01" & x"957" => data <= x"7b";
when "01" & x"958" => data <= x"95";
when "01" & x"959" => data <= x"00";
when "01" & x"95a" => data <= x"07";
when "01" & x"95b" => data <= x"90";
when "01" & x"95c" => data <= x"00";
when "01" & x"95d" => data <= x"c1";
when "01" & x"95e" => data <= x"90";
when "01" & x"95f" => data <= x"00";
when "01" & x"960" => data <= x"aa";
when "01" & x"961" => data <= x"91";
when "01" & x"962" => data <= x"00";
when "01" & x"963" => data <= x"d0";
when "01" & x"964" => data <= x"95";
when "01" & x"965" => data <= x"00";
when "01" & x"966" => data <= x"93";
when "01" & x"967" => data <= x"8e";
when "01" & x"968" => data <= x"00";
when "01" & x"969" => data <= x"aa";
when "01" & x"96a" => data <= x"95";
when "01" & x"96b" => data <= x"00";
when "01" & x"96c" => data <= x"1b";
when "01" & x"96d" => data <= x"8c";
when "01" & x"96e" => data <= x"d3";
when "01" & x"96f" => data <= x"6b";
when "01" & x"970" => data <= x"d3";
when "01" & x"971" => data <= x"1d";
when "01" & x"972" => data <= x"e1";
when "01" & x"973" => data <= x"dc";
when "01" & x"974" => data <= x"97";
when "01" & x"975" => data <= x"89";
when "01" & x"976" => data <= x"90";
when "01" & x"977" => data <= x"87";
when "01" & x"978" => data <= x"86";
when "01" & x"979" => data <= x"87";
when "01" & x"97a" => data <= x"84";
when "01" & x"97b" => data <= x"8d";
when "01" & x"97c" => data <= x"8d";
when "01" & x"97d" => data <= x"97";
when "01" & x"97e" => data <= x"f1";
when "01" & x"97f" => data <= x"3c";
when "01" & x"980" => data <= x"bc";
when "01" & x"981" => data <= x"8f";
when "01" & x"982" => data <= x"9b";
when "01" & x"983" => data <= x"95";
when "01" & x"984" => data <= x"93";
when "01" & x"985" => data <= x"85";
when "01" & x"986" => data <= x"b4";
when "01" & x"987" => data <= x"bf";
when "01" & x"988" => data <= x"c7";
when "01" & x"989" => data <= x"cf";
when "01" & x"98a" => data <= x"a0";
when "01" & x"98b" => data <= x"a9";
when "01" & x"98c" => data <= x"87";
when "01" & x"98d" => data <= x"87";
when "01" & x"98e" => data <= x"97";
when "01" & x"98f" => data <= x"97";
when "01" & x"990" => data <= x"97";
when "01" & x"991" => data <= x"97";
when "01" & x"992" => data <= x"97";
when "01" & x"993" => data <= x"97";
when "01" & x"994" => data <= x"12";
when "01" & x"995" => data <= x"32";
when "01" & x"996" => data <= x"5d";
when "01" & x"997" => data <= x"cd";
when "01" & x"998" => data <= x"a2";
when "01" & x"999" => data <= x"bd";
when "01" & x"99a" => data <= x"87";
when "01" & x"99b" => data <= x"8d";
when "01" & x"99c" => data <= x"8d";
when "01" & x"99d" => data <= x"8d";
when "01" & x"99e" => data <= x"8d";
when "01" & x"99f" => data <= x"8d";
when "01" & x"9a0" => data <= x"8d";
when "01" & x"9a1" => data <= x"8d";
when "01" & x"9a2" => data <= x"74";
when "01" & x"9a3" => data <= x"54";
when "01" & x"9a4" => data <= x"00";
when "01" & x"9a5" => data <= x"0f";
when "01" & x"9a6" => data <= x"1a";
when "01" & x"9a7" => data <= x"0f";
when "01" & x"9a8" => data <= x"1a";
when "01" & x"9a9" => data <= x"63";
when "01" & x"9aa" => data <= x"43";
when "01" & x"9ab" => data <= x"b7";
when "01" & x"9ac" => data <= x"7d";
when "01" & x"9ad" => data <= x"7d";
when "01" & x"9ae" => data <= x"65";
when "01" & x"9af" => data <= x"65";
when "01" & x"9b0" => data <= x"cf";
when "01" & x"9b1" => data <= x"00";
when "01" & x"9b2" => data <= x"14";
when "01" & x"9b3" => data <= x"83";
when "01" & x"9b4" => data <= x"85";
when "01" & x"9b5" => data <= x"97";
when "01" & x"9b6" => data <= x"97";
when "01" & x"9b7" => data <= x"97";
when "01" & x"9b8" => data <= x"97";
when "01" & x"9b9" => data <= x"96";
when "01" & x"9ba" => data <= x"97";
when "01" & x"9bb" => data <= x"97";
when "01" & x"9bc" => data <= x"96";
when "01" & x"9bd" => data <= x"04";
when "01" & x"9be" => data <= x"02";
when "01" & x"9bf" => data <= x"03";
when "01" & x"9c0" => data <= x"06";
when "01" & x"9c1" => data <= x"07";
when "01" & x"9c2" => data <= x"04";
when "01" & x"9c3" => data <= x"04";
when "01" & x"9c4" => data <= x"04";
when "01" & x"9c5" => data <= x"04";
when "01" & x"9c6" => data <= x"98";
when "01" & x"9c7" => data <= x"a2";
when "01" & x"9c8" => data <= x"ff";
when "01" & x"9c9" => data <= x"a0";
when "01" & x"9ca" => data <= x"0e";
when "01" & x"9cb" => data <= x"48";
when "01" & x"9cc" => data <= x"20";
when "01" & x"9cd" => data <= x"65";
when "01" & x"9ce" => data <= x"80";
when "01" & x"9cf" => data <= x"0d";
when "01" & x"9d0" => data <= x"44";
when "01" & x"9d1" => data <= x"46";
when "01" & x"9d2" => data <= x"53";
when "01" & x"9d3" => data <= x"20";
when "01" & x"9d4" => data <= x"30";
when "01" & x"9d5" => data <= x"2e";
when "01" & x"9d6" => data <= x"39";
when "01" & x"9d7" => data <= x"30";
when "01" & x"9d8" => data <= x"0d";
when "01" & x"9d9" => data <= x"86";
when "01" & x"9da" => data <= x"b8";
when "01" & x"9db" => data <= x"20";
when "01" & x"9dc" => data <= x"cb";
when "01" & x"9dd" => data <= x"9f";
when "01" & x"9de" => data <= x"20";
when "01" & x"9df" => data <= x"19";
when "01" & x"9e0" => data <= x"9a";
when "01" & x"9e1" => data <= x"20";
when "01" & x"9e2" => data <= x"9a";
when "01" & x"9e3" => data <= x"9f";
when "01" & x"9e4" => data <= x"88";
when "01" & x"9e5" => data <= x"d0";
when "01" & x"9e6" => data <= x"f4";
when "01" & x"9e7" => data <= x"68";
when "01" & x"9e8" => data <= x"a8";
when "01" & x"9e9" => data <= x"a2";
when "01" & x"9ea" => data <= x"a0";
when "01" & x"9eb" => data <= x"4c";
when "01" & x"9ec" => data <= x"71";
when "01" & x"9ed" => data <= x"86";
when "01" & x"9ee" => data <= x"98";
when "01" & x"9ef" => data <= x"a2";
when "01" & x"9f0" => data <= x"74";
when "01" & x"9f1" => data <= x"a0";
when "01" & x"9f2" => data <= x"05";
when "01" & x"9f3" => data <= x"d0";
when "01" & x"9f4" => data <= x"d6";
when "01" & x"9f5" => data <= x"20";
when "01" & x"9f6" => data <= x"bf";
when "01" & x"9f7" => data <= x"86";
when "01" & x"9f8" => data <= x"f0";
when "01" & x"9f9" => data <= x"60";
when "01" & x"9fa" => data <= x"20";
when "01" & x"9fb" => data <= x"c5";
when "01" & x"9fc" => data <= x"ff";
when "01" & x"9fd" => data <= x"90";
when "01" & x"9fe" => data <= x"fb";
when "01" & x"9ff" => data <= x"b0";
when "01" & x"a00" => data <= x"e8";
when "01" & x"a01" => data <= x"20";
when "01" & x"a02" => data <= x"bf";
when "01" & x"a03" => data <= x"86";
when "01" & x"a04" => data <= x"d0";
when "01" & x"a05" => data <= x"54";
when "01" & x"a06" => data <= x"20";
when "01" & x"a07" => data <= x"33";
when "01" & x"a08" => data <= x"80";
when "01" & x"a09" => data <= x"dc";
when "01" & x"a0a" => data <= x"53";
when "01" & x"a0b" => data <= x"79";
when "01" & x"a0c" => data <= x"6e";
when "01" & x"a0d" => data <= x"74";
when "01" & x"a0e" => data <= x"61";
when "01" & x"a0f" => data <= x"78";
when "01" & x"a10" => data <= x"3a";
when "01" & x"a11" => data <= x"20";
when "01" & x"a12" => data <= x"ea";
when "01" & x"a13" => data <= x"20";
when "01" & x"a14" => data <= x"19";
when "01" & x"a15" => data <= x"9a";
when "01" & x"a16" => data <= x"4c";
when "01" & x"a17" => data <= x"8a";
when "01" & x"a18" => data <= x"80";
when "01" & x"a19" => data <= x"a6";
when "01" & x"a1a" => data <= x"b8";
when "01" & x"a1b" => data <= x"e8";
when "01" & x"a1c" => data <= x"bd";
when "01" & x"a1d" => data <= x"b8";
when "01" & x"a1e" => data <= x"85";
when "01" & x"a1f" => data <= x"30";
when "01" & x"a20" => data <= x"06";
when "01" & x"a21" => data <= x"20";
when "01" & x"a22" => data <= x"9c";
when "01" & x"a23" => data <= x"80";
when "01" & x"a24" => data <= x"4c";
when "01" & x"a25" => data <= x"1b";
when "01" & x"a26" => data <= x"9a";
when "01" & x"a27" => data <= x"e8";
when "01" & x"a28" => data <= x"e8";
when "01" & x"a29" => data <= x"86";
when "01" & x"a2a" => data <= x"b8";
when "01" & x"a2b" => data <= x"bd";
when "01" & x"a2c" => data <= x"b8";
when "01" & x"a2d" => data <= x"85";
when "01" & x"a2e" => data <= x"20";
when "01" & x"a2f" => data <= x"34";
when "01" & x"a30" => data <= x"9a";
when "01" & x"a31" => data <= x"20";
when "01" & x"a32" => data <= x"05";
when "01" & x"a33" => data <= x"82";
when "01" & x"a34" => data <= x"20";
when "01" & x"a35" => data <= x"e1";
when "01" & x"a36" => data <= x"83";
when "01" & x"a37" => data <= x"29";
when "01" & x"a38" => data <= x"0f";
when "01" & x"a39" => data <= x"f0";
when "01" & x"a3a" => data <= x"1f";
when "01" & x"a3b" => data <= x"a8";
when "01" & x"a3c" => data <= x"a9";
when "01" & x"a3d" => data <= x"20";
when "01" & x"a3e" => data <= x"20";
when "01" & x"a3f" => data <= x"9c";
when "01" & x"a40" => data <= x"80";
when "01" & x"a41" => data <= x"a2";
when "01" & x"a42" => data <= x"00";
when "01" & x"a43" => data <= x"bd";
when "01" & x"a44" => data <= x"5b";
when "01" & x"a45" => data <= x"9a";
when "01" & x"a46" => data <= x"f0";
when "01" & x"a47" => data <= x"03";
when "01" & x"a48" => data <= x"e8";
when "01" & x"a49" => data <= x"d0";
when "01" & x"a4a" => data <= x"f8";
when "01" & x"a4b" => data <= x"88";
when "01" & x"a4c" => data <= x"d0";
when "01" & x"a4d" => data <= x"fa";
when "01" & x"a4e" => data <= x"e8";
when "01" & x"a4f" => data <= x"bd";
when "01" & x"a50" => data <= x"5b";
when "01" & x"a51" => data <= x"9a";
when "01" & x"a52" => data <= x"f0";
when "01" & x"a53" => data <= x"06";
when "01" & x"a54" => data <= x"20";
when "01" & x"a55" => data <= x"9c";
when "01" & x"a56" => data <= x"80";
when "01" & x"a57" => data <= x"4c";
when "01" & x"a58" => data <= x"4e";
when "01" & x"a59" => data <= x"9a";
when "01" & x"a5a" => data <= x"60";
when "01" & x"a5b" => data <= x"00";
when "01" & x"a5c" => data <= x"3c";
when "01" & x"a5d" => data <= x"66";
when "01" & x"a5e" => data <= x"73";
when "01" & x"a5f" => data <= x"70";
when "01" & x"a60" => data <= x"3e";
when "01" & x"a61" => data <= x"00";
when "01" & x"a62" => data <= x"3c";
when "01" & x"a63" => data <= x"61";
when "01" & x"a64" => data <= x"66";
when "01" & x"a65" => data <= x"73";
when "01" & x"a66" => data <= x"70";
when "01" & x"a67" => data <= x"3e";
when "01" & x"a68" => data <= x"00";
when "01" & x"a69" => data <= x"28";
when "01" & x"a6a" => data <= x"4c";
when "01" & x"a6b" => data <= x"29";
when "01" & x"a6c" => data <= x"00";
when "01" & x"a6d" => data <= x"3c";
when "01" & x"a6e" => data <= x"73";
when "01" & x"a6f" => data <= x"72";
when "01" & x"a70" => data <= x"63";
when "01" & x"a71" => data <= x"20";
when "01" & x"a72" => data <= x"64";
when "01" & x"a73" => data <= x"72";
when "01" & x"a74" => data <= x"76";
when "01" & x"a75" => data <= x"3e";
when "01" & x"a76" => data <= x"00";
when "01" & x"a77" => data <= x"3c";
when "01" & x"a78" => data <= x"64";
when "01" & x"a79" => data <= x"65";
when "01" & x"a7a" => data <= x"73";
when "01" & x"a7b" => data <= x"74";
when "01" & x"a7c" => data <= x"20";
when "01" & x"a7d" => data <= x"64";
when "01" & x"a7e" => data <= x"72";
when "01" & x"a7f" => data <= x"76";
when "01" & x"a80" => data <= x"3e";
when "01" & x"a81" => data <= x"00";
when "01" & x"a82" => data <= x"3c";
when "01" & x"a83" => data <= x"64";
when "01" & x"a84" => data <= x"65";
when "01" & x"a85" => data <= x"73";
when "01" & x"a86" => data <= x"74";
when "01" & x"a87" => data <= x"20";
when "01" & x"a88" => data <= x"64";
when "01" & x"a89" => data <= x"72";
when "01" & x"a8a" => data <= x"76";
when "01" & x"a8b" => data <= x"3e";
when "01" & x"a8c" => data <= x"20";
when "01" & x"a8d" => data <= x"3c";
when "01" & x"a8e" => data <= x"61";
when "01" & x"a8f" => data <= x"66";
when "01" & x"a90" => data <= x"73";
when "01" & x"a91" => data <= x"70";
when "01" & x"a92" => data <= x"3e";
when "01" & x"a93" => data <= x"00";
when "01" & x"a94" => data <= x"3c";
when "01" & x"a95" => data <= x"6f";
when "01" & x"a96" => data <= x"6c";
when "01" & x"a97" => data <= x"64";
when "01" & x"a98" => data <= x"20";
when "01" & x"a99" => data <= x"66";
when "01" & x"a9a" => data <= x"73";
when "01" & x"a9b" => data <= x"70";
when "01" & x"a9c" => data <= x"3e";
when "01" & x"a9d" => data <= x"00";
when "01" & x"a9e" => data <= x"3c";
when "01" & x"a9f" => data <= x"6e";
when "01" & x"aa0" => data <= x"65";
when "01" & x"aa1" => data <= x"77";
when "01" & x"aa2" => data <= x"20";
when "01" & x"aa3" => data <= x"66";
when "01" & x"aa4" => data <= x"73";
when "01" & x"aa5" => data <= x"70";
when "01" & x"aa6" => data <= x"3e";
when "01" & x"aa7" => data <= x"00";
when "01" & x"aa8" => data <= x"28";
when "01" & x"aa9" => data <= x"3c";
when "01" & x"aaa" => data <= x"64";
when "01" & x"aab" => data <= x"69";
when "01" & x"aac" => data <= x"72";
when "01" & x"aad" => data <= x"3e";
when "01" & x"aae" => data <= x"29";
when "01" & x"aaf" => data <= x"00";
when "01" & x"ab0" => data <= x"28";
when "01" & x"ab1" => data <= x"3c";
when "01" & x"ab2" => data <= x"64";
when "01" & x"ab3" => data <= x"72";
when "01" & x"ab4" => data <= x"76";
when "01" & x"ab5" => data <= x"3e";
when "01" & x"ab6" => data <= x"29";
when "01" & x"ab7" => data <= x"00";
when "01" & x"ab8" => data <= x"3c";
when "01" & x"ab9" => data <= x"74";
when "01" & x"aba" => data <= x"69";
when "01" & x"abb" => data <= x"74";
when "01" & x"abc" => data <= x"6c";
when "01" & x"abd" => data <= x"65";
when "01" & x"abe" => data <= x"3e";
when "01" & x"abf" => data <= x"00";
when "01" & x"ac0" => data <= x"20";
when "01" & x"ac1" => data <= x"58";
when "01" & x"ac2" => data <= x"83";
when "01" & x"ac3" => data <= x"20";
when "01" & x"ac4" => data <= x"65";
when "01" & x"ac5" => data <= x"80";
when "01" & x"ac6" => data <= x"43";
when "01" & x"ac7" => data <= x"6f";
when "01" & x"ac8" => data <= x"6d";
when "01" & x"ac9" => data <= x"70";
when "01" & x"aca" => data <= x"61";
when "01" & x"acb" => data <= x"63";
when "01" & x"acc" => data <= x"74";
when "01" & x"acd" => data <= x"69";
when "01" & x"ace" => data <= x"6e";
when "01" & x"acf" => data <= x"67";
when "01" & x"ad0" => data <= x"20";
when "01" & x"ad1" => data <= x"64";
when "01" & x"ad2" => data <= x"72";
when "01" & x"ad3" => data <= x"69";
when "01" & x"ad4" => data <= x"76";
when "01" & x"ad5" => data <= x"65";
when "01" & x"ad6" => data <= x"20";
when "01" & x"ad7" => data <= x"8d";
when "01" & x"ad8" => data <= x"d2";
when "01" & x"ad9" => data <= x"10";
when "01" & x"ada" => data <= x"8d";
when "01" & x"adb" => data <= x"d3";
when "01" & x"adc" => data <= x"10";
when "01" & x"add" => data <= x"20";
when "01" & x"ade" => data <= x"ca";
when "01" & x"adf" => data <= x"80";
when "01" & x"ae0" => data <= x"20";
when "01" & x"ae1" => data <= x"9a";
when "01" & x"ae2" => data <= x"9f";
when "01" & x"ae3" => data <= x"a0";
when "01" & x"ae4" => data <= x"00";
when "01" & x"ae5" => data <= x"20";
when "01" & x"ae6" => data <= x"05";
when "01" & x"ae7" => data <= x"8e";
when "01" & x"ae8" => data <= x"20";
when "01" & x"ae9" => data <= x"79";
when "01" & x"aea" => data <= x"98";
when "01" & x"aeb" => data <= x"20";
when "01" & x"aec" => data <= x"47";
when "01" & x"aed" => data <= x"83";
when "01" & x"aee" => data <= x"ac";
when "01" & x"aef" => data <= x"05";
when "01" & x"af0" => data <= x"0f";
when "01" & x"af1" => data <= x"84";
when "01" & x"af2" => data <= x"cc";
when "01" & x"af3" => data <= x"a9";
when "01" & x"af4" => data <= x"02";
when "01" & x"af5" => data <= x"85";
when "01" & x"af6" => data <= x"ca";
when "01" & x"af7" => data <= x"a9";
when "01" & x"af8" => data <= x"00";
when "01" & x"af9" => data <= x"85";
when "01" & x"afa" => data <= x"cb";
when "01" & x"afb" => data <= x"a4";
when "01" & x"afc" => data <= x"cc";
when "01" & x"afd" => data <= x"20";
when "01" & x"afe" => data <= x"19";
when "01" & x"aff" => data <= x"82";
when "01" & x"b00" => data <= x"c0";
when "01" & x"b01" => data <= x"f8";
when "01" & x"b02" => data <= x"d0";
when "01" & x"b03" => data <= x"3c";
when "01" & x"b04" => data <= x"20";
when "01" & x"b05" => data <= x"65";
when "01" & x"b06" => data <= x"80";
when "01" & x"b07" => data <= x"44";
when "01" & x"b08" => data <= x"69";
when "01" & x"b09" => data <= x"73";
when "01" & x"b0a" => data <= x"6b";
when "01" & x"b0b" => data <= x"20";
when "01" & x"b0c" => data <= x"63";
when "01" & x"b0d" => data <= x"6f";
when "01" & x"b0e" => data <= x"6d";
when "01" & x"b0f" => data <= x"70";
when "01" & x"b10" => data <= x"61";
when "01" & x"b11" => data <= x"63";
when "01" & x"b12" => data <= x"74";
when "01" & x"b13" => data <= x"65";
when "01" & x"b14" => data <= x"64";
when "01" & x"b15" => data <= x"20";
when "01" & x"b16" => data <= x"ea";
when "01" & x"b17" => data <= x"38";
when "01" & x"b18" => data <= x"ad";
when "01" & x"b19" => data <= x"07";
when "01" & x"b1a" => data <= x"0f";
when "01" & x"b1b" => data <= x"e5";
when "01" & x"b1c" => data <= x"ca";
when "01" & x"b1d" => data <= x"48";
when "01" & x"b1e" => data <= x"ad";
when "01" & x"b1f" => data <= x"06";
when "01" & x"b20" => data <= x"0f";
when "01" & x"b21" => data <= x"29";
when "01" & x"b22" => data <= x"03";
when "01" & x"b23" => data <= x"e5";
when "01" & x"b24" => data <= x"cb";
when "01" & x"b25" => data <= x"20";
when "01" & x"b26" => data <= x"ca";
when "01" & x"b27" => data <= x"80";
when "01" & x"b28" => data <= x"68";
when "01" & x"b29" => data <= x"20";
when "01" & x"b2a" => data <= x"c2";
when "01" & x"b2b" => data <= x"80";
when "01" & x"b2c" => data <= x"20";
when "01" & x"b2d" => data <= x"65";
when "01" & x"b2e" => data <= x"80";
when "01" & x"b2f" => data <= x"20";
when "01" & x"b30" => data <= x"66";
when "01" & x"b31" => data <= x"72";
when "01" & x"b32" => data <= x"65";
when "01" & x"b33" => data <= x"65";
when "01" & x"b34" => data <= x"20";
when "01" & x"b35" => data <= x"73";
when "01" & x"b36" => data <= x"65";
when "01" & x"b37" => data <= x"63";
when "01" & x"b38" => data <= x"74";
when "01" & x"b39" => data <= x"6f";
when "01" & x"b3a" => data <= x"72";
when "01" & x"b3b" => data <= x"73";
when "01" & x"b3c" => data <= x"0d";
when "01" & x"b3d" => data <= x"a9";
when "01" & x"b3e" => data <= x"04";
when "01" & x"b3f" => data <= x"60";
when "01" & x"b40" => data <= x"84";
when "01" & x"b41" => data <= x"cc";
when "01" & x"b42" => data <= x"20";
when "01" & x"b43" => data <= x"fc";
when "01" & x"b44" => data <= x"82";
when "01" & x"b45" => data <= x"a4";
when "01" & x"b46" => data <= x"cc";
when "01" & x"b47" => data <= x"b9";
when "01" & x"b48" => data <= x"0e";
when "01" & x"b49" => data <= x"0f";
when "01" & x"b4a" => data <= x"29";
when "01" & x"b4b" => data <= x"30";
when "01" & x"b4c" => data <= x"19";
when "01" & x"b4d" => data <= x"0d";
when "01" & x"b4e" => data <= x"0f";
when "01" & x"b4f" => data <= x"19";
when "01" & x"b50" => data <= x"0c";
when "01" & x"b51" => data <= x"0f";
when "01" & x"b52" => data <= x"f0";
when "01" & x"b53" => data <= x"61";
when "01" & x"b54" => data <= x"a9";
when "01" & x"b55" => data <= x"00";
when "01" & x"b56" => data <= x"85";
when "01" & x"b57" => data <= x"be";
when "01" & x"b58" => data <= x"85";
when "01" & x"b59" => data <= x"c2";
when "01" & x"b5a" => data <= x"a9";
when "01" & x"b5b" => data <= x"ff";
when "01" & x"b5c" => data <= x"18";
when "01" & x"b5d" => data <= x"79";
when "01" & x"b5e" => data <= x"0c";
when "01" & x"b5f" => data <= x"0f";
when "01" & x"b60" => data <= x"a9";
when "01" & x"b61" => data <= x"00";
when "01" & x"b62" => data <= x"79";
when "01" & x"b63" => data <= x"0d";
when "01" & x"b64" => data <= x"0f";
when "01" & x"b65" => data <= x"85";
when "01" & x"b66" => data <= x"c6";
when "01" & x"b67" => data <= x"b9";
when "01" & x"b68" => data <= x"0e";
when "01" & x"b69" => data <= x"0f";
when "01" & x"b6a" => data <= x"08";
when "01" & x"b6b" => data <= x"20";
when "01" & x"b6c" => data <= x"fd";
when "01" & x"b6d" => data <= x"81";
when "01" & x"b6e" => data <= x"28";
when "01" & x"b6f" => data <= x"69";
when "01" & x"b70" => data <= x"00";
when "01" & x"b71" => data <= x"85";
when "01" & x"b72" => data <= x"c7";
when "01" & x"b73" => data <= x"b9";
when "01" & x"b74" => data <= x"0f";
when "01" & x"b75" => data <= x"0f";
when "01" & x"b76" => data <= x"85";
when "01" & x"b77" => data <= x"c8";
when "01" & x"b78" => data <= x"b9";
when "01" & x"b79" => data <= x"0e";
when "01" & x"b7a" => data <= x"0f";
when "01" & x"b7b" => data <= x"29";
when "01" & x"b7c" => data <= x"03";
when "01" & x"b7d" => data <= x"85";
when "01" & x"b7e" => data <= x"c9";
when "01" & x"b7f" => data <= x"c5";
when "01" & x"b80" => data <= x"cb";
when "01" & x"b81" => data <= x"d0";
when "01" & x"b82" => data <= x"14";
when "01" & x"b83" => data <= x"a5";
when "01" & x"b84" => data <= x"c8";
when "01" & x"b85" => data <= x"c5";
when "01" & x"b86" => data <= x"ca";
when "01" & x"b87" => data <= x"d0";
when "01" & x"b88" => data <= x"0e";
when "01" & x"b89" => data <= x"18";
when "01" & x"b8a" => data <= x"65";
when "01" & x"b8b" => data <= x"c6";
when "01" & x"b8c" => data <= x"85";
when "01" & x"b8d" => data <= x"ca";
when "01" & x"b8e" => data <= x"a5";
when "01" & x"b8f" => data <= x"cb";
when "01" & x"b90" => data <= x"65";
when "01" & x"b91" => data <= x"c7";
when "01" & x"b92" => data <= x"85";
when "01" & x"b93" => data <= x"cb";
when "01" & x"b94" => data <= x"4c";
when "01" & x"b95" => data <= x"b5";
when "01" & x"b96" => data <= x"9b";
when "01" & x"b97" => data <= x"a5";
when "01" & x"b98" => data <= x"ca";
when "01" & x"b99" => data <= x"99";
when "01" & x"b9a" => data <= x"0f";
when "01" & x"b9b" => data <= x"0f";
when "01" & x"b9c" => data <= x"b9";
when "01" & x"b9d" => data <= x"0e";
when "01" & x"b9e" => data <= x"0f";
when "01" & x"b9f" => data <= x"29";
when "01" & x"ba0" => data <= x"fc";
when "01" & x"ba1" => data <= x"05";
when "01" & x"ba2" => data <= x"cb";
when "01" & x"ba3" => data <= x"99";
when "01" & x"ba4" => data <= x"0e";
when "01" & x"ba5" => data <= x"0f";
when "01" & x"ba6" => data <= x"a9";
when "01" & x"ba7" => data <= x"00";
when "01" & x"ba8" => data <= x"85";
when "01" & x"ba9" => data <= x"a8";
when "01" & x"baa" => data <= x"85";
when "01" & x"bab" => data <= x"a9";
when "01" & x"bac" => data <= x"20";
when "01" & x"bad" => data <= x"b4";
when "01" & x"bae" => data <= x"8a";
when "01" & x"baf" => data <= x"20";
when "01" & x"bb0" => data <= x"06";
when "01" & x"bb1" => data <= x"9e";
when "01" & x"bb2" => data <= x"20";
when "01" & x"bb3" => data <= x"47";
when "01" & x"bb4" => data <= x"83";
when "01" & x"bb5" => data <= x"a4";
when "01" & x"bb6" => data <= x"cc";
when "01" & x"bb7" => data <= x"20";
when "01" & x"bb8" => data <= x"01";
when "01" & x"bb9" => data <= x"83";
when "01" & x"bba" => data <= x"4c";
when "01" & x"bbb" => data <= x"fb";
when "01" & x"bbc" => data <= x"9a";
when "01" & x"bbd" => data <= x"2c";
when "01" & x"bbe" => data <= x"c8";
when "01" & x"bbf" => data <= x"10";
when "01" & x"bc0" => data <= x"10";
when "01" & x"bc1" => data <= x"75";
when "01" & x"bc2" => data <= x"20";
when "01" & x"bc3" => data <= x"33";
when "01" & x"bc4" => data <= x"80";
when "01" & x"bc5" => data <= x"bd";
when "01" & x"bc6" => data <= x"4e";
when "01" & x"bc7" => data <= x"6f";
when "01" & x"bc8" => data <= x"74";
when "01" & x"bc9" => data <= x"20";
when "01" & x"bca" => data <= x"65";
when "01" & x"bcb" => data <= x"6e";
when "01" & x"bcc" => data <= x"61";
when "01" & x"bcd" => data <= x"62";
when "01" & x"bce" => data <= x"6c";
when "01" & x"bcf" => data <= x"65";
when "01" & x"bd0" => data <= x"64";
when "01" & x"bd1" => data <= x"00";
when "01" & x"bd2" => data <= x"20";
when "01" & x"bd3" => data <= x"bf";
when "01" & x"bd4" => data <= x"86";
when "01" & x"bd5" => data <= x"d0";
when "01" & x"bd6" => data <= x"03";
when "01" & x"bd7" => data <= x"4c";
when "01" & x"bd8" => data <= x"06";
when "01" & x"bd9" => data <= x"9a";
when "01" & x"bda" => data <= x"20";
when "01" & x"bdb" => data <= x"5d";
when "01" & x"bdc" => data <= x"83";
when "01" & x"bdd" => data <= x"8d";
when "01" & x"bde" => data <= x"d2";
when "01" & x"bdf" => data <= x"10";
when "01" & x"be0" => data <= x"20";
when "01" & x"be1" => data <= x"bf";
when "01" & x"be2" => data <= x"86";
when "01" & x"be3" => data <= x"f0";
when "01" & x"be4" => data <= x"f2";
when "01" & x"be5" => data <= x"20";
when "01" & x"be6" => data <= x"5d";
when "01" & x"be7" => data <= x"83";
when "01" & x"be8" => data <= x"8d";
when "01" & x"be9" => data <= x"d3";
when "01" & x"bea" => data <= x"10";
when "01" & x"beb" => data <= x"98";
when "01" & x"bec" => data <= x"48";
when "01" & x"bed" => data <= x"a9";
when "01" & x"bee" => data <= x"00";
when "01" & x"bef" => data <= x"85";
when "01" & x"bf0" => data <= x"a9";
when "01" & x"bf1" => data <= x"ad";
when "01" & x"bf2" => data <= x"d3";
when "01" & x"bf3" => data <= x"10";
when "01" & x"bf4" => data <= x"cd";
when "01" & x"bf5" => data <= x"d2";
when "01" & x"bf6" => data <= x"10";
when "01" & x"bf7" => data <= x"d0";
when "01" & x"bf8" => data <= x"06";
when "01" & x"bf9" => data <= x"a9";
when "01" & x"bfa" => data <= x"ff";
when "01" & x"bfb" => data <= x"85";
when "01" & x"bfc" => data <= x"a9";
when "01" & x"bfd" => data <= x"85";
when "01" & x"bfe" => data <= x"aa";
when "01" & x"bff" => data <= x"20";
when "01" & x"c00" => data <= x"79";
when "01" & x"c01" => data <= x"98";
when "01" & x"c02" => data <= x"20";
when "01" & x"c03" => data <= x"65";
when "01" & x"c04" => data <= x"80";
when "01" & x"c05" => data <= x"43";
when "01" & x"c06" => data <= x"6f";
when "01" & x"c07" => data <= x"70";
when "01" & x"c08" => data <= x"79";
when "01" & x"c09" => data <= x"69";
when "01" & x"c0a" => data <= x"6e";
when "01" & x"c0b" => data <= x"67";
when "01" & x"c0c" => data <= x"20";
when "01" & x"c0d" => data <= x"66";
when "01" & x"c0e" => data <= x"72";
when "01" & x"c0f" => data <= x"6f";
when "01" & x"c10" => data <= x"6d";
when "01" & x"c11" => data <= x"20";
when "01" & x"c12" => data <= x"64";
when "01" & x"c13" => data <= x"72";
when "01" & x"c14" => data <= x"69";
when "01" & x"c15" => data <= x"76";
when "01" & x"c16" => data <= x"65";
when "01" & x"c17" => data <= x"20";
when "01" & x"c18" => data <= x"ad";
when "01" & x"c19" => data <= x"d2";
when "01" & x"c1a" => data <= x"10";
when "01" & x"c1b" => data <= x"20";
when "01" & x"c1c" => data <= x"ca";
when "01" & x"c1d" => data <= x"80";
when "01" & x"c1e" => data <= x"20";
when "01" & x"c1f" => data <= x"65";
when "01" & x"c20" => data <= x"80";
when "01" & x"c21" => data <= x"20";
when "01" & x"c22" => data <= x"74";
when "01" & x"c23" => data <= x"6f";
when "01" & x"c24" => data <= x"20";
when "01" & x"c25" => data <= x"64";
when "01" & x"c26" => data <= x"72";
when "01" & x"c27" => data <= x"69";
when "01" & x"c28" => data <= x"76";
when "01" & x"c29" => data <= x"65";
when "01" & x"c2a" => data <= x"20";
when "01" & x"c2b" => data <= x"ad";
when "01" & x"c2c" => data <= x"d3";
when "01" & x"c2d" => data <= x"10";
when "01" & x"c2e" => data <= x"20";
when "01" & x"c2f" => data <= x"ca";
when "01" & x"c30" => data <= x"80";
when "01" & x"c31" => data <= x"20";
when "01" & x"c32" => data <= x"9a";
when "01" & x"c33" => data <= x"9f";
when "01" & x"c34" => data <= x"68";
when "01" & x"c35" => data <= x"a8";
when "01" & x"c36" => data <= x"18";
when "01" & x"c37" => data <= x"60";
when "01" & x"c38" => data <= x"20";
when "01" & x"c39" => data <= x"e1";
when "01" & x"c3a" => data <= x"83";
when "01" & x"c3b" => data <= x"24";
when "01" & x"c3c" => data <= x"a9";
when "01" & x"c3d" => data <= x"10";
when "01" & x"c3e" => data <= x"0b";
when "01" & x"c3f" => data <= x"a9";
when "01" & x"c40" => data <= x"00";
when "01" & x"c41" => data <= x"f0";
when "01" & x"c42" => data <= x"0a";
when "01" & x"c43" => data <= x"20";
when "01" & x"c44" => data <= x"e1";
when "01" & x"c45" => data <= x"83";
when "01" & x"c46" => data <= x"24";
when "01" & x"c47" => data <= x"a9";
when "01" & x"c48" => data <= x"30";
when "01" & x"c49" => data <= x"01";
when "01" & x"c4a" => data <= x"60";
when "01" & x"c4b" => data <= x"a9";
when "01" & x"c4c" => data <= x"80";
when "01" & x"c4d" => data <= x"c5";
when "01" & x"c4e" => data <= x"aa";
when "01" & x"c4f" => data <= x"f0";
when "01" & x"c50" => data <= x"f9";
when "01" & x"c51" => data <= x"85";
when "01" & x"c52" => data <= x"aa";
when "01" & x"c53" => data <= x"20";
when "01" & x"c54" => data <= x"65";
when "01" & x"c55" => data <= x"80";
when "01" & x"c56" => data <= x"49";
when "01" & x"c57" => data <= x"6e";
when "01" & x"c58" => data <= x"73";
when "01" & x"c59" => data <= x"65";
when "01" & x"c5a" => data <= x"72";
when "01" & x"c5b" => data <= x"74";
when "01" & x"c5c" => data <= x"20";
when "01" & x"c5d" => data <= x"ea";
when "01" & x"c5e" => data <= x"24";
when "01" & x"c5f" => data <= x"aa";
when "01" & x"c60" => data <= x"30";
when "01" & x"c61" => data <= x"0b";
when "01" & x"c62" => data <= x"20";
when "01" & x"c63" => data <= x"65";
when "01" & x"c64" => data <= x"80";
when "01" & x"c65" => data <= x"73";
when "01" & x"c66" => data <= x"6f";
when "01" & x"c67" => data <= x"75";
when "01" & x"c68" => data <= x"72";
when "01" & x"c69" => data <= x"63";
when "01" & x"c6a" => data <= x"65";
when "01" & x"c6b" => data <= x"90";
when "01" & x"c6c" => data <= x"0f";
when "01" & x"c6d" => data <= x"20";
when "01" & x"c6e" => data <= x"65";
when "01" & x"c6f" => data <= x"80";
when "01" & x"c70" => data <= x"64";
when "01" & x"c71" => data <= x"65";
when "01" & x"c72" => data <= x"73";
when "01" & x"c73" => data <= x"74";
when "01" & x"c74" => data <= x"69";
when "01" & x"c75" => data <= x"6e";
when "01" & x"c76" => data <= x"61";
when "01" & x"c77" => data <= x"74";
when "01" & x"c78" => data <= x"69";
when "01" & x"c79" => data <= x"6f";
when "01" & x"c7a" => data <= x"6e";
when "01" & x"c7b" => data <= x"ea";
when "01" & x"c7c" => data <= x"20";
when "01" & x"c7d" => data <= x"65";
when "01" & x"c7e" => data <= x"80";
when "01" & x"c7f" => data <= x"20";
when "01" & x"c80" => data <= x"64";
when "01" & x"c81" => data <= x"69";
when "01" & x"c82" => data <= x"73";
when "01" & x"c83" => data <= x"6b";
when "01" & x"c84" => data <= x"20";
when "01" & x"c85" => data <= x"61";
when "01" & x"c86" => data <= x"6e";
when "01" & x"c87" => data <= x"64";
when "01" & x"c88" => data <= x"20";
when "01" & x"c89" => data <= x"68";
when "01" & x"c8a" => data <= x"69";
when "01" & x"c8b" => data <= x"74";
when "01" & x"c8c" => data <= x"20";
when "01" & x"c8d" => data <= x"61";
when "01" & x"c8e" => data <= x"20";
when "01" & x"c8f" => data <= x"6b";
when "01" & x"c90" => data <= x"65";
when "01" & x"c91" => data <= x"79";
when "01" & x"c92" => data <= x"ea";
when "01" & x"c93" => data <= x"20";
when "01" & x"c94" => data <= x"06";
when "01" & x"c95" => data <= x"99";
when "01" & x"c96" => data <= x"20";
when "01" & x"c97" => data <= x"e0";
when "01" & x"c98" => data <= x"ff";
when "01" & x"c99" => data <= x"b0";
when "01" & x"c9a" => data <= x"19";
when "01" & x"c9b" => data <= x"4c";
when "01" & x"c9c" => data <= x"9a";
when "01" & x"c9d" => data <= x"9f";
when "01" & x"c9e" => data <= x"20";
when "01" & x"c9f" => data <= x"06";
when "01" & x"ca0" => data <= x"99";
when "01" & x"ca1" => data <= x"20";
when "01" & x"ca2" => data <= x"e0";
when "01" & x"ca3" => data <= x"ff";
when "01" & x"ca4" => data <= x"b0";
when "01" & x"ca5" => data <= x"0e";
when "01" & x"ca6" => data <= x"29";
when "01" & x"ca7" => data <= x"5f";
when "01" & x"ca8" => data <= x"c9";
when "01" & x"ca9" => data <= x"59";
when "01" & x"caa" => data <= x"08";
when "01" & x"cab" => data <= x"f0";
when "01" & x"cac" => data <= x"02";
when "01" & x"cad" => data <= x"a9";
when "01" & x"cae" => data <= x"4e";
when "01" & x"caf" => data <= x"20";
when "01" & x"cb0" => data <= x"9c";
when "01" & x"cb1" => data <= x"80";
when "01" & x"cb2" => data <= x"28";
when "01" & x"cb3" => data <= x"60";
when "01" & x"cb4" => data <= x"a6";
when "01" & x"cb5" => data <= x"b6";
when "01" & x"cb6" => data <= x"9a";
when "01" & x"cb7" => data <= x"60";
when "01" & x"cb8" => data <= x"4c";
when "01" & x"cb9" => data <= x"58";
when "01" & x"cba" => data <= x"89";
when "01" & x"cbb" => data <= x"20";
when "01" & x"cbc" => data <= x"bd";
when "01" & x"cbd" => data <= x"9b";
when "01" & x"cbe" => data <= x"20";
when "01" & x"cbf" => data <= x"d2";
when "01" & x"cc0" => data <= x"9b";
when "01" & x"cc1" => data <= x"a9";
when "01" & x"cc2" => data <= x"00";
when "01" & x"cc3" => data <= x"85";
when "01" & x"cc4" => data <= x"c9";
when "01" & x"cc5" => data <= x"85";
when "01" & x"cc6" => data <= x"cb";
when "01" & x"cc7" => data <= x"85";
when "01" & x"cc8" => data <= x"ca";
when "01" & x"cc9" => data <= x"85";
when "01" & x"cca" => data <= x"c8";
when "01" & x"ccb" => data <= x"85";
when "01" & x"ccc" => data <= x"a8";
when "01" & x"ccd" => data <= x"20";
when "01" & x"cce" => data <= x"38";
when "01" & x"ccf" => data <= x"9c";
when "01" & x"cd0" => data <= x"ad";
when "01" & x"cd1" => data <= x"d2";
when "01" & x"cd2" => data <= x"10";
when "01" & x"cd3" => data <= x"85";
when "01" & x"cd4" => data <= x"cf";
when "01" & x"cd5" => data <= x"20";
when "01" & x"cd6" => data <= x"41";
when "01" & x"cd7" => data <= x"af";
when "01" & x"cd8" => data <= x"ad";
when "01" & x"cd9" => data <= x"07";
when "01" & x"cda" => data <= x"0f";
when "01" & x"cdb" => data <= x"85";
when "01" & x"cdc" => data <= x"c6";
when "01" & x"cdd" => data <= x"ad";
when "01" & x"cde" => data <= x"06";
when "01" & x"cdf" => data <= x"0f";
when "01" & x"ce0" => data <= x"29";
when "01" & x"ce1" => data <= x"03";
when "01" & x"ce2" => data <= x"85";
when "01" & x"ce3" => data <= x"c7";
when "01" & x"ce4" => data <= x"ad";
when "01" & x"ce5" => data <= x"06";
when "01" & x"ce6" => data <= x"0f";
when "01" & x"ce7" => data <= x"29";
when "01" & x"ce8" => data <= x"f0";
when "01" & x"ce9" => data <= x"8d";
when "01" & x"cea" => data <= x"d8";
when "01" & x"ceb" => data <= x"10";
when "01" & x"cec" => data <= x"20";
when "01" & x"ced" => data <= x"43";
when "01" & x"cee" => data <= x"9c";
when "01" & x"cef" => data <= x"ad";
when "01" & x"cf0" => data <= x"d3";
when "01" & x"cf1" => data <= x"10";
when "01" & x"cf2" => data <= x"85";
when "01" & x"cf3" => data <= x"cf";
when "01" & x"cf4" => data <= x"20";
when "01" & x"cf5" => data <= x"41";
when "01" & x"cf6" => data <= x"af";
when "01" & x"cf7" => data <= x"ad";
when "01" & x"cf8" => data <= x"06";
when "01" & x"cf9" => data <= x"0f";
when "01" & x"cfa" => data <= x"29";
when "01" & x"cfb" => data <= x"03";
when "01" & x"cfc" => data <= x"c5";
when "01" & x"cfd" => data <= x"c7";
when "01" & x"cfe" => data <= x"90";
when "01" & x"cff" => data <= x"b8";
when "01" & x"d00" => data <= x"d0";
when "01" & x"d01" => data <= x"07";
when "01" & x"d02" => data <= x"ad";
when "01" & x"d03" => data <= x"07";
when "01" & x"d04" => data <= x"0f";
when "01" & x"d05" => data <= x"c5";
when "01" & x"d06" => data <= x"c6";
when "01" & x"d07" => data <= x"90";
when "01" & x"d08" => data <= x"af";
when "01" & x"d09" => data <= x"20";
when "01" & x"d0a" => data <= x"06";
when "01" & x"d0b" => data <= x"9e";
when "01" & x"d0c" => data <= x"ad";
when "01" & x"d0d" => data <= x"06";
when "01" & x"d0e" => data <= x"0f";
when "01" & x"d0f" => data <= x"48";
when "01" & x"d10" => data <= x"ad";
when "01" & x"d11" => data <= x"07";
when "01" & x"d12" => data <= x"0f";
when "01" & x"d13" => data <= x"48";
when "01" & x"d14" => data <= x"20";
when "01" & x"d15" => data <= x"41";
when "01" & x"d16" => data <= x"af";
when "01" & x"d17" => data <= x"68";
when "01" & x"d18" => data <= x"8d";
when "01" & x"d19" => data <= x"07";
when "01" & x"d1a" => data <= x"0f";
when "01" & x"d1b" => data <= x"68";
when "01" & x"d1c" => data <= x"29";
when "01" & x"d1d" => data <= x"0f";
when "01" & x"d1e" => data <= x"0d";
when "01" & x"d1f" => data <= x"d8";
when "01" & x"d20" => data <= x"10";
when "01" & x"d21" => data <= x"8d";
when "01" & x"d22" => data <= x"06";
when "01" & x"d23" => data <= x"0f";
when "01" & x"d24" => data <= x"4c";
when "01" & x"d25" => data <= x"b4";
when "01" & x"d26" => data <= x"8a";
when "01" & x"d27" => data <= x"20";
when "01" & x"d28" => data <= x"5e";
when "01" & x"d29" => data <= x"82";
when "01" & x"d2a" => data <= x"20";
when "01" & x"d2b" => data <= x"d2";
when "01" & x"d2c" => data <= x"9b";
when "01" & x"d2d" => data <= x"20";
when "01" & x"d2e" => data <= x"bf";
when "01" & x"d2f" => data <= x"86";
when "01" & x"d30" => data <= x"d0";
when "01" & x"d31" => data <= x"03";
when "01" & x"d32" => data <= x"4c";
when "01" & x"d33" => data <= x"06";
when "01" & x"d34" => data <= x"9a";
when "01" & x"d35" => data <= x"20";
when "01" & x"d36" => data <= x"fe";
when "01" & x"d37" => data <= x"80";
when "01" & x"d38" => data <= x"20";
when "01" & x"d39" => data <= x"38";
when "01" & x"d3a" => data <= x"9c";
when "01" & x"d3b" => data <= x"ad";
when "01" & x"d3c" => data <= x"d2";
when "01" & x"d3d" => data <= x"10";
when "01" & x"d3e" => data <= x"20";
when "01" & x"d3f" => data <= x"7e";
when "01" & x"d40" => data <= x"87";
when "01" & x"d41" => data <= x"20";
when "01" & x"d42" => data <= x"96";
when "01" & x"d43" => data <= x"82";
when "01" & x"d44" => data <= x"b0";
when "01" & x"d45" => data <= x"03";
when "01" & x"d46" => data <= x"4c";
when "01" & x"d47" => data <= x"76";
when "01" & x"d48" => data <= x"82";
when "01" & x"d49" => data <= x"84";
when "01" & x"d4a" => data <= x"ab";
when "01" & x"d4b" => data <= x"20";
when "01" & x"d4c" => data <= x"01";
when "01" & x"d4d" => data <= x"83";
when "01" & x"d4e" => data <= x"a2";
when "01" & x"d4f" => data <= x"00";
when "01" & x"d50" => data <= x"b5";
when "01" & x"d51" => data <= x"c7";
when "01" & x"d52" => data <= x"9d";
when "01" & x"d53" => data <= x"58";
when "01" & x"d54" => data <= x"10";
when "01" & x"d55" => data <= x"b9";
when "01" & x"d56" => data <= x"08";
when "01" & x"d57" => data <= x"0e";
when "01" & x"d58" => data <= x"95";
when "01" & x"d59" => data <= x"c7";
when "01" & x"d5a" => data <= x"9d";
when "01" & x"d5b" => data <= x"50";
when "01" & x"d5c" => data <= x"10";
when "01" & x"d5d" => data <= x"b9";
when "01" & x"d5e" => data <= x"08";
when "01" & x"d5f" => data <= x"0f";
when "01" & x"d60" => data <= x"95";
when "01" & x"d61" => data <= x"bd";
when "01" & x"d62" => data <= x"9d";
when "01" & x"d63" => data <= x"47";
when "01" & x"d64" => data <= x"10";
when "01" & x"d65" => data <= x"e8";
when "01" & x"d66" => data <= x"c8";
when "01" & x"d67" => data <= x"e0";
when "01" & x"d68" => data <= x"08";
when "01" & x"d69" => data <= x"d0";
when "01" & x"d6a" => data <= x"e5";
when "01" & x"d6b" => data <= x"a5";
when "01" & x"d6c" => data <= x"c3";
when "01" & x"d6d" => data <= x"20";
when "01" & x"d6e" => data <= x"fd";
when "01" & x"d6f" => data <= x"81";
when "01" & x"d70" => data <= x"85";
when "01" & x"d71" => data <= x"c5";
when "01" & x"d72" => data <= x"a5";
when "01" & x"d73" => data <= x"c1";
when "01" & x"d74" => data <= x"18";
when "01" & x"d75" => data <= x"69";
when "01" & x"d76" => data <= x"ff";
when "01" & x"d77" => data <= x"a5";
when "01" & x"d78" => data <= x"c2";
when "01" & x"d79" => data <= x"69";
when "01" & x"d7a" => data <= x"00";
when "01" & x"d7b" => data <= x"85";
when "01" & x"d7c" => data <= x"c6";
when "01" & x"d7d" => data <= x"a5";
when "01" & x"d7e" => data <= x"c5";
when "01" & x"d7f" => data <= x"69";
when "01" & x"d80" => data <= x"00";
when "01" & x"d81" => data <= x"85";
when "01" & x"d82" => data <= x"c7";
when "01" & x"d83" => data <= x"ad";
when "01" & x"d84" => data <= x"4e";
when "01" & x"d85" => data <= x"10";
when "01" & x"d86" => data <= x"85";
when "01" & x"d87" => data <= x"c8";
when "01" & x"d88" => data <= x"ad";
when "01" & x"d89" => data <= x"4d";
when "01" & x"d8a" => data <= x"10";
when "01" & x"d8b" => data <= x"29";
when "01" & x"d8c" => data <= x"03";
when "01" & x"d8d" => data <= x"85";
when "01" & x"d8e" => data <= x"c9";
when "01" & x"d8f" => data <= x"a9";
when "01" & x"d90" => data <= x"ff";
when "01" & x"d91" => data <= x"85";
when "01" & x"d92" => data <= x"a8";
when "01" & x"d93" => data <= x"20";
when "01" & x"d94" => data <= x"06";
when "01" & x"d95" => data <= x"9e";
when "01" & x"d96" => data <= x"20";
when "01" & x"d97" => data <= x"38";
when "01" & x"d98" => data <= x"9c";
when "01" & x"d99" => data <= x"ad";
when "01" & x"d9a" => data <= x"d2";
when "01" & x"d9b" => data <= x"10";
when "01" & x"d9c" => data <= x"20";
when "01" & x"d9d" => data <= x"7e";
when "01" & x"d9e" => data <= x"87";
when "01" & x"d9f" => data <= x"20";
when "01" & x"da0" => data <= x"47";
when "01" & x"da1" => data <= x"83";
when "01" & x"da2" => data <= x"a2";
when "01" & x"da3" => data <= x"07";
when "01" & x"da4" => data <= x"bd";
when "01" & x"da5" => data <= x"58";
when "01" & x"da6" => data <= x"10";
when "01" & x"da7" => data <= x"95";
when "01" & x"da8" => data <= x"c7";
when "01" & x"da9" => data <= x"ca";
when "01" & x"daa" => data <= x"10";
when "01" & x"dab" => data <= x"f8";
when "01" & x"dac" => data <= x"a4";
when "01" & x"dad" => data <= x"ab";
when "01" & x"dae" => data <= x"8c";
when "01" & x"daf" => data <= x"ce";
when "01" & x"db0" => data <= x"10";
when "01" & x"db1" => data <= x"20";
when "01" & x"db2" => data <= x"9d";
when "01" & x"db3" => data <= x"82";
when "01" & x"db4" => data <= x"b0";
when "01" & x"db5" => data <= x"93";
when "01" & x"db6" => data <= x"60";
when "01" & x"db7" => data <= x"20";
when "01" & x"db8" => data <= x"f5";
when "01" & x"db9" => data <= x"9d";
when "01" & x"dba" => data <= x"20";
when "01" & x"dbb" => data <= x"43";
when "01" & x"dbc" => data <= x"9c";
when "01" & x"dbd" => data <= x"ad";
when "01" & x"dbe" => data <= x"d3";
when "01" & x"dbf" => data <= x"10";
when "01" & x"dc0" => data <= x"85";
when "01" & x"dc1" => data <= x"cf";
when "01" & x"dc2" => data <= x"a5";
when "01" & x"dc3" => data <= x"ce";
when "01" & x"dc4" => data <= x"48";
when "01" & x"dc5" => data <= x"20";
when "01" & x"dc6" => data <= x"47";
when "01" & x"dc7" => data <= x"83";
when "01" & x"dc8" => data <= x"20";
when "01" & x"dc9" => data <= x"96";
when "01" & x"dca" => data <= x"82";
when "01" & x"dcb" => data <= x"90";
when "01" & x"dcc" => data <= x"03";
when "01" & x"dcd" => data <= x"20";
when "01" & x"dce" => data <= x"d1";
when "01" & x"dcf" => data <= x"82";
when "01" & x"dd0" => data <= x"68";
when "01" & x"dd1" => data <= x"85";
when "01" & x"dd2" => data <= x"ce";
when "01" & x"dd3" => data <= x"20";
when "01" & x"dd4" => data <= x"3f";
when "01" & x"dd5" => data <= x"8a";
when "01" & x"dd6" => data <= x"20";
when "01" & x"dd7" => data <= x"56";
when "01" & x"dd8" => data <= x"8a";
when "01" & x"dd9" => data <= x"a5";
when "01" & x"dda" => data <= x"c4";
when "01" & x"ddb" => data <= x"20";
when "01" & x"ddc" => data <= x"fd";
when "01" & x"ddd" => data <= x"81";
when "01" & x"dde" => data <= x"85";
when "01" & x"ddf" => data <= x"c6";
when "01" & x"de0" => data <= x"20";
when "01" & x"de1" => data <= x"9d";
when "01" & x"de2" => data <= x"89";
when "01" & x"de3" => data <= x"a5";
when "01" & x"de4" => data <= x"c4";
when "01" & x"de5" => data <= x"29";
when "01" & x"de6" => data <= x"03";
when "01" & x"de7" => data <= x"48";
when "01" & x"de8" => data <= x"a5";
when "01" & x"de9" => data <= x"c5";
when "01" & x"dea" => data <= x"48";
when "01" & x"deb" => data <= x"20";
when "01" & x"dec" => data <= x"f5";
when "01" & x"ded" => data <= x"9d";
when "01" & x"dee" => data <= x"68";
when "01" & x"def" => data <= x"85";
when "01" & x"df0" => data <= x"ca";
when "01" & x"df1" => data <= x"68";
when "01" & x"df2" => data <= x"85";
when "01" & x"df3" => data <= x"cb";
when "01" & x"df4" => data <= x"60";
when "01" & x"df5" => data <= x"a2";
when "01" & x"df6" => data <= x"11";
when "01" & x"df7" => data <= x"bd";
when "01" & x"df8" => data <= x"45";
when "01" & x"df9" => data <= x"10";
when "01" & x"dfa" => data <= x"b4";
when "01" & x"dfb" => data <= x"bc";
when "01" & x"dfc" => data <= x"95";
when "01" & x"dfd" => data <= x"bc";
when "01" & x"dfe" => data <= x"98";
when "01" & x"dff" => data <= x"9d";
when "01" & x"e00" => data <= x"45";
when "01" & x"e01" => data <= x"10";
when "01" & x"e02" => data <= x"ca";
when "01" & x"e03" => data <= x"10";
when "01" & x"e04" => data <= x"f2";
when "01" & x"e05" => data <= x"60";
when "01" & x"e06" => data <= x"20";
when "01" & x"e07" => data <= x"8d";
when "01" & x"e08" => data <= x"a0";
when "01" & x"e09" => data <= x"a9";
when "01" & x"e0a" => data <= x"00";
when "01" & x"e0b" => data <= x"85";
when "01" & x"e0c" => data <= x"be";
when "01" & x"e0d" => data <= x"85";
when "01" & x"e0e" => data <= x"c2";
when "01" & x"e0f" => data <= x"a5";
when "01" & x"e10" => data <= x"c6";
when "01" & x"e11" => data <= x"a8";
when "01" & x"e12" => data <= x"cd";
when "01" & x"e13" => data <= x"d1";
when "01" & x"e14" => data <= x"10";
when "01" & x"e15" => data <= x"a5";
when "01" & x"e16" => data <= x"c7";
when "01" & x"e17" => data <= x"e9";
when "01" & x"e18" => data <= x"00";
when "01" & x"e19" => data <= x"90";
when "01" & x"e1a" => data <= x"03";
when "01" & x"e1b" => data <= x"ac";
when "01" & x"e1c" => data <= x"d1";
when "01" & x"e1d" => data <= x"10";
when "01" & x"e1e" => data <= x"84";
when "01" & x"e1f" => data <= x"c3";
when "01" & x"e20" => data <= x"a5";
when "01" & x"e21" => data <= x"c8";
when "01" & x"e22" => data <= x"85";
when "01" & x"e23" => data <= x"c5";
when "01" & x"e24" => data <= x"a5";
when "01" & x"e25" => data <= x"c9";
when "01" & x"e26" => data <= x"85";
when "01" & x"e27" => data <= x"c4";
when "01" & x"e28" => data <= x"ad";
when "01" & x"e29" => data <= x"d0";
when "01" & x"e2a" => data <= x"10";
when "01" & x"e2b" => data <= x"85";
when "01" & x"e2c" => data <= x"bf";
when "01" & x"e2d" => data <= x"ad";
when "01" & x"e2e" => data <= x"d2";
when "01" & x"e2f" => data <= x"10";
when "01" & x"e30" => data <= x"85";
when "01" & x"e31" => data <= x"cf";
when "01" & x"e32" => data <= x"20";
when "01" & x"e33" => data <= x"38";
when "01" & x"e34" => data <= x"9c";
when "01" & x"e35" => data <= x"20";
when "01" & x"e36" => data <= x"3e";
when "01" & x"e37" => data <= x"be";
when "01" & x"e38" => data <= x"20";
when "01" & x"e39" => data <= x"c6";
when "01" & x"e3a" => data <= x"87";
when "01" & x"e3b" => data <= x"ad";
when "01" & x"e3c" => data <= x"d3";
when "01" & x"e3d" => data <= x"10";
when "01" & x"e3e" => data <= x"85";
when "01" & x"e3f" => data <= x"cf";
when "01" & x"e40" => data <= x"24";
when "01" & x"e41" => data <= x"a8";
when "01" & x"e42" => data <= x"10";
when "01" & x"e43" => data <= x"07";
when "01" & x"e44" => data <= x"20";
when "01" & x"e45" => data <= x"b7";
when "01" & x"e46" => data <= x"9d";
when "01" & x"e47" => data <= x"a9";
when "01" & x"e48" => data <= x"00";
when "01" & x"e49" => data <= x"85";
when "01" & x"e4a" => data <= x"a8";
when "01" & x"e4b" => data <= x"a5";
when "01" & x"e4c" => data <= x"ca";
when "01" & x"e4d" => data <= x"85";
when "01" & x"e4e" => data <= x"c5";
when "01" & x"e4f" => data <= x"a5";
when "01" & x"e50" => data <= x"cb";
when "01" & x"e51" => data <= x"85";
when "01" & x"e52" => data <= x"c4";
when "01" & x"e53" => data <= x"ad";
when "01" & x"e54" => data <= x"d0";
when "01" & x"e55" => data <= x"10";
when "01" & x"e56" => data <= x"85";
when "01" & x"e57" => data <= x"bf";
when "01" & x"e58" => data <= x"20";
when "01" & x"e59" => data <= x"43";
when "01" & x"e5a" => data <= x"9c";
when "01" & x"e5b" => data <= x"20";
when "01" & x"e5c" => data <= x"3e";
when "01" & x"e5d" => data <= x"be";
when "01" & x"e5e" => data <= x"20";
when "01" & x"e5f" => data <= x"8f";
when "01" & x"e60" => data <= x"87";
when "01" & x"e61" => data <= x"a5";
when "01" & x"e62" => data <= x"c3";
when "01" & x"e63" => data <= x"18";
when "01" & x"e64" => data <= x"65";
when "01" & x"e65" => data <= x"ca";
when "01" & x"e66" => data <= x"85";
when "01" & x"e67" => data <= x"ca";
when "01" & x"e68" => data <= x"90";
when "01" & x"e69" => data <= x"02";
when "01" & x"e6a" => data <= x"e6";
when "01" & x"e6b" => data <= x"cb";
when "01" & x"e6c" => data <= x"a5";
when "01" & x"e6d" => data <= x"c3";
when "01" & x"e6e" => data <= x"18";
when "01" & x"e6f" => data <= x"65";
when "01" & x"e70" => data <= x"c8";
when "01" & x"e71" => data <= x"85";
when "01" & x"e72" => data <= x"c8";
when "01" & x"e73" => data <= x"90";
when "01" & x"e74" => data <= x"02";
when "01" & x"e75" => data <= x"e6";
when "01" & x"e76" => data <= x"c9";
when "01" & x"e77" => data <= x"38";
when "01" & x"e78" => data <= x"a5";
when "01" & x"e79" => data <= x"c6";
when "01" & x"e7a" => data <= x"e5";
when "01" & x"e7b" => data <= x"c3";
when "01" & x"e7c" => data <= x"85";
when "01" & x"e7d" => data <= x"c6";
when "01" & x"e7e" => data <= x"b0";
when "01" & x"e7f" => data <= x"02";
when "01" & x"e80" => data <= x"c6";
when "01" & x"e81" => data <= x"c7";
when "01" & x"e82" => data <= x"05";
when "01" & x"e83" => data <= x"c7";
when "01" & x"e84" => data <= x"d0";
when "01" & x"e85" => data <= x"89";
when "01" & x"e86" => data <= x"60";
when "01" & x"e87" => data <= x"20";
when "01" & x"e88" => data <= x"d7";
when "01" & x"e89" => data <= x"9f";
when "01" & x"e8a" => data <= x"a9";
when "01" & x"e8b" => data <= x"00";
when "01" & x"e8c" => data <= x"f0";
when "01" & x"e8d" => data <= x"05";
when "01" & x"e8e" => data <= x"20";
when "01" & x"e8f" => data <= x"d7";
when "01" & x"e90" => data <= x"9f";
when "01" & x"e91" => data <= x"a9";
when "01" & x"e92" => data <= x"ff";
when "01" & x"e93" => data <= x"85";
when "01" & x"e94" => data <= x"ab";
when "01" & x"e95" => data <= x"a9";
when "01" & x"e96" => data <= x"c0";
when "01" & x"e97" => data <= x"20";
when "01" & x"e98" => data <= x"ce";
when "01" & x"e99" => data <= x"ff";
when "01" & x"e9a" => data <= x"a8";
when "01" & x"e9b" => data <= x"a9";
when "01" & x"e9c" => data <= x"0d";
when "01" & x"e9d" => data <= x"c0";
when "01" & x"e9e" => data <= x"00";
when "01" & x"e9f" => data <= x"d0";
when "01" & x"ea0" => data <= x"1e";
when "01" & x"ea1" => data <= x"4c";
when "01" & x"ea2" => data <= x"76";
when "01" & x"ea3" => data <= x"82";
when "01" & x"ea4" => data <= x"20";
when "01" & x"ea5" => data <= x"d7";
when "01" & x"ea6" => data <= x"ff";
when "01" & x"ea7" => data <= x"b0";
when "01" & x"ea8" => data <= x"1e";
when "01" & x"ea9" => data <= x"c9";
when "01" & x"eaa" => data <= x"0a";
when "01" & x"eab" => data <= x"f0";
when "01" & x"eac" => data <= x"f7";
when "01" & x"ead" => data <= x"28";
when "01" & x"eae" => data <= x"d0";
when "01" & x"eaf" => data <= x"08";
when "01" & x"eb0" => data <= x"48";
when "01" & x"eb1" => data <= x"20";
when "01" & x"eb2" => data <= x"a2";
when "01" & x"eb3" => data <= x"9f";
when "01" & x"eb4" => data <= x"20";
when "01" & x"eb5" => data <= x"ce";
when "01" & x"eb6" => data <= x"9f";
when "01" & x"eb7" => data <= x"68";
when "01" & x"eb8" => data <= x"20";
when "01" & x"eb9" => data <= x"e3";
when "01" & x"eba" => data <= x"ff";
when "01" & x"ebb" => data <= x"24";
when "01" & x"ebc" => data <= x"ff";
when "01" & x"ebd" => data <= x"30";
when "01" & x"ebe" => data <= x"09";
when "01" & x"ebf" => data <= x"25";
when "01" & x"ec0" => data <= x"ab";
when "01" & x"ec1" => data <= x"c9";
when "01" & x"ec2" => data <= x"0d";
when "01" & x"ec3" => data <= x"08";
when "01" & x"ec4" => data <= x"4c";
when "01" & x"ec5" => data <= x"a4";
when "01" & x"ec6" => data <= x"9e";
when "01" & x"ec7" => data <= x"28";
when "01" & x"ec8" => data <= x"20";
when "01" & x"ec9" => data <= x"9a";
when "01" & x"eca" => data <= x"9f";
when "01" & x"ecb" => data <= x"a9";
when "01" & x"ecc" => data <= x"00";
when "01" & x"ecd" => data <= x"4c";
when "01" & x"ece" => data <= x"ce";
when "01" & x"ecf" => data <= x"ff";
when "01" & x"ed0" => data <= x"20";
when "01" & x"ed1" => data <= x"d7";
when "01" & x"ed2" => data <= x"9f";
when "01" & x"ed3" => data <= x"a9";
when "01" & x"ed4" => data <= x"c0";
when "01" & x"ed5" => data <= x"20";
when "01" & x"ed6" => data <= x"ce";
when "01" & x"ed7" => data <= x"ff";
when "01" & x"ed8" => data <= x"a8";
when "01" & x"ed9" => data <= x"f0";
when "01" & x"eda" => data <= x"c6";
when "01" & x"edb" => data <= x"a6";
when "01" & x"edc" => data <= x"f4";
when "01" & x"edd" => data <= x"bd";
when "01" & x"ede" => data <= x"f0";
when "01" & x"edf" => data <= x"0d";
when "01" & x"ee0" => data <= x"85";
when "01" & x"ee1" => data <= x"ad";
when "01" & x"ee2" => data <= x"e6";
when "01" & x"ee3" => data <= x"ad";
when "01" & x"ee4" => data <= x"24";
when "01" & x"ee5" => data <= x"ff";
when "01" & x"ee6" => data <= x"30";
when "01" & x"ee7" => data <= x"e3";
when "01" & x"ee8" => data <= x"a5";
when "01" & x"ee9" => data <= x"a9";
when "01" & x"eea" => data <= x"20";
when "01" & x"eeb" => data <= x"c2";
when "01" & x"eec" => data <= x"80";
when "01" & x"eed" => data <= x"a5";
when "01" & x"eee" => data <= x"a8";
when "01" & x"eef" => data <= x"20";
when "01" & x"ef0" => data <= x"c2";
when "01" & x"ef1" => data <= x"80";
when "01" & x"ef2" => data <= x"20";
when "01" & x"ef3" => data <= x"ce";
when "01" & x"ef4" => data <= x"9f";
when "01" & x"ef5" => data <= x"a9";
when "01" & x"ef6" => data <= x"07";
when "01" & x"ef7" => data <= x"85";
when "01" & x"ef8" => data <= x"ac";
when "01" & x"ef9" => data <= x"a2";
when "01" & x"efa" => data <= x"00";
when "01" & x"efb" => data <= x"20";
when "01" & x"efc" => data <= x"d7";
when "01" & x"efd" => data <= x"ff";
when "01" & x"efe" => data <= x"b0";
when "01" & x"eff" => data <= x"0d";
when "01" & x"f00" => data <= x"81";
when "01" & x"f01" => data <= x"ac";
when "01" & x"f02" => data <= x"20";
when "01" & x"f03" => data <= x"c2";
when "01" & x"f04" => data <= x"80";
when "01" & x"f05" => data <= x"20";
when "01" & x"f06" => data <= x"ce";
when "01" & x"f07" => data <= x"9f";
when "01" & x"f08" => data <= x"c6";
when "01" & x"f09" => data <= x"ac";
when "01" & x"f0a" => data <= x"10";
when "01" & x"f0b" => data <= x"ef";
when "01" & x"f0c" => data <= x"18";
when "01" & x"f0d" => data <= x"08";
when "01" & x"f0e" => data <= x"90";
when "01" & x"f0f" => data <= x"0e";
when "01" & x"f10" => data <= x"20";
when "01" & x"f11" => data <= x"65";
when "01" & x"f12" => data <= x"80";
when "01" & x"f13" => data <= x"2a";
when "01" & x"f14" => data <= x"2a";
when "01" & x"f15" => data <= x"20";
when "01" & x"f16" => data <= x"a9";
when "01" & x"f17" => data <= x"00";
when "01" & x"f18" => data <= x"81";
when "01" & x"f19" => data <= x"ac";
when "01" & x"f1a" => data <= x"c6";
when "01" & x"f1b" => data <= x"ac";
when "01" & x"f1c" => data <= x"10";
when "01" & x"f1d" => data <= x"f2";
when "01" & x"f1e" => data <= x"a9";
when "01" & x"f1f" => data <= x"07";
when "01" & x"f20" => data <= x"85";
when "01" & x"f21" => data <= x"ac";
when "01" & x"f22" => data <= x"a1";
when "01" & x"f23" => data <= x"ac";
when "01" & x"f24" => data <= x"c9";
when "01" & x"f25" => data <= x"7f";
when "01" & x"f26" => data <= x"b0";
when "01" & x"f27" => data <= x"04";
when "01" & x"f28" => data <= x"c9";
when "01" & x"f29" => data <= x"20";
when "01" & x"f2a" => data <= x"b0";
when "01" & x"f2b" => data <= x"02";
when "01" & x"f2c" => data <= x"a9";
when "01" & x"f2d" => data <= x"2e";
when "01" & x"f2e" => data <= x"20";
when "01" & x"f2f" => data <= x"e3";
when "01" & x"f30" => data <= x"ff";
when "01" & x"f31" => data <= x"c6";
when "01" & x"f32" => data <= x"ac";
when "01" & x"f33" => data <= x"10";
when "01" & x"f34" => data <= x"ed";
when "01" & x"f35" => data <= x"20";
when "01" & x"f36" => data <= x"9a";
when "01" & x"f37" => data <= x"9f";
when "01" & x"f38" => data <= x"a9";
when "01" & x"f39" => data <= x"08";
when "01" & x"f3a" => data <= x"18";
when "01" & x"f3b" => data <= x"65";
when "01" & x"f3c" => data <= x"a8";
when "01" & x"f3d" => data <= x"85";
when "01" & x"f3e" => data <= x"a8";
when "01" & x"f3f" => data <= x"90";
when "01" & x"f40" => data <= x"02";
when "01" & x"f41" => data <= x"e6";
when "01" & x"f42" => data <= x"a9";
when "01" & x"f43" => data <= x"28";
when "01" & x"f44" => data <= x"90";
when "01" & x"f45" => data <= x"9e";
when "01" & x"f46" => data <= x"b0";
when "01" & x"f47" => data <= x"83";
when "01" & x"f48" => data <= x"20";
when "01" & x"f49" => data <= x"d7";
when "01" & x"f4a" => data <= x"9f";
when "01" & x"f4b" => data <= x"a9";
when "01" & x"f4c" => data <= x"80";
when "01" & x"f4d" => data <= x"20";
when "01" & x"f4e" => data <= x"ce";
when "01" & x"f4f" => data <= x"ff";
when "01" & x"f50" => data <= x"85";
when "01" & x"f51" => data <= x"ab";
when "01" & x"f52" => data <= x"20";
when "01" & x"f53" => data <= x"a2";
when "01" & x"f54" => data <= x"9f";
when "01" & x"f55" => data <= x"20";
when "01" & x"f56" => data <= x"ce";
when "01" & x"f57" => data <= x"9f";
when "01" & x"f58" => data <= x"a6";
when "01" & x"f59" => data <= x"f4";
when "01" & x"f5a" => data <= x"bc";
when "01" & x"f5b" => data <= x"f0";
when "01" & x"f5c" => data <= x"0d";
when "01" & x"f5d" => data <= x"c8";
when "01" & x"f5e" => data <= x"84";
when "01" & x"f5f" => data <= x"ad";
when "01" & x"f60" => data <= x"a2";
when "01" & x"f61" => data <= x"ac";
when "01" & x"f62" => data <= x"a0";
when "01" & x"f63" => data <= x"ff";
when "01" & x"f64" => data <= x"84";
when "01" & x"f65" => data <= x"ae";
when "01" & x"f66" => data <= x"84";
when "01" & x"f67" => data <= x"b0";
when "01" & x"f68" => data <= x"c8";
when "01" & x"f69" => data <= x"84";
when "01" & x"f6a" => data <= x"ac";
when "01" & x"f6b" => data <= x"84";
when "01" & x"f6c" => data <= x"af";
when "01" & x"f6d" => data <= x"98";
when "01" & x"f6e" => data <= x"20";
when "01" & x"f6f" => data <= x"f1";
when "01" & x"f70" => data <= x"ff";
when "01" & x"f71" => data <= x"08";
when "01" & x"f72" => data <= x"84";
when "01" & x"f73" => data <= x"aa";
when "01" & x"f74" => data <= x"a4";
when "01" & x"f75" => data <= x"ab";
when "01" & x"f76" => data <= x"a2";
when "01" & x"f77" => data <= x"00";
when "01" & x"f78" => data <= x"f0";
when "01" & x"f79" => data <= x"07";
when "01" & x"f7a" => data <= x"a1";
when "01" & x"f7b" => data <= x"ac";
when "01" & x"f7c" => data <= x"20";
when "01" & x"f7d" => data <= x"d4";
when "01" & x"f7e" => data <= x"ff";
when "01" & x"f7f" => data <= x"e6";
when "01" & x"f80" => data <= x"ac";
when "01" & x"f81" => data <= x"a5";
when "01" & x"f82" => data <= x"ac";
when "01" & x"f83" => data <= x"c5";
when "01" & x"f84" => data <= x"aa";
when "01" & x"f85" => data <= x"d0";
when "01" & x"f86" => data <= x"f3";
when "01" & x"f87" => data <= x"28";
when "01" & x"f88" => data <= x"b0";
when "01" & x"f89" => data <= x"08";
when "01" & x"f8a" => data <= x"a9";
when "01" & x"f8b" => data <= x"0d";
when "01" & x"f8c" => data <= x"20";
when "01" & x"f8d" => data <= x"d4";
when "01" & x"f8e" => data <= x"ff";
when "01" & x"f8f" => data <= x"4c";
when "01" & x"f90" => data <= x"52";
when "01" & x"f91" => data <= x"9f";
when "01" & x"f92" => data <= x"a9";
when "01" & x"f93" => data <= x"7e";
when "01" & x"f94" => data <= x"20";
when "01" & x"f95" => data <= x"f4";
when "01" & x"f96" => data <= x"ff";
when "01" & x"f97" => data <= x"20";
when "01" & x"f98" => data <= x"cb";
when "01" & x"f99" => data <= x"9e";
when "01" & x"f9a" => data <= x"48";
when "01" & x"f9b" => data <= x"a9";
when "01" & x"f9c" => data <= x"0d";
when "01" & x"f9d" => data <= x"20";
when "01" & x"f9e" => data <= x"9c";
when "01" & x"f9f" => data <= x"80";
when "01" & x"fa0" => data <= x"68";
when "01" & x"fa1" => data <= x"60";
when "01" & x"fa2" => data <= x"f8";
when "01" & x"fa3" => data <= x"18";
when "01" & x"fa4" => data <= x"a5";
when "01" & x"fa5" => data <= x"a8";
when "01" & x"fa6" => data <= x"69";
when "01" & x"fa7" => data <= x"01";
when "01" & x"fa8" => data <= x"85";
when "01" & x"fa9" => data <= x"a8";
when "01" & x"faa" => data <= x"a5";
when "01" & x"fab" => data <= x"a9";
when "01" & x"fac" => data <= x"69";
when "01" & x"fad" => data <= x"00";
when "01" & x"fae" => data <= x"85";
when "01" & x"faf" => data <= x"a9";
when "01" & x"fb0" => data <= x"d8";
when "01" & x"fb1" => data <= x"18";
when "01" & x"fb2" => data <= x"20";
when "01" & x"fb3" => data <= x"b7";
when "01" & x"fb4" => data <= x"9f";
when "01" & x"fb5" => data <= x"a5";
when "01" & x"fb6" => data <= x"a8";
when "01" & x"fb7" => data <= x"48";
when "01" & x"fb8" => data <= x"08";
when "01" & x"fb9" => data <= x"20";
when "01" & x"fba" => data <= x"05";
when "01" & x"fbb" => data <= x"82";
when "01" & x"fbc" => data <= x"28";
when "01" & x"fbd" => data <= x"20";
when "01" & x"fbe" => data <= x"c1";
when "01" & x"fbf" => data <= x"9f";
when "01" & x"fc0" => data <= x"68";
when "01" & x"fc1" => data <= x"aa";
when "01" & x"fc2" => data <= x"b0";
when "01" & x"fc3" => data <= x"02";
when "01" & x"fc4" => data <= x"f0";
when "01" & x"fc5" => data <= x"08";
when "01" & x"fc6" => data <= x"20";
when "01" & x"fc7" => data <= x"ca";
when "01" & x"fc8" => data <= x"80";
when "01" & x"fc9" => data <= x"38";
when "01" & x"fca" => data <= x"60";
when "01" & x"fcb" => data <= x"20";
when "01" & x"fcc" => data <= x"ce";
when "01" & x"fcd" => data <= x"9f";
when "01" & x"fce" => data <= x"48";
when "01" & x"fcf" => data <= x"a9";
when "01" & x"fd0" => data <= x"20";
when "01" & x"fd1" => data <= x"20";
when "01" & x"fd2" => data <= x"9c";
when "01" & x"fd3" => data <= x"80";
when "01" & x"fd4" => data <= x"68";
when "01" & x"fd5" => data <= x"18";
when "01" & x"fd6" => data <= x"60";
when "01" & x"fd7" => data <= x"ba";
when "01" & x"fd8" => data <= x"a9";
when "01" & x"fd9" => data <= x"00";
when "01" & x"fda" => data <= x"9d";
when "01" & x"fdb" => data <= x"07";
when "01" & x"fdc" => data <= x"01";
when "01" & x"fdd" => data <= x"88";
when "01" & x"fde" => data <= x"c8";
when "01" & x"fdf" => data <= x"b1";
when "01" & x"fe0" => data <= x"f2";
when "01" & x"fe1" => data <= x"c9";
when "01" & x"fe2" => data <= x"20";
when "01" & x"fe3" => data <= x"f0";
when "01" & x"fe4" => data <= x"f9";
when "01" & x"fe5" => data <= x"c9";
when "01" & x"fe6" => data <= x"0d";
when "01" & x"fe7" => data <= x"d0";
when "01" & x"fe8" => data <= x"03";
when "01" & x"fe9" => data <= x"4c";
when "01" & x"fea" => data <= x"06";
when "01" & x"feb" => data <= x"9a";
when "01" & x"fec" => data <= x"a9";
when "01" & x"fed" => data <= x"00";
when "01" & x"fee" => data <= x"85";
when "01" & x"fef" => data <= x"a8";
when "01" & x"ff0" => data <= x"85";
when "01" & x"ff1" => data <= x"a9";
when "01" & x"ff2" => data <= x"48";
when "01" & x"ff3" => data <= x"98";
when "01" & x"ff4" => data <= x"18";
when "01" & x"ff5" => data <= x"65";
when "01" & x"ff6" => data <= x"f2";
when "01" & x"ff7" => data <= x"aa";
when "01" & x"ff8" => data <= x"a5";
when "01" & x"ff9" => data <= x"f3";
when "01" & x"ffa" => data <= x"69";
when "01" & x"ffb" => data <= x"00";
when "01" & x"ffc" => data <= x"a8";
when "01" & x"ffd" => data <= x"68";
when "01" & x"ffe" => data <= x"60";
when "01" & x"fff" => data <= x"6d";
when "10" & x"000" => data <= x"20";
when "10" & x"001" => data <= x"80";
when "10" & x"002" => data <= x"a1";
when "10" & x"003" => data <= x"68";
when "10" & x"004" => data <= x"85";
when "10" & x"005" => data <= x"b8";
when "10" & x"006" => data <= x"68";
when "10" & x"007" => data <= x"85";
when "10" & x"008" => data <= x"b9";
when "10" & x"009" => data <= x"20";
when "10" & x"00a" => data <= x"0f";
when "10" & x"00b" => data <= x"a0";
when "10" & x"00c" => data <= x"4c";
when "10" & x"00d" => data <= x"00";
when "10" & x"00e" => data <= x"01";
when "10" & x"00f" => data <= x"a0";
when "10" & x"010" => data <= x"00";
when "10" & x"011" => data <= x"8c";
when "10" & x"012" => data <= x"00";
when "10" & x"013" => data <= x"01";
when "10" & x"014" => data <= x"c8";
when "10" & x"015" => data <= x"f0";
when "10" & x"016" => data <= x"07";
when "10" & x"017" => data <= x"b1";
when "10" & x"018" => data <= x"b8";
when "10" & x"019" => data <= x"99";
when "10" & x"01a" => data <= x"00";
when "10" & x"01b" => data <= x"01";
when "10" & x"01c" => data <= x"d0";
when "10" & x"01d" => data <= x"f6";
when "10" & x"01e" => data <= x"60";
when "10" & x"01f" => data <= x"a2";
when "10" & x"020" => data <= x"ff";
when "10" & x"021" => data <= x"d0";
when "10" & x"022" => data <= x"02";
when "10" & x"023" => data <= x"a2";
when "10" & x"024" => data <= x"00";
when "10" & x"025" => data <= x"a0";
when "10" & x"026" => data <= x"ff";
when "10" & x"027" => data <= x"8c";
when "10" & x"028" => data <= x"82";
when "10" & x"029" => data <= x"10";
when "10" & x"02a" => data <= x"85";
when "10" & x"02b" => data <= x"b0";
when "10" & x"02c" => data <= x"86";
when "10" & x"02d" => data <= x"b1";
when "10" & x"02e" => data <= x"8d";
when "10" & x"02f" => data <= x"02";
when "10" & x"030" => data <= x"0d";
when "10" & x"031" => data <= x"20";
when "10" & x"032" => data <= x"80";
when "10" & x"033" => data <= x"a1";
when "10" & x"034" => data <= x"68";
when "10" & x"035" => data <= x"85";
when "10" & x"036" => data <= x"b8";
when "10" & x"037" => data <= x"68";
when "10" & x"038" => data <= x"85";
when "10" & x"039" => data <= x"b9";
when "10" & x"03a" => data <= x"20";
when "10" & x"03b" => data <= x"0f";
when "10" & x"03c" => data <= x"a0";
when "10" & x"03d" => data <= x"a5";
when "10" & x"03e" => data <= x"b0";
when "10" & x"03f" => data <= x"20";
when "10" & x"040" => data <= x"69";
when "10" & x"041" => data <= x"a0";
when "10" & x"042" => data <= x"a5";
when "10" & x"043" => data <= x"b1";
when "10" & x"044" => data <= x"f0";
when "10" & x"045" => data <= x"1b";
when "10" & x"046" => data <= x"a9";
when "10" & x"047" => data <= x"2f";
when "10" & x"048" => data <= x"99";
when "10" & x"049" => data <= x"00";
when "10" & x"04a" => data <= x"01";
when "10" & x"04b" => data <= x"c8";
when "10" & x"04c" => data <= x"ae";
when "10" & x"04d" => data <= x"41";
when "10" & x"04e" => data <= x"0d";
when "10" & x"04f" => data <= x"bd";
when "10" & x"050" => data <= x"44";
when "10" & x"051" => data <= x"0d";
when "10" & x"052" => data <= x"20";
when "10" & x"053" => data <= x"69";
when "10" & x"054" => data <= x"a0";
when "10" & x"055" => data <= x"bd";
when "10" & x"056" => data <= x"45";
when "10" & x"057" => data <= x"0d";
when "10" & x"058" => data <= x"20";
when "10" & x"059" => data <= x"69";
when "10" & x"05a" => data <= x"a0";
when "10" & x"05b" => data <= x"bd";
when "10" & x"05c" => data <= x"46";
when "10" & x"05d" => data <= x"0d";
when "10" & x"05e" => data <= x"20";
when "10" & x"05f" => data <= x"69";
when "10" & x"060" => data <= x"a0";
when "10" & x"061" => data <= x"a9";
when "10" & x"062" => data <= x"00";
when "10" & x"063" => data <= x"99";
when "10" & x"064" => data <= x"00";
when "10" & x"065" => data <= x"01";
when "10" & x"066" => data <= x"4c";
when "10" & x"067" => data <= x"00";
when "10" & x"068" => data <= x"01";
when "10" & x"069" => data <= x"48";
when "10" & x"06a" => data <= x"4a";
when "10" & x"06b" => data <= x"4a";
when "10" & x"06c" => data <= x"4a";
when "10" & x"06d" => data <= x"4a";
when "10" & x"06e" => data <= x"20";
when "10" & x"06f" => data <= x"74";
when "10" & x"070" => data <= x"a0";
when "10" & x"071" => data <= x"68";
when "10" & x"072" => data <= x"29";
when "10" & x"073" => data <= x"0f";
when "10" & x"074" => data <= x"18";
when "10" & x"075" => data <= x"69";
when "10" & x"076" => data <= x"30";
when "10" & x"077" => data <= x"c9";
when "10" & x"078" => data <= x"3a";
when "10" & x"079" => data <= x"90";
when "10" & x"07a" => data <= x"02";
when "10" & x"07b" => data <= x"69";
when "10" & x"07c" => data <= x"06";
when "10" & x"07d" => data <= x"99";
when "10" & x"07e" => data <= x"00";
when "10" & x"07f" => data <= x"01";
when "10" & x"080" => data <= x"c8";
when "10" & x"081" => data <= x"60";
when "10" & x"082" => data <= x"20";
when "10" & x"083" => data <= x"00";
when "10" & x"084" => data <= x"a0";
when "10" & x"085" => data <= x"11";
when "10" & x"086" => data <= x"45";
when "10" & x"087" => data <= x"73";
when "10" & x"088" => data <= x"63";
when "10" & x"089" => data <= x"61";
when "10" & x"08a" => data <= x"70";
when "10" & x"08b" => data <= x"65";
when "10" & x"08c" => data <= x"00";
when "10" & x"08d" => data <= x"48";
when "10" & x"08e" => data <= x"a9";
when "10" & x"08f" => data <= x"ff";
when "10" & x"090" => data <= x"8d";
when "10" & x"091" => data <= x"74";
when "10" & x"092" => data <= x"10";
when "10" & x"093" => data <= x"8d";
when "10" & x"094" => data <= x"75";
when "10" & x"095" => data <= x"10";
when "10" & x"096" => data <= x"68";
when "10" & x"097" => data <= x"60";
when "10" & x"098" => data <= x"48";
when "10" & x"099" => data <= x"a5";
when "10" & x"09a" => data <= x"be";
when "10" & x"09b" => data <= x"8d";
when "10" & x"09c" => data <= x"72";
when "10" & x"09d" => data <= x"10";
when "10" & x"09e" => data <= x"a5";
when "10" & x"09f" => data <= x"bf";
when "10" & x"0a0" => data <= x"8d";
when "10" & x"0a1" => data <= x"73";
when "10" & x"0a2" => data <= x"10";
when "10" & x"0a3" => data <= x"ad";
when "10" & x"0a4" => data <= x"74";
when "10" & x"0a5" => data <= x"10";
when "10" & x"0a6" => data <= x"2d";
when "10" & x"0a7" => data <= x"75";
when "10" & x"0a8" => data <= x"10";
when "10" & x"0a9" => data <= x"0d";
when "10" & x"0aa" => data <= x"d7";
when "10" & x"0ab" => data <= x"10";
when "10" & x"0ac" => data <= x"49";
when "10" & x"0ad" => data <= x"ff";
when "10" & x"0ae" => data <= x"8d";
when "10" & x"0af" => data <= x"d6";
when "10" & x"0b0" => data <= x"10";
when "10" & x"0b1" => data <= x"38";
when "10" & x"0b2" => data <= x"f0";
when "10" & x"0b3" => data <= x"0d";
when "10" & x"0b4" => data <= x"20";
when "10" & x"0b5" => data <= x"c3";
when "10" & x"0b6" => data <= x"a0";
when "10" & x"0b7" => data <= x"a2";
when "10" & x"0b8" => data <= x"72";
when "10" & x"0b9" => data <= x"a0";
when "10" & x"0ba" => data <= x"10";
when "10" & x"0bb" => data <= x"68";
when "10" & x"0bc" => data <= x"48";
when "10" & x"0bd" => data <= x"20";
when "10" & x"0be" => data <= x"06";
when "10" & x"0bf" => data <= x"04";
when "10" & x"0c0" => data <= x"18";
when "10" & x"0c1" => data <= x"68";
when "10" & x"0c2" => data <= x"60";
when "10" & x"0c3" => data <= x"48";
when "10" & x"0c4" => data <= x"a9";
when "10" & x"0c5" => data <= x"c1";
when "10" & x"0c6" => data <= x"20";
when "10" & x"0c7" => data <= x"06";
when "10" & x"0c8" => data <= x"04";
when "10" & x"0c9" => data <= x"90";
when "10" & x"0ca" => data <= x"f9";
when "10" & x"0cb" => data <= x"68";
when "10" & x"0cc" => data <= x"60";
when "10" & x"0cd" => data <= x"ad";
when "10" & x"0ce" => data <= x"d6";
when "10" & x"0cf" => data <= x"10";
when "10" & x"0d0" => data <= x"f0";
when "10" & x"0d1" => data <= x"05";
when "10" & x"0d2" => data <= x"a9";
when "10" & x"0d3" => data <= x"81";
when "10" & x"0d4" => data <= x"20";
when "10" & x"0d5" => data <= x"06";
when "10" & x"0d6" => data <= x"04";
when "10" & x"0d7" => data <= x"60";
when "10" & x"0d8" => data <= x"9d";
when "10" & x"0d9" => data <= x"05";
when "10" & x"0da" => data <= x"01";
when "10" & x"0db" => data <= x"20";
when "10" & x"0dc" => data <= x"e4";
when "10" & x"0dd" => data <= x"95";
when "10" & x"0de" => data <= x"08";
when "10" & x"0df" => data <= x"ad";
when "10" & x"0e0" => data <= x"81";
when "10" & x"0e1" => data <= x"10";
when "10" & x"0e2" => data <= x"f0";
when "10" & x"0e3" => data <= x"05";
when "10" & x"0e4" => data <= x"a9";
when "10" & x"0e5" => data <= x"81";
when "10" & x"0e6" => data <= x"20";
when "10" & x"0e7" => data <= x"06";
when "10" & x"0e8" => data <= x"04";
when "10" & x"0e9" => data <= x"28";
when "10" & x"0ea" => data <= x"60";
when "10" & x"0eb" => data <= x"f0";
when "10" & x"0ec" => data <= x"06";
when "10" & x"0ed" => data <= x"20";
when "10" & x"0ee" => data <= x"c3";
when "10" & x"0ef" => data <= x"a0";
when "10" & x"0f0" => data <= x"18";
when "10" & x"0f1" => data <= x"a9";
when "10" & x"0f2" => data <= x"ff";
when "10" & x"0f3" => data <= x"4c";
when "10" & x"0f4" => data <= x"17";
when "10" & x"0f5" => data <= x"96";
when "10" & x"0f6" => data <= x"c9";
when "10" & x"0f7" => data <= x"fe";
when "10" & x"0f8" => data <= x"90";
when "10" & x"0f9" => data <= x"5a";
when "10" & x"0fa" => data <= x"d0";
when "10" & x"0fb" => data <= x"1b";
when "10" & x"0fc" => data <= x"c0";
when "10" & x"0fd" => data <= x"00";
when "10" & x"0fe" => data <= x"f0";
when "10" & x"0ff" => data <= x"54";
when "10" & x"100" => data <= x"a2";
when "10" & x"101" => data <= x"06";
when "10" & x"102" => data <= x"a9";
when "10" & x"103" => data <= x"14";
when "10" & x"104" => data <= x"20";
when "10" & x"105" => data <= x"f4";
when "10" & x"106" => data <= x"ff";
when "10" & x"107" => data <= x"2c";
when "10" & x"108" => data <= x"e0";
when "10" & x"109" => data <= x"fe";
when "10" & x"10a" => data <= x"10";
when "10" & x"10b" => data <= x"fb";
when "10" & x"10c" => data <= x"ad";
when "10" & x"10d" => data <= x"e1";
when "10" & x"10e" => data <= x"fe";
when "10" & x"10f" => data <= x"f0";
when "10" & x"110" => data <= x"41";
when "10" & x"111" => data <= x"20";
when "10" & x"112" => data <= x"ee";
when "10" & x"113" => data <= x"ff";
when "10" & x"114" => data <= x"4c";
when "10" & x"115" => data <= x"07";
when "10" & x"116" => data <= x"a1";
when "10" & x"117" => data <= x"a9";
when "10" & x"118" => data <= x"ad";
when "10" & x"119" => data <= x"8d";
when "10" & x"11a" => data <= x"20";
when "10" & x"11b" => data <= x"02";
when "10" & x"11c" => data <= x"a9";
when "10" & x"11d" => data <= x"06";
when "10" & x"11e" => data <= x"8d";
when "10" & x"11f" => data <= x"21";
when "10" & x"120" => data <= x"02";
when "10" & x"121" => data <= x"a9";
when "10" & x"122" => data <= x"16";
when "10" & x"123" => data <= x"8d";
when "10" & x"124" => data <= x"02";
when "10" & x"125" => data <= x"02";
when "10" & x"126" => data <= x"a0";
when "10" & x"127" => data <= x"00";
when "10" & x"128" => data <= x"8c";
when "10" & x"129" => data <= x"03";
when "10" & x"12a" => data <= x"02";
when "10" & x"12b" => data <= x"a9";
when "10" & x"12c" => data <= x"8e";
when "10" & x"12d" => data <= x"8d";
when "10" & x"12e" => data <= x"e0";
when "10" & x"12f" => data <= x"fe";
when "10" & x"130" => data <= x"b9";
when "10" & x"131" => data <= x"03";
when "10" & x"132" => data <= x"8b";
when "10" & x"133" => data <= x"99";
when "10" & x"134" => data <= x"00";
when "10" & x"135" => data <= x"04";
when "10" & x"136" => data <= x"b9";
when "10" & x"137" => data <= x"03";
when "10" & x"138" => data <= x"8c";
when "10" & x"139" => data <= x"99";
when "10" & x"13a" => data <= x"00";
when "10" & x"13b" => data <= x"05";
when "10" & x"13c" => data <= x"b9";
when "10" & x"13d" => data <= x"03";
when "10" & x"13e" => data <= x"8d";
when "10" & x"13f" => data <= x"99";
when "10" & x"140" => data <= x"00";
when "10" & x"141" => data <= x"06";
when "10" & x"142" => data <= x"88";
when "10" & x"143" => data <= x"d0";
when "10" & x"144" => data <= x"eb";
when "10" & x"145" => data <= x"20";
when "10" & x"146" => data <= x"21";
when "10" & x"147" => data <= x"04";
when "10" & x"148" => data <= x"a2";
when "10" & x"149" => data <= x"40";
when "10" & x"14a" => data <= x"bd";
when "10" & x"14b" => data <= x"c2";
when "10" & x"14c" => data <= x"8a";
when "10" & x"14d" => data <= x"95";
when "10" & x"14e" => data <= x"16";
when "10" & x"14f" => data <= x"ca";
when "10" & x"150" => data <= x"10";
when "10" & x"151" => data <= x"f8";
when "10" & x"152" => data <= x"a9";
when "10" & x"153" => data <= x"00";
when "10" & x"154" => data <= x"60";
when "10" & x"155" => data <= x"a9";
when "10" & x"156" => data <= x"00";
when "10" & x"157" => data <= x"8d";
when "10" & x"158" => data <= x"04";
when "10" & x"159" => data <= x"0d";
when "10" & x"15a" => data <= x"a9";
when "10" & x"15b" => data <= x"ff";
when "10" & x"15c" => data <= x"8d";
when "10" & x"15d" => data <= x"52";
when "10" & x"15e" => data <= x"0d";
when "10" & x"15f" => data <= x"48";
when "10" & x"160" => data <= x"4c";
when "10" & x"161" => data <= x"3b";
when "10" & x"162" => data <= x"93";
when "10" & x"163" => data <= x"b9";
when "10" & x"164" => data <= x"14";
when "10" & x"165" => data <= x"11";
when "10" & x"166" => data <= x"99";
when "10" & x"167" => data <= x"10";
when "10" & x"168" => data <= x"11";
when "10" & x"169" => data <= x"b9";
when "10" & x"16a" => data <= x"15";
when "10" & x"16b" => data <= x"11";
when "10" & x"16c" => data <= x"99";
when "10" & x"16d" => data <= x"11";
when "10" & x"16e" => data <= x"11";
when "10" & x"16f" => data <= x"b9";
when "10" & x"170" => data <= x"16";
when "10" & x"171" => data <= x"11";
when "10" & x"172" => data <= x"99";
when "10" & x"173" => data <= x"12";
when "10" & x"174" => data <= x"11";
when "10" & x"175" => data <= x"60";
when "10" & x"176" => data <= x"60";
when "10" & x"177" => data <= x"a2";
when "10" & x"178" => data <= x"06";
when "10" & x"179" => data <= x"8e";
when "10" & x"17a" => data <= x"40";
when "10" & x"17b" => data <= x"fe";
when "10" & x"17c" => data <= x"e8";
when "10" & x"17d" => data <= x"8e";
when "10" & x"17e" => data <= x"40";
when "10" & x"17f" => data <= x"fe";
when "10" & x"180" => data <= x"60";
when "10" & x"181" => data <= x"a9";
when "10" & x"182" => data <= x"76";
when "10" & x"183" => data <= x"ea";
when "10" & x"184" => data <= x"ea";
when "10" & x"185" => data <= x"ea";
when "10" & x"186" => data <= x"ea";
when "10" & x"187" => data <= x"ea";
when "10" & x"188" => data <= x"ea";
when "10" & x"189" => data <= x"60";
when "10" & x"18a" => data <= x"48";
when "10" & x"18b" => data <= x"68";
when "10" & x"18c" => data <= x"20";
when "10" & x"18d" => data <= x"85";
when "10" & x"18e" => data <= x"a1";
when "10" & x"18f" => data <= x"60";
when "10" & x"190" => data <= x"ad";
when "10" & x"191" => data <= x"bb";
when "10" & x"192" => data <= x"fc";
when "10" & x"193" => data <= x"8d";
when "10" & x"194" => data <= x"3a";
when "10" & x"195" => data <= x"0d";
when "10" & x"196" => data <= x"ad";
when "10" & x"197" => data <= x"b2";
when "10" & x"198" => data <= x"fc";
when "10" & x"199" => data <= x"8d";
when "10" & x"19a" => data <= x"3b";
when "10" & x"19b" => data <= x"0d";
when "10" & x"19c" => data <= x"60";
when "10" & x"19d" => data <= x"a9";
when "10" & x"19e" => data <= x"08";
when "10" & x"19f" => data <= x"8d";
when "10" & x"1a0" => data <= x"bb";
when "10" & x"1a1" => data <= x"fc";
when "10" & x"1a2" => data <= x"ad";
when "10" & x"1a3" => data <= x"b2";
when "10" & x"1a4" => data <= x"fc";
when "10" & x"1a5" => data <= x"4d";
when "10" & x"1a6" => data <= x"4c";
when "10" & x"1a7" => data <= x"0d";
when "10" & x"1a8" => data <= x"0d";
when "10" & x"1a9" => data <= x"4b";
when "10" & x"1aa" => data <= x"0d";
when "10" & x"1ab" => data <= x"8d";
when "10" & x"1ac" => data <= x"b2";
when "10" & x"1ad" => data <= x"fc";
when "10" & x"1ae" => data <= x"ad";
when "10" & x"1af" => data <= x"4b";
when "10" & x"1b0" => data <= x"0d";
when "10" & x"1b1" => data <= x"0d";
when "10" & x"1b2" => data <= x"3f";
when "10" & x"1b3" => data <= x"0d";
when "10" & x"1b4" => data <= x"0d";
when "10" & x"1b5" => data <= x"51";
when "10" & x"1b6" => data <= x"0d";
when "10" & x"1b7" => data <= x"8d";
when "10" & x"1b8" => data <= x"b0";
when "10" & x"1b9" => data <= x"fc";
when "10" & x"1ba" => data <= x"ad";
when "10" & x"1bb" => data <= x"ba";
when "10" & x"1bc" => data <= x"fc";
when "10" & x"1bd" => data <= x"60";
when "10" & x"1be" => data <= x"ad";
when "10" & x"1bf" => data <= x"bd";
when "10" & x"1c0" => data <= x"fc";
when "10" & x"1c1" => data <= x"29";
when "10" & x"1c2" => data <= x"04";
when "10" & x"1c3" => data <= x"f0";
when "10" & x"1c4" => data <= x"f9";
when "10" & x"1c5" => data <= x"60";
when "10" & x"1c6" => data <= x"ad";
when "10" & x"1c7" => data <= x"4c";
when "10" & x"1c8" => data <= x"0d";
when "10" & x"1c9" => data <= x"0d";
when "10" & x"1ca" => data <= x"3f";
when "10" & x"1cb" => data <= x"0d";
when "10" & x"1cc" => data <= x"0d";
when "10" & x"1cd" => data <= x"51";
when "10" & x"1ce" => data <= x"0d";
when "10" & x"1cf" => data <= x"8d";
when "10" & x"1d0" => data <= x"b0";
when "10" & x"1d1" => data <= x"fc";
when "10" & x"1d2" => data <= x"ad";
when "10" & x"1d3" => data <= x"3b";
when "10" & x"1d4" => data <= x"0d";
when "10" & x"1d5" => data <= x"8d";
when "10" & x"1d6" => data <= x"b2";
when "10" & x"1d7" => data <= x"fc";
when "10" & x"1d8" => data <= x"ad";
when "10" & x"1d9" => data <= x"3a";
when "10" & x"1da" => data <= x"0d";
when "10" & x"1db" => data <= x"8d";
when "10" & x"1dc" => data <= x"bb";
when "10" & x"1dd" => data <= x"fc";
when "10" & x"1de" => data <= x"ad";
when "10" & x"1df" => data <= x"ba";
when "10" & x"1e0" => data <= x"fc";
when "10" & x"1e1" => data <= x"60";
when "10" & x"1e2" => data <= x"20";
when "10" & x"1e3" => data <= x"90";
when "10" & x"1e4" => data <= x"a1";
when "10" & x"1e5" => data <= x"20";
when "10" & x"1e6" => data <= x"9d";
when "10" & x"1e7" => data <= x"a1";
when "10" & x"1e8" => data <= x"20";
when "10" & x"1e9" => data <= x"be";
when "10" & x"1ea" => data <= x"a1";
when "10" & x"1eb" => data <= x"20";
when "10" & x"1ec" => data <= x"c6";
when "10" & x"1ed" => data <= x"a1";
when "10" & x"1ee" => data <= x"60";
when "10" & x"1ef" => data <= x"20";
when "10" & x"1f0" => data <= x"90";
when "10" & x"1f1" => data <= x"a1";
when "10" & x"1f2" => data <= x"20";
when "10" & x"1f3" => data <= x"9d";
when "10" & x"1f4" => data <= x"a1";
when "10" & x"1f5" => data <= x"c0";
when "10" & x"1f6" => data <= x"ff";
when "10" & x"1f7" => data <= x"f0";
when "10" & x"1f8" => data <= x"0b";
when "10" & x"1f9" => data <= x"20";
when "10" & x"1fa" => data <= x"be";
when "10" & x"1fb" => data <= x"a1";
when "10" & x"1fc" => data <= x"ad";
when "10" & x"1fd" => data <= x"ba";
when "10" & x"1fe" => data <= x"fc";
when "10" & x"1ff" => data <= x"91";
when "10" & x"200" => data <= x"a0";
when "10" & x"201" => data <= x"c8";
when "10" & x"202" => data <= x"d0";
when "10" & x"203" => data <= x"f1";
when "10" & x"204" => data <= x"20";
when "10" & x"205" => data <= x"be";
when "10" & x"206" => data <= x"a1";
when "10" & x"207" => data <= x"20";
when "10" & x"208" => data <= x"c6";
when "10" & x"209" => data <= x"a1";
when "10" & x"20a" => data <= x"91";
when "10" & x"20b" => data <= x"a0";
when "10" & x"20c" => data <= x"e8";
when "10" & x"20d" => data <= x"c8";
when "10" & x"20e" => data <= x"60";
when "10" & x"20f" => data <= x"ad";
when "10" & x"210" => data <= x"4b";
when "10" & x"211" => data <= x"0d";
when "10" & x"212" => data <= x"0d";
when "10" & x"213" => data <= x"3f";
when "10" & x"214" => data <= x"0d";
when "10" & x"215" => data <= x"0d";
when "10" & x"216" => data <= x"51";
when "10" & x"217" => data <= x"0d";
when "10" & x"218" => data <= x"aa";
when "10" & x"219" => data <= x"ad";
when "10" & x"21a" => data <= x"4c";
when "10" & x"21b" => data <= x"0d";
when "10" & x"21c" => data <= x"0d";
when "10" & x"21d" => data <= x"3f";
when "10" & x"21e" => data <= x"0d";
when "10" & x"21f" => data <= x"0d";
when "10" & x"220" => data <= x"51";
when "10" & x"221" => data <= x"0d";
when "10" & x"222" => data <= x"8e";
when "10" & x"223" => data <= x"b0";
when "10" & x"224" => data <= x"fc";
when "10" & x"225" => data <= x"8d";
when "10" & x"226" => data <= x"b0";
when "10" & x"227" => data <= x"fc";
when "10" & x"228" => data <= x"8e";
when "10" & x"229" => data <= x"b0";
when "10" & x"22a" => data <= x"fc";
when "10" & x"22b" => data <= x"8d";
when "10" & x"22c" => data <= x"b0";
when "10" & x"22d" => data <= x"fc";
when "10" & x"22e" => data <= x"8e";
when "10" & x"22f" => data <= x"b0";
when "10" & x"230" => data <= x"fc";
when "10" & x"231" => data <= x"8d";
when "10" & x"232" => data <= x"b0";
when "10" & x"233" => data <= x"fc";
when "10" & x"234" => data <= x"8e";
when "10" & x"235" => data <= x"b0";
when "10" & x"236" => data <= x"fc";
when "10" & x"237" => data <= x"8d";
when "10" & x"238" => data <= x"b0";
when "10" & x"239" => data <= x"fc";
when "10" & x"23a" => data <= x"8e";
when "10" & x"23b" => data <= x"b0";
when "10" & x"23c" => data <= x"fc";
when "10" & x"23d" => data <= x"8d";
when "10" & x"23e" => data <= x"b0";
when "10" & x"23f" => data <= x"fc";
when "10" & x"240" => data <= x"8e";
when "10" & x"241" => data <= x"b0";
when "10" & x"242" => data <= x"fc";
when "10" & x"243" => data <= x"8d";
when "10" & x"244" => data <= x"b0";
when "10" & x"245" => data <= x"fc";
when "10" & x"246" => data <= x"8e";
when "10" & x"247" => data <= x"b0";
when "10" & x"248" => data <= x"fc";
when "10" & x"249" => data <= x"8d";
when "10" & x"24a" => data <= x"b0";
when "10" & x"24b" => data <= x"fc";
when "10" & x"24c" => data <= x"8e";
when "10" & x"24d" => data <= x"b0";
when "10" & x"24e" => data <= x"fc";
when "10" & x"24f" => data <= x"8d";
when "10" & x"250" => data <= x"b0";
when "10" & x"251" => data <= x"fc";
when "10" & x"252" => data <= x"ad";
when "10" & x"253" => data <= x"ba";
when "10" & x"254" => data <= x"fc";
when "10" & x"255" => data <= x"60";
when "10" & x"256" => data <= x"a9";
when "10" & x"257" => data <= x"18";
when "10" & x"258" => data <= x"8d";
when "10" & x"259" => data <= x"bb";
when "10" & x"25a" => data <= x"fc";
when "10" & x"25b" => data <= x"a9";
when "10" & x"25c" => data <= x"1d";
when "10" & x"25d" => data <= x"8d";
when "10" & x"25e" => data <= x"b2";
when "10" & x"25f" => data <= x"fc";
when "10" & x"260" => data <= x"a9";
when "10" & x"261" => data <= x"14";
when "10" & x"262" => data <= x"8d";
when "10" & x"263" => data <= x"b0";
when "10" & x"264" => data <= x"fc";
when "10" & x"265" => data <= x"60";
when "10" & x"266" => data <= x"20";
when "10" & x"267" => data <= x"90";
when "10" & x"268" => data <= x"a1";
when "10" & x"269" => data <= x"20";
when "10" & x"26a" => data <= x"56";
when "10" & x"26b" => data <= x"a2";
when "10" & x"26c" => data <= x"68";
when "10" & x"26d" => data <= x"8d";
when "10" & x"26e" => data <= x"ba";
when "10" & x"26f" => data <= x"fc";
when "10" & x"270" => data <= x"20";
when "10" & x"271" => data <= x"be";
when "10" & x"272" => data <= x"a1";
when "10" & x"273" => data <= x"20";
when "10" & x"274" => data <= x"8a";
when "10" & x"275" => data <= x"a1";
when "10" & x"276" => data <= x"4c";
when "10" & x"277" => data <= x"c6";
when "10" & x"278" => data <= x"a1";
when "10" & x"279" => data <= x"20";
when "10" & x"27a" => data <= x"90";
when "10" & x"27b" => data <= x"a1";
when "10" & x"27c" => data <= x"20";
when "10" & x"27d" => data <= x"56";
when "10" & x"27e" => data <= x"a2";
when "10" & x"27f" => data <= x"b1";
when "10" & x"280" => data <= x"a0";
when "10" & x"281" => data <= x"8d";
when "10" & x"282" => data <= x"ba";
when "10" & x"283" => data <= x"fc";
when "10" & x"284" => data <= x"20";
when "10" & x"285" => data <= x"be";
when "10" & x"286" => data <= x"a1";
when "10" & x"287" => data <= x"c8";
when "10" & x"288" => data <= x"d0";
when "10" & x"289" => data <= x"f5";
when "10" & x"28a" => data <= x"4c";
when "10" & x"28b" => data <= x"c6";
when "10" & x"28c" => data <= x"a1";
when "10" & x"28d" => data <= x"ad";
when "10" & x"28e" => data <= x"3f";
when "10" & x"28f" => data <= x"0d";
when "10" & x"290" => data <= x"d0";
when "10" & x"291" => data <= x"e7";
when "10" & x"292" => data <= x"b1";
when "10" & x"293" => data <= x"a0";
when "10" & x"294" => data <= x"20";
when "10" & x"295" => data <= x"9b";
when "10" & x"296" => data <= x"a2";
when "10" & x"297" => data <= x"c8";
when "10" & x"298" => data <= x"d0";
when "10" & x"299" => data <= x"f8";
when "10" & x"29a" => data <= x"60";
when "10" & x"29b" => data <= x"86";
when "10" & x"29c" => data <= x"b0";
when "10" & x"29d" => data <= x"ae";
when "10" & x"29e" => data <= x"4a";
when "10" & x"29f" => data <= x"0d";
when "10" & x"2a0" => data <= x"18";
when "10" & x"2a1" => data <= x"2a";
when "10" & x"2a2" => data <= x"2a";
when "10" & x"2a3" => data <= x"ca";
when "10" & x"2a4" => data <= x"d0";
when "10" & x"2a5" => data <= x"fb";
when "10" & x"2a6" => data <= x"48";
when "10" & x"2a7" => data <= x"2d";
when "10" & x"2a8" => data <= x"4c";
when "10" & x"2a9" => data <= x"0d";
when "10" & x"2aa" => data <= x"0d";
when "10" & x"2ab" => data <= x"3f";
when "10" & x"2ac" => data <= x"0d";
when "10" & x"2ad" => data <= x"0d";
when "10" & x"2ae" => data <= x"51";
when "10" & x"2af" => data <= x"0d";
when "10" & x"2b0" => data <= x"8d";
when "10" & x"2b1" => data <= x"b0";
when "10" & x"2b2" => data <= x"fc";
when "10" & x"2b3" => data <= x"0d";
when "10" & x"2b4" => data <= x"4e";
when "10" & x"2b5" => data <= x"0d";
when "10" & x"2b6" => data <= x"8d";
when "10" & x"2b7" => data <= x"b0";
when "10" & x"2b8" => data <= x"fc";
when "10" & x"2b9" => data <= x"68";
when "10" & x"2ba" => data <= x"a2";
when "10" & x"2bb" => data <= x"07";
when "10" & x"2bc" => data <= x"2a";
when "10" & x"2bd" => data <= x"48";
when "10" & x"2be" => data <= x"2d";
when "10" & x"2bf" => data <= x"4c";
when "10" & x"2c0" => data <= x"0d";
when "10" & x"2c1" => data <= x"0d";
when "10" & x"2c2" => data <= x"3f";
when "10" & x"2c3" => data <= x"0d";
when "10" & x"2c4" => data <= x"0d";
when "10" & x"2c5" => data <= x"51";
when "10" & x"2c6" => data <= x"0d";
when "10" & x"2c7" => data <= x"2d";
when "10" & x"2c8" => data <= x"4d";
when "10" & x"2c9" => data <= x"0d";
when "10" & x"2ca" => data <= x"8d";
when "10" & x"2cb" => data <= x"b0";
when "10" & x"2cc" => data <= x"fc";
when "10" & x"2cd" => data <= x"0d";
when "10" & x"2ce" => data <= x"4e";
when "10" & x"2cf" => data <= x"0d";
when "10" & x"2d0" => data <= x"8d";
when "10" & x"2d1" => data <= x"b0";
when "10" & x"2d2" => data <= x"fc";
when "10" & x"2d3" => data <= x"68";
when "10" & x"2d4" => data <= x"ca";
when "10" & x"2d5" => data <= x"d0";
when "10" & x"2d6" => data <= x"e5";
when "10" & x"2d7" => data <= x"a6";
when "10" & x"2d8" => data <= x"b0";
when "10" & x"2d9" => data <= x"60";
when "10" & x"2da" => data <= x"2c";
when "10" & x"2db" => data <= x"40";
when "10" & x"2dc" => data <= x"0d";
when "10" & x"2dd" => data <= x"30";
when "10" & x"2de" => data <= x"47";
when "10" & x"2df" => data <= x"ad";
when "10" & x"2e0" => data <= x"4b";
when "10" & x"2e1" => data <= x"0d";
when "10" & x"2e2" => data <= x"0d";
when "10" & x"2e3" => data <= x"3f";
when "10" & x"2e4" => data <= x"0d";
when "10" & x"2e5" => data <= x"0d";
when "10" & x"2e6" => data <= x"51";
when "10" & x"2e7" => data <= x"0d";
when "10" & x"2e8" => data <= x"aa";
when "10" & x"2e9" => data <= x"ad";
when "10" & x"2ea" => data <= x"4c";
when "10" & x"2eb" => data <= x"0d";
when "10" & x"2ec" => data <= x"0d";
when "10" & x"2ed" => data <= x"3f";
when "10" & x"2ee" => data <= x"0d";
when "10" & x"2ef" => data <= x"0d";
when "10" & x"2f0" => data <= x"51";
when "10" & x"2f1" => data <= x"0d";
when "10" & x"2f2" => data <= x"8e";
when "10" & x"2f3" => data <= x"b0";
when "10" & x"2f4" => data <= x"fc";
when "10" & x"2f5" => data <= x"8d";
when "10" & x"2f6" => data <= x"b0";
when "10" & x"2f7" => data <= x"fc";
when "10" & x"2f8" => data <= x"8e";
when "10" & x"2f9" => data <= x"b0";
when "10" & x"2fa" => data <= x"fc";
when "10" & x"2fb" => data <= x"8d";
when "10" & x"2fc" => data <= x"b0";
when "10" & x"2fd" => data <= x"fc";
when "10" & x"2fe" => data <= x"8e";
when "10" & x"2ff" => data <= x"b0";
when "10" & x"300" => data <= x"fc";
when "10" & x"301" => data <= x"8d";
when "10" & x"302" => data <= x"b0";
when "10" & x"303" => data <= x"fc";
when "10" & x"304" => data <= x"8e";
when "10" & x"305" => data <= x"b0";
when "10" & x"306" => data <= x"fc";
when "10" & x"307" => data <= x"8d";
when "10" & x"308" => data <= x"b0";
when "10" & x"309" => data <= x"fc";
when "10" & x"30a" => data <= x"8e";
when "10" & x"30b" => data <= x"b0";
when "10" & x"30c" => data <= x"fc";
when "10" & x"30d" => data <= x"8d";
when "10" & x"30e" => data <= x"b0";
when "10" & x"30f" => data <= x"fc";
when "10" & x"310" => data <= x"8e";
when "10" & x"311" => data <= x"b0";
when "10" & x"312" => data <= x"fc";
when "10" & x"313" => data <= x"8d";
when "10" & x"314" => data <= x"b0";
when "10" & x"315" => data <= x"fc";
when "10" & x"316" => data <= x"8e";
when "10" & x"317" => data <= x"b0";
when "10" & x"318" => data <= x"fc";
when "10" & x"319" => data <= x"8d";
when "10" & x"31a" => data <= x"b0";
when "10" & x"31b" => data <= x"fc";
when "10" & x"31c" => data <= x"8e";
when "10" & x"31d" => data <= x"b0";
when "10" & x"31e" => data <= x"fc";
when "10" & x"31f" => data <= x"8d";
when "10" & x"320" => data <= x"b0";
when "10" & x"321" => data <= x"fc";
when "10" & x"322" => data <= x"88";
when "10" & x"323" => data <= x"d0";
when "10" & x"324" => data <= x"cd";
when "10" & x"325" => data <= x"60";
when "10" & x"326" => data <= x"a9";
when "10" & x"327" => data <= x"ff";
when "10" & x"328" => data <= x"8d";
when "10" & x"329" => data <= x"28";
when "10" & x"32a" => data <= x"fc";
when "10" & x"32b" => data <= x"ea";
when "10" & x"32c" => data <= x"ea";
when "10" & x"32d" => data <= x"ea";
when "10" & x"32e" => data <= x"88";
when "10" & x"32f" => data <= x"d0";
when "10" & x"330" => data <= x"f7";
when "10" & x"331" => data <= x"60";
when "10" & x"332" => data <= x"a2";
when "10" & x"333" => data <= x"00";
when "10" & x"334" => data <= x"2c";
when "10" & x"335" => data <= x"40";
when "10" & x"336" => data <= x"0d";
when "10" & x"337" => data <= x"30";
when "10" & x"338" => data <= x"46";
when "10" & x"339" => data <= x"8e";
when "10" & x"33a" => data <= x"41";
when "10" & x"33b" => data <= x"0d";
when "10" & x"33c" => data <= x"a0";
when "10" & x"33d" => data <= x"07";
when "10" & x"33e" => data <= x"bd";
when "10" & x"33f" => data <= x"42";
when "10" & x"340" => data <= x"0d";
when "10" & x"341" => data <= x"20";
when "10" & x"342" => data <= x"9b";
when "10" & x"343" => data <= x"a2";
when "10" & x"344" => data <= x"e8";
when "10" & x"345" => data <= x"88";
when "10" & x"346" => data <= x"d0";
when "10" & x"347" => data <= x"f6";
when "10" & x"348" => data <= x"20";
when "10" & x"349" => data <= x"4e";
when "10" & x"34a" => data <= x"a3";
when "10" & x"34b" => data <= x"4c";
when "10" & x"34c" => data <= x"28";
when "10" & x"34d" => data <= x"a2";
when "10" & x"34e" => data <= x"a0";
when "10" & x"34f" => data <= x"00";
when "10" & x"350" => data <= x"84";
when "10" & x"351" => data <= x"b0";
when "10" & x"352" => data <= x"ad";
when "10" & x"353" => data <= x"4b";
when "10" & x"354" => data <= x"0d";
when "10" & x"355" => data <= x"0d";
when "10" & x"356" => data <= x"3f";
when "10" & x"357" => data <= x"0d";
when "10" & x"358" => data <= x"0d";
when "10" & x"359" => data <= x"51";
when "10" & x"35a" => data <= x"0d";
when "10" & x"35b" => data <= x"aa";
when "10" & x"35c" => data <= x"ad";
when "10" & x"35d" => data <= x"4c";
when "10" & x"35e" => data <= x"0d";
when "10" & x"35f" => data <= x"0d";
when "10" & x"360" => data <= x"3f";
when "10" & x"361" => data <= x"0d";
when "10" & x"362" => data <= x"0d";
when "10" & x"363" => data <= x"51";
when "10" & x"364" => data <= x"0d";
when "10" & x"365" => data <= x"a8";
when "10" & x"366" => data <= x"c6";
when "10" & x"367" => data <= x"b0";
when "10" & x"368" => data <= x"f0";
when "10" & x"369" => data <= x"0f";
when "10" & x"36a" => data <= x"8e";
when "10" & x"36b" => data <= x"b0";
when "10" & x"36c" => data <= x"fc";
when "10" & x"36d" => data <= x"8c";
when "10" & x"36e" => data <= x"b0";
when "10" & x"36f" => data <= x"fc";
when "10" & x"370" => data <= x"ad";
when "10" & x"371" => data <= x"ba";
when "10" & x"372" => data <= x"fc";
when "10" & x"373" => data <= x"29";
when "10" & x"374" => data <= x"01";
when "10" & x"375" => data <= x"d0";
when "10" & x"376" => data <= x"ef";
when "10" & x"377" => data <= x"98";
when "10" & x"378" => data <= x"60";
when "10" & x"379" => data <= x"ad";
when "10" & x"37a" => data <= x"ba";
when "10" & x"37b" => data <= x"fc";
when "10" & x"37c" => data <= x"c9";
when "10" & x"37d" => data <= x"00";
when "10" & x"37e" => data <= x"60";
when "10" & x"37f" => data <= x"8e";
when "10" & x"380" => data <= x"41";
when "10" & x"381" => data <= x"0d";
when "10" & x"382" => data <= x"a0";
when "10" & x"383" => data <= x"08";
when "10" & x"384" => data <= x"bd";
when "10" & x"385" => data <= x"42";
when "10" & x"386" => data <= x"0d";
when "10" & x"387" => data <= x"8d";
when "10" & x"388" => data <= x"28";
when "10" & x"389" => data <= x"fc";
when "10" & x"38a" => data <= x"ea";
when "10" & x"38b" => data <= x"ea";
when "10" & x"38c" => data <= x"e8";
when "10" & x"38d" => data <= x"88";
when "10" & x"38e" => data <= x"d0";
when "10" & x"38f" => data <= x"f4";
when "10" & x"390" => data <= x"8d";
when "10" & x"391" => data <= x"28";
when "10" & x"392" => data <= x"fc";
when "10" & x"393" => data <= x"20";
when "10" & x"394" => data <= x"31";
when "10" & x"395" => data <= x"a3";
when "10" & x"396" => data <= x"ad";
when "10" & x"397" => data <= x"28";
when "10" & x"398" => data <= x"fc";
when "10" & x"399" => data <= x"10";
when "10" & x"39a" => data <= x"e3";
when "10" & x"39b" => data <= x"88";
when "10" & x"39c" => data <= x"d0";
when "10" & x"39d" => data <= x"f5";
when "10" & x"39e" => data <= x"4c";
when "10" & x"39f" => data <= x"7c";
when "10" & x"3a0" => data <= x"a3";
when "10" & x"3a1" => data <= x"2c";
when "10" & x"3a2" => data <= x"40";
when "10" & x"3a3" => data <= x"0d";
when "10" & x"3a4" => data <= x"30";
when "10" & x"3a5" => data <= x"08";
when "10" & x"3a6" => data <= x"20";
when "10" & x"3a7" => data <= x"e2";
when "10" & x"3a8" => data <= x"a1";
when "10" & x"3a9" => data <= x"c9";
when "10" & x"3aa" => data <= x"fe";
when "10" & x"3ab" => data <= x"d0";
when "10" & x"3ac" => data <= x"f9";
when "10" & x"3ad" => data <= x"60";
when "10" & x"3ae" => data <= x"a2";
when "10" & x"3af" => data <= x"ff";
when "10" & x"3b0" => data <= x"8e";
when "10" & x"3b1" => data <= x"28";
when "10" & x"3b2" => data <= x"fc";
when "10" & x"3b3" => data <= x"20";
when "10" & x"3b4" => data <= x"31";
when "10" & x"3b5" => data <= x"a3";
when "10" & x"3b6" => data <= x"ad";
when "10" & x"3b7" => data <= x"28";
when "10" & x"3b8" => data <= x"fc";
when "10" & x"3b9" => data <= x"c9";
when "10" & x"3ba" => data <= x"fe";
when "10" & x"3bb" => data <= x"d0";
when "10" & x"3bc" => data <= x"f3";
when "10" & x"3bd" => data <= x"60";
when "10" & x"3be" => data <= x"2c";
when "10" & x"3bf" => data <= x"40";
when "10" & x"3c0" => data <= x"0d";
when "10" & x"3c1" => data <= x"30";
when "10" & x"3c2" => data <= x"0d";
when "10" & x"3c3" => data <= x"ac";
when "10" & x"3c4" => data <= x"d6";
when "10" & x"3c5" => data <= x"10";
when "10" & x"3c6" => data <= x"d0";
when "10" & x"3c7" => data <= x"03";
when "10" & x"3c8" => data <= x"4c";
when "10" & x"3c9" => data <= x"ef";
when "10" & x"3ca" => data <= x"a1";
when "10" & x"3cb" => data <= x"a0";
when "10" & x"3cc" => data <= x"00";
when "10" & x"3cd" => data <= x"4c";
when "10" & x"3ce" => data <= x"0e";
when "10" & x"3cf" => data <= x"a4";
when "10" & x"3d0" => data <= x"a2";
when "10" & x"3d1" => data <= x"ff";
when "10" & x"3d2" => data <= x"8e";
when "10" & x"3d3" => data <= x"28";
when "10" & x"3d4" => data <= x"fc";
when "10" & x"3d5" => data <= x"ac";
when "10" & x"3d6" => data <= x"d6";
when "10" & x"3d7" => data <= x"10";
when "10" & x"3d8" => data <= x"d0";
when "10" & x"3d9" => data <= x"16";
when "10" & x"3da" => data <= x"ea";
when "10" & x"3db" => data <= x"ea";
when "10" & x"3dc" => data <= x"ea";
when "10" & x"3dd" => data <= x"ad";
when "10" & x"3de" => data <= x"28";
when "10" & x"3df" => data <= x"fc";
when "10" & x"3e0" => data <= x"8e";
when "10" & x"3e1" => data <= x"28";
when "10" & x"3e2" => data <= x"fc";
when "10" & x"3e3" => data <= x"91";
when "10" & x"3e4" => data <= x"a0";
when "10" & x"3e5" => data <= x"c8";
when "10" & x"3e6" => data <= x"c0";
when "10" & x"3e7" => data <= x"ff";
when "10" & x"3e8" => data <= x"d0";
when "10" & x"3e9" => data <= x"f3";
when "10" & x"3ea" => data <= x"ad";
when "10" & x"3eb" => data <= x"28";
when "10" & x"3ec" => data <= x"fc";
when "10" & x"3ed" => data <= x"91";
when "10" & x"3ee" => data <= x"a0";
when "10" & x"3ef" => data <= x"60";
when "10" & x"3f0" => data <= x"a0";
when "10" & x"3f1" => data <= x"00";
when "10" & x"3f2" => data <= x"4c";
when "10" & x"3f3" => data <= x"3e";
when "10" & x"3f4" => data <= x"a4";
when "10" & x"3f5" => data <= x"2c";
when "10" & x"3f6" => data <= x"40";
when "10" & x"3f7" => data <= x"0d";
when "10" & x"3f8" => data <= x"30";
when "10" & x"3f9" => data <= x"1e";
when "10" & x"3fa" => data <= x"ac";
when "10" & x"3fb" => data <= x"d6";
when "10" & x"3fc" => data <= x"10";
when "10" & x"3fd" => data <= x"d0";
when "10" & x"3fe" => data <= x"0c";
when "10" & x"3ff" => data <= x"20";
when "10" & x"400" => data <= x"e2";
when "10" & x"401" => data <= x"a1";
when "10" & x"402" => data <= x"91";
when "10" & x"403" => data <= x"a0";
when "10" & x"404" => data <= x"c8";
when "10" & x"405" => data <= x"ce";
when "10" & x"406" => data <= x"29";
when "10" & x"407" => data <= x"0d";
when "10" & x"408" => data <= x"d0";
when "10" & x"409" => data <= x"f5";
when "10" & x"40a" => data <= x"60";
when "10" & x"40b" => data <= x"ac";
when "10" & x"40c" => data <= x"29";
when "10" & x"40d" => data <= x"0d";
when "10" & x"40e" => data <= x"20";
when "10" & x"40f" => data <= x"e2";
when "10" & x"410" => data <= x"a1";
when "10" & x"411" => data <= x"8d";
when "10" & x"412" => data <= x"e5";
when "10" & x"413" => data <= x"fe";
when "10" & x"414" => data <= x"88";
when "10" & x"415" => data <= x"d0";
when "10" & x"416" => data <= x"f7";
when "10" & x"417" => data <= x"60";
when "10" & x"418" => data <= x"a2";
when "10" & x"419" => data <= x"ff";
when "10" & x"41a" => data <= x"8e";
when "10" & x"41b" => data <= x"28";
when "10" & x"41c" => data <= x"fc";
when "10" & x"41d" => data <= x"ac";
when "10" & x"41e" => data <= x"d6";
when "10" & x"41f" => data <= x"10";
when "10" & x"420" => data <= x"d0";
when "10" & x"421" => data <= x"19";
when "10" & x"422" => data <= x"ce";
when "10" & x"423" => data <= x"29";
when "10" & x"424" => data <= x"0d";
when "10" & x"425" => data <= x"f0";
when "10" & x"426" => data <= x"0e";
when "10" & x"427" => data <= x"ad";
when "10" & x"428" => data <= x"28";
when "10" & x"429" => data <= x"fc";
when "10" & x"42a" => data <= x"8e";
when "10" & x"42b" => data <= x"28";
when "10" & x"42c" => data <= x"fc";
when "10" & x"42d" => data <= x"91";
when "10" & x"42e" => data <= x"a0";
when "10" & x"42f" => data <= x"c8";
when "10" & x"430" => data <= x"ce";
when "10" & x"431" => data <= x"29";
when "10" & x"432" => data <= x"0d";
when "10" & x"433" => data <= x"d0";
when "10" & x"434" => data <= x"f2";
when "10" & x"435" => data <= x"ad";
when "10" & x"436" => data <= x"28";
when "10" & x"437" => data <= x"fc";
when "10" & x"438" => data <= x"91";
when "10" & x"439" => data <= x"a0";
when "10" & x"43a" => data <= x"60";
when "10" & x"43b" => data <= x"ac";
when "10" & x"43c" => data <= x"29";
when "10" & x"43d" => data <= x"0d";
when "10" & x"43e" => data <= x"88";
when "10" & x"43f" => data <= x"f0";
when "10" & x"440" => data <= x"1a";
when "10" & x"441" => data <= x"ea";
when "10" & x"442" => data <= x"ad";
when "10" & x"443" => data <= x"28";
when "10" & x"444" => data <= x"fc";
when "10" & x"445" => data <= x"8e";
when "10" & x"446" => data <= x"28";
when "10" & x"447" => data <= x"fc";
when "10" & x"448" => data <= x"8d";
when "10" & x"449" => data <= x"e5";
when "10" & x"44a" => data <= x"fe";
when "10" & x"44b" => data <= x"20";
when "10" & x"44c" => data <= x"31";
when "10" & x"44d" => data <= x"a3";
when "10" & x"44e" => data <= x"20";
when "10" & x"44f" => data <= x"31";
when "10" & x"450" => data <= x"a3";
when "10" & x"451" => data <= x"ea";
when "10" & x"452" => data <= x"ea";
when "10" & x"453" => data <= x"ea";
when "10" & x"454" => data <= x"88";
when "10" & x"455" => data <= x"d0";
when "10" & x"456" => data <= x"ea";
when "10" & x"457" => data <= x"ea";
when "10" & x"458" => data <= x"ea";
when "10" & x"459" => data <= x"ea";
when "10" & x"45a" => data <= x"ea";
when "10" & x"45b" => data <= x"ad";
when "10" & x"45c" => data <= x"28";
when "10" & x"45d" => data <= x"fc";
when "10" & x"45e" => data <= x"8d";
when "10" & x"45f" => data <= x"e5";
when "10" & x"460" => data <= x"fe";
when "10" & x"461" => data <= x"60";
when "10" & x"462" => data <= x"2c";
when "10" & x"463" => data <= x"40";
when "10" & x"464" => data <= x"0d";
when "10" & x"465" => data <= x"30";
when "10" & x"466" => data <= x"0c";
when "10" & x"467" => data <= x"a0";
when "10" & x"468" => data <= x"00";
when "10" & x"469" => data <= x"20";
when "10" & x"46a" => data <= x"e2";
when "10" & x"46b" => data <= x"a1";
when "10" & x"46c" => data <= x"99";
when "10" & x"46d" => data <= x"00";
when "10" & x"46e" => data <= x"0e";
when "10" & x"46f" => data <= x"c8";
when "10" & x"470" => data <= x"d0";
when "10" & x"471" => data <= x"f7";
when "10" & x"472" => data <= x"60";
when "10" & x"473" => data <= x"a0";
when "10" & x"474" => data <= x"00";
when "10" & x"475" => data <= x"a2";
when "10" & x"476" => data <= x"ff";
when "10" & x"477" => data <= x"8e";
when "10" & x"478" => data <= x"28";
when "10" & x"479" => data <= x"fc";
when "10" & x"47a" => data <= x"20";
when "10" & x"47b" => data <= x"31";
when "10" & x"47c" => data <= x"a3";
when "10" & x"47d" => data <= x"ad";
when "10" & x"47e" => data <= x"28";
when "10" & x"47f" => data <= x"fc";
when "10" & x"480" => data <= x"8e";
when "10" & x"481" => data <= x"28";
when "10" & x"482" => data <= x"fc";
when "10" & x"483" => data <= x"99";
when "10" & x"484" => data <= x"00";
when "10" & x"485" => data <= x"0e";
when "10" & x"486" => data <= x"c8";
when "10" & x"487" => data <= x"c0";
when "10" & x"488" => data <= x"ff";
when "10" & x"489" => data <= x"d0";
when "10" & x"48a" => data <= x"f2";
when "10" & x"48b" => data <= x"ad";
when "10" & x"48c" => data <= x"28";
when "10" & x"48d" => data <= x"fc";
when "10" & x"48e" => data <= x"99";
when "10" & x"48f" => data <= x"00";
when "10" & x"490" => data <= x"0e";
when "10" & x"491" => data <= x"60";
when "10" & x"492" => data <= x"2c";
when "10" & x"493" => data <= x"40";
when "10" & x"494" => data <= x"0d";
when "10" & x"495" => data <= x"30";
when "10" & x"496" => data <= x"0a";
when "10" & x"497" => data <= x"a0";
when "10" & x"498" => data <= x"02";
when "10" & x"499" => data <= x"20";
when "10" & x"49a" => data <= x"df";
when "10" & x"49b" => data <= x"a2";
when "10" & x"49c" => data <= x"a9";
when "10" & x"49d" => data <= x"fe";
when "10" & x"49e" => data <= x"4c";
when "10" & x"49f" => data <= x"9b";
when "10" & x"4a0" => data <= x"a2";
when "10" & x"4a1" => data <= x"a2";
when "10" & x"4a2" => data <= x"ff";
when "10" & x"4a3" => data <= x"8e";
when "10" & x"4a4" => data <= x"28";
when "10" & x"4a5" => data <= x"fc";
when "10" & x"4a6" => data <= x"20";
when "10" & x"4a7" => data <= x"31";
when "10" & x"4a8" => data <= x"a3";
when "10" & x"4a9" => data <= x"8e";
when "10" & x"4aa" => data <= x"28";
when "10" & x"4ab" => data <= x"fc";
when "10" & x"4ac" => data <= x"20";
when "10" & x"4ad" => data <= x"31";
when "10" & x"4ae" => data <= x"a3";
when "10" & x"4af" => data <= x"ca";
when "10" & x"4b0" => data <= x"8e";
when "10" & x"4b1" => data <= x"28";
when "10" & x"4b2" => data <= x"fc";
when "10" & x"4b3" => data <= x"60";
when "10" & x"4b4" => data <= x"a0";
when "10" & x"4b5" => data <= x"02";
when "10" & x"4b6" => data <= x"2c";
when "10" & x"4b7" => data <= x"40";
when "10" & x"4b8" => data <= x"0d";
when "10" & x"4b9" => data <= x"30";
when "10" & x"4ba" => data <= x"18";
when "10" & x"4bb" => data <= x"20";
when "10" & x"4bc" => data <= x"df";
when "10" & x"4bd" => data <= x"a2";
when "10" & x"4be" => data <= x"20";
when "10" & x"4bf" => data <= x"4e";
when "10" & x"4c0" => data <= x"a3";
when "10" & x"4c1" => data <= x"20";
when "10" & x"4c2" => data <= x"3a";
when "10" & x"4c3" => data <= x"a2";
when "10" & x"4c4" => data <= x"a8";
when "10" & x"4c5" => data <= x"29";
when "10" & x"4c6" => data <= x"1f";
when "10" & x"4c7" => data <= x"c9";
when "10" & x"4c8" => data <= x"05";
when "10" & x"4c9" => data <= x"d0";
when "10" & x"4ca" => data <= x"2b";
when "10" & x"4cb" => data <= x"20";
when "10" & x"4cc" => data <= x"e2";
when "10" & x"4cd" => data <= x"a1";
when "10" & x"4ce" => data <= x"c9";
when "10" & x"4cf" => data <= x"ff";
when "10" & x"4d0" => data <= x"d0";
when "10" & x"4d1" => data <= x"f9";
when "10" & x"4d2" => data <= x"60";
when "10" & x"4d3" => data <= x"20";
when "10" & x"4d4" => data <= x"26";
when "10" & x"4d5" => data <= x"a3";
when "10" & x"4d6" => data <= x"a2";
when "10" & x"4d7" => data <= x"ff";
when "10" & x"4d8" => data <= x"8e";
when "10" & x"4d9" => data <= x"28";
when "10" & x"4da" => data <= x"fc";
when "10" & x"4db" => data <= x"20";
when "10" & x"4dc" => data <= x"31";
when "10" & x"4dd" => data <= x"a3";
when "10" & x"4de" => data <= x"ad";
when "10" & x"4df" => data <= x"28";
when "10" & x"4e0" => data <= x"fc";
when "10" & x"4e1" => data <= x"a8";
when "10" & x"4e2" => data <= x"29";
when "10" & x"4e3" => data <= x"1f";
when "10" & x"4e4" => data <= x"c9";
when "10" & x"4e5" => data <= x"05";
when "10" & x"4e6" => data <= x"d0";
when "10" & x"4e7" => data <= x"0e";
when "10" & x"4e8" => data <= x"a9";
when "10" & x"4e9" => data <= x"ff";
when "10" & x"4ea" => data <= x"8e";
when "10" & x"4eb" => data <= x"28";
when "10" & x"4ec" => data <= x"fc";
when "10" & x"4ed" => data <= x"20";
when "10" & x"4ee" => data <= x"31";
when "10" & x"4ef" => data <= x"a3";
when "10" & x"4f0" => data <= x"cd";
when "10" & x"4f1" => data <= x"28";
when "10" & x"4f2" => data <= x"fc";
when "10" & x"4f3" => data <= x"d0";
when "10" & x"4f4" => data <= x"f5";
when "10" & x"4f5" => data <= x"60";
when "10" & x"4f6" => data <= x"98";
when "10" & x"4f7" => data <= x"20";
when "10" & x"4f8" => data <= x"1f";
when "10" & x"4f9" => data <= x"a0";
when "10" & x"4fa" => data <= x"c5";
when "10" & x"4fb" => data <= x"4d";
when "10" & x"4fc" => data <= x"4d";
when "10" & x"4fd" => data <= x"43";
when "10" & x"4fe" => data <= x"20";
when "10" & x"4ff" => data <= x"57";
when "10" & x"500" => data <= x"72";
when "10" & x"501" => data <= x"69";
when "10" & x"502" => data <= x"74";
when "10" & x"503" => data <= x"65";
when "10" & x"504" => data <= x"20";
when "10" & x"505" => data <= x"72";
when "10" & x"506" => data <= x"65";
when "10" & x"507" => data <= x"73";
when "10" & x"508" => data <= x"70";
when "10" & x"509" => data <= x"6f";
when "10" & x"50a" => data <= x"6e";
when "10" & x"50b" => data <= x"73";
when "10" & x"50c" => data <= x"65";
when "10" & x"50d" => data <= x"20";
when "10" & x"50e" => data <= x"66";
when "10" & x"50f" => data <= x"61";
when "10" & x"510" => data <= x"75";
when "10" & x"511" => data <= x"6c";
when "10" & x"512" => data <= x"74";
when "10" & x"513" => data <= x"20";
when "10" & x"514" => data <= x"00";
when "10" & x"515" => data <= x"2c";
when "10" & x"516" => data <= x"40";
when "10" & x"517" => data <= x"0d";
when "10" & x"518" => data <= x"30";
when "10" & x"519" => data <= x"14";
when "10" & x"51a" => data <= x"ac";
when "10" & x"51b" => data <= x"d6";
when "10" & x"51c" => data <= x"10";
when "10" & x"51d" => data <= x"d0";
when "10" & x"51e" => data <= x"03";
when "10" & x"51f" => data <= x"4c";
when "10" & x"520" => data <= x"8d";
when "10" & x"521" => data <= x"a2";
when "10" & x"522" => data <= x"a0";
when "10" & x"523" => data <= x"00";
when "10" & x"524" => data <= x"ad";
when "10" & x"525" => data <= x"e5";
when "10" & x"526" => data <= x"fe";
when "10" & x"527" => data <= x"20";
when "10" & x"528" => data <= x"9b";
when "10" & x"529" => data <= x"a2";
when "10" & x"52a" => data <= x"c8";
when "10" & x"52b" => data <= x"d0";
when "10" & x"52c" => data <= x"f7";
when "10" & x"52d" => data <= x"60";
when "10" & x"52e" => data <= x"ac";
when "10" & x"52f" => data <= x"d6";
when "10" & x"530" => data <= x"10";
when "10" & x"531" => data <= x"d0";
when "10" & x"532" => data <= x"09";
when "10" & x"533" => data <= x"b1";
when "10" & x"534" => data <= x"a0";
when "10" & x"535" => data <= x"8d";
when "10" & x"536" => data <= x"28";
when "10" & x"537" => data <= x"fc";
when "10" & x"538" => data <= x"c8";
when "10" & x"539" => data <= x"d0";
when "10" & x"53a" => data <= x"f8";
when "10" & x"53b" => data <= x"60";
when "10" & x"53c" => data <= x"a0";
when "10" & x"53d" => data <= x"00";
when "10" & x"53e" => data <= x"ad";
when "10" & x"53f" => data <= x"e5";
when "10" & x"540" => data <= x"fe";
when "10" & x"541" => data <= x"8d";
when "10" & x"542" => data <= x"28";
when "10" & x"543" => data <= x"fc";
when "10" & x"544" => data <= x"20";
when "10" & x"545" => data <= x"31";
when "10" & x"546" => data <= x"a3";
when "10" & x"547" => data <= x"20";
when "10" & x"548" => data <= x"31";
when "10" & x"549" => data <= x"a3";
when "10" & x"54a" => data <= x"20";
when "10" & x"54b" => data <= x"31";
when "10" & x"54c" => data <= x"a3";
when "10" & x"54d" => data <= x"c8";
when "10" & x"54e" => data <= x"d0";
when "10" & x"54f" => data <= x"ee";
when "10" & x"550" => data <= x"60";
when "10" & x"551" => data <= x"2c";
when "10" & x"552" => data <= x"40";
when "10" & x"553" => data <= x"0d";
when "10" & x"554" => data <= x"30";
when "10" & x"555" => data <= x"0c";
when "10" & x"556" => data <= x"a0";
when "10" & x"557" => data <= x"00";
when "10" & x"558" => data <= x"b9";
when "10" & x"559" => data <= x"00";
when "10" & x"55a" => data <= x"0e";
when "10" & x"55b" => data <= x"20";
when "10" & x"55c" => data <= x"9b";
when "10" & x"55d" => data <= x"a2";
when "10" & x"55e" => data <= x"c8";
when "10" & x"55f" => data <= x"d0";
when "10" & x"560" => data <= x"f7";
when "10" & x"561" => data <= x"60";
when "10" & x"562" => data <= x"a0";
when "10" & x"563" => data <= x"00";
when "10" & x"564" => data <= x"ea";
when "10" & x"565" => data <= x"b9";
when "10" & x"566" => data <= x"00";
when "10" & x"567" => data <= x"0e";
when "10" & x"568" => data <= x"8d";
when "10" & x"569" => data <= x"28";
when "10" & x"56a" => data <= x"fc";
when "10" & x"56b" => data <= x"c8";
when "10" & x"56c" => data <= x"d0";
when "10" & x"56d" => data <= x"f6";
when "10" & x"56e" => data <= x"60";
when "10" & x"56f" => data <= x"a2";
when "10" & x"570" => data <= x"00";
when "10" & x"571" => data <= x"9d";
when "10" & x"572" => data <= x"43";
when "10" & x"573" => data <= x"0d";
when "10" & x"574" => data <= x"a9";
when "10" & x"575" => data <= x"00";
when "10" & x"576" => data <= x"9d";
when "10" & x"577" => data <= x"44";
when "10" & x"578" => data <= x"0d";
when "10" & x"579" => data <= x"9d";
when "10" & x"57a" => data <= x"45";
when "10" & x"57b" => data <= x"0d";
when "10" & x"57c" => data <= x"9d";
when "10" & x"57d" => data <= x"46";
when "10" & x"57e" => data <= x"0d";
when "10" & x"57f" => data <= x"9d";
when "10" & x"580" => data <= x"47";
when "10" & x"581" => data <= x"0d";
when "10" & x"582" => data <= x"a9";
when "10" & x"583" => data <= x"ff";
when "10" & x"584" => data <= x"9d";
when "10" & x"585" => data <= x"42";
when "10" & x"586" => data <= x"0d";
when "10" & x"587" => data <= x"9d";
when "10" & x"588" => data <= x"48";
when "10" & x"589" => data <= x"0d";
when "10" & x"58a" => data <= x"9d";
when "10" & x"58b" => data <= x"49";
when "10" & x"58c" => data <= x"0d";
when "10" & x"58d" => data <= x"ae";
when "10" & x"58e" => data <= x"03";
when "10" & x"58f" => data <= x"0d";
when "10" & x"590" => data <= x"bd";
when "10" & x"591" => data <= x"ae";
when "10" & x"592" => data <= x"a5";
when "10" & x"593" => data <= x"8d";
when "10" & x"594" => data <= x"40";
when "10" & x"595" => data <= x"0d";
when "10" & x"596" => data <= x"60";
when "10" & x"597" => data <= x"2c";
when "10" & x"598" => data <= x"c9";
when "10" & x"599" => data <= x"10";
when "10" & x"59a" => data <= x"30";
when "10" & x"59b" => data <= x"11";
when "10" & x"59c" => data <= x"a9";
when "10" & x"59d" => data <= x"8f";
when "10" & x"59e" => data <= x"a2";
when "10" & x"59f" => data <= x"0c";
when "10" & x"5a0" => data <= x"a0";
when "10" & x"5a1" => data <= x"ff";
when "10" & x"5a2" => data <= x"20";
when "10" & x"5a3" => data <= x"f4";
when "10" & x"5a4" => data <= x"ff";
when "10" & x"5a5" => data <= x"8c";
when "10" & x"5a6" => data <= x"01";
when "10" & x"5a7" => data <= x"0d";
when "10" & x"5a8" => data <= x"a9";
when "10" & x"5a9" => data <= x"ff";
when "10" & x"5aa" => data <= x"8d";
when "10" & x"5ab" => data <= x"c9";
when "10" & x"5ac" => data <= x"10";
when "10" & x"5ad" => data <= x"60";
when "10" & x"5ae" => data <= x"00";
when "10" & x"5af" => data <= x"80";
when "10" & x"5b0" => data <= x"ad";
when "10" & x"5b1" => data <= x"4f";
when "10" & x"5b2" => data <= x"0d";
when "10" & x"5b3" => data <= x"f0";
when "10" & x"5b4" => data <= x"15";
when "10" & x"5b5" => data <= x"ad";
when "10" & x"5b6" => data <= x"3f";
when "10" & x"5b7" => data <= x"0d";
when "10" & x"5b8" => data <= x"d0";
when "10" & x"5b9" => data <= x"26";
when "10" & x"5ba" => data <= x"ad";
when "10" & x"5bb" => data <= x"50";
when "10" & x"5bc" => data <= x"0d";
when "10" & x"5bd" => data <= x"d0";
when "10" & x"5be" => data <= x"0b";
when "10" & x"5bf" => data <= x"ad";
when "10" & x"5c0" => data <= x"b2";
when "10" & x"5c1" => data <= x"fc";
when "10" & x"5c2" => data <= x"cd";
when "10" & x"5c3" => data <= x"4c";
when "10" & x"5c4" => data <= x"0d";
when "10" & x"5c5" => data <= x"f0";
when "10" & x"5c6" => data <= x"03";
when "10" & x"5c7" => data <= x"20";
when "10" & x"5c8" => data <= x"d6";
when "10" & x"5c9" => data <= x"a9";
when "10" & x"5ca" => data <= x"ad";
when "10" & x"5cb" => data <= x"4c";
when "10" & x"5cc" => data <= x"0d";
when "10" & x"5cd" => data <= x"2d";
when "10" & x"5ce" => data <= x"50";
when "10" & x"5cf" => data <= x"0d";
when "10" & x"5d0" => data <= x"d0";
when "10" & x"5d1" => data <= x"36";
when "10" & x"5d2" => data <= x"ad";
when "10" & x"5d3" => data <= x"4c";
when "10" & x"5d4" => data <= x"0d";
when "10" & x"5d5" => data <= x"0d";
when "10" & x"5d6" => data <= x"50";
when "10" & x"5d7" => data <= x"0d";
when "10" & x"5d8" => data <= x"8d";
when "10" & x"5d9" => data <= x"b2";
when "10" & x"5da" => data <= x"fc";
when "10" & x"5db" => data <= x"ad";
when "10" & x"5dc" => data <= x"3f";
when "10" & x"5dd" => data <= x"0d";
when "10" & x"5de" => data <= x"f0";
when "10" & x"5df" => data <= x"0a";
when "10" & x"5e0" => data <= x"a9";
when "10" & x"5e1" => data <= x"1f";
when "10" & x"5e2" => data <= x"8d";
when "10" & x"5e3" => data <= x"b2";
when "10" & x"5e4" => data <= x"fc";
when "10" & x"5e5" => data <= x"a9";
when "10" & x"5e6" => data <= x"00";
when "10" & x"5e7" => data <= x"8d";
when "10" & x"5e8" => data <= x"50";
when "10" & x"5e9" => data <= x"0d";
when "10" & x"5ea" => data <= x"ad";
when "10" & x"5eb" => data <= x"3f";
when "10" & x"5ec" => data <= x"0d";
when "10" & x"5ed" => data <= x"d0";
when "10" & x"5ee" => data <= x"03";
when "10" & x"5ef" => data <= x"0d";
when "10" & x"5f0" => data <= x"51";
when "10" & x"5f1" => data <= x"0d";
when "10" & x"5f2" => data <= x"8d";
when "10" & x"5f3" => data <= x"b0";
when "10" & x"5f4" => data <= x"fc";
when "10" & x"5f5" => data <= x"ad";
when "10" & x"5f6" => data <= x"bb";
when "10" & x"5f7" => data <= x"fc";
when "10" & x"5f8" => data <= x"29";
when "10" & x"5f9" => data <= x"e3";
when "10" & x"5fa" => data <= x"8d";
when "10" & x"5fb" => data <= x"bb";
when "10" & x"5fc" => data <= x"fc";
when "10" & x"5fd" => data <= x"a9";
when "10" & x"5fe" => data <= x"1c";
when "10" & x"5ff" => data <= x"8d";
when "10" & x"600" => data <= x"be";
when "10" & x"601" => data <= x"fc";
when "10" & x"602" => data <= x"a9";
when "10" & x"603" => data <= x"00";
when "10" & x"604" => data <= x"8d";
when "10" & x"605" => data <= x"ba";
when "10" & x"606" => data <= x"fc";
when "10" & x"607" => data <= x"60";
when "10" & x"608" => data <= x"20";
when "10" & x"609" => data <= x"00";
when "10" & x"60a" => data <= x"a0";
when "10" & x"60b" => data <= x"ff";
when "10" & x"60c" => data <= x"55";
when "10" & x"60d" => data <= x"73";
when "10" & x"60e" => data <= x"65";
when "10" & x"60f" => data <= x"72";
when "10" & x"610" => data <= x"20";
when "10" & x"611" => data <= x"70";
when "10" & x"612" => data <= x"6f";
when "10" & x"613" => data <= x"72";
when "10" & x"614" => data <= x"74";
when "10" & x"615" => data <= x"20";
when "10" & x"616" => data <= x"63";
when "10" & x"617" => data <= x"6f";
when "10" & x"618" => data <= x"6e";
when "10" & x"619" => data <= x"66";
when "10" & x"61a" => data <= x"6c";
when "10" & x"61b" => data <= x"69";
when "10" & x"61c" => data <= x"63";
when "10" & x"61d" => data <= x"74";
when "10" & x"61e" => data <= x"00";
when "10" & x"61f" => data <= x"ad";
when "10" & x"620" => data <= x"02";
when "10" & x"621" => data <= x"0d";
when "10" & x"622" => data <= x"c9";
when "10" & x"623" => data <= x"54";
when "10" & x"624" => data <= x"d0";
when "10" & x"625" => data <= x"04";
when "10" & x"626" => data <= x"20";
when "10" & x"627" => data <= x"b0";
when "10" & x"628" => data <= x"a5";
when "10" & x"629" => data <= x"60";
when "10" & x"62a" => data <= x"20";
when "10" & x"62b" => data <= x"43";
when "10" & x"62c" => data <= x"a6";
when "10" & x"62d" => data <= x"d0";
when "10" & x"62e" => data <= x"fa";
when "10" & x"62f" => data <= x"20";
when "10" & x"630" => data <= x"eb";
when "10" & x"631" => data <= x"a9";
when "10" & x"632" => data <= x"c9";
when "10" & x"633" => data <= x"ff";
when "10" & x"634" => data <= x"f0";
when "10" & x"635" => data <= x"f3";
when "10" & x"636" => data <= x"20";
when "10" & x"637" => data <= x"00";
when "10" & x"638" => data <= x"a0";
when "10" & x"639" => data <= x"ff";
when "10" & x"63a" => data <= x"43";
when "10" & x"63b" => data <= x"61";
when "10" & x"63c" => data <= x"72";
when "10" & x"63d" => data <= x"64";
when "10" & x"63e" => data <= x"3f";
when "10" & x"63f" => data <= x"00";
when "10" & x"640" => data <= x"20";
when "10" & x"641" => data <= x"76";
when "10" & x"642" => data <= x"a1";
when "10" & x"643" => data <= x"20";
when "10" & x"644" => data <= x"b0";
when "10" & x"645" => data <= x"a5";
when "10" & x"646" => data <= x"a0";
when "10" & x"647" => data <= x"0a";
when "10" & x"648" => data <= x"20";
when "10" & x"649" => data <= x"da";
when "10" & x"64a" => data <= x"a2";
when "10" & x"64b" => data <= x"a9";
when "10" & x"64c" => data <= x"40";
when "10" & x"64d" => data <= x"20";
when "10" & x"64e" => data <= x"6f";
when "10" & x"64f" => data <= x"a5";
when "10" & x"650" => data <= x"a9";
when "10" & x"651" => data <= x"95";
when "10" & x"652" => data <= x"8d";
when "10" & x"653" => data <= x"48";
when "10" & x"654" => data <= x"0d";
when "10" & x"655" => data <= x"20";
when "10" & x"656" => data <= x"32";
when "10" & x"657" => data <= x"a3";
when "10" & x"658" => data <= x"c9";
when "10" & x"659" => data <= x"01";
when "10" & x"65a" => data <= x"f0";
when "10" & x"65b" => data <= x"03";
when "10" & x"65c" => data <= x"4c";
when "10" & x"65d" => data <= x"07";
when "10" & x"65e" => data <= x"a7";
when "10" & x"65f" => data <= x"a9";
when "10" & x"660" => data <= x"01";
when "10" & x"661" => data <= x"8d";
when "10" & x"662" => data <= x"4f";
when "10" & x"663" => data <= x"0d";
when "10" & x"664" => data <= x"a9";
when "10" & x"665" => data <= x"48";
when "10" & x"666" => data <= x"20";
when "10" & x"667" => data <= x"6f";
when "10" & x"668" => data <= x"a5";
when "10" & x"669" => data <= x"a9";
when "10" & x"66a" => data <= x"01";
when "10" & x"66b" => data <= x"8d";
when "10" & x"66c" => data <= x"46";
when "10" & x"66d" => data <= x"0d";
when "10" & x"66e" => data <= x"a9";
when "10" & x"66f" => data <= x"aa";
when "10" & x"670" => data <= x"8d";
when "10" & x"671" => data <= x"47";
when "10" & x"672" => data <= x"0d";
when "10" & x"673" => data <= x"a9";
when "10" & x"674" => data <= x"87";
when "10" & x"675" => data <= x"8d";
when "10" & x"676" => data <= x"48";
when "10" & x"677" => data <= x"0d";
when "10" & x"678" => data <= x"20";
when "10" & x"679" => data <= x"32";
when "10" & x"67a" => data <= x"a3";
when "10" & x"67b" => data <= x"c9";
when "10" & x"67c" => data <= x"01";
when "10" & x"67d" => data <= x"f0";
when "10" & x"67e" => data <= x"20";
when "10" & x"67f" => data <= x"a9";
when "10" & x"680" => data <= x"02";
when "10" & x"681" => data <= x"8d";
when "10" & x"682" => data <= x"4f";
when "10" & x"683" => data <= x"0d";
when "10" & x"684" => data <= x"a9";
when "10" & x"685" => data <= x"41";
when "10" & x"686" => data <= x"20";
when "10" & x"687" => data <= x"6f";
when "10" & x"688" => data <= x"a5";
when "10" & x"689" => data <= x"20";
when "10" & x"68a" => data <= x"32";
when "10" & x"68b" => data <= x"a3";
when "10" & x"68c" => data <= x"c9";
when "10" & x"68d" => data <= x"02";
when "10" & x"68e" => data <= x"90";
when "10" & x"68f" => data <= x"03";
when "10" & x"690" => data <= x"4c";
when "10" & x"691" => data <= x"07";
when "10" & x"692" => data <= x"a7";
when "10" & x"693" => data <= x"c9";
when "10" & x"694" => data <= x"00";
when "10" & x"695" => data <= x"d0";
when "10" & x"696" => data <= x"ed";
when "10" & x"697" => data <= x"a9";
when "10" & x"698" => data <= x"02";
when "10" & x"699" => data <= x"8d";
when "10" & x"69a" => data <= x"4f";
when "10" & x"69b" => data <= x"0d";
when "10" & x"69c" => data <= x"4c";
when "10" & x"69d" => data <= x"e7";
when "10" & x"69e" => data <= x"a6";
when "10" & x"69f" => data <= x"20";
when "10" & x"6a0" => data <= x"e2";
when "10" & x"6a1" => data <= x"a1";
when "10" & x"6a2" => data <= x"20";
when "10" & x"6a3" => data <= x"e2";
when "10" & x"6a4" => data <= x"a1";
when "10" & x"6a5" => data <= x"20";
when "10" & x"6a6" => data <= x"e2";
when "10" & x"6a7" => data <= x"a1";
when "10" & x"6a8" => data <= x"20";
when "10" & x"6a9" => data <= x"e2";
when "10" & x"6aa" => data <= x"a1";
when "10" & x"6ab" => data <= x"a9";
when "10" & x"6ac" => data <= x"77";
when "10" & x"6ad" => data <= x"20";
when "10" & x"6ae" => data <= x"6f";
when "10" & x"6af" => data <= x"a5";
when "10" & x"6b0" => data <= x"20";
when "10" & x"6b1" => data <= x"32";
when "10" & x"6b2" => data <= x"a3";
when "10" & x"6b3" => data <= x"a9";
when "10" & x"6b4" => data <= x"69";
when "10" & x"6b5" => data <= x"20";
when "10" & x"6b6" => data <= x"6f";
when "10" & x"6b7" => data <= x"a5";
when "10" & x"6b8" => data <= x"a9";
when "10" & x"6b9" => data <= x"40";
when "10" & x"6ba" => data <= x"8d";
when "10" & x"6bb" => data <= x"44";
when "10" & x"6bc" => data <= x"0d";
when "10" & x"6bd" => data <= x"20";
when "10" & x"6be" => data <= x"32";
when "10" & x"6bf" => data <= x"a3";
when "10" & x"6c0" => data <= x"c9";
when "10" & x"6c1" => data <= x"00";
when "10" & x"6c2" => data <= x"d0";
when "10" & x"6c3" => data <= x"e7";
when "10" & x"6c4" => data <= x"a9";
when "10" & x"6c5" => data <= x"7a";
when "10" & x"6c6" => data <= x"20";
when "10" & x"6c7" => data <= x"6f";
when "10" & x"6c8" => data <= x"a5";
when "10" & x"6c9" => data <= x"20";
when "10" & x"6ca" => data <= x"32";
when "10" & x"6cb" => data <= x"a3";
when "10" & x"6cc" => data <= x"c9";
when "10" & x"6cd" => data <= x"00";
when "10" & x"6ce" => data <= x"d0";
when "10" & x"6cf" => data <= x"37";
when "10" & x"6d0" => data <= x"20";
when "10" & x"6d1" => data <= x"e2";
when "10" & x"6d2" => data <= x"a1";
when "10" & x"6d3" => data <= x"29";
when "10" & x"6d4" => data <= x"40";
when "10" & x"6d5" => data <= x"48";
when "10" & x"6d6" => data <= x"20";
when "10" & x"6d7" => data <= x"e2";
when "10" & x"6d8" => data <= x"a1";
when "10" & x"6d9" => data <= x"20";
when "10" & x"6da" => data <= x"e2";
when "10" & x"6db" => data <= x"a1";
when "10" & x"6dc" => data <= x"20";
when "10" & x"6dd" => data <= x"e2";
when "10" & x"6de" => data <= x"a1";
when "10" & x"6df" => data <= x"68";
when "10" & x"6e0" => data <= x"d0";
when "10" & x"6e1" => data <= x"05";
when "10" & x"6e2" => data <= x"a9";
when "10" & x"6e3" => data <= x"02";
when "10" & x"6e4" => data <= x"8d";
when "10" & x"6e5" => data <= x"4f";
when "10" & x"6e6" => data <= x"0d";
when "10" & x"6e7" => data <= x"a9";
when "10" & x"6e8" => data <= x"50";
when "10" & x"6e9" => data <= x"20";
when "10" & x"6ea" => data <= x"6f";
when "10" & x"6eb" => data <= x"a5";
when "10" & x"6ec" => data <= x"a9";
when "10" & x"6ed" => data <= x"02";
when "10" & x"6ee" => data <= x"8d";
when "10" & x"6ef" => data <= x"46";
when "10" & x"6f0" => data <= x"0d";
when "10" & x"6f1" => data <= x"20";
when "10" & x"6f2" => data <= x"32";
when "10" & x"6f3" => data <= x"a3";
when "10" & x"6f4" => data <= x"d0";
when "10" & x"6f5" => data <= x"2e";
when "10" & x"6f6" => data <= x"a9";
when "10" & x"6f7" => data <= x"54";
when "10" & x"6f8" => data <= x"8d";
when "10" & x"6f9" => data <= x"02";
when "10" & x"6fa" => data <= x"0d";
when "10" & x"6fb" => data <= x"ad";
when "10" & x"6fc" => data <= x"03";
when "10" & x"6fd" => data <= x"0d";
when "10" & x"6fe" => data <= x"8d";
when "10" & x"6ff" => data <= x"04";
when "10" & x"700" => data <= x"0d";
when "10" & x"701" => data <= x"20";
when "10" & x"702" => data <= x"80";
when "10" & x"703" => data <= x"a1";
when "10" & x"704" => data <= x"a9";
when "10" & x"705" => data <= x"ff";
when "10" & x"706" => data <= x"60";
when "10" & x"707" => data <= x"ae";
when "10" & x"708" => data <= x"03";
when "10" & x"709" => data <= x"0d";
when "10" & x"70a" => data <= x"e8";
when "10" & x"70b" => data <= x"e0";
when "10" & x"70c" => data <= x"02";
when "10" & x"70d" => data <= x"b0";
when "10" & x"70e" => data <= x"09";
when "10" & x"70f" => data <= x"8e";
when "10" & x"710" => data <= x"03";
when "10" & x"711" => data <= x"0d";
when "10" & x"712" => data <= x"20";
when "10" & x"713" => data <= x"8d";
when "10" & x"714" => data <= x"a5";
when "10" & x"715" => data <= x"4c";
when "10" & x"716" => data <= x"46";
when "10" & x"717" => data <= x"a6";
when "10" & x"718" => data <= x"a9";
when "10" & x"719" => data <= x"00";
when "10" & x"71a" => data <= x"8d";
when "10" & x"71b" => data <= x"03";
when "10" & x"71c" => data <= x"0d";
when "10" & x"71d" => data <= x"20";
when "10" & x"71e" => data <= x"8d";
when "10" & x"71f" => data <= x"a5";
when "10" & x"720" => data <= x"8d";
when "10" & x"721" => data <= x"02";
when "10" & x"722" => data <= x"0d";
when "10" & x"723" => data <= x"60";
when "10" & x"724" => data <= x"20";
when "10" & x"725" => data <= x"1f";
when "10" & x"726" => data <= x"a0";
when "10" & x"727" => data <= x"ff";
when "10" & x"728" => data <= x"53";
when "10" & x"729" => data <= x"65";
when "10" & x"72a" => data <= x"74";
when "10" & x"72b" => data <= x"20";
when "10" & x"72c" => data <= x"62";
when "10" & x"72d" => data <= x"6c";
when "10" & x"72e" => data <= x"6f";
when "10" & x"72f" => data <= x"63";
when "10" & x"730" => data <= x"6b";
when "10" & x"731" => data <= x"20";
when "10" & x"732" => data <= x"6c";
when "10" & x"733" => data <= x"65";
when "10" & x"734" => data <= x"6e";
when "10" & x"735" => data <= x"20";
when "10" & x"736" => data <= x"65";
when "10" & x"737" => data <= x"72";
when "10" & x"738" => data <= x"72";
when "10" & x"739" => data <= x"6f";
when "10" & x"73a" => data <= x"72";
when "10" & x"73b" => data <= x"20";
when "10" & x"73c" => data <= x"00";
when "10" & x"73d" => data <= x"a9";
when "10" & x"73e" => data <= x"51";
when "10" & x"73f" => data <= x"20";
when "10" & x"740" => data <= x"6f";
when "10" & x"741" => data <= x"a5";
when "10" & x"742" => data <= x"60";
when "10" & x"743" => data <= x"20";
when "10" & x"744" => data <= x"21";
when "10" & x"745" => data <= x"aa";
when "10" & x"746" => data <= x"20";
when "10" & x"747" => data <= x"32";
when "10" & x"748" => data <= x"a3";
when "10" & x"749" => data <= x"d0";
when "10" & x"74a" => data <= x"07";
when "10" & x"74b" => data <= x"20";
when "10" & x"74c" => data <= x"a1";
when "10" & x"74d" => data <= x"a3";
when "10" & x"74e" => data <= x"20";
when "10" & x"74f" => data <= x"83";
when "10" & x"750" => data <= x"aa";
when "10" & x"751" => data <= x"60";
when "10" & x"752" => data <= x"20";
when "10" & x"753" => data <= x"1f";
when "10" & x"754" => data <= x"a0";
when "10" & x"755" => data <= x"c5";
when "10" & x"756" => data <= x"4d";
when "10" & x"757" => data <= x"4d";
when "10" & x"758" => data <= x"43";
when "10" & x"759" => data <= x"20";
when "10" & x"75a" => data <= x"52";
when "10" & x"75b" => data <= x"65";
when "10" & x"75c" => data <= x"61";
when "10" & x"75d" => data <= x"64";
when "10" & x"75e" => data <= x"20";
when "10" & x"75f" => data <= x"66";
when "10" & x"760" => data <= x"61";
when "10" & x"761" => data <= x"75";
when "10" & x"762" => data <= x"6c";
when "10" & x"763" => data <= x"74";
when "10" & x"764" => data <= x"20";
when "10" & x"765" => data <= x"00";
when "10" & x"766" => data <= x"20";
when "10" & x"767" => data <= x"21";
when "10" & x"768" => data <= x"aa";
when "10" & x"769" => data <= x"20";
when "10" & x"76a" => data <= x"32";
when "10" & x"76b" => data <= x"a3";
when "10" & x"76c" => data <= x"d0";
when "10" & x"76d" => data <= x"07";
when "10" & x"76e" => data <= x"20";
when "10" & x"76f" => data <= x"92";
when "10" & x"770" => data <= x"a4";
when "10" & x"771" => data <= x"20";
when "10" & x"772" => data <= x"83";
when "10" & x"773" => data <= x"aa";
when "10" & x"774" => data <= x"60";
when "10" & x"775" => data <= x"20";
when "10" & x"776" => data <= x"1f";
when "10" & x"777" => data <= x"a0";
when "10" & x"778" => data <= x"c5";
when "10" & x"779" => data <= x"4d";
when "10" & x"77a" => data <= x"4d";
when "10" & x"77b" => data <= x"43";
when "10" & x"77c" => data <= x"20";
when "10" & x"77d" => data <= x"57";
when "10" & x"77e" => data <= x"72";
when "10" & x"77f" => data <= x"69";
when "10" & x"780" => data <= x"74";
when "10" & x"781" => data <= x"65";
when "10" & x"782" => data <= x"20";
when "10" & x"783" => data <= x"66";
when "10" & x"784" => data <= x"61";
when "10" & x"785" => data <= x"75";
when "10" & x"786" => data <= x"6c";
when "10" & x"787" => data <= x"74";
when "10" & x"788" => data <= x"20";
when "10" & x"789" => data <= x"00";
when "10" & x"78a" => data <= x"20";
when "10" & x"78b" => data <= x"76";
when "10" & x"78c" => data <= x"a1";
when "10" & x"78d" => data <= x"a9";
when "10" & x"78e" => data <= x"00";
when "10" & x"78f" => data <= x"8d";
when "10" & x"790" => data <= x"d6";
when "10" & x"791" => data <= x"10";
when "10" & x"792" => data <= x"85";
when "10" & x"793" => data <= x"a0";
when "10" & x"794" => data <= x"a9";
when "10" & x"795" => data <= x"0e";
when "10" & x"796" => data <= x"85";
when "10" & x"797" => data <= x"a1";
when "10" & x"798" => data <= x"60";
when "10" & x"799" => data <= x"20";
when "10" & x"79a" => data <= x"8a";
when "10" & x"79b" => data <= x"a7";
when "10" & x"79c" => data <= x"20";
when "10" & x"79d" => data <= x"3d";
when "10" & x"79e" => data <= x"a7";
when "10" & x"79f" => data <= x"20";
when "10" & x"7a0" => data <= x"43";
when "10" & x"7a1" => data <= x"a7";
when "10" & x"7a2" => data <= x"20";
when "10" & x"7a3" => data <= x"be";
when "10" & x"7a4" => data <= x"a3";
when "10" & x"7a5" => data <= x"e6";
when "10" & x"7a6" => data <= x"a1";
when "10" & x"7a7" => data <= x"20";
when "10" & x"7a8" => data <= x"be";
when "10" & x"7a9" => data <= x"a3";
when "10" & x"7aa" => data <= x"a0";
when "10" & x"7ab" => data <= x"02";
when "10" & x"7ac" => data <= x"20";
when "10" & x"7ad" => data <= x"da";
when "10" & x"7ae" => data <= x"a2";
when "10" & x"7af" => data <= x"4c";
when "10" & x"7b0" => data <= x"80";
when "10" & x"7b1" => data <= x"a1";
when "10" & x"7b2" => data <= x"20";
when "10" & x"7b3" => data <= x"8a";
when "10" & x"7b4" => data <= x"a7";
when "10" & x"7b5" => data <= x"a9";
when "10" & x"7b6" => data <= x"58";
when "10" & x"7b7" => data <= x"20";
when "10" & x"7b8" => data <= x"3f";
when "10" & x"7b9" => data <= x"a7";
when "10" & x"7ba" => data <= x"20";
when "10" & x"7bb" => data <= x"66";
when "10" & x"7bc" => data <= x"a7";
when "10" & x"7bd" => data <= x"20";
when "10" & x"7be" => data <= x"15";
when "10" & x"7bf" => data <= x"a5";
when "10" & x"7c0" => data <= x"e6";
when "10" & x"7c1" => data <= x"a1";
when "10" & x"7c2" => data <= x"20";
when "10" & x"7c3" => data <= x"15";
when "10" & x"7c4" => data <= x"a5";
when "10" & x"7c5" => data <= x"20";
when "10" & x"7c6" => data <= x"b4";
when "10" & x"7c7" => data <= x"a4";
when "10" & x"7c8" => data <= x"4c";
when "10" & x"7c9" => data <= x"80";
when "10" & x"7ca" => data <= x"a1";
when "10" & x"7cb" => data <= x"60";
when "10" & x"7cc" => data <= x"20";
when "10" & x"7cd" => data <= x"76";
when "10" & x"7ce" => data <= x"a1";
when "10" & x"7cf" => data <= x"20";
when "10" & x"7d0" => data <= x"d5";
when "10" & x"7d1" => data <= x"a7";
when "10" & x"7d2" => data <= x"4c";
when "10" & x"7d3" => data <= x"80";
when "10" & x"7d4" => data <= x"a1";
when "10" & x"7d5" => data <= x"ae";
when "10" & x"7d6" => data <= x"27";
when "10" & x"7d7" => data <= x"0d";
when "10" & x"7d8" => data <= x"f0";
when "10" & x"7d9" => data <= x"f1";
when "10" & x"7da" => data <= x"a9";
when "10" & x"7db" => data <= x"01";
when "10" & x"7dc" => data <= x"20";
when "10" & x"7dd" => data <= x"98";
when "10" & x"7de" => data <= x"a0";
when "10" & x"7df" => data <= x"ae";
when "10" & x"7e0" => data <= x"27";
when "10" & x"7e1" => data <= x"0d";
when "10" & x"7e2" => data <= x"2c";
when "10" & x"7e3" => data <= x"28";
when "10" & x"7e4" => data <= x"0d";
when "10" & x"7e5" => data <= x"10";
when "10" & x"7e6" => data <= x"01";
when "10" & x"7e7" => data <= x"e8";
when "10" & x"7e8" => data <= x"8e";
when "10" & x"7e9" => data <= x"27";
when "10" & x"7ea" => data <= x"0d";
when "10" & x"7eb" => data <= x"20";
when "10" & x"7ec" => data <= x"3d";
when "10" & x"7ed" => data <= x"a7";
when "10" & x"7ee" => data <= x"ae";
when "10" & x"7ef" => data <= x"27";
when "10" & x"7f0" => data <= x"0d";
when "10" & x"7f1" => data <= x"e0";
when "10" & x"7f2" => data <= x"03";
when "10" & x"7f3" => data <= x"b0";
when "10" & x"7f4" => data <= x"09";
when "10" & x"7f5" => data <= x"ad";
when "10" & x"7f6" => data <= x"29";
when "10" & x"7f7" => data <= x"0d";
when "10" & x"7f8" => data <= x"d0";
when "10" & x"7f9" => data <= x"46";
when "10" & x"7fa" => data <= x"e0";
when "10" & x"7fb" => data <= x"01";
when "10" & x"7fc" => data <= x"f0";
when "10" & x"7fd" => data <= x"39";
when "10" & x"7fe" => data <= x"2c";
when "10" & x"7ff" => data <= x"28";
when "10" & x"800" => data <= x"0d";
when "10" & x"801" => data <= x"10";
when "10" & x"802" => data <= x"0e";
when "10" & x"803" => data <= x"20";
when "10" & x"804" => data <= x"43";
when "10" & x"805" => data <= x"a7";
when "10" & x"806" => data <= x"a0";
when "10" & x"807" => data <= x"00";
when "10" & x"808" => data <= x"8c";
when "10" & x"809" => data <= x"28";
when "10" & x"80a" => data <= x"0d";
when "10" & x"80b" => data <= x"20";
when "10" & x"80c" => data <= x"da";
when "10" & x"80d" => data <= x"a2";
when "10" & x"80e" => data <= x"4c";
when "10" & x"80f" => data <= x"19";
when "10" & x"810" => data <= x"a8";
when "10" & x"811" => data <= x"20";
when "10" & x"812" => data <= x"43";
when "10" & x"813" => data <= x"a7";
when "10" & x"814" => data <= x"20";
when "10" & x"815" => data <= x"be";
when "10" & x"816" => data <= x"a3";
when "10" & x"817" => data <= x"e6";
when "10" & x"818" => data <= x"a1";
when "10" & x"819" => data <= x"20";
when "10" & x"81a" => data <= x"be";
when "10" & x"81b" => data <= x"a3";
when "10" & x"81c" => data <= x"e6";
when "10" & x"81d" => data <= x"a1";
when "10" & x"81e" => data <= x"a0";
when "10" & x"81f" => data <= x"02";
when "10" & x"820" => data <= x"20";
when "10" & x"821" => data <= x"da";
when "10" & x"822" => data <= x"a2";
when "10" & x"823" => data <= x"20";
when "10" & x"824" => data <= x"61";
when "10" & x"825" => data <= x"aa";
when "10" & x"826" => data <= x"ae";
when "10" & x"827" => data <= x"27";
when "10" & x"828" => data <= x"0d";
when "10" & x"829" => data <= x"ca";
when "10" & x"82a" => data <= x"ca";
when "10" & x"82b" => data <= x"f0";
when "10" & x"82c" => data <= x"9e";
when "10" & x"82d" => data <= x"8e";
when "10" & x"82e" => data <= x"27";
when "10" & x"82f" => data <= x"0d";
when "10" & x"830" => data <= x"e0";
when "10" & x"831" => data <= x"03";
when "10" & x"832" => data <= x"b0";
when "10" & x"833" => data <= x"dd";
when "10" & x"834" => data <= x"4c";
when "10" & x"835" => data <= x"f5";
when "10" & x"836" => data <= x"a7";
when "10" & x"837" => data <= x"20";
when "10" & x"838" => data <= x"43";
when "10" & x"839" => data <= x"a7";
when "10" & x"83a" => data <= x"20";
when "10" & x"83b" => data <= x"be";
when "10" & x"83c" => data <= x"a3";
when "10" & x"83d" => data <= x"4c";
when "10" & x"83e" => data <= x"6d";
when "10" & x"83f" => data <= x"a8";
when "10" & x"840" => data <= x"20";
when "10" & x"841" => data <= x"43";
when "10" & x"842" => data <= x"a7";
when "10" & x"843" => data <= x"2c";
when "10" & x"844" => data <= x"28";
when "10" & x"845" => data <= x"0d";
when "10" & x"846" => data <= x"10";
when "10" & x"847" => data <= x"0b";
when "10" & x"848" => data <= x"a0";
when "10" & x"849" => data <= x"00";
when "10" & x"84a" => data <= x"8c";
when "10" & x"84b" => data <= x"28";
when "10" & x"84c" => data <= x"0d";
when "10" & x"84d" => data <= x"20";
when "10" & x"84e" => data <= x"da";
when "10" & x"84f" => data <= x"a2";
when "10" & x"850" => data <= x"4c";
when "10" & x"851" => data <= x"5d";
when "10" & x"852" => data <= x"a8";
when "10" & x"853" => data <= x"ce";
when "10" & x"854" => data <= x"27";
when "10" & x"855" => data <= x"0d";
when "10" & x"856" => data <= x"f0";
when "10" & x"857" => data <= x"05";
when "10" & x"858" => data <= x"20";
when "10" & x"859" => data <= x"be";
when "10" & x"85a" => data <= x"a3";
when "10" & x"85b" => data <= x"e6";
when "10" & x"85c" => data <= x"a1";
when "10" & x"85d" => data <= x"20";
when "10" & x"85e" => data <= x"f5";
when "10" & x"85f" => data <= x"a3";
when "10" & x"860" => data <= x"98";
when "10" & x"861" => data <= x"49";
when "10" & x"862" => data <= x"ff";
when "10" & x"863" => data <= x"a8";
when "10" & x"864" => data <= x"c8";
when "10" & x"865" => data <= x"20";
when "10" & x"866" => data <= x"da";
when "10" & x"867" => data <= x"a2";
when "10" & x"868" => data <= x"ad";
when "10" & x"869" => data <= x"27";
when "10" & x"86a" => data <= x"0d";
when "10" & x"86b" => data <= x"d0";
when "10" & x"86c" => data <= x"05";
when "10" & x"86d" => data <= x"a0";
when "10" & x"86e" => data <= x"00";
when "10" & x"86f" => data <= x"20";
when "10" & x"870" => data <= x"da";
when "10" & x"871" => data <= x"a2";
when "10" & x"872" => data <= x"a0";
when "10" & x"873" => data <= x"02";
when "10" & x"874" => data <= x"4c";
when "10" & x"875" => data <= x"da";
when "10" & x"876" => data <= x"a2";
when "10" & x"877" => data <= x"60";
when "10" & x"878" => data <= x"20";
when "10" & x"879" => data <= x"76";
when "10" & x"87a" => data <= x"a1";
when "10" & x"87b" => data <= x"20";
when "10" & x"87c" => data <= x"81";
when "10" & x"87d" => data <= x"a8";
when "10" & x"87e" => data <= x"4c";
when "10" & x"87f" => data <= x"80";
when "10" & x"880" => data <= x"a1";
when "10" & x"881" => data <= x"ae";
when "10" & x"882" => data <= x"27";
when "10" & x"883" => data <= x"0d";
when "10" & x"884" => data <= x"f0";
when "10" & x"885" => data <= x"f1";
when "10" & x"886" => data <= x"a9";
when "10" & x"887" => data <= x"00";
when "10" & x"888" => data <= x"20";
when "10" & x"889" => data <= x"98";
when "10" & x"88a" => data <= x"a0";
when "10" & x"88b" => data <= x"a9";
when "10" & x"88c" => data <= x"58";
when "10" & x"88d" => data <= x"20";
when "10" & x"88e" => data <= x"3f";
when "10" & x"88f" => data <= x"a7";
when "10" & x"890" => data <= x"ae";
when "10" & x"891" => data <= x"27";
when "10" & x"892" => data <= x"0d";
when "10" & x"893" => data <= x"2c";
when "10" & x"894" => data <= x"28";
when "10" & x"895" => data <= x"0d";
when "10" & x"896" => data <= x"10";
when "10" & x"897" => data <= x"3a";
when "10" & x"898" => data <= x"a9";
when "10" & x"899" => data <= x"00";
when "10" & x"89a" => data <= x"8d";
when "10" & x"89b" => data <= x"28";
when "10" & x"89c" => data <= x"0d";
when "10" & x"89d" => data <= x"a9";
when "10" & x"89e" => data <= x"ff";
when "10" & x"89f" => data <= x"8d";
when "10" & x"8a0" => data <= x"82";
when "10" & x"8a1" => data <= x"10";
when "10" & x"8a2" => data <= x"a9";
when "10" & x"8a3" => data <= x"51";
when "10" & x"8a4" => data <= x"8d";
when "10" & x"8a5" => data <= x"43";
when "10" & x"8a6" => data <= x"0d";
when "10" & x"8a7" => data <= x"20";
when "10" & x"8a8" => data <= x"43";
when "10" & x"8a9" => data <= x"a7";
when "10" & x"8aa" => data <= x"20";
when "10" & x"8ab" => data <= x"62";
when "10" & x"8ac" => data <= x"a4";
when "10" & x"8ad" => data <= x"a0";
when "10" & x"8ae" => data <= x"00";
when "10" & x"8af" => data <= x"20";
when "10" & x"8b0" => data <= x"da";
when "10" & x"8b1" => data <= x"a2";
when "10" & x"8b2" => data <= x"a0";
when "10" & x"8b3" => data <= x"02";
when "10" & x"8b4" => data <= x"20";
when "10" & x"8b5" => data <= x"da";
when "10" & x"8b6" => data <= x"a2";
when "10" & x"8b7" => data <= x"a9";
when "10" & x"8b8" => data <= x"58";
when "10" & x"8b9" => data <= x"8d";
when "10" & x"8ba" => data <= x"43";
when "10" & x"8bb" => data <= x"0d";
when "10" & x"8bc" => data <= x"20";
when "10" & x"8bd" => data <= x"66";
when "10" & x"8be" => data <= x"a7";
when "10" & x"8bf" => data <= x"20";
when "10" & x"8c0" => data <= x"51";
when "10" & x"8c1" => data <= x"a5";
when "10" & x"8c2" => data <= x"20";
when "10" & x"8c3" => data <= x"15";
when "10" & x"8c4" => data <= x"a5";
when "10" & x"8c5" => data <= x"20";
when "10" & x"8c6" => data <= x"b4";
when "10" & x"8c7" => data <= x"a4";
when "10" & x"8c8" => data <= x"ce";
when "10" & x"8c9" => data <= x"27";
when "10" & x"8ca" => data <= x"0d";
when "10" & x"8cb" => data <= x"f0";
when "10" & x"8cc" => data <= x"aa";
when "10" & x"8cd" => data <= x"e6";
when "10" & x"8ce" => data <= x"a1";
when "10" & x"8cf" => data <= x"20";
when "10" & x"8d0" => data <= x"61";
when "10" & x"8d1" => data <= x"aa";
when "10" & x"8d2" => data <= x"ae";
when "10" & x"8d3" => data <= x"27";
when "10" & x"8d4" => data <= x"0d";
when "10" & x"8d5" => data <= x"f0";
when "10" & x"8d6" => data <= x"46";
when "10" & x"8d7" => data <= x"ca";
when "10" & x"8d8" => data <= x"d0";
when "10" & x"8d9" => data <= x"2b";
when "10" & x"8da" => data <= x"a9";
when "10" & x"8db" => data <= x"ff";
when "10" & x"8dc" => data <= x"8d";
when "10" & x"8dd" => data <= x"82";
when "10" & x"8de" => data <= x"10";
when "10" & x"8df" => data <= x"a9";
when "10" & x"8e0" => data <= x"51";
when "10" & x"8e1" => data <= x"8d";
when "10" & x"8e2" => data <= x"43";
when "10" & x"8e3" => data <= x"0d";
when "10" & x"8e4" => data <= x"20";
when "10" & x"8e5" => data <= x"43";
when "10" & x"8e6" => data <= x"a7";
when "10" & x"8e7" => data <= x"a0";
when "10" & x"8e8" => data <= x"00";
when "10" & x"8e9" => data <= x"20";
when "10" & x"8ea" => data <= x"da";
when "10" & x"8eb" => data <= x"a2";
when "10" & x"8ec" => data <= x"20";
when "10" & x"8ed" => data <= x"62";
when "10" & x"8ee" => data <= x"a4";
when "10" & x"8ef" => data <= x"a0";
when "10" & x"8f0" => data <= x"02";
when "10" & x"8f1" => data <= x"20";
when "10" & x"8f2" => data <= x"da";
when "10" & x"8f3" => data <= x"a2";
when "10" & x"8f4" => data <= x"a9";
when "10" & x"8f5" => data <= x"58";
when "10" & x"8f6" => data <= x"8d";
when "10" & x"8f7" => data <= x"43";
when "10" & x"8f8" => data <= x"0d";
when "10" & x"8f9" => data <= x"20";
when "10" & x"8fa" => data <= x"66";
when "10" & x"8fb" => data <= x"a7";
when "10" & x"8fc" => data <= x"20";
when "10" & x"8fd" => data <= x"15";
when "10" & x"8fe" => data <= x"a5";
when "10" & x"8ff" => data <= x"20";
when "10" & x"900" => data <= x"51";
when "10" & x"901" => data <= x"a5";
when "10" & x"902" => data <= x"4c";
when "10" & x"903" => data <= x"b4";
when "10" & x"904" => data <= x"a4";
when "10" & x"905" => data <= x"20";
when "10" & x"906" => data <= x"66";
when "10" & x"907" => data <= x"a7";
when "10" & x"908" => data <= x"20";
when "10" & x"909" => data <= x"15";
when "10" & x"90a" => data <= x"a5";
when "10" & x"90b" => data <= x"e6";
when "10" & x"90c" => data <= x"a1";
when "10" & x"90d" => data <= x"20";
when "10" & x"90e" => data <= x"15";
when "10" & x"90f" => data <= x"a5";
when "10" & x"910" => data <= x"e6";
when "10" & x"911" => data <= x"a1";
when "10" & x"912" => data <= x"20";
when "10" & x"913" => data <= x"b4";
when "10" & x"914" => data <= x"a4";
when "10" & x"915" => data <= x"ce";
when "10" & x"916" => data <= x"27";
when "10" & x"917" => data <= x"0d";
when "10" & x"918" => data <= x"ce";
when "10" & x"919" => data <= x"27";
when "10" & x"91a" => data <= x"0d";
when "10" & x"91b" => data <= x"d0";
when "10" & x"91c" => data <= x"b2";
when "10" & x"91d" => data <= x"60";
when "10" & x"91e" => data <= x"a9";
when "10" & x"91f" => data <= x"51";
when "10" & x"920" => data <= x"20";
when "10" & x"921" => data <= x"6f";
when "10" & x"922" => data <= x"a5";
when "10" & x"923" => data <= x"60";
when "10" & x"924" => data <= x"20";
when "10" & x"925" => data <= x"76";
when "10" & x"926" => data <= x"a1";
when "10" & x"927" => data <= x"20";
when "10" & x"928" => data <= x"43";
when "10" & x"929" => data <= x"a7";
when "10" & x"92a" => data <= x"a9";
when "10" & x"92b" => data <= x"5f";
when "10" & x"92c" => data <= x"85";
when "10" & x"92d" => data <= x"a0";
when "10" & x"92e" => data <= x"a9";
when "10" & x"92f" => data <= x"0d";
when "10" & x"930" => data <= x"85";
when "10" & x"931" => data <= x"a1";
when "10" & x"932" => data <= x"a9";
when "10" & x"933" => data <= x"08";
when "10" & x"934" => data <= x"8d";
when "10" & x"935" => data <= x"29";
when "10" & x"936" => data <= x"0d";
when "10" & x"937" => data <= x"20";
when "10" & x"938" => data <= x"f5";
when "10" & x"939" => data <= x"a3";
when "10" & x"93a" => data <= x"a0";
when "10" & x"93b" => data <= x"f8";
when "10" & x"93c" => data <= x"20";
when "10" & x"93d" => data <= x"da";
when "10" & x"93e" => data <= x"a2";
when "10" & x"93f" => data <= x"a9";
when "10" & x"940" => data <= x"67";
when "10" & x"941" => data <= x"85";
when "10" & x"942" => data <= x"a0";
when "10" & x"943" => data <= x"a9";
when "10" & x"944" => data <= x"08";
when "10" & x"945" => data <= x"8d";
when "10" & x"946" => data <= x"29";
when "10" & x"947" => data <= x"0d";
when "10" & x"948" => data <= x"20";
when "10" & x"949" => data <= x"f5";
when "10" & x"94a" => data <= x"a3";
when "10" & x"94b" => data <= x"a0";
when "10" & x"94c" => data <= x"fa";
when "10" & x"94d" => data <= x"20";
when "10" & x"94e" => data <= x"da";
when "10" & x"94f" => data <= x"a2";
when "10" & x"950" => data <= x"ad";
when "10" & x"951" => data <= x"4f";
when "10" & x"952" => data <= x"0d";
when "10" & x"953" => data <= x"c9";
when "10" & x"954" => data <= x"02";
when "10" & x"955" => data <= x"f0";
when "10" & x"956" => data <= x"1e";
when "10" & x"957" => data <= x"18";
when "10" & x"958" => data <= x"ad";
when "10" & x"959" => data <= x"47";
when "10" & x"95a" => data <= x"0d";
when "10" & x"95b" => data <= x"69";
when "10" & x"95c" => data <= x"90";
when "10" & x"95d" => data <= x"8d";
when "10" & x"95e" => data <= x"47";
when "10" & x"95f" => data <= x"0d";
when "10" & x"960" => data <= x"ad";
when "10" & x"961" => data <= x"46";
when "10" & x"962" => data <= x"0d";
when "10" & x"963" => data <= x"69";
when "10" & x"964" => data <= x"01";
when "10" & x"965" => data <= x"8d";
when "10" & x"966" => data <= x"46";
when "10" & x"967" => data <= x"0d";
when "10" & x"968" => data <= x"90";
when "10" & x"969" => data <= x"08";
when "10" & x"96a" => data <= x"ee";
when "10" & x"96b" => data <= x"45";
when "10" & x"96c" => data <= x"0d";
when "10" & x"96d" => data <= x"f0";
when "10" & x"96e" => data <= x"03";
when "10" & x"96f" => data <= x"ee";
when "10" & x"970" => data <= x"44";
when "10" & x"971" => data <= x"0d";
when "10" & x"972" => data <= x"4c";
when "10" & x"973" => data <= x"8b";
when "10" & x"974" => data <= x"a9";
when "10" & x"975" => data <= x"18";
when "10" & x"976" => data <= x"ad";
when "10" & x"977" => data <= x"46";
when "10" & x"978" => data <= x"0d";
when "10" & x"979" => data <= x"69";
when "10" & x"97a" => data <= x"20";
when "10" & x"97b" => data <= x"8d";
when "10" & x"97c" => data <= x"46";
when "10" & x"97d" => data <= x"0d";
when "10" & x"97e" => data <= x"ad";
when "10" & x"97f" => data <= x"45";
when "10" & x"980" => data <= x"0d";
when "10" & x"981" => data <= x"69";
when "10" & x"982" => data <= x"03";
when "10" & x"983" => data <= x"8d";
when "10" & x"984" => data <= x"45";
when "10" & x"985" => data <= x"0d";
when "10" & x"986" => data <= x"90";
when "10" & x"987" => data <= x"03";
when "10" & x"988" => data <= x"ee";
when "10" & x"989" => data <= x"44";
when "10" & x"98a" => data <= x"0d";
when "10" & x"98b" => data <= x"4c";
when "10" & x"98c" => data <= x"80";
when "10" & x"98d" => data <= x"a1";
when "10" & x"98e" => data <= x"60";
when "10" & x"98f" => data <= x"a9";
when "10" & x"990" => data <= x"00";
when "10" & x"991" => data <= x"8d";
when "10" & x"992" => data <= x"50";
when "10" & x"993" => data <= x"0d";
when "10" & x"994" => data <= x"8d";
when "10" & x"995" => data <= x"51";
when "10" & x"996" => data <= x"0d";
when "10" & x"997" => data <= x"20";
when "10" & x"998" => data <= x"eb";
when "10" & x"999" => data <= x"a9";
when "10" & x"99a" => data <= x"a9";
when "10" & x"99b" => data <= x"7a";
when "10" & x"99c" => data <= x"20";
when "10" & x"99d" => data <= x"f4";
when "10" & x"99e" => data <= x"ff";
when "10" & x"99f" => data <= x"8a";
when "10" & x"9a0" => data <= x"30";
when "10" & x"9a1" => data <= x"0d";
when "10" & x"9a2" => data <= x"c9";
when "10" & x"9a3" => data <= x"51";
when "10" & x"9a4" => data <= x"f0";
when "10" & x"9a5" => data <= x"04";
when "10" & x"9a6" => data <= x"c9";
when "10" & x"9a7" => data <= x"42";
when "10" & x"9a8" => data <= x"d0";
when "10" & x"9a9" => data <= x"e4";
when "10" & x"9aa" => data <= x"a9";
when "10" & x"9ab" => data <= x"78";
when "10" & x"9ac" => data <= x"20";
when "10" & x"9ad" => data <= x"f4";
when "10" & x"9ae" => data <= x"ff";
when "10" & x"9af" => data <= x"8e";
when "10" & x"9b0" => data <= x"52";
when "10" & x"9b1" => data <= x"0d";
when "10" & x"9b2" => data <= x"4c";
when "10" & x"9b3" => data <= x"20";
when "10" & x"9b4" => data <= x"93";
when "10" & x"9b5" => data <= x"ae";
when "10" & x"9b6" => data <= x"4a";
when "10" & x"9b7" => data <= x"0d";
when "10" & x"9b8" => data <= x"18";
when "10" & x"9b9" => data <= x"a9";
when "10" & x"9ba" => data <= x"80";
when "10" & x"9bb" => data <= x"2a";
when "10" & x"9bc" => data <= x"2a";
when "10" & x"9bd" => data <= x"ca";
when "10" & x"9be" => data <= x"d0";
when "10" & x"9bf" => data <= x"fb";
when "10" & x"9c0" => data <= x"8d";
when "10" & x"9c1" => data <= x"4b";
when "10" & x"9c2" => data <= x"0d";
when "10" & x"9c3" => data <= x"0a";
when "10" & x"9c4" => data <= x"8d";
when "10" & x"9c5" => data <= x"4e";
when "10" & x"9c6" => data <= x"0d";
when "10" & x"9c7" => data <= x"aa";
when "10" & x"9c8" => data <= x"18";
when "10" & x"9c9" => data <= x"6d";
when "10" & x"9ca" => data <= x"4b";
when "10" & x"9cb" => data <= x"0d";
when "10" & x"9cc" => data <= x"8d";
when "10" & x"9cd" => data <= x"4c";
when "10" & x"9ce" => data <= x"0d";
when "10" & x"9cf" => data <= x"8a";
when "10" & x"9d0" => data <= x"49";
when "10" & x"9d1" => data <= x"ff";
when "10" & x"9d2" => data <= x"8d";
when "10" & x"9d3" => data <= x"4d";
when "10" & x"9d4" => data <= x"0d";
when "10" & x"9d5" => data <= x"60";
when "10" & x"9d6" => data <= x"ad";
when "10" & x"9d7" => data <= x"b2";
when "10" & x"9d8" => data <= x"fc";
when "10" & x"9d9" => data <= x"8d";
when "10" & x"9da" => data <= x"50";
when "10" & x"9db" => data <= x"0d";
when "10" & x"9dc" => data <= x"49";
when "10" & x"9dd" => data <= x"ff";
when "10" & x"9de" => data <= x"8d";
when "10" & x"9df" => data <= x"51";
when "10" & x"9e0" => data <= x"0d";
when "10" & x"9e1" => data <= x"ad";
when "10" & x"9e2" => data <= x"b0";
when "10" & x"9e3" => data <= x"fc";
when "10" & x"9e4" => data <= x"4d";
when "10" & x"9e5" => data <= x"51";
when "10" & x"9e6" => data <= x"0d";
when "10" & x"9e7" => data <= x"8d";
when "10" & x"9e8" => data <= x"51";
when "10" & x"9e9" => data <= x"0d";
when "10" & x"9ea" => data <= x"60";
when "10" & x"9eb" => data <= x"a9";
when "10" & x"9ec" => data <= x"00";
when "10" & x"9ed" => data <= x"8d";
when "10" & x"9ee" => data <= x"3f";
when "10" & x"9ef" => data <= x"0d";
when "10" & x"9f0" => data <= x"8d";
when "10" & x"9f1" => data <= x"4f";
when "10" & x"9f2" => data <= x"0d";
when "10" & x"9f3" => data <= x"a2";
when "10" & x"9f4" => data <= x"04";
when "10" & x"9f5" => data <= x"8e";
when "10" & x"9f6" => data <= x"4a";
when "10" & x"9f7" => data <= x"0d";
when "10" & x"9f8" => data <= x"20";
when "10" & x"9f9" => data <= x"b5";
when "10" & x"9fa" => data <= x"a9";
when "10" & x"9fb" => data <= x"20";
when "10" & x"9fc" => data <= x"43";
when "10" & x"9fd" => data <= x"a6";
when "10" & x"9fe" => data <= x"c9";
when "10" & x"9ff" => data <= x"ff";
when "10" & x"a00" => data <= x"f0";
when "10" & x"a01" => data <= x"1e";
when "10" & x"a02" => data <= x"ae";
when "10" & x"a03" => data <= x"4a";
when "10" & x"a04" => data <= x"0d";
when "10" & x"a05" => data <= x"ca";
when "10" & x"a06" => data <= x"d0";
when "10" & x"a07" => data <= x"ed";
when "10" & x"a08" => data <= x"8e";
when "10" & x"a09" => data <= x"4a";
when "10" & x"a0a" => data <= x"0d";
when "10" & x"a0b" => data <= x"8e";
when "10" & x"a0c" => data <= x"4f";
when "10" & x"a0d" => data <= x"0d";
when "10" & x"a0e" => data <= x"ad";
when "10" & x"a0f" => data <= x"3f";
when "10" & x"a10" => data <= x"0d";
when "10" & x"a11" => data <= x"d0";
when "10" & x"a12" => data <= x"0a";
when "10" & x"a13" => data <= x"a2";
when "10" & x"a14" => data <= x"08";
when "10" & x"a15" => data <= x"8e";
when "10" & x"a16" => data <= x"3f";
when "10" & x"a17" => data <= x"0d";
when "10" & x"a18" => data <= x"a2";
when "10" & x"a19" => data <= x"01";
when "10" & x"a1a" => data <= x"4c";
when "10" & x"a1b" => data <= x"f5";
when "10" & x"a1c" => data <= x"a9";
when "10" & x"a1d" => data <= x"20";
when "10" & x"a1e" => data <= x"07";
when "10" & x"a1f" => data <= x"a7";
when "10" & x"a20" => data <= x"60";
when "10" & x"a21" => data <= x"ad";
when "10" & x"a22" => data <= x"4f";
when "10" & x"a23" => data <= x"0d";
when "10" & x"a24" => data <= x"c9";
when "10" & x"a25" => data <= x"02";
when "10" & x"a26" => data <= x"d0";
when "10" & x"a27" => data <= x"20";
when "10" & x"a28" => data <= x"ad";
when "10" & x"a29" => data <= x"26";
when "10" & x"a2a" => data <= x"0d";
when "10" & x"a2b" => data <= x"18";
when "10" & x"a2c" => data <= x"ac";
when "10" & x"a2d" => data <= x"25";
when "10" & x"a2e" => data <= x"0d";
when "10" & x"a2f" => data <= x"ae";
when "10" & x"a30" => data <= x"24";
when "10" & x"a31" => data <= x"0d";
when "10" & x"a32" => data <= x"ad";
when "10" & x"a33" => data <= x"23";
when "10" & x"a34" => data <= x"0d";
when "10" & x"a35" => data <= x"0a";
when "10" & x"a36" => data <= x"8d";
when "10" & x"a37" => data <= x"24";
when "10" & x"a38" => data <= x"0d";
when "10" & x"a39" => data <= x"8a";
when "10" & x"a3a" => data <= x"2a";
when "10" & x"a3b" => data <= x"8d";
when "10" & x"a3c" => data <= x"25";
when "10" & x"a3d" => data <= x"0d";
when "10" & x"a3e" => data <= x"98";
when "10" & x"a3f" => data <= x"2a";
when "10" & x"a40" => data <= x"8d";
when "10" & x"a41" => data <= x"26";
when "10" & x"a42" => data <= x"0d";
when "10" & x"a43" => data <= x"a9";
when "10" & x"a44" => data <= x"00";
when "10" & x"a45" => data <= x"8d";
when "10" & x"a46" => data <= x"23";
when "10" & x"a47" => data <= x"0d";
when "10" & x"a48" => data <= x"ad";
when "10" & x"a49" => data <= x"23";
when "10" & x"a4a" => data <= x"0d";
when "10" & x"a4b" => data <= x"8d";
when "10" & x"a4c" => data <= x"47";
when "10" & x"a4d" => data <= x"0d";
when "10" & x"a4e" => data <= x"ad";
when "10" & x"a4f" => data <= x"24";
when "10" & x"a50" => data <= x"0d";
when "10" & x"a51" => data <= x"8d";
when "10" & x"a52" => data <= x"46";
when "10" & x"a53" => data <= x"0d";
when "10" & x"a54" => data <= x"ad";
when "10" & x"a55" => data <= x"25";
when "10" & x"a56" => data <= x"0d";
when "10" & x"a57" => data <= x"8d";
when "10" & x"a58" => data <= x"45";
when "10" & x"a59" => data <= x"0d";
when "10" & x"a5a" => data <= x"ad";
when "10" & x"a5b" => data <= x"26";
when "10" & x"a5c" => data <= x"0d";
when "10" & x"a5d" => data <= x"8d";
when "10" & x"a5e" => data <= x"44";
when "10" & x"a5f" => data <= x"0d";
when "10" & x"a60" => data <= x"60";
when "10" & x"a61" => data <= x"18";
when "10" & x"a62" => data <= x"a9";
when "10" & x"a63" => data <= x"01";
when "10" & x"a64" => data <= x"6d";
when "10" & x"a65" => data <= x"23";
when "10" & x"a66" => data <= x"0d";
when "10" & x"a67" => data <= x"8d";
when "10" & x"a68" => data <= x"23";
when "10" & x"a69" => data <= x"0d";
when "10" & x"a6a" => data <= x"ad";
when "10" & x"a6b" => data <= x"24";
when "10" & x"a6c" => data <= x"0d";
when "10" & x"a6d" => data <= x"69";
when "10" & x"a6e" => data <= x"00";
when "10" & x"a6f" => data <= x"8d";
when "10" & x"a70" => data <= x"24";
when "10" & x"a71" => data <= x"0d";
when "10" & x"a72" => data <= x"ad";
when "10" & x"a73" => data <= x"25";
when "10" & x"a74" => data <= x"0d";
when "10" & x"a75" => data <= x"69";
when "10" & x"a76" => data <= x"00";
when "10" & x"a77" => data <= x"8d";
when "10" & x"a78" => data <= x"25";
when "10" & x"a79" => data <= x"0d";
when "10" & x"a7a" => data <= x"ad";
when "10" & x"a7b" => data <= x"26";
when "10" & x"a7c" => data <= x"0d";
when "10" & x"a7d" => data <= x"69";
when "10" & x"a7e" => data <= x"00";
when "10" & x"a7f" => data <= x"8d";
when "10" & x"a80" => data <= x"26";
when "10" & x"a81" => data <= x"0d";
when "10" & x"a82" => data <= x"60";
when "10" & x"a83" => data <= x"ad";
when "10" & x"a84" => data <= x"4f";
when "10" & x"a85" => data <= x"0d";
when "10" & x"a86" => data <= x"c9";
when "10" & x"a87" => data <= x"02";
when "10" & x"a88" => data <= x"d0";
when "10" & x"a89" => data <= x"1c";
when "10" & x"a8a" => data <= x"ac";
when "10" & x"a8b" => data <= x"24";
when "10" & x"a8c" => data <= x"0d";
when "10" & x"a8d" => data <= x"ae";
when "10" & x"a8e" => data <= x"25";
when "10" & x"a8f" => data <= x"0d";
when "10" & x"a90" => data <= x"ad";
when "10" & x"a91" => data <= x"26";
when "10" & x"a92" => data <= x"0d";
when "10" & x"a93" => data <= x"4a";
when "10" & x"a94" => data <= x"8d";
when "10" & x"a95" => data <= x"25";
when "10" & x"a96" => data <= x"0d";
when "10" & x"a97" => data <= x"8a";
when "10" & x"a98" => data <= x"6a";
when "10" & x"a99" => data <= x"8d";
when "10" & x"a9a" => data <= x"24";
when "10" & x"a9b" => data <= x"0d";
when "10" & x"a9c" => data <= x"98";
when "10" & x"a9d" => data <= x"6a";
when "10" & x"a9e" => data <= x"8d";
when "10" & x"a9f" => data <= x"23";
when "10" & x"aa0" => data <= x"0d";
when "10" & x"aa1" => data <= x"a9";
when "10" & x"aa2" => data <= x"00";
when "10" & x"aa3" => data <= x"8d";
when "10" & x"aa4" => data <= x"26";
when "10" & x"aa5" => data <= x"0d";
when "10" & x"aa6" => data <= x"60";
when "10" & x"aa7" => data <= x"ad";
when "10" & x"aa8" => data <= x"05";
when "10" & x"aa9" => data <= x"0d";
when "10" & x"aaa" => data <= x"c9";
when "10" & x"aab" => data <= x"54";
when "10" & x"aac" => data <= x"d0";
when "10" & x"aad" => data <= x"15";
when "10" & x"aae" => data <= x"ad";
when "10" & x"aaf" => data <= x"06";
when "10" & x"ab0" => data <= x"0d";
when "10" & x"ab1" => data <= x"4d";
when "10" & x"ab2" => data <= x"0a";
when "10" & x"ab3" => data <= x"0d";
when "10" & x"ab4" => data <= x"c9";
when "10" & x"ab5" => data <= x"ff";
when "10" & x"ab6" => data <= x"d0";
when "10" & x"ab7" => data <= x"0b";
when "10" & x"ab8" => data <= x"ad";
when "10" & x"ab9" => data <= x"07";
when "10" & x"aba" => data <= x"0d";
when "10" & x"abb" => data <= x"4d";
when "10" & x"abc" => data <= x"0b";
when "10" & x"abd" => data <= x"0d";
when "10" & x"abe" => data <= x"c9";
when "10" & x"abf" => data <= x"ff";
when "10" & x"ac0" => data <= x"d0";
when "10" & x"ac1" => data <= x"01";
when "10" & x"ac2" => data <= x"60";
when "10" & x"ac3" => data <= x"a2";
when "10" & x"ac4" => data <= x"0b";
when "10" & x"ac5" => data <= x"bd";
when "10" & x"ac6" => data <= x"22";
when "10" & x"ac7" => data <= x"0d";
when "10" & x"ac8" => data <= x"48";
when "10" & x"ac9" => data <= x"ca";
when "10" & x"aca" => data <= x"d0";
when "10" & x"acb" => data <= x"f9";
when "10" & x"acc" => data <= x"a9";
when "10" & x"acd" => data <= x"00";
when "10" & x"ace" => data <= x"8d";
when "10" & x"acf" => data <= x"23";
when "10" & x"ad0" => data <= x"0d";
when "10" & x"ad1" => data <= x"8d";
when "10" & x"ad2" => data <= x"24";
when "10" & x"ad3" => data <= x"0d";
when "10" & x"ad4" => data <= x"8d";
when "10" & x"ad5" => data <= x"25";
when "10" & x"ad6" => data <= x"0d";
when "10" & x"ad7" => data <= x"8d";
when "10" & x"ad8" => data <= x"26";
when "10" & x"ad9" => data <= x"0d";
when "10" & x"ada" => data <= x"20";
when "10" & x"adb" => data <= x"99";
when "10" & x"adc" => data <= x"a7";
when "10" & x"add" => data <= x"a9";
when "10" & x"ade" => data <= x"dd";
when "10" & x"adf" => data <= x"8d";
when "10" & x"ae0" => data <= x"82";
when "10" & x"ae1" => data <= x"10";
when "10" & x"ae2" => data <= x"20";
when "10" & x"ae3" => data <= x"00";
when "10" & x"ae4" => data <= x"ab";
when "10" & x"ae5" => data <= x"f0";
when "10" & x"ae6" => data <= x"29";
when "10" & x"ae7" => data <= x"a9";
when "10" & x"ae8" => data <= x"00";
when "10" & x"ae9" => data <= x"8d";
when "10" & x"aea" => data <= x"06";
when "10" & x"aeb" => data <= x"0d";
when "10" & x"aec" => data <= x"8d";
when "10" & x"aed" => data <= x"07";
when "10" & x"aee" => data <= x"0d";
when "10" & x"aef" => data <= x"8d";
when "10" & x"af0" => data <= x"08";
when "10" & x"af1" => data <= x"0d";
when "10" & x"af2" => data <= x"8d";
when "10" & x"af3" => data <= x"09";
when "10" & x"af4" => data <= x"0d";
when "10" & x"af5" => data <= x"a9";
when "10" & x"af6" => data <= x"ff";
when "10" & x"af7" => data <= x"8d";
when "10" & x"af8" => data <= x"0a";
when "10" & x"af9" => data <= x"0d";
when "10" & x"afa" => data <= x"8d";
when "10" & x"afb" => data <= x"0b";
when "10" & x"afc" => data <= x"0d";
when "10" & x"afd" => data <= x"4c";
when "10" & x"afe" => data <= x"e0";
when "10" & x"aff" => data <= x"ac";
when "10" & x"b00" => data <= x"ad";
when "10" & x"b01" => data <= x"fe";
when "10" & x"b02" => data <= x"0f";
when "10" & x"b03" => data <= x"c9";
when "10" & x"b04" => data <= x"55";
when "10" & x"b05" => data <= x"d0";
when "10" & x"b06" => data <= x"05";
when "10" & x"b07" => data <= x"ad";
when "10" & x"b08" => data <= x"ff";
when "10" & x"b09" => data <= x"0f";
when "10" & x"b0a" => data <= x"c9";
when "10" & x"b0b" => data <= x"aa";
when "10" & x"b0c" => data <= x"60";
when "10" & x"b0d" => data <= x"4c";
when "10" & x"b0e" => data <= x"f1";
when "10" & x"b0f" => data <= x"ac";
when "10" & x"b10" => data <= x"ad";
when "10" & x"b11" => data <= x"00";
when "10" & x"b12" => data <= x"0e";
when "10" & x"b13" => data <= x"c9";
when "10" & x"b14" => data <= x"eb";
when "10" & x"b15" => data <= x"d0";
when "10" & x"b16" => data <= x"0e";
when "10" & x"b17" => data <= x"ad";
when "10" & x"b18" => data <= x"02";
when "10" & x"b19" => data <= x"0e";
when "10" & x"b1a" => data <= x"c9";
when "10" & x"b1b" => data <= x"90";
when "10" & x"b1c" => data <= x"d0";
when "10" & x"b1d" => data <= x"07";
when "10" & x"b1e" => data <= x"ad";
when "10" & x"b1f" => data <= x"0c";
when "10" & x"b20" => data <= x"0e";
when "10" & x"b21" => data <= x"c9";
when "10" & x"b22" => data <= x"02";
when "10" & x"b23" => data <= x"f0";
when "10" & x"b24" => data <= x"26";
when "10" & x"b25" => data <= x"ad";
when "10" & x"b26" => data <= x"c6";
when "10" & x"b27" => data <= x"0f";
when "10" & x"b28" => data <= x"8d";
when "10" & x"b29" => data <= x"23";
when "10" & x"b2a" => data <= x"0d";
when "10" & x"b2b" => data <= x"ad";
when "10" & x"b2c" => data <= x"c7";
when "10" & x"b2d" => data <= x"0f";
when "10" & x"b2e" => data <= x"8d";
when "10" & x"b2f" => data <= x"24";
when "10" & x"b30" => data <= x"0d";
when "10" & x"b31" => data <= x"ad";
when "10" & x"b32" => data <= x"c8";
when "10" & x"b33" => data <= x"0f";
when "10" & x"b34" => data <= x"8d";
when "10" & x"b35" => data <= x"25";
when "10" & x"b36" => data <= x"0d";
when "10" & x"b37" => data <= x"ad";
when "10" & x"b38" => data <= x"c9";
when "10" & x"b39" => data <= x"0f";
when "10" & x"b3a" => data <= x"8d";
when "10" & x"b3b" => data <= x"26";
when "10" & x"b3c" => data <= x"0d";
when "10" & x"b3d" => data <= x"20";
when "10" & x"b3e" => data <= x"0a";
when "10" & x"b3f" => data <= x"ad";
when "10" & x"b40" => data <= x"20";
when "10" & x"b41" => data <= x"99";
when "10" & x"b42" => data <= x"a7";
when "10" & x"b43" => data <= x"20";
when "10" & x"b44" => data <= x"23";
when "10" & x"b45" => data <= x"ad";
when "10" & x"b46" => data <= x"20";
when "10" & x"b47" => data <= x"00";
when "10" & x"b48" => data <= x"ab";
when "10" & x"b49" => data <= x"d0";
when "10" & x"b4a" => data <= x"c2";
when "10" & x"b4b" => data <= x"ad";
when "10" & x"b4c" => data <= x"0b";
when "10" & x"b4d" => data <= x"0e";
when "10" & x"b4e" => data <= x"d0";
when "10" & x"b4f" => data <= x"bd";
when "10" & x"b50" => data <= x"ad";
when "10" & x"b51" => data <= x"0c";
when "10" & x"b52" => data <= x"0e";
when "10" & x"b53" => data <= x"c9";
when "10" & x"b54" => data <= x"02";
when "10" & x"b55" => data <= x"d0";
when "10" & x"b56" => data <= x"b6";
when "10" & x"b57" => data <= x"a2";
when "10" & x"b58" => data <= x"05";
when "10" & x"b59" => data <= x"0e";
when "10" & x"b5a" => data <= x"11";
when "10" & x"b5b" => data <= x"0e";
when "10" & x"b5c" => data <= x"2e";
when "10" & x"b5d" => data <= x"12";
when "10" & x"b5e" => data <= x"0e";
when "10" & x"b5f" => data <= x"ca";
when "10" & x"b60" => data <= x"d0";
when "10" & x"b61" => data <= x"f7";
when "10" & x"b62" => data <= x"4e";
when "10" & x"b63" => data <= x"12";
when "10" & x"b64" => data <= x"0e";
when "10" & x"b65" => data <= x"ad";
when "10" & x"b66" => data <= x"12";
when "10" & x"b67" => data <= x"0e";
when "10" & x"b68" => data <= x"8d";
when "10" & x"b69" => data <= x"39";
when "10" & x"b6a" => data <= x"0d";
when "10" & x"b6b" => data <= x"ad";
when "10" & x"b6c" => data <= x"0d";
when "10" & x"b6d" => data <= x"0e";
when "10" & x"b6e" => data <= x"8d";
when "10" & x"b6f" => data <= x"29";
when "10" & x"b70" => data <= x"0d";
when "10" & x"b71" => data <= x"18";
when "10" & x"b72" => data <= x"ad";
when "10" & x"b73" => data <= x"0e";
when "10" & x"b74" => data <= x"0e";
when "10" & x"b75" => data <= x"6d";
when "10" & x"b76" => data <= x"23";
when "10" & x"b77" => data <= x"0d";
when "10" & x"b78" => data <= x"8d";
when "10" & x"b79" => data <= x"23";
when "10" & x"b7a" => data <= x"0d";
when "10" & x"b7b" => data <= x"ad";
when "10" & x"b7c" => data <= x"0f";
when "10" & x"b7d" => data <= x"0e";
when "10" & x"b7e" => data <= x"6d";
when "10" & x"b7f" => data <= x"24";
when "10" & x"b80" => data <= x"0d";
when "10" & x"b81" => data <= x"8d";
when "10" & x"b82" => data <= x"24";
when "10" & x"b83" => data <= x"0d";
when "10" & x"b84" => data <= x"a9";
when "10" & x"b85" => data <= x"00";
when "10" & x"b86" => data <= x"6d";
when "10" & x"b87" => data <= x"25";
when "10" & x"b88" => data <= x"0d";
when "10" & x"b89" => data <= x"8d";
when "10" & x"b8a" => data <= x"25";
when "10" & x"b8b" => data <= x"0d";
when "10" & x"b8c" => data <= x"a9";
when "10" & x"b8d" => data <= x"00";
when "10" & x"b8e" => data <= x"6d";
when "10" & x"b8f" => data <= x"26";
when "10" & x"b90" => data <= x"0d";
when "10" & x"b91" => data <= x"8d";
when "10" & x"b92" => data <= x"26";
when "10" & x"b93" => data <= x"0d";
when "10" & x"b94" => data <= x"90";
when "10" & x"b95" => data <= x"03";
when "10" & x"b96" => data <= x"4c";
when "10" & x"b97" => data <= x"f1";
when "10" & x"b98" => data <= x"ac";
when "10" & x"b99" => data <= x"ad";
when "10" & x"b9a" => data <= x"11";
when "10" & x"b9b" => data <= x"0e";
when "10" & x"b9c" => data <= x"0d";
when "10" & x"b9d" => data <= x"12";
when "10" & x"b9e" => data <= x"0e";
when "10" & x"b9f" => data <= x"8d";
when "10" & x"ba0" => data <= x"22";
when "10" & x"ba1" => data <= x"0d";
when "10" & x"ba2" => data <= x"f0";
when "10" & x"ba3" => data <= x"14";
when "10" & x"ba4" => data <= x"a9";
when "10" & x"ba5" => data <= x"00";
when "10" & x"ba6" => data <= x"8d";
when "10" & x"ba7" => data <= x"26";
when "10" & x"ba8" => data <= x"0e";
when "10" & x"ba9" => data <= x"8d";
when "10" & x"baa" => data <= x"27";
when "10" & x"bab" => data <= x"0e";
when "10" & x"bac" => data <= x"ad";
when "10" & x"bad" => data <= x"16";
when "10" & x"bae" => data <= x"0e";
when "10" & x"baf" => data <= x"8d";
when "10" & x"bb0" => data <= x"24";
when "10" & x"bb1" => data <= x"0e";
when "10" & x"bb2" => data <= x"ad";
when "10" & x"bb3" => data <= x"17";
when "10" & x"bb4" => data <= x"0e";
when "10" & x"bb5" => data <= x"8d";
when "10" & x"bb6" => data <= x"25";
when "10" & x"bb7" => data <= x"0e";
when "10" & x"bb8" => data <= x"ae";
when "10" & x"bb9" => data <= x"10";
when "10" & x"bba" => data <= x"0e";
when "10" & x"bbb" => data <= x"18";
when "10" & x"bbc" => data <= x"ad";
when "10" & x"bbd" => data <= x"23";
when "10" & x"bbe" => data <= x"0d";
when "10" & x"bbf" => data <= x"6d";
when "10" & x"bc0" => data <= x"24";
when "10" & x"bc1" => data <= x"0e";
when "10" & x"bc2" => data <= x"8d";
when "10" & x"bc3" => data <= x"23";
when "10" & x"bc4" => data <= x"0d";
when "10" & x"bc5" => data <= x"ad";
when "10" & x"bc6" => data <= x"24";
when "10" & x"bc7" => data <= x"0d";
when "10" & x"bc8" => data <= x"6d";
when "10" & x"bc9" => data <= x"25";
when "10" & x"bca" => data <= x"0e";
when "10" & x"bcb" => data <= x"8d";
when "10" & x"bcc" => data <= x"24";
when "10" & x"bcd" => data <= x"0d";
when "10" & x"bce" => data <= x"ad";
when "10" & x"bcf" => data <= x"25";
when "10" & x"bd0" => data <= x"0d";
when "10" & x"bd1" => data <= x"6d";
when "10" & x"bd2" => data <= x"26";
when "10" & x"bd3" => data <= x"0e";
when "10" & x"bd4" => data <= x"8d";
when "10" & x"bd5" => data <= x"25";
when "10" & x"bd6" => data <= x"0d";
when "10" & x"bd7" => data <= x"ad";
when "10" & x"bd8" => data <= x"26";
when "10" & x"bd9" => data <= x"0d";
when "10" & x"bda" => data <= x"6d";
when "10" & x"bdb" => data <= x"27";
when "10" & x"bdc" => data <= x"0e";
when "10" & x"bdd" => data <= x"8d";
when "10" & x"bde" => data <= x"26";
when "10" & x"bdf" => data <= x"0d";
when "10" & x"be0" => data <= x"90";
when "10" & x"be1" => data <= x"03";
when "10" & x"be2" => data <= x"4c";
when "10" & x"be3" => data <= x"0d";
when "10" & x"be4" => data <= x"ab";
when "10" & x"be5" => data <= x"ca";
when "10" & x"be6" => data <= x"d0";
when "10" & x"be7" => data <= x"d3";
when "10" & x"be8" => data <= x"a9";
when "10" & x"be9" => data <= x"20";
when "10" & x"bea" => data <= x"8d";
when "10" & x"beb" => data <= x"21";
when "10" & x"bec" => data <= x"0d";
when "10" & x"bed" => data <= x"20";
when "10" & x"bee" => data <= x"0a";
when "10" & x"bef" => data <= x"ad";
when "10" & x"bf0" => data <= x"20";
when "10" & x"bf1" => data <= x"99";
when "10" & x"bf2" => data <= x"a7";
when "10" & x"bf3" => data <= x"20";
when "10" & x"bf4" => data <= x"23";
when "10" & x"bf5" => data <= x"ad";
when "10" & x"bf6" => data <= x"a9";
when "10" & x"bf7" => data <= x"00";
when "10" & x"bf8" => data <= x"85";
when "10" & x"bf9" => data <= x"a2";
when "10" & x"bfa" => data <= x"a9";
when "10" & x"bfb" => data <= x"0e";
when "10" & x"bfc" => data <= x"85";
when "10" & x"bfd" => data <= x"a3";
when "10" & x"bfe" => data <= x"a0";
when "10" & x"bff" => data <= x"0b";
when "10" & x"c00" => data <= x"b1";
when "10" & x"c01" => data <= x"a2";
when "10" & x"c02" => data <= x"29";
when "10" & x"c03" => data <= x"0f";
when "10" & x"c04" => data <= x"d0";
when "10" & x"c05" => data <= x"10";
when "10" & x"c06" => data <= x"a0";
when "10" & x"c07" => data <= x"00";
when "10" & x"c08" => data <= x"b1";
when "10" & x"c09" => data <= x"a2";
when "10" & x"c0a" => data <= x"d9";
when "10" & x"c0b" => data <= x"70";
when "10" & x"c0c" => data <= x"0d";
when "10" & x"c0d" => data <= x"d0";
when "10" & x"c0e" => data <= x"07";
when "10" & x"c0f" => data <= x"c8";
when "10" & x"c10" => data <= x"c0";
when "10" & x"c11" => data <= x"0b";
when "10" & x"c12" => data <= x"f0";
when "10" & x"c13" => data <= x"38";
when "10" & x"c14" => data <= x"d0";
when "10" & x"c15" => data <= x"f2";
when "10" & x"c16" => data <= x"18";
when "10" & x"c17" => data <= x"a5";
when "10" & x"c18" => data <= x"a2";
when "10" & x"c19" => data <= x"69";
when "10" & x"c1a" => data <= x"20";
when "10" & x"c1b" => data <= x"85";
when "10" & x"c1c" => data <= x"a2";
when "10" & x"c1d" => data <= x"d0";
when "10" & x"c1e" => data <= x"df";
when "10" & x"c1f" => data <= x"e6";
when "10" & x"c20" => data <= x"a3";
when "10" & x"c21" => data <= x"a5";
when "10" & x"c22" => data <= x"a3";
when "10" & x"c23" => data <= x"c9";
when "10" & x"c24" => data <= x"0f";
when "10" & x"c25" => data <= x"f0";
when "10" & x"c26" => data <= x"d7";
when "10" & x"c27" => data <= x"ce";
when "10" & x"c28" => data <= x"21";
when "10" & x"c29" => data <= x"0d";
when "10" & x"c2a" => data <= x"ad";
when "10" & x"c2b" => data <= x"21";
when "10" & x"c2c" => data <= x"0d";
when "10" & x"c2d" => data <= x"f0";
when "10" & x"c2e" => data <= x"08";
when "10" & x"c2f" => data <= x"a9";
when "10" & x"c30" => data <= x"01";
when "10" & x"c31" => data <= x"20";
when "10" & x"c32" => data <= x"3c";
when "10" & x"c33" => data <= x"ad";
when "10" & x"c34" => data <= x"4c";
when "10" & x"c35" => data <= x"ed";
when "10" & x"c36" => data <= x"ab";
when "10" & x"c37" => data <= x"20";
when "10" & x"c38" => data <= x"00";
when "10" & x"c39" => data <= x"a0";
when "10" & x"c3a" => data <= x"ff";
when "10" & x"c3b" => data <= x"49";
when "10" & x"c3c" => data <= x"6d";
when "10" & x"c3d" => data <= x"61";
when "10" & x"c3e" => data <= x"67";
when "10" & x"c3f" => data <= x"65";
when "10" & x"c40" => data <= x"20";
when "10" & x"c41" => data <= x"6e";
when "10" & x"c42" => data <= x"6f";
when "10" & x"c43" => data <= x"74";
when "10" & x"c44" => data <= x"20";
when "10" & x"c45" => data <= x"66";
when "10" & x"c46" => data <= x"6f";
when "10" & x"c47" => data <= x"75";
when "10" & x"c48" => data <= x"6e";
when "10" & x"c49" => data <= x"64";
when "10" & x"c4a" => data <= x"21";
when "10" & x"c4b" => data <= x"00";
when "10" & x"c4c" => data <= x"a0";
when "10" & x"c4d" => data <= x"14";
when "10" & x"c4e" => data <= x"b1";
when "10" & x"c4f" => data <= x"a2";
when "10" & x"c50" => data <= x"8d";
when "10" & x"c51" => data <= x"2c";
when "10" & x"c52" => data <= x"0d";
when "10" & x"c53" => data <= x"c8";
when "10" & x"c54" => data <= x"b1";
when "10" & x"c55" => data <= x"a2";
when "10" & x"c56" => data <= x"8d";
when "10" & x"c57" => data <= x"2d";
when "10" & x"c58" => data <= x"0d";
when "10" & x"c59" => data <= x"a0";
when "10" & x"c5a" => data <= x"1b";
when "10" & x"c5b" => data <= x"b1";
when "10" & x"c5c" => data <= x"a2";
when "10" & x"c5d" => data <= x"48";
when "10" & x"c5e" => data <= x"88";
when "10" & x"c5f" => data <= x"b1";
when "10" & x"c60" => data <= x"a2";
when "10" & x"c61" => data <= x"38";
when "10" & x"c62" => data <= x"e9";
when "10" & x"c63" => data <= x"02";
when "10" & x"c64" => data <= x"8d";
when "10" & x"c65" => data <= x"2a";
when "10" & x"c66" => data <= x"0d";
when "10" & x"c67" => data <= x"68";
when "10" & x"c68" => data <= x"e9";
when "10" & x"c69" => data <= x"00";
when "10" & x"c6a" => data <= x"8d";
when "10" & x"c6b" => data <= x"2b";
when "10" & x"c6c" => data <= x"0d";
when "10" & x"c6d" => data <= x"ad";
when "10" & x"c6e" => data <= x"2c";
when "10" & x"c6f" => data <= x"0d";
when "10" & x"c70" => data <= x"e9";
when "10" & x"c71" => data <= x"00";
when "10" & x"c72" => data <= x"8d";
when "10" & x"c73" => data <= x"2c";
when "10" & x"c74" => data <= x"0d";
when "10" & x"c75" => data <= x"ad";
when "10" & x"c76" => data <= x"2d";
when "10" & x"c77" => data <= x"0d";
when "10" & x"c78" => data <= x"e9";
when "10" & x"c79" => data <= x"00";
when "10" & x"c7a" => data <= x"8d";
when "10" & x"c7b" => data <= x"2d";
when "10" & x"c7c" => data <= x"0d";
when "10" & x"c7d" => data <= x"0d";
when "10" & x"c7e" => data <= x"2c";
when "10" & x"c7f" => data <= x"0d";
when "10" & x"c80" => data <= x"0d";
when "10" & x"c81" => data <= x"2b";
when "10" & x"c82" => data <= x"0d";
when "10" & x"c83" => data <= x"0d";
when "10" & x"c84" => data <= x"2a";
when "10" & x"c85" => data <= x"0d";
when "10" & x"c86" => data <= x"f0";
when "10" & x"c87" => data <= x"2b";
when "10" & x"c88" => data <= x"ae";
when "10" & x"c89" => data <= x"29";
when "10" & x"c8a" => data <= x"0d";
when "10" & x"c8b" => data <= x"18";
when "10" & x"c8c" => data <= x"ad";
when "10" & x"c8d" => data <= x"23";
when "10" & x"c8e" => data <= x"0d";
when "10" & x"c8f" => data <= x"6d";
when "10" & x"c90" => data <= x"2a";
when "10" & x"c91" => data <= x"0d";
when "10" & x"c92" => data <= x"8d";
when "10" & x"c93" => data <= x"23";
when "10" & x"c94" => data <= x"0d";
when "10" & x"c95" => data <= x"ad";
when "10" & x"c96" => data <= x"24";
when "10" & x"c97" => data <= x"0d";
when "10" & x"c98" => data <= x"6d";
when "10" & x"c99" => data <= x"2b";
when "10" & x"c9a" => data <= x"0d";
when "10" & x"c9b" => data <= x"8d";
when "10" & x"c9c" => data <= x"24";
when "10" & x"c9d" => data <= x"0d";
when "10" & x"c9e" => data <= x"ad";
when "10" & x"c9f" => data <= x"25";
when "10" & x"ca0" => data <= x"0d";
when "10" & x"ca1" => data <= x"6d";
when "10" & x"ca2" => data <= x"2c";
when "10" & x"ca3" => data <= x"0d";
when "10" & x"ca4" => data <= x"8d";
when "10" & x"ca5" => data <= x"25";
when "10" & x"ca6" => data <= x"0d";
when "10" & x"ca7" => data <= x"ad";
when "10" & x"ca8" => data <= x"26";
when "10" & x"ca9" => data <= x"0d";
when "10" & x"caa" => data <= x"6d";
when "10" & x"cab" => data <= x"2d";
when "10" & x"cac" => data <= x"0d";
when "10" & x"cad" => data <= x"8d";
when "10" & x"cae" => data <= x"26";
when "10" & x"caf" => data <= x"0d";
when "10" & x"cb0" => data <= x"ca";
when "10" & x"cb1" => data <= x"d0";
when "10" & x"cb2" => data <= x"d8";
when "10" & x"cb3" => data <= x"ad";
when "10" & x"cb4" => data <= x"22";
when "10" & x"cb5" => data <= x"0d";
when "10" & x"cb6" => data <= x"f0";
when "10" & x"cb7" => data <= x"06";
when "10" & x"cb8" => data <= x"ad";
when "10" & x"cb9" => data <= x"39";
when "10" & x"cba" => data <= x"0d";
when "10" & x"cbb" => data <= x"20";
when "10" & x"cbc" => data <= x"3c";
when "10" & x"cbd" => data <= x"ad";
when "10" & x"cbe" => data <= x"ad";
when "10" & x"cbf" => data <= x"23";
when "10" & x"cc0" => data <= x"0d";
when "10" & x"cc1" => data <= x"8d";
when "10" & x"cc2" => data <= x"06";
when "10" & x"cc3" => data <= x"0d";
when "10" & x"cc4" => data <= x"49";
when "10" & x"cc5" => data <= x"ff";
when "10" & x"cc6" => data <= x"8d";
when "10" & x"cc7" => data <= x"0a";
when "10" & x"cc8" => data <= x"0d";
when "10" & x"cc9" => data <= x"ad";
when "10" & x"cca" => data <= x"24";
when "10" & x"ccb" => data <= x"0d";
when "10" & x"ccc" => data <= x"8d";
when "10" & x"ccd" => data <= x"07";
when "10" & x"cce" => data <= x"0d";
when "10" & x"ccf" => data <= x"49";
when "10" & x"cd0" => data <= x"ff";
when "10" & x"cd1" => data <= x"8d";
when "10" & x"cd2" => data <= x"0b";
when "10" & x"cd3" => data <= x"0d";
when "10" & x"cd4" => data <= x"ad";
when "10" & x"cd5" => data <= x"25";
when "10" & x"cd6" => data <= x"0d";
when "10" & x"cd7" => data <= x"8d";
when "10" & x"cd8" => data <= x"08";
when "10" & x"cd9" => data <= x"0d";
when "10" & x"cda" => data <= x"ad";
when "10" & x"cdb" => data <= x"26";
when "10" & x"cdc" => data <= x"0d";
when "10" & x"cdd" => data <= x"8d";
when "10" & x"cde" => data <= x"09";
when "10" & x"cdf" => data <= x"0d";
when "10" & x"ce0" => data <= x"a9";
when "10" & x"ce1" => data <= x"54";
when "10" & x"ce2" => data <= x"8d";
when "10" & x"ce3" => data <= x"05";
when "10" & x"ce4" => data <= x"0d";
when "10" & x"ce5" => data <= x"a2";
when "10" & x"ce6" => data <= x"00";
when "10" & x"ce7" => data <= x"68";
when "10" & x"ce8" => data <= x"9d";
when "10" & x"ce9" => data <= x"23";
when "10" & x"cea" => data <= x"0d";
when "10" & x"ceb" => data <= x"e8";
when "10" & x"cec" => data <= x"e0";
when "10" & x"ced" => data <= x"0b";
when "10" & x"cee" => data <= x"d0";
when "10" & x"cef" => data <= x"f7";
when "10" & x"cf0" => data <= x"60";
when "10" & x"cf1" => data <= x"20";
when "10" & x"cf2" => data <= x"00";
when "10" & x"cf3" => data <= x"a0";
when "10" & x"cf4" => data <= x"ff";
when "10" & x"cf5" => data <= x"55";
when "10" & x"cf6" => data <= x"6e";
when "10" & x"cf7" => data <= x"72";
when "10" & x"cf8" => data <= x"65";
when "10" & x"cf9" => data <= x"63";
when "10" & x"cfa" => data <= x"6f";
when "10" & x"cfb" => data <= x"67";
when "10" & x"cfc" => data <= x"6e";
when "10" & x"cfd" => data <= x"69";
when "10" & x"cfe" => data <= x"73";
when "10" & x"cff" => data <= x"65";
when "10" & x"d00" => data <= x"64";
when "10" & x"d01" => data <= x"20";
when "10" & x"d02" => data <= x"66";
when "10" & x"d03" => data <= x"6f";
when "10" & x"d04" => data <= x"72";
when "10" & x"d05" => data <= x"6d";
when "10" & x"d06" => data <= x"61";
when "10" & x"d07" => data <= x"74";
when "10" & x"d08" => data <= x"21";
when "10" & x"d09" => data <= x"00";
when "10" & x"d0a" => data <= x"ad";
when "10" & x"d0b" => data <= x"23";
when "10" & x"d0c" => data <= x"0d";
when "10" & x"d0d" => data <= x"8d";
when "10" & x"d0e" => data <= x"06";
when "10" & x"d0f" => data <= x"0d";
when "10" & x"d10" => data <= x"ad";
when "10" & x"d11" => data <= x"24";
when "10" & x"d12" => data <= x"0d";
when "10" & x"d13" => data <= x"8d";
when "10" & x"d14" => data <= x"07";
when "10" & x"d15" => data <= x"0d";
when "10" & x"d16" => data <= x"ad";
when "10" & x"d17" => data <= x"25";
when "10" & x"d18" => data <= x"0d";
when "10" & x"d19" => data <= x"8d";
when "10" & x"d1a" => data <= x"08";
when "10" & x"d1b" => data <= x"0d";
when "10" & x"d1c" => data <= x"ad";
when "10" & x"d1d" => data <= x"26";
when "10" & x"d1e" => data <= x"0d";
when "10" & x"d1f" => data <= x"8d";
when "10" & x"d20" => data <= x"09";
when "10" & x"d21" => data <= x"0d";
when "10" & x"d22" => data <= x"60";
when "10" & x"d23" => data <= x"ad";
when "10" & x"d24" => data <= x"06";
when "10" & x"d25" => data <= x"0d";
when "10" & x"d26" => data <= x"8d";
when "10" & x"d27" => data <= x"23";
when "10" & x"d28" => data <= x"0d";
when "10" & x"d29" => data <= x"ad";
when "10" & x"d2a" => data <= x"07";
when "10" & x"d2b" => data <= x"0d";
when "10" & x"d2c" => data <= x"8d";
when "10" & x"d2d" => data <= x"24";
when "10" & x"d2e" => data <= x"0d";
when "10" & x"d2f" => data <= x"ad";
when "10" & x"d30" => data <= x"08";
when "10" & x"d31" => data <= x"0d";
when "10" & x"d32" => data <= x"8d";
when "10" & x"d33" => data <= x"25";
when "10" & x"d34" => data <= x"0d";
when "10" & x"d35" => data <= x"ad";
when "10" & x"d36" => data <= x"09";
when "10" & x"d37" => data <= x"0d";
when "10" & x"d38" => data <= x"8d";
when "10" & x"d39" => data <= x"26";
when "10" & x"d3a" => data <= x"0d";
when "10" & x"d3b" => data <= x"60";
when "10" & x"d3c" => data <= x"18";
when "10" & x"d3d" => data <= x"6d";
when "10" & x"d3e" => data <= x"23";
when "10" & x"d3f" => data <= x"0d";
when "10" & x"d40" => data <= x"8d";
when "10" & x"d41" => data <= x"23";
when "10" & x"d42" => data <= x"0d";
when "10" & x"d43" => data <= x"ad";
when "10" & x"d44" => data <= x"24";
when "10" & x"d45" => data <= x"0d";
when "10" & x"d46" => data <= x"69";
when "10" & x"d47" => data <= x"00";
when "10" & x"d48" => data <= x"8d";
when "10" & x"d49" => data <= x"24";
when "10" & x"d4a" => data <= x"0d";
when "10" & x"d4b" => data <= x"ad";
when "10" & x"d4c" => data <= x"25";
when "10" & x"d4d" => data <= x"0d";
when "10" & x"d4e" => data <= x"69";
when "10" & x"d4f" => data <= x"00";
when "10" & x"d50" => data <= x"8d";
when "10" & x"d51" => data <= x"25";
when "10" & x"d52" => data <= x"0d";
when "10" & x"d53" => data <= x"ad";
when "10" & x"d54" => data <= x"26";
when "10" & x"d55" => data <= x"0d";
when "10" & x"d56" => data <= x"69";
when "10" & x"d57" => data <= x"00";
when "10" & x"d58" => data <= x"8d";
when "10" & x"d59" => data <= x"26";
when "10" & x"d5a" => data <= x"0d";
when "10" & x"d5b" => data <= x"90";
when "10" & x"d5c" => data <= x"03";
when "10" & x"d5d" => data <= x"4c";
when "10" & x"d5e" => data <= x"f1";
when "10" & x"d5f" => data <= x"ac";
when "10" & x"d60" => data <= x"60";
when "10" & x"d61" => data <= x"42";
when "10" & x"d62" => data <= x"45";
when "10" & x"d63" => data <= x"45";
when "10" & x"d64" => data <= x"42";
when "10" & x"d65" => data <= x"20";
when "10" & x"d66" => data <= x"20";
when "10" & x"d67" => data <= x"20";
when "10" & x"d68" => data <= x"20";
when "10" & x"d69" => data <= x"4d";
when "10" & x"d6a" => data <= x"4d";
when "10" & x"d6b" => data <= x"42";
when "10" & x"d6c" => data <= x"08";
when "10" & x"d6d" => data <= x"aa";
when "10" & x"d6e" => data <= x"20";
when "10" & x"d6f" => data <= x"a1";
when "10" & x"d70" => data <= x"ad";
when "10" & x"d71" => data <= x"85";
when "10" & x"d72" => data <= x"b2";
when "10" & x"d73" => data <= x"48";
when "10" & x"d74" => data <= x"20";
when "10" & x"d75" => data <= x"bd";
when "10" & x"d76" => data <= x"ad";
when "10" & x"d77" => data <= x"8a";
when "10" & x"d78" => data <= x"e5";
when "10" & x"d79" => data <= x"b0";
when "10" & x"d7a" => data <= x"aa";
when "10" & x"d7b" => data <= x"68";
when "10" & x"d7c" => data <= x"20";
when "10" & x"d7d" => data <= x"a1";
when "10" & x"d7e" => data <= x"ad";
when "10" & x"d7f" => data <= x"48";
when "10" & x"d80" => data <= x"20";
when "10" & x"d81" => data <= x"bd";
when "10" & x"d82" => data <= x"ad";
when "10" & x"d83" => data <= x"a5";
when "10" & x"d84" => data <= x"b2";
when "10" & x"d85" => data <= x"e5";
when "10" & x"d86" => data <= x"b0";
when "10" & x"d87" => data <= x"0a";
when "10" & x"d88" => data <= x"0a";
when "10" & x"d89" => data <= x"0a";
when "10" & x"d8a" => data <= x"0a";
when "10" & x"d8b" => data <= x"85";
when "10" & x"d8c" => data <= x"b2";
when "10" & x"d8d" => data <= x"8a";
when "10" & x"d8e" => data <= x"05";
when "10" & x"d8f" => data <= x"b2";
when "10" & x"d90" => data <= x"aa";
when "10" & x"d91" => data <= x"68";
when "10" & x"d92" => data <= x"28";
when "10" & x"d93" => data <= x"90";
when "10" & x"d94" => data <= x"0b";
when "10" & x"d95" => data <= x"48";
when "10" & x"d96" => data <= x"8a";
when "10" & x"d97" => data <= x"f8";
when "10" & x"d98" => data <= x"18";
when "10" & x"d99" => data <= x"69";
when "10" & x"d9a" => data <= x"56";
when "10" & x"d9b" => data <= x"aa";
when "10" & x"d9c" => data <= x"68";
when "10" & x"d9d" => data <= x"69";
when "10" & x"d9e" => data <= x"02";
when "10" & x"d9f" => data <= x"d8";
when "10" & x"da0" => data <= x"60";
when "10" & x"da1" => data <= x"a0";
when "10" & x"da2" => data <= x"00";
when "10" & x"da3" => data <= x"84";
when "10" & x"da4" => data <= x"b1";
when "10" & x"da5" => data <= x"a0";
when "10" & x"da6" => data <= x"a0";
when "10" & x"da7" => data <= x"84";
when "10" & x"da8" => data <= x"b0";
when "10" & x"da9" => data <= x"a0";
when "10" & x"daa" => data <= x"05";
when "10" & x"dab" => data <= x"c5";
when "10" & x"dac" => data <= x"b0";
when "10" & x"dad" => data <= x"90";
when "10" & x"dae" => data <= x"04";
when "10" & x"daf" => data <= x"38";
when "10" & x"db0" => data <= x"e5";
when "10" & x"db1" => data <= x"b0";
when "10" & x"db2" => data <= x"38";
when "10" & x"db3" => data <= x"26";
when "10" & x"db4" => data <= x"b1";
when "10" & x"db5" => data <= x"46";
when "10" & x"db6" => data <= x"b0";
when "10" & x"db7" => data <= x"88";
when "10" & x"db8" => data <= x"d0";
when "10" & x"db9" => data <= x"f1";
when "10" & x"dba" => data <= x"a5";
when "10" & x"dbb" => data <= x"b1";
when "10" & x"dbc" => data <= x"60";
when "10" & x"dbd" => data <= x"48";
when "10" & x"dbe" => data <= x"0a";
when "10" & x"dbf" => data <= x"0a";
when "10" & x"dc0" => data <= x"0a";
when "10" & x"dc1" => data <= x"85";
when "10" & x"dc2" => data <= x"b0";
when "10" & x"dc3" => data <= x"68";
when "10" & x"dc4" => data <= x"0a";
when "10" & x"dc5" => data <= x"18";
when "10" & x"dc6" => data <= x"65";
when "10" & x"dc7" => data <= x"b0";
when "10" & x"dc8" => data <= x"85";
when "10" & x"dc9" => data <= x"b0";
when "10" & x"dca" => data <= x"38";
when "10" & x"dcb" => data <= x"60";
when "10" & x"dcc" => data <= x"e0";
when "10" & x"dcd" => data <= x"ff";
when "10" & x"dce" => data <= x"f0";
when "10" & x"dcf" => data <= x"35";
when "10" & x"dd0" => data <= x"bd";
when "10" & x"dd1" => data <= x"10";
when "10" & x"dd2" => data <= x"0d";
when "10" & x"dd3" => data <= x"30";
when "10" & x"dd4" => data <= x"30";
when "10" & x"dd5" => data <= x"49";
when "10" & x"dd6" => data <= x"ff";
when "10" & x"dd7" => data <= x"dd";
when "10" & x"dd8" => data <= x"18";
when "10" & x"dd9" => data <= x"0d";
when "10" & x"dda" => data <= x"d0";
when "10" & x"ddb" => data <= x"24";
when "10" & x"ddc" => data <= x"bd";
when "10" & x"ddd" => data <= x"0c";
when "10" & x"dde" => data <= x"0d";
when "10" & x"ddf" => data <= x"49";
when "10" & x"de0" => data <= x"ff";
when "10" & x"de1" => data <= x"dd";
when "10" & x"de2" => data <= x"14";
when "10" & x"de3" => data <= x"0d";
when "10" & x"de4" => data <= x"d0";
when "10" & x"de5" => data <= x"1a";
when "10" & x"de6" => data <= x"bd";
when "10" & x"de7" => data <= x"1c";
when "10" & x"de8" => data <= x"0d";
when "10" & x"de9" => data <= x"c9";
when "10" & x"dea" => data <= x"54";
when "10" & x"deb" => data <= x"f0";
when "10" & x"dec" => data <= x"29";
when "10" & x"ded" => data <= x"20";
when "10" & x"dee" => data <= x"00";
when "10" & x"def" => data <= x"a0";
when "10" & x"df0" => data <= x"c9";
when "10" & x"df1" => data <= x"44";
when "10" & x"df2" => data <= x"69";
when "10" & x"df3" => data <= x"73";
when "10" & x"df4" => data <= x"6b";
when "10" & x"df5" => data <= x"20";
when "10" & x"df6" => data <= x"72";
when "10" & x"df7" => data <= x"65";
when "10" & x"df8" => data <= x"61";
when "10" & x"df9" => data <= x"64";
when "10" & x"dfa" => data <= x"20";
when "10" & x"dfb" => data <= x"6f";
when "10" & x"dfc" => data <= x"6e";
when "10" & x"dfd" => data <= x"6c";
when "10" & x"dfe" => data <= x"79";
when "10" & x"dff" => data <= x"00";
when "10" & x"e00" => data <= x"a9";
when "10" & x"e01" => data <= x"ff";
when "10" & x"e02" => data <= x"9d";
when "10" & x"e03" => data <= x"10";
when "10" & x"e04" => data <= x"0d";
when "10" & x"e05" => data <= x"20";
when "10" & x"e06" => data <= x"00";
when "10" & x"e07" => data <= x"a0";
when "10" & x"e08" => data <= x"c7";
when "10" & x"e09" => data <= x"4e";
when "10" & x"e0a" => data <= x"6f";
when "10" & x"e0b" => data <= x"20";
when "10" & x"e0c" => data <= x"64";
when "10" & x"e0d" => data <= x"69";
when "10" & x"e0e" => data <= x"73";
when "10" & x"e0f" => data <= x"6b";
when "10" & x"e10" => data <= x"00";
when "10" & x"e11" => data <= x"a9";
when "10" & x"e12" => data <= x"54";
when "10" & x"e13" => data <= x"9d";
when "10" & x"e14" => data <= x"1c";
when "10" & x"e15" => data <= x"0d";
when "10" & x"e16" => data <= x"60";
when "10" & x"e17" => data <= x"a9";
when "10" & x"e18" => data <= x"00";
when "10" & x"e19" => data <= x"9d";
when "10" & x"e1a" => data <= x"1c";
when "10" & x"e1b" => data <= x"0d";
when "10" & x"e1c" => data <= x"60";
when "10" & x"e1d" => data <= x"bd";
when "10" & x"e1e" => data <= x"10";
when "10" & x"e1f" => data <= x"0d";
when "10" & x"e20" => data <= x"30";
when "10" & x"e21" => data <= x"e3";
when "10" & x"e22" => data <= x"6a";
when "10" & x"e23" => data <= x"bd";
when "10" & x"e24" => data <= x"0c";
when "10" & x"e25" => data <= x"0d";
when "10" & x"e26" => data <= x"08";
when "10" & x"e27" => data <= x"aa";
when "10" & x"e28" => data <= x"a9";
when "10" & x"e29" => data <= x"00";
when "10" & x"e2a" => data <= x"8d";
when "10" & x"e2b" => data <= x"23";
when "10" & x"e2c" => data <= x"0d";
when "10" & x"e2d" => data <= x"8d";
when "10" & x"e2e" => data <= x"26";
when "10" & x"e2f" => data <= x"0d";
when "10" & x"e30" => data <= x"2a";
when "10" & x"e31" => data <= x"48";
when "10" & x"e32" => data <= x"8d";
when "10" & x"e33" => data <= x"25";
when "10" & x"e34" => data <= x"0d";
when "10" & x"e35" => data <= x"8a";
when "10" & x"e36" => data <= x"0a";
when "10" & x"e37" => data <= x"2e";
when "10" & x"e38" => data <= x"25";
when "10" & x"e39" => data <= x"0d";
when "10" & x"e3a" => data <= x"8d";
when "10" & x"e3b" => data <= x"24";
when "10" & x"e3c" => data <= x"0d";
when "10" & x"e3d" => data <= x"8a";
when "10" & x"e3e" => data <= x"6d";
when "10" & x"e3f" => data <= x"24";
when "10" & x"e40" => data <= x"0d";
when "10" & x"e41" => data <= x"8d";
when "10" & x"e42" => data <= x"24";
when "10" & x"e43" => data <= x"0d";
when "10" & x"e44" => data <= x"68";
when "10" & x"e45" => data <= x"69";
when "10" & x"e46" => data <= x"00";
when "10" & x"e47" => data <= x"6d";
when "10" & x"e48" => data <= x"25";
when "10" & x"e49" => data <= x"0d";
when "10" & x"e4a" => data <= x"8d";
when "10" & x"e4b" => data <= x"25";
when "10" & x"e4c" => data <= x"0d";
when "10" & x"e4d" => data <= x"a9";
when "10" & x"e4e" => data <= x"00";
when "10" & x"e4f" => data <= x"6d";
when "10" & x"e50" => data <= x"26";
when "10" & x"e51" => data <= x"0d";
when "10" & x"e52" => data <= x"8d";
when "10" & x"e53" => data <= x"26";
when "10" & x"e54" => data <= x"0d";
when "10" & x"e55" => data <= x"6e";
when "10" & x"e56" => data <= x"23";
when "10" & x"e57" => data <= x"0d";
when "10" & x"e58" => data <= x"8a";
when "10" & x"e59" => data <= x"28";
when "10" & x"e5a" => data <= x"6a";
when "10" & x"e5b" => data <= x"6e";
when "10" & x"e5c" => data <= x"23";
when "10" & x"e5d" => data <= x"0d";
when "10" & x"e5e" => data <= x"4a";
when "10" & x"e5f" => data <= x"6e";
when "10" & x"e60" => data <= x"23";
when "10" & x"e61" => data <= x"0d";
when "10" & x"e62" => data <= x"4a";
when "10" & x"e63" => data <= x"6e";
when "10" & x"e64" => data <= x"23";
when "10" & x"e65" => data <= x"0d";
when "10" & x"e66" => data <= x"6d";
when "10" & x"e67" => data <= x"24";
when "10" & x"e68" => data <= x"0d";
when "10" & x"e69" => data <= x"8d";
when "10" & x"e6a" => data <= x"24";
when "10" & x"e6b" => data <= x"0d";
when "10" & x"e6c" => data <= x"ad";
when "10" & x"e6d" => data <= x"25";
when "10" & x"e6e" => data <= x"0d";
when "10" & x"e6f" => data <= x"69";
when "10" & x"e70" => data <= x"00";
when "10" & x"e71" => data <= x"8d";
when "10" & x"e72" => data <= x"25";
when "10" & x"e73" => data <= x"0d";
when "10" & x"e74" => data <= x"ad";
when "10" & x"e75" => data <= x"26";
when "10" & x"e76" => data <= x"0d";
when "10" & x"e77" => data <= x"69";
when "10" & x"e78" => data <= x"00";
when "10" & x"e79" => data <= x"8d";
when "10" & x"e7a" => data <= x"26";
when "10" & x"e7b" => data <= x"0d";
when "10" & x"e7c" => data <= x"6e";
when "10" & x"e7d" => data <= x"26";
when "10" & x"e7e" => data <= x"0d";
when "10" & x"e7f" => data <= x"6e";
when "10" & x"e80" => data <= x"25";
when "10" & x"e81" => data <= x"0d";
when "10" & x"e82" => data <= x"6e";
when "10" & x"e83" => data <= x"24";
when "10" & x"e84" => data <= x"0d";
when "10" & x"e85" => data <= x"6e";
when "10" & x"e86" => data <= x"23";
when "10" & x"e87" => data <= x"0d";
when "10" & x"e88" => data <= x"20";
when "10" & x"e89" => data <= x"a7";
when "10" & x"e8a" => data <= x"aa";
when "10" & x"e8b" => data <= x"38";
when "10" & x"e8c" => data <= x"ad";
when "10" & x"e8d" => data <= x"23";
when "10" & x"e8e" => data <= x"0d";
when "10" & x"e8f" => data <= x"09";
when "10" & x"e90" => data <= x"0f";
when "10" & x"e91" => data <= x"6d";
when "10" & x"e92" => data <= x"06";
when "10" & x"e93" => data <= x"0d";
when "10" & x"e94" => data <= x"8d";
when "10" & x"e95" => data <= x"23";
when "10" & x"e96" => data <= x"0d";
when "10" & x"e97" => data <= x"ad";
when "10" & x"e98" => data <= x"24";
when "10" & x"e99" => data <= x"0d";
when "10" & x"e9a" => data <= x"6d";
when "10" & x"e9b" => data <= x"07";
when "10" & x"e9c" => data <= x"0d";
when "10" & x"e9d" => data <= x"8d";
when "10" & x"e9e" => data <= x"24";
when "10" & x"e9f" => data <= x"0d";
when "10" & x"ea0" => data <= x"ad";
when "10" & x"ea1" => data <= x"25";
when "10" & x"ea2" => data <= x"0d";
when "10" & x"ea3" => data <= x"6d";
when "10" & x"ea4" => data <= x"08";
when "10" & x"ea5" => data <= x"0d";
when "10" & x"ea6" => data <= x"8d";
when "10" & x"ea7" => data <= x"25";
when "10" & x"ea8" => data <= x"0d";
when "10" & x"ea9" => data <= x"60";
when "10" & x"eaa" => data <= x"a5";
when "10" & x"eab" => data <= x"be";
when "10" & x"eac" => data <= x"85";
when "10" & x"ead" => data <= x"a0";
when "10" & x"eae" => data <= x"a5";
when "10" & x"eaf" => data <= x"bf";
when "10" & x"eb0" => data <= x"85";
when "10" & x"eb1" => data <= x"a1";
when "10" & x"eb2" => data <= x"a6";
when "10" & x"eb3" => data <= x"cf";
when "10" & x"eb4" => data <= x"20";
when "10" & x"eb5" => data <= x"1d";
when "10" & x"eb6" => data <= x"ae";
when "10" & x"eb7" => data <= x"18";
when "10" & x"eb8" => data <= x"a5";
when "10" & x"eb9" => data <= x"c4";
when "10" & x"eba" => data <= x"29";
when "10" & x"ebb" => data <= x"03";
when "10" & x"ebc" => data <= x"48";
when "10" & x"ebd" => data <= x"6a";
when "10" & x"ebe" => data <= x"48";
when "10" & x"ebf" => data <= x"a5";
when "10" & x"ec0" => data <= x"c5";
when "10" & x"ec1" => data <= x"6a";
when "10" & x"ec2" => data <= x"48";
when "10" & x"ec3" => data <= x"90";
when "10" & x"ec4" => data <= x"03";
when "10" & x"ec5" => data <= x"6e";
when "10" & x"ec6" => data <= x"28";
when "10" & x"ec7" => data <= x"0d";
when "10" & x"ec8" => data <= x"18";
when "10" & x"ec9" => data <= x"68";
when "10" & x"eca" => data <= x"6d";
when "10" & x"ecb" => data <= x"23";
when "10" & x"ecc" => data <= x"0d";
when "10" & x"ecd" => data <= x"8d";
when "10" & x"ece" => data <= x"23";
when "10" & x"ecf" => data <= x"0d";
when "10" & x"ed0" => data <= x"68";
when "10" & x"ed1" => data <= x"6d";
when "10" & x"ed2" => data <= x"24";
when "10" & x"ed3" => data <= x"0d";
when "10" & x"ed4" => data <= x"8d";
when "10" & x"ed5" => data <= x"24";
when "10" & x"ed6" => data <= x"0d";
when "10" & x"ed7" => data <= x"a9";
when "10" & x"ed8" => data <= x"00";
when "10" & x"ed9" => data <= x"6d";
when "10" & x"eda" => data <= x"25";
when "10" & x"edb" => data <= x"0d";
when "10" & x"edc" => data <= x"8d";
when "10" & x"edd" => data <= x"25";
when "10" & x"ede" => data <= x"0d";
when "10" & x"edf" => data <= x"a9";
when "10" & x"ee0" => data <= x"00";
when "10" & x"ee1" => data <= x"6d";
when "10" & x"ee2" => data <= x"26";
when "10" & x"ee3" => data <= x"0d";
when "10" & x"ee4" => data <= x"8d";
when "10" & x"ee5" => data <= x"26";
when "10" & x"ee6" => data <= x"0d";
when "10" & x"ee7" => data <= x"a5";
when "10" & x"ee8" => data <= x"c3";
when "10" & x"ee9" => data <= x"8d";
when "10" & x"eea" => data <= x"27";
when "10" & x"eeb" => data <= x"0d";
when "10" & x"eec" => data <= x"a5";
when "10" & x"eed" => data <= x"c4";
when "10" & x"eee" => data <= x"4a";
when "10" & x"eef" => data <= x"4a";
when "10" & x"ef0" => data <= x"4a";
when "10" & x"ef1" => data <= x"4a";
when "10" & x"ef2" => data <= x"29";
when "10" & x"ef3" => data <= x"03";
when "10" & x"ef4" => data <= x"d0";
when "10" & x"ef5" => data <= x"21";
when "10" & x"ef6" => data <= x"a5";
when "10" & x"ef7" => data <= x"c2";
when "10" & x"ef8" => data <= x"8d";
when "10" & x"ef9" => data <= x"29";
when "10" & x"efa" => data <= x"0d";
when "10" & x"efb" => data <= x"f0";
when "10" & x"efc" => data <= x"05";
when "10" & x"efd" => data <= x"ee";
when "10" & x"efe" => data <= x"27";
when "10" & x"eff" => data <= x"0d";
when "10" & x"f00" => data <= x"f0";
when "10" & x"f01" => data <= x"15";
when "10" & x"f02" => data <= x"18";
when "10" & x"f03" => data <= x"a5";
when "10" & x"f04" => data <= x"c5";
when "10" & x"f05" => data <= x"6d";
when "10" & x"f06" => data <= x"27";
when "10" & x"f07" => data <= x"0d";
when "10" & x"f08" => data <= x"aa";
when "10" & x"f09" => data <= x"68";
when "10" & x"f0a" => data <= x"69";
when "10" & x"f0b" => data <= x"00";
when "10" & x"f0c" => data <= x"c9";
when "10" & x"f0d" => data <= x"03";
when "10" & x"f0e" => data <= x"90";
when "10" & x"f0f" => data <= x"06";
when "10" & x"f10" => data <= x"d0";
when "10" & x"f11" => data <= x"17";
when "10" & x"f12" => data <= x"e0";
when "10" & x"f13" => data <= x"21";
when "10" & x"f14" => data <= x"b0";
when "10" & x"f15" => data <= x"13";
when "10" & x"f16" => data <= x"60";
when "10" & x"f17" => data <= x"20";
when "10" & x"f18" => data <= x"00";
when "10" & x"f19" => data <= x"a0";
when "10" & x"f1a" => data <= x"ff";
when "10" & x"f1b" => data <= x"42";
when "10" & x"f1c" => data <= x"6c";
when "10" & x"f1d" => data <= x"6f";
when "10" & x"f1e" => data <= x"63";
when "10" & x"f1f" => data <= x"6b";
when "10" & x"f20" => data <= x"20";
when "10" & x"f21" => data <= x"74";
when "10" & x"f22" => data <= x"6f";
when "10" & x"f23" => data <= x"6f";
when "10" & x"f24" => data <= x"20";
when "10" & x"f25" => data <= x"62";
when "10" & x"f26" => data <= x"69";
when "10" & x"f27" => data <= x"67";
when "10" & x"f28" => data <= x"00";
when "10" & x"f29" => data <= x"20";
when "10" & x"f2a" => data <= x"00";
when "10" & x"f2b" => data <= x"a0";
when "10" & x"f2c" => data <= x"ff";
when "10" & x"f2d" => data <= x"44";
when "10" & x"f2e" => data <= x"69";
when "10" & x"f2f" => data <= x"73";
when "10" & x"f30" => data <= x"6b";
when "10" & x"f31" => data <= x"20";
when "10" & x"f32" => data <= x"6f";
when "10" & x"f33" => data <= x"76";
when "10" & x"f34" => data <= x"65";
when "10" & x"f35" => data <= x"72";
when "10" & x"f36" => data <= x"66";
when "10" & x"f37" => data <= x"6c";
when "10" & x"f38" => data <= x"6f";
when "10" & x"f39" => data <= x"77";
when "10" & x"f3a" => data <= x"00";
when "10" & x"f3b" => data <= x"20";
when "10" & x"f3c" => data <= x"4d";
when "10" & x"f3d" => data <= x"83";
when "10" & x"f3e" => data <= x"20";
when "10" & x"f3f" => data <= x"58";
when "10" & x"f40" => data <= x"83";
when "10" & x"f41" => data <= x"20";
when "10" & x"f42" => data <= x"1f";
when "10" & x"f43" => data <= x"a6";
when "10" & x"f44" => data <= x"a6";
when "10" & x"f45" => data <= x"cf";
when "10" & x"f46" => data <= x"8e";
when "10" & x"f47" => data <= x"20";
when "10" & x"f48" => data <= x"0d";
when "10" & x"f49" => data <= x"20";
when "10" & x"f4a" => data <= x"1d";
when "10" & x"f4b" => data <= x"ae";
when "10" & x"f4c" => data <= x"20";
when "10" & x"f4d" => data <= x"99";
when "10" & x"f4e" => data <= x"a7";
when "10" & x"f4f" => data <= x"a5";
when "10" & x"f50" => data <= x"cf";
when "10" & x"f51" => data <= x"8d";
when "10" & x"f52" => data <= x"82";
when "10" & x"f53" => data <= x"10";
when "10" & x"f54" => data <= x"60";
when "10" & x"f55" => data <= x"20";
when "10" & x"f56" => data <= x"1f";
when "10" & x"f57" => data <= x"a6";
when "10" & x"f58" => data <= x"a6";
when "10" & x"f59" => data <= x"cf";
when "10" & x"f5a" => data <= x"20";
when "10" & x"f5b" => data <= x"cc";
when "10" & x"f5c" => data <= x"ad";
when "10" & x"f5d" => data <= x"20";
when "10" & x"f5e" => data <= x"1d";
when "10" & x"f5f" => data <= x"ae";
when "10" & x"f60" => data <= x"4c";
when "10" & x"f61" => data <= x"b2";
when "10" & x"f62" => data <= x"a7";
when "10" & x"f63" => data <= x"08";
when "10" & x"f64" => data <= x"48";
when "10" & x"f65" => data <= x"a0";
when "10" & x"f66" => data <= x"ff";
when "10" & x"f67" => data <= x"8c";
when "10" & x"f68" => data <= x"82";
when "10" & x"f69" => data <= x"10";
when "10" & x"f6a" => data <= x"c8";
when "10" & x"f6b" => data <= x"98";
when "10" & x"f6c" => data <= x"99";
when "10" & x"f6d" => data <= x"00";
when "10" & x"f6e" => data <= x"0e";
when "10" & x"f6f" => data <= x"99";
when "10" & x"f70" => data <= x"00";
when "10" & x"f71" => data <= x"0f";
when "10" & x"f72" => data <= x"c8";
when "10" & x"f73" => data <= x"d0";
when "10" & x"f74" => data <= x"f7";
when "10" & x"f75" => data <= x"a9";
when "10" & x"f76" => data <= x"03";
when "10" & x"f77" => data <= x"8d";
when "10" & x"f78" => data <= x"06";
when "10" & x"f79" => data <= x"0f";
when "10" & x"f7a" => data <= x"a9";
when "10" & x"f7b" => data <= x"20";
when "10" & x"f7c" => data <= x"8d";
when "10" & x"f7d" => data <= x"07";
when "10" & x"f7e" => data <= x"0f";
when "10" & x"f7f" => data <= x"20";
when "10" & x"f80" => data <= x"1f";
when "10" & x"f81" => data <= x"a6";
when "10" & x"f82" => data <= x"68";
when "10" & x"f83" => data <= x"28";
when "10" & x"f84" => data <= x"20";
when "10" & x"f85" => data <= x"26";
when "10" & x"f86" => data <= x"ae";
when "10" & x"f87" => data <= x"4c";
when "10" & x"f88" => data <= x"b2";
when "10" & x"f89" => data <= x"a7";
when "10" & x"f8a" => data <= x"20";
when "10" & x"f8b" => data <= x"1f";
when "10" & x"f8c" => data <= x"a6";
when "10" & x"f8d" => data <= x"20";
when "10" & x"f8e" => data <= x"aa";
when "10" & x"f8f" => data <= x"ae";
when "10" & x"f90" => data <= x"20";
when "10" & x"f91" => data <= x"cc";
when "10" & x"f92" => data <= x"a7";
when "10" & x"f93" => data <= x"20";
when "10" & x"f94" => data <= x"cd";
when "10" & x"f95" => data <= x"a0";
when "10" & x"f96" => data <= x"a9";
when "10" & x"f97" => data <= x"01";
when "10" & x"f98" => data <= x"60";
when "10" & x"f99" => data <= x"20";
when "10" & x"f9a" => data <= x"1f";
when "10" & x"f9b" => data <= x"a6";
when "10" & x"f9c" => data <= x"20";
when "10" & x"f9d" => data <= x"aa";
when "10" & x"f9e" => data <= x"ae";
when "10" & x"f9f" => data <= x"a6";
when "10" & x"fa0" => data <= x"cf";
when "10" & x"fa1" => data <= x"20";
when "10" & x"fa2" => data <= x"cc";
when "10" & x"fa3" => data <= x"ad";
when "10" & x"fa4" => data <= x"20";
when "10" & x"fa5" => data <= x"78";
when "10" & x"fa6" => data <= x"a8";
when "10" & x"fa7" => data <= x"20";
when "10" & x"fa8" => data <= x"cd";
when "10" & x"fa9" => data <= x"a0";
when "10" & x"faa" => data <= x"a9";
when "10" & x"fab" => data <= x"01";
when "10" & x"fac" => data <= x"60";
when "10" & x"fad" => data <= x"a8";
when "10" & x"fae" => data <= x"c8";
when "10" & x"faf" => data <= x"98";
when "10" & x"fb0" => data <= x"d0";
when "10" & x"fb1" => data <= x"01";
when "10" & x"fb2" => data <= x"38";
when "10" & x"fb3" => data <= x"2a";
when "10" & x"fb4" => data <= x"2a";
when "10" & x"fb5" => data <= x"2a";
when "10" & x"fb6" => data <= x"2a";
when "10" & x"fb7" => data <= x"2a";
when "10" & x"fb8" => data <= x"48";
when "10" & x"fb9" => data <= x"29";
when "10" & x"fba" => data <= x"1f";
when "10" & x"fbb" => data <= x"a8";
when "10" & x"fbc" => data <= x"68";
when "10" & x"fbd" => data <= x"09";
when "10" & x"fbe" => data <= x"1f";
when "10" & x"fbf" => data <= x"6a";
when "10" & x"fc0" => data <= x"60";
when "10" & x"fc1" => data <= x"20";
when "10" & x"fc2" => data <= x"ad";
when "10" & x"fc3" => data <= x"af";
when "10" & x"fc4" => data <= x"48";
when "10" & x"fc5" => data <= x"8a";
when "10" & x"fc6" => data <= x"48";
when "10" & x"fc7" => data <= x"98";
when "10" & x"fc8" => data <= x"48";
when "10" & x"fc9" => data <= x"20";
when "10" & x"fca" => data <= x"97";
when "10" & x"fcb" => data <= x"b0";
when "10" & x"fcc" => data <= x"68";
when "10" & x"fcd" => data <= x"6a";
when "10" & x"fce" => data <= x"68";
when "10" & x"fcf" => data <= x"aa";
when "10" & x"fd0" => data <= x"68";
when "10" & x"fd1" => data <= x"a8";
when "10" & x"fd2" => data <= x"b0";
when "10" & x"fd3" => data <= x"04";
when "10" & x"fd4" => data <= x"b9";
when "10" & x"fd5" => data <= x"00";
when "10" & x"fd6" => data <= x"0e";
when "10" & x"fd7" => data <= x"60";
when "10" & x"fd8" => data <= x"b9";
when "10" & x"fd9" => data <= x"00";
when "10" & x"fda" => data <= x"0f";
when "10" & x"fdb" => data <= x"60";
when "10" & x"fdc" => data <= x"08";
when "10" & x"fdd" => data <= x"48";
when "10" & x"fde" => data <= x"8d";
when "10" & x"fdf" => data <= x"5f";
when "10" & x"fe0" => data <= x"0d";
when "10" & x"fe1" => data <= x"a9";
when "10" & x"fe2" => data <= x"00";
when "10" & x"fe3" => data <= x"2a";
when "10" & x"fe4" => data <= x"8d";
when "10" & x"fe5" => data <= x"60";
when "10" & x"fe6" => data <= x"0d";
when "10" & x"fe7" => data <= x"8e";
when "10" & x"fe8" => data <= x"61";
when "10" & x"fe9" => data <= x"0d";
when "10" & x"fea" => data <= x"a2";
when "10" & x"feb" => data <= x"03";
when "10" & x"fec" => data <= x"ec";
when "10" & x"fed" => data <= x"61";
when "10" & x"fee" => data <= x"0d";
when "10" & x"fef" => data <= x"f0";
when "10" & x"ff0" => data <= x"15";
when "10" & x"ff1" => data <= x"bd";
when "10" & x"ff2" => data <= x"0c";
when "10" & x"ff3" => data <= x"0d";
when "10" & x"ff4" => data <= x"cd";
when "10" & x"ff5" => data <= x"5f";
when "10" & x"ff6" => data <= x"0d";
when "10" & x"ff7" => data <= x"d0";
when "10" & x"ff8" => data <= x"0d";
when "10" & x"ff9" => data <= x"bd";
when "10" & x"ffa" => data <= x"10";
when "10" & x"ffb" => data <= x"0d";
when "10" & x"ffc" => data <= x"cd";
when "10" & x"ffd" => data <= x"60";
when "10" & x"ffe" => data <= x"0d";
when "10" & x"fff" => data <= x"d0";
when "11" & x"000" => data <= x"05";
when "11" & x"001" => data <= x"a9";
when "11" & x"002" => data <= x"ff";
when "11" & x"003" => data <= x"9d";
when "11" & x"004" => data <= x"10";
when "11" & x"005" => data <= x"0d";
when "11" & x"006" => data <= x"ca";
when "11" & x"007" => data <= x"10";
when "11" & x"008" => data <= x"e3";
when "11" & x"009" => data <= x"ae";
when "11" & x"00a" => data <= x"61";
when "11" & x"00b" => data <= x"0d";
when "11" & x"00c" => data <= x"68";
when "11" & x"00d" => data <= x"28";
when "11" & x"00e" => data <= x"60";
when "11" & x"00f" => data <= x"08";
when "11" & x"010" => data <= x"48";
when "11" & x"011" => data <= x"9d";
when "11" & x"012" => data <= x"0c";
when "11" & x"013" => data <= x"0d";
when "11" & x"014" => data <= x"49";
when "11" & x"015" => data <= x"ff";
when "11" & x"016" => data <= x"9d";
when "11" & x"017" => data <= x"14";
when "11" & x"018" => data <= x"0d";
when "11" & x"019" => data <= x"a9";
when "11" & x"01a" => data <= x"00";
when "11" & x"01b" => data <= x"2a";
when "11" & x"01c" => data <= x"9d";
when "11" & x"01d" => data <= x"10";
when "11" & x"01e" => data <= x"0d";
when "11" & x"01f" => data <= x"49";
when "11" & x"020" => data <= x"ff";
when "11" & x"021" => data <= x"9d";
when "11" & x"022" => data <= x"18";
when "11" & x"023" => data <= x"0d";
when "11" & x"024" => data <= x"68";
when "11" & x"025" => data <= x"28";
when "11" & x"026" => data <= x"20";
when "11" & x"027" => data <= x"dc";
when "11" & x"028" => data <= x"af";
when "11" & x"029" => data <= x"20";
when "11" & x"02a" => data <= x"c1";
when "11" & x"02b" => data <= x"af";
when "11" & x"02c" => data <= x"30";
when "11" & x"02d" => data <= x"08";
when "11" & x"02e" => data <= x"f0";
when "11" & x"02f" => data <= x"03";
when "11" & x"030" => data <= x"4c";
when "11" & x"031" => data <= x"11";
when "11" & x"032" => data <= x"ae";
when "11" & x"033" => data <= x"4c";
when "11" & x"034" => data <= x"17";
when "11" & x"035" => data <= x"ae";
when "11" & x"036" => data <= x"a8";
when "11" & x"037" => data <= x"a9";
when "11" & x"038" => data <= x"ff";
when "11" & x"039" => data <= x"9d";
when "11" & x"03a" => data <= x"10";
when "11" & x"03b" => data <= x"0d";
when "11" & x"03c" => data <= x"c8";
when "11" & x"03d" => data <= x"d0";
when "11" & x"03e" => data <= x"1a";
when "11" & x"03f" => data <= x"20";
when "11" & x"040" => data <= x"00";
when "11" & x"041" => data <= x"a0";
when "11" & x"042" => data <= x"c7";
when "11" & x"043" => data <= x"44";
when "11" & x"044" => data <= x"69";
when "11" & x"045" => data <= x"73";
when "11" & x"046" => data <= x"6b";
when "11" & x"047" => data <= x"20";
when "11" & x"048" => data <= x"6e";
when "11" & x"049" => data <= x"75";
when "11" & x"04a" => data <= x"6d";
when "11" & x"04b" => data <= x"62";
when "11" & x"04c" => data <= x"65";
when "11" & x"04d" => data <= x"72";
when "11" & x"04e" => data <= x"20";
when "11" & x"04f" => data <= x"6e";
when "11" & x"050" => data <= x"6f";
when "11" & x"051" => data <= x"74";
when "11" & x"052" => data <= x"20";
when "11" & x"053" => data <= x"76";
when "11" & x"054" => data <= x"61";
when "11" & x"055" => data <= x"6c";
when "11" & x"056" => data <= x"69";
when "11" & x"057" => data <= x"64";
when "11" & x"058" => data <= x"00";
when "11" & x"059" => data <= x"20";
when "11" & x"05a" => data <= x"00";
when "11" & x"05b" => data <= x"a0";
when "11" & x"05c" => data <= x"c7";
when "11" & x"05d" => data <= x"44";
when "11" & x"05e" => data <= x"69";
when "11" & x"05f" => data <= x"73";
when "11" & x"060" => data <= x"6b";
when "11" & x"061" => data <= x"20";
when "11" & x"062" => data <= x"6e";
when "11" & x"063" => data <= x"6f";
when "11" & x"064" => data <= x"74";
when "11" & x"065" => data <= x"20";
when "11" & x"066" => data <= x"66";
when "11" & x"067" => data <= x"6f";
when "11" & x"068" => data <= x"72";
when "11" & x"069" => data <= x"6d";
when "11" & x"06a" => data <= x"61";
when "11" & x"06b" => data <= x"74";
when "11" & x"06c" => data <= x"74";
when "11" & x"06d" => data <= x"65";
when "11" & x"06e" => data <= x"64";
when "11" & x"06f" => data <= x"00";
when "11" & x"070" => data <= x"29";
when "11" & x"071" => data <= x"7f";
when "11" & x"072" => data <= x"48";
when "11" & x"073" => data <= x"20";
when "11" & x"074" => data <= x"a7";
when "11" & x"075" => data <= x"aa";
when "11" & x"076" => data <= x"18";
when "11" & x"077" => data <= x"68";
when "11" & x"078" => data <= x"6d";
when "11" & x"079" => data <= x"06";
when "11" & x"07a" => data <= x"0d";
when "11" & x"07b" => data <= x"8d";
when "11" & x"07c" => data <= x"23";
when "11" & x"07d" => data <= x"0d";
when "11" & x"07e" => data <= x"ad";
when "11" & x"07f" => data <= x"07";
when "11" & x"080" => data <= x"0d";
when "11" & x"081" => data <= x"69";
when "11" & x"082" => data <= x"00";
when "11" & x"083" => data <= x"8d";
when "11" & x"084" => data <= x"24";
when "11" & x"085" => data <= x"0d";
when "11" & x"086" => data <= x"ad";
when "11" & x"087" => data <= x"08";
when "11" & x"088" => data <= x"0d";
when "11" & x"089" => data <= x"69";
when "11" & x"08a" => data <= x"00";
when "11" & x"08b" => data <= x"8d";
when "11" & x"08c" => data <= x"25";
when "11" & x"08d" => data <= x"0d";
when "11" & x"08e" => data <= x"ad";
when "11" & x"08f" => data <= x"09";
when "11" & x"090" => data <= x"0d";
when "11" & x"091" => data <= x"69";
when "11" & x"092" => data <= x"00";
when "11" & x"093" => data <= x"8d";
when "11" & x"094" => data <= x"26";
when "11" & x"095" => data <= x"0d";
when "11" & x"096" => data <= x"60";
when "11" & x"097" => data <= x"29";
when "11" & x"098" => data <= x"fe";
when "11" & x"099" => data <= x"4a";
when "11" & x"09a" => data <= x"09";
when "11" & x"09b" => data <= x"80";
when "11" & x"09c" => data <= x"cd";
when "11" & x"09d" => data <= x"82";
when "11" & x"09e" => data <= x"10";
when "11" & x"09f" => data <= x"f0";
when "11" & x"0a0" => data <= x"f5";
when "11" & x"0a1" => data <= x"8d";
when "11" & x"0a2" => data <= x"82";
when "11" & x"0a3" => data <= x"10";
when "11" & x"0a4" => data <= x"48";
when "11" & x"0a5" => data <= x"20";
when "11" & x"0a6" => data <= x"1f";
when "11" & x"0a7" => data <= x"a6";
when "11" & x"0a8" => data <= x"68";
when "11" & x"0a9" => data <= x"20";
when "11" & x"0aa" => data <= x"70";
when "11" & x"0ab" => data <= x"b0";
when "11" & x"0ac" => data <= x"4c";
when "11" & x"0ad" => data <= x"99";
when "11" & x"0ae" => data <= x"a7";
when "11" & x"0af" => data <= x"8d";
when "11" & x"0b0" => data <= x"82";
when "11" & x"0b1" => data <= x"10";
when "11" & x"0b2" => data <= x"20";
when "11" & x"0b3" => data <= x"70";
when "11" & x"0b4" => data <= x"b0";
when "11" & x"0b5" => data <= x"4c";
when "11" & x"0b6" => data <= x"99";
when "11" & x"0b7" => data <= x"a7";
when "11" & x"0b8" => data <= x"20";
when "11" & x"0b9" => data <= x"1f";
when "11" & x"0ba" => data <= x"a6";
when "11" & x"0bb" => data <= x"ad";
when "11" & x"0bc" => data <= x"82";
when "11" & x"0bd" => data <= x"10";
when "11" & x"0be" => data <= x"20";
when "11" & x"0bf" => data <= x"70";
when "11" & x"0c0" => data <= x"b0";
when "11" & x"0c1" => data <= x"4c";
when "11" & x"0c2" => data <= x"b2";
when "11" & x"0c3" => data <= x"a7";
when "11" & x"0c4" => data <= x"ad";
when "11" & x"0c5" => data <= x"82";
when "11" & x"0c6" => data <= x"10";
when "11" & x"0c7" => data <= x"20";
when "11" & x"0c8" => data <= x"70";
when "11" & x"0c9" => data <= x"b0";
when "11" & x"0ca" => data <= x"4c";
when "11" & x"0cb" => data <= x"b2";
when "11" & x"0cc" => data <= x"a7";
when "11" & x"0cd" => data <= x"20";
when "11" & x"0ce" => data <= x"b8";
when "11" & x"0cf" => data <= x"b0";
when "11" & x"0d0" => data <= x"a2";
when "11" & x"0d1" => data <= x"03";
when "11" & x"0d2" => data <= x"20";
when "11" & x"0d3" => data <= x"d9";
when "11" & x"0d4" => data <= x"b0";
when "11" & x"0d5" => data <= x"ca";
when "11" & x"0d6" => data <= x"10";
when "11" & x"0d7" => data <= x"fa";
when "11" & x"0d8" => data <= x"60";
when "11" & x"0d9" => data <= x"bd";
when "11" & x"0da" => data <= x"10";
when "11" & x"0db" => data <= x"0d";
when "11" & x"0dc" => data <= x"30";
when "11" & x"0dd" => data <= x"2b";
when "11" & x"0de" => data <= x"49";
when "11" & x"0df" => data <= x"ff";
when "11" & x"0e0" => data <= x"dd";
when "11" & x"0e1" => data <= x"18";
when "11" & x"0e2" => data <= x"0d";
when "11" & x"0e3" => data <= x"d0";
when "11" & x"0e4" => data <= x"18";
when "11" & x"0e5" => data <= x"bd";
when "11" & x"0e6" => data <= x"0c";
when "11" & x"0e7" => data <= x"0d";
when "11" & x"0e8" => data <= x"49";
when "11" & x"0e9" => data <= x"ff";
when "11" & x"0ea" => data <= x"dd";
when "11" & x"0eb" => data <= x"14";
when "11" & x"0ec" => data <= x"0d";
when "11" & x"0ed" => data <= x"d0";
when "11" & x"0ee" => data <= x"0e";
when "11" & x"0ef" => data <= x"bd";
when "11" & x"0f0" => data <= x"10";
when "11" & x"0f1" => data <= x"0d";
when "11" & x"0f2" => data <= x"6a";
when "11" & x"0f3" => data <= x"bd";
when "11" & x"0f4" => data <= x"0c";
when "11" & x"0f5" => data <= x"0d";
when "11" & x"0f6" => data <= x"20";
when "11" & x"0f7" => data <= x"c1";
when "11" & x"0f8" => data <= x"af";
when "11" & x"0f9" => data <= x"f0";
when "11" & x"0fa" => data <= x"0b";
when "11" & x"0fb" => data <= x"10";
when "11" & x"0fc" => data <= x"07";
when "11" & x"0fd" => data <= x"a9";
when "11" & x"0fe" => data <= x"ff";
when "11" & x"0ff" => data <= x"9d";
when "11" & x"100" => data <= x"10";
when "11" & x"101" => data <= x"0d";
when "11" & x"102" => data <= x"d0";
when "11" & x"103" => data <= x"02";
when "11" & x"104" => data <= x"a9";
when "11" & x"105" => data <= x"54";
when "11" & x"106" => data <= x"9d";
when "11" & x"107" => data <= x"1c";
when "11" & x"108" => data <= x"0d";
when "11" & x"109" => data <= x"60";
when "11" & x"10a" => data <= x"a2";
when "11" & x"10b" => data <= x"00";
when "11" & x"10c" => data <= x"bd";
when "11" & x"10d" => data <= x"20";
when "11" & x"10e" => data <= x"b3";
when "11" & x"10f" => data <= x"9d";
when "11" & x"110" => data <= x"70";
when "11" & x"111" => data <= x"0d";
when "11" & x"112" => data <= x"e8";
when "11" & x"113" => data <= x"e0";
when "11" & x"114" => data <= x"0b";
when "11" & x"115" => data <= x"d0";
when "11" & x"116" => data <= x"f5";
when "11" & x"117" => data <= x"60";
when "11" & x"118" => data <= x"a2";
when "11" & x"119" => data <= x"6f";
when "11" & x"11a" => data <= x"a9";
when "11" & x"11b" => data <= x"00";
when "11" & x"11c" => data <= x"9d";
when "11" & x"11d" => data <= x"00";
when "11" & x"11e" => data <= x"0d";
when "11" & x"11f" => data <= x"ca";
when "11" & x"120" => data <= x"d0";
when "11" & x"121" => data <= x"fa";
when "11" & x"122" => data <= x"a9";
when "11" & x"123" => data <= x"40";
when "11" & x"124" => data <= x"9d";
when "11" & x"125" => data <= x"00";
when "11" & x"126" => data <= x"0d";
when "11" & x"127" => data <= x"60";
when "11" & x"128" => data <= x"20";
when "11" & x"129" => data <= x"0a";
when "11" & x"12a" => data <= x"b1";
when "11" & x"12b" => data <= x"20";
when "11" & x"12c" => data <= x"18";
when "11" & x"12d" => data <= x"b1";
when "11" & x"12e" => data <= x"a9";
when "11" & x"12f" => data <= x"ff";
when "11" & x"130" => data <= x"8d";
when "11" & x"131" => data <= x"52";
when "11" & x"132" => data <= x"0d";
when "11" & x"133" => data <= x"48";
when "11" & x"134" => data <= x"4c";
when "11" & x"135" => data <= x"3b";
when "11" & x"136" => data <= x"93";
when "11" & x"137" => data <= x"20";
when "11" & x"138" => data <= x"0a";
when "11" & x"139" => data <= x"b1";
when "11" & x"13a" => data <= x"20";
when "11" & x"13b" => data <= x"18";
when "11" & x"13c" => data <= x"b1";
when "11" & x"13d" => data <= x"a9";
when "11" & x"13e" => data <= x"80";
when "11" & x"13f" => data <= x"20";
when "11" & x"140" => data <= x"a1";
when "11" & x"141" => data <= x"b0";
when "11" & x"142" => data <= x"a2";
when "11" & x"143" => data <= x"00";
when "11" & x"144" => data <= x"ad";
when "11" & x"145" => data <= x"52";
when "11" & x"146" => data <= x"0d";
when "11" & x"147" => data <= x"c9";
when "11" & x"148" => data <= x"42";
when "11" & x"149" => data <= x"f0";
when "11" & x"14a" => data <= x"16";
when "11" & x"14b" => data <= x"bd";
when "11" & x"14c" => data <= x"10";
when "11" & x"14d" => data <= x"0d";
when "11" & x"14e" => data <= x"30";
when "11" & x"14f" => data <= x"43";
when "11" & x"150" => data <= x"49";
when "11" & x"151" => data <= x"ff";
when "11" & x"152" => data <= x"dd";
when "11" & x"153" => data <= x"18";
when "11" & x"154" => data <= x"0d";
when "11" & x"155" => data <= x"d0";
when "11" & x"156" => data <= x"0a";
when "11" & x"157" => data <= x"bd";
when "11" & x"158" => data <= x"0c";
when "11" & x"159" => data <= x"0d";
when "11" & x"15a" => data <= x"49";
when "11" & x"15b" => data <= x"ff";
when "11" & x"15c" => data <= x"dd";
when "11" & x"15d" => data <= x"14";
when "11" & x"15e" => data <= x"0d";
when "11" & x"15f" => data <= x"f0";
when "11" & x"160" => data <= x"16";
when "11" & x"161" => data <= x"bd";
when "11" & x"162" => data <= x"00";
when "11" & x"163" => data <= x"0e";
when "11" & x"164" => data <= x"9d";
when "11" & x"165" => data <= x"0c";
when "11" & x"166" => data <= x"0d";
when "11" & x"167" => data <= x"49";
when "11" & x"168" => data <= x"ff";
when "11" & x"169" => data <= x"9d";
when "11" & x"16a" => data <= x"14";
when "11" & x"16b" => data <= x"0d";
when "11" & x"16c" => data <= x"bd";
when "11" & x"16d" => data <= x"04";
when "11" & x"16e" => data <= x"0e";
when "11" & x"16f" => data <= x"9d";
when "11" & x"170" => data <= x"10";
when "11" & x"171" => data <= x"0d";
when "11" & x"172" => data <= x"49";
when "11" & x"173" => data <= x"ff";
when "11" & x"174" => data <= x"9d";
when "11" & x"175" => data <= x"18";
when "11" & x"176" => data <= x"0d";
when "11" & x"177" => data <= x"8a";
when "11" & x"178" => data <= x"f0";
when "11" & x"179" => data <= x"1e";
when "11" & x"17a" => data <= x"a8";
when "11" & x"17b" => data <= x"88";
when "11" & x"17c" => data <= x"bd";
when "11" & x"17d" => data <= x"10";
when "11" & x"17e" => data <= x"0d";
when "11" & x"17f" => data <= x"30";
when "11" & x"180" => data <= x"17";
when "11" & x"181" => data <= x"d9";
when "11" & x"182" => data <= x"10";
when "11" & x"183" => data <= x"0d";
when "11" & x"184" => data <= x"d0";
when "11" & x"185" => data <= x"08";
when "11" & x"186" => data <= x"bd";
when "11" & x"187" => data <= x"0c";
when "11" & x"188" => data <= x"0d";
when "11" & x"189" => data <= x"d9";
when "11" & x"18a" => data <= x"0c";
when "11" & x"18b" => data <= x"0d";
when "11" & x"18c" => data <= x"f0";
when "11" & x"18d" => data <= x"05";
when "11" & x"18e" => data <= x"88";
when "11" & x"18f" => data <= x"10";
when "11" & x"190" => data <= x"eb";
when "11" & x"191" => data <= x"30";
when "11" & x"192" => data <= x"05";
when "11" & x"193" => data <= x"a9";
when "11" & x"194" => data <= x"ff";
when "11" & x"195" => data <= x"9d";
when "11" & x"196" => data <= x"10";
when "11" & x"197" => data <= x"0d";
when "11" & x"198" => data <= x"e8";
when "11" & x"199" => data <= x"e0";
when "11" & x"19a" => data <= x"04";
when "11" & x"19b" => data <= x"d0";
when "11" & x"19c" => data <= x"a7";
when "11" & x"19d" => data <= x"4c";
when "11" & x"19e" => data <= x"d0";
when "11" & x"19f" => data <= x"b0";
when "11" & x"1a0" => data <= x"08";
when "11" & x"1a1" => data <= x"48";
when "11" & x"1a2" => data <= x"8d";
when "11" & x"1a3" => data <= x"53";
when "11" & x"1a4" => data <= x"0d";
when "11" & x"1a5" => data <= x"a9";
when "11" & x"1a6" => data <= x"00";
when "11" & x"1a7" => data <= x"2a";
when "11" & x"1a8" => data <= x"8d";
when "11" & x"1a9" => data <= x"54";
when "11" & x"1aa" => data <= x"0d";
when "11" & x"1ab" => data <= x"68";
when "11" & x"1ac" => data <= x"28";
when "11" & x"1ad" => data <= x"08";
when "11" & x"1ae" => data <= x"48";
when "11" & x"1af" => data <= x"20";
when "11" & x"1b0" => data <= x"6c";
when "11" & x"1b1" => data <= x"ad";
when "11" & x"1b2" => data <= x"8e";
when "11" & x"1b3" => data <= x"55";
when "11" & x"1b4" => data <= x"0d";
when "11" & x"1b5" => data <= x"8d";
when "11" & x"1b6" => data <= x"56";
when "11" & x"1b7" => data <= x"0d";
when "11" & x"1b8" => data <= x"68";
when "11" & x"1b9" => data <= x"28";
when "11" & x"1ba" => data <= x"20";
when "11" & x"1bb" => data <= x"ad";
when "11" & x"1bc" => data <= x"af";
when "11" & x"1bd" => data <= x"29";
when "11" & x"1be" => data <= x"f0";
when "11" & x"1bf" => data <= x"85";
when "11" & x"1c0" => data <= x"f2";
when "11" & x"1c1" => data <= x"98";
when "11" & x"1c2" => data <= x"29";
when "11" & x"1c3" => data <= x"01";
when "11" & x"1c4" => data <= x"09";
when "11" & x"1c5" => data <= x"0e";
when "11" & x"1c6" => data <= x"85";
when "11" & x"1c7" => data <= x"f3";
when "11" & x"1c8" => data <= x"98";
when "11" & x"1c9" => data <= x"29";
when "11" & x"1ca" => data <= x"fe";
when "11" & x"1cb" => data <= x"4a";
when "11" & x"1cc" => data <= x"09";
when "11" & x"1cd" => data <= x"80";
when "11" & x"1ce" => data <= x"8d";
when "11" & x"1cf" => data <= x"52";
when "11" & x"1d0" => data <= x"0d";
when "11" & x"1d1" => data <= x"20";
when "11" & x"1d2" => data <= x"9c";
when "11" & x"1d3" => data <= x"b0";
when "11" & x"1d4" => data <= x"4c";
when "11" & x"1d5" => data <= x"35";
when "11" & x"1d6" => data <= x"b2";
when "11" & x"1d7" => data <= x"a9";
when "11" & x"1d8" => data <= x"00";
when "11" & x"1d9" => data <= x"8d";
when "11" & x"1da" => data <= x"55";
when "11" & x"1db" => data <= x"0d";
when "11" & x"1dc" => data <= x"8d";
when "11" & x"1dd" => data <= x"56";
when "11" & x"1de" => data <= x"0d";
when "11" & x"1df" => data <= x"8d";
when "11" & x"1e0" => data <= x"53";
when "11" & x"1e1" => data <= x"0d";
when "11" & x"1e2" => data <= x"8d";
when "11" & x"1e3" => data <= x"54";
when "11" & x"1e4" => data <= x"0d";
when "11" & x"1e5" => data <= x"a9";
when "11" & x"1e6" => data <= x"10";
when "11" & x"1e7" => data <= x"85";
when "11" & x"1e8" => data <= x"f2";
when "11" & x"1e9" => data <= x"a9";
when "11" & x"1ea" => data <= x"0e";
when "11" & x"1eb" => data <= x"85";
when "11" & x"1ec" => data <= x"f3";
when "11" & x"1ed" => data <= x"a9";
when "11" & x"1ee" => data <= x"80";
when "11" & x"1ef" => data <= x"8d";
when "11" & x"1f0" => data <= x"52";
when "11" & x"1f1" => data <= x"0d";
when "11" & x"1f2" => data <= x"20";
when "11" & x"1f3" => data <= x"9c";
when "11" & x"1f4" => data <= x"b0";
when "11" & x"1f5" => data <= x"4c";
when "11" & x"1f6" => data <= x"35";
when "11" & x"1f7" => data <= x"b2";
when "11" & x"1f8" => data <= x"c9";
when "11" & x"1f9" => data <= x"ff";
when "11" & x"1fa" => data <= x"f0";
when "11" & x"1fb" => data <= x"41";
when "11" & x"1fc" => data <= x"18";
when "11" & x"1fd" => data <= x"a5";
when "11" & x"1fe" => data <= x"f2";
when "11" & x"1ff" => data <= x"69";
when "11" & x"200" => data <= x"10";
when "11" & x"201" => data <= x"85";
when "11" & x"202" => data <= x"f2";
when "11" & x"203" => data <= x"d0";
when "11" & x"204" => data <= x"18";
when "11" & x"205" => data <= x"a5";
when "11" & x"206" => data <= x"f3";
when "11" & x"207" => data <= x"49";
when "11" & x"208" => data <= x"01";
when "11" & x"209" => data <= x"85";
when "11" & x"20a" => data <= x"f3";
when "11" & x"20b" => data <= x"6a";
when "11" & x"20c" => data <= x"b0";
when "11" & x"20d" => data <= x"0f";
when "11" & x"20e" => data <= x"ad";
when "11" & x"20f" => data <= x"52";
when "11" & x"210" => data <= x"0d";
when "11" & x"211" => data <= x"69";
when "11" & x"212" => data <= x"01";
when "11" & x"213" => data <= x"c9";
when "11" & x"214" => data <= x"90";
when "11" & x"215" => data <= x"f0";
when "11" & x"216" => data <= x"26";
when "11" & x"217" => data <= x"8d";
when "11" & x"218" => data <= x"52";
when "11" & x"219" => data <= x"0d";
when "11" & x"21a" => data <= x"20";
when "11" & x"21b" => data <= x"9c";
when "11" & x"21c" => data <= x"b0";
when "11" & x"21d" => data <= x"ee";
when "11" & x"21e" => data <= x"53";
when "11" & x"21f" => data <= x"0d";
when "11" & x"220" => data <= x"d0";
when "11" & x"221" => data <= x"03";
when "11" & x"222" => data <= x"ee";
when "11" & x"223" => data <= x"54";
when "11" & x"224" => data <= x"0d";
when "11" & x"225" => data <= x"f8";
when "11" & x"226" => data <= x"18";
when "11" & x"227" => data <= x"ad";
when "11" & x"228" => data <= x"55";
when "11" & x"229" => data <= x"0d";
when "11" & x"22a" => data <= x"69";
when "11" & x"22b" => data <= x"01";
when "11" & x"22c" => data <= x"8d";
when "11" & x"22d" => data <= x"55";
when "11" & x"22e" => data <= x"0d";
when "11" & x"22f" => data <= x"90";
when "11" & x"230" => data <= x"03";
when "11" & x"231" => data <= x"ee";
when "11" & x"232" => data <= x"56";
when "11" & x"233" => data <= x"0d";
when "11" & x"234" => data <= x"d8";
when "11" & x"235" => data <= x"a0";
when "11" & x"236" => data <= x"0f";
when "11" & x"237" => data <= x"b1";
when "11" & x"238" => data <= x"f2";
when "11" & x"239" => data <= x"30";
when "11" & x"23a" => data <= x"bd";
when "11" & x"23b" => data <= x"18";
when "11" & x"23c" => data <= x"60";
when "11" & x"23d" => data <= x"a9";
when "11" & x"23e" => data <= x"ff";
when "11" & x"23f" => data <= x"8d";
when "11" & x"240" => data <= x"54";
when "11" & x"241" => data <= x"0d";
when "11" & x"242" => data <= x"38";
when "11" & x"243" => data <= x"60";
when "11" & x"244" => data <= x"20";
when "11" & x"245" => data <= x"1f";
when "11" & x"246" => data <= x"a6";
when "11" & x"247" => data <= x"a9";
when "11" & x"248" => data <= x"80";
when "11" & x"249" => data <= x"8d";
when "11" & x"24a" => data <= x"52";
when "11" & x"24b" => data <= x"0d";
when "11" & x"24c" => data <= x"20";
when "11" & x"24d" => data <= x"af";
when "11" & x"24e" => data <= x"b0";
when "11" & x"24f" => data <= x"a9";
when "11" & x"250" => data <= x"10";
when "11" & x"251" => data <= x"85";
when "11" & x"252" => data <= x"f2";
when "11" & x"253" => data <= x"a9";
when "11" & x"254" => data <= x"0e";
when "11" & x"255" => data <= x"85";
when "11" & x"256" => data <= x"f3";
when "11" & x"257" => data <= x"20";
when "11" & x"258" => data <= x"a7";
when "11" & x"259" => data <= x"aa";
when "11" & x"25a" => data <= x"18";
when "11" & x"25b" => data <= x"ad";
when "11" & x"25c" => data <= x"06";
when "11" & x"25d" => data <= x"0d";
when "11" & x"25e" => data <= x"69";
when "11" & x"25f" => data <= x"10";
when "11" & x"260" => data <= x"8d";
when "11" & x"261" => data <= x"23";
when "11" & x"262" => data <= x"0d";
when "11" & x"263" => data <= x"ad";
when "11" & x"264" => data <= x"07";
when "11" & x"265" => data <= x"0d";
when "11" & x"266" => data <= x"69";
when "11" & x"267" => data <= x"00";
when "11" & x"268" => data <= x"8d";
when "11" & x"269" => data <= x"24";
when "11" & x"26a" => data <= x"0d";
when "11" & x"26b" => data <= x"ad";
when "11" & x"26c" => data <= x"08";
when "11" & x"26d" => data <= x"0d";
when "11" & x"26e" => data <= x"69";
when "11" & x"26f" => data <= x"00";
when "11" & x"270" => data <= x"8d";
when "11" & x"271" => data <= x"25";
when "11" & x"272" => data <= x"0d";
when "11" & x"273" => data <= x"ad";
when "11" & x"274" => data <= x"09";
when "11" & x"275" => data <= x"0d";
when "11" & x"276" => data <= x"69";
when "11" & x"277" => data <= x"00";
when "11" & x"278" => data <= x"8d";
when "11" & x"279" => data <= x"09";
when "11" & x"27a" => data <= x"0d";
when "11" & x"27b" => data <= x"20";
when "11" & x"27c" => data <= x"1e";
when "11" & x"27d" => data <= x"a9";
when "11" & x"27e" => data <= x"a0";
when "11" & x"27f" => data <= x"0f";
when "11" & x"280" => data <= x"b1";
when "11" & x"281" => data <= x"f2";
when "11" & x"282" => data <= x"c9";
when "11" & x"283" => data <= x"ff";
when "11" & x"284" => data <= x"f0";
when "11" & x"285" => data <= x"39";
when "11" & x"286" => data <= x"20";
when "11" & x"287" => data <= x"24";
when "11" & x"288" => data <= x"a9";
when "11" & x"289" => data <= x"a0";
when "11" & x"28a" => data <= x"0b";
when "11" & x"28b" => data <= x"b9";
when "11" & x"28c" => data <= x"5f";
when "11" & x"28d" => data <= x"0d";
when "11" & x"28e" => data <= x"91";
when "11" & x"28f" => data <= x"f2";
when "11" & x"290" => data <= x"88";
when "11" & x"291" => data <= x"10";
when "11" & x"292" => data <= x"f8";
when "11" & x"293" => data <= x"18";
when "11" & x"294" => data <= x"a5";
when "11" & x"295" => data <= x"f2";
when "11" & x"296" => data <= x"69";
when "11" & x"297" => data <= x"10";
when "11" & x"298" => data <= x"85";
when "11" & x"299" => data <= x"f2";
when "11" & x"29a" => data <= x"d0";
when "11" & x"29b" => data <= x"e2";
when "11" & x"29c" => data <= x"a5";
when "11" & x"29d" => data <= x"f3";
when "11" & x"29e" => data <= x"49";
when "11" & x"29f" => data <= x"01";
when "11" & x"2a0" => data <= x"85";
when "11" & x"2a1" => data <= x"f3";
when "11" & x"2a2" => data <= x"6a";
when "11" & x"2a3" => data <= x"b0";
when "11" & x"2a4" => data <= x"d9";
when "11" & x"2a5" => data <= x"20";
when "11" & x"2a6" => data <= x"c4";
when "11" & x"2a7" => data <= x"b0";
when "11" & x"2a8" => data <= x"18";
when "11" & x"2a9" => data <= x"ad";
when "11" & x"2aa" => data <= x"52";
when "11" & x"2ab" => data <= x"0d";
when "11" & x"2ac" => data <= x"69";
when "11" & x"2ad" => data <= x"01";
when "11" & x"2ae" => data <= x"c9";
when "11" & x"2af" => data <= x"90";
when "11" & x"2b0" => data <= x"f0";
when "11" & x"2b1" => data <= x"18";
when "11" & x"2b2" => data <= x"8d";
when "11" & x"2b3" => data <= x"52";
when "11" & x"2b4" => data <= x"0d";
when "11" & x"2b5" => data <= x"24";
when "11" & x"2b6" => data <= x"ff";
when "11" & x"2b7" => data <= x"30";
when "11" & x"2b8" => data <= x"12";
when "11" & x"2b9" => data <= x"20";
when "11" & x"2ba" => data <= x"af";
when "11" & x"2bb" => data <= x"b0";
when "11" & x"2bc" => data <= x"4c";
when "11" & x"2bd" => data <= x"7e";
when "11" & x"2be" => data <= x"b2";
when "11" & x"2bf" => data <= x"a5";
when "11" & x"2c0" => data <= x"f2";
when "11" & x"2c1" => data <= x"d0";
when "11" & x"2c2" => data <= x"04";
when "11" & x"2c3" => data <= x"66";
when "11" & x"2c4" => data <= x"f3";
when "11" & x"2c5" => data <= x"90";
when "11" & x"2c6" => data <= x"03";
when "11" & x"2c7" => data <= x"20";
when "11" & x"2c8" => data <= x"c4";
when "11" & x"2c9" => data <= x"b0";
when "11" & x"2ca" => data <= x"60";
when "11" & x"2cb" => data <= x"4c";
when "11" & x"2cc" => data <= x"82";
when "11" & x"2cd" => data <= x"a0";
when "11" & x"2ce" => data <= x"a2";
when "11" & x"2cf" => data <= x"0b";
when "11" & x"2d0" => data <= x"a9";
when "11" & x"2d1" => data <= x"00";
when "11" & x"2d2" => data <= x"20";
when "11" & x"2d3" => data <= x"c6";
when "11" & x"2d4" => data <= x"88";
when "11" & x"2d5" => data <= x"9d";
when "11" & x"2d6" => data <= x"5f";
when "11" & x"2d7" => data <= x"0d";
when "11" & x"2d8" => data <= x"ca";
when "11" & x"2d9" => data <= x"10";
when "11" & x"2da" => data <= x"f7";
when "11" & x"2db" => data <= x"e8";
when "11" & x"2dc" => data <= x"20";
when "11" & x"2dd" => data <= x"c5";
when "11" & x"2de" => data <= x"ff";
when "11" & x"2df" => data <= x"b0";
when "11" & x"2e0" => data <= x"0a";
when "11" & x"2e1" => data <= x"20";
when "11" & x"2e2" => data <= x"c6";
when "11" & x"2e3" => data <= x"88";
when "11" & x"2e4" => data <= x"9d";
when "11" & x"2e5" => data <= x"5f";
when "11" & x"2e6" => data <= x"0d";
when "11" & x"2e7" => data <= x"e0";
when "11" & x"2e8" => data <= x"0b";
when "11" & x"2e9" => data <= x"90";
when "11" & x"2ea" => data <= x"f0";
when "11" & x"2eb" => data <= x"20";
when "11" & x"2ec" => data <= x"b4";
when "11" & x"2ed" => data <= x"8a";
when "11" & x"2ee" => data <= x"ae";
when "11" & x"2ef" => data <= x"82";
when "11" & x"2f0" => data <= x"10";
when "11" & x"2f1" => data <= x"bd";
when "11" & x"2f2" => data <= x"10";
when "11" & x"2f3" => data <= x"0d";
when "11" & x"2f4" => data <= x"6a";
when "11" & x"2f5" => data <= x"bd";
when "11" & x"2f6" => data <= x"0c";
when "11" & x"2f7" => data <= x"0d";
when "11" & x"2f8" => data <= x"20";
when "11" & x"2f9" => data <= x"ad";
when "11" & x"2fa" => data <= x"af";
when "11" & x"2fb" => data <= x"29";
when "11" & x"2fc" => data <= x"f0";
when "11" & x"2fd" => data <= x"48";
when "11" & x"2fe" => data <= x"98";
when "11" & x"2ff" => data <= x"48";
when "11" & x"300" => data <= x"29";
when "11" & x"301" => data <= x"fe";
when "11" & x"302" => data <= x"4a";
when "11" & x"303" => data <= x"09";
when "11" & x"304" => data <= x"80";
when "11" & x"305" => data <= x"20";
when "11" & x"306" => data <= x"a1";
when "11" & x"307" => data <= x"b0";
when "11" & x"308" => data <= x"68";
when "11" & x"309" => data <= x"18";
when "11" & x"30a" => data <= x"29";
when "11" & x"30b" => data <= x"01";
when "11" & x"30c" => data <= x"69";
when "11" & x"30d" => data <= x"0e";
when "11" & x"30e" => data <= x"85";
when "11" & x"30f" => data <= x"f3";
when "11" & x"310" => data <= x"68";
when "11" & x"311" => data <= x"85";
when "11" & x"312" => data <= x"f2";
when "11" & x"313" => data <= x"a0";
when "11" & x"314" => data <= x"0b";
when "11" & x"315" => data <= x"b9";
when "11" & x"316" => data <= x"5f";
when "11" & x"317" => data <= x"0d";
when "11" & x"318" => data <= x"91";
when "11" & x"319" => data <= x"f2";
when "11" & x"31a" => data <= x"88";
when "11" & x"31b" => data <= x"10";
when "11" & x"31c" => data <= x"f8";
when "11" & x"31d" => data <= x"4c";
when "11" & x"31e" => data <= x"b8";
when "11" & x"31f" => data <= x"b0";
when "11" & x"320" => data <= x"42";
when "11" & x"321" => data <= x"45";
when "11" & x"322" => data <= x"45";
when "11" & x"323" => data <= x"42";
when "11" & x"324" => data <= x"20";
when "11" & x"325" => data <= x"20";
when "11" & x"326" => data <= x"20";
when "11" & x"327" => data <= x"20";
when "11" & x"328" => data <= x"4d";
when "11" & x"329" => data <= x"4d";
when "11" & x"32a" => data <= x"42";
when "11" & x"32b" => data <= x"44";
when "11" & x"32c" => data <= x"49";
when "11" & x"32d" => data <= x"4e";
when "11" & x"32e" => data <= x"b8";
when "11" & x"32f" => data <= x"05";
when "11" & x"330" => data <= x"12";
when "11" & x"331" => data <= x"44";
when "11" & x"332" => data <= x"42";
when "11" & x"333" => data <= x"4f";
when "11" & x"334" => data <= x"4f";
when "11" & x"335" => data <= x"54";
when "11" & x"336" => data <= x"b7";
when "11" & x"337" => data <= x"fa";
when "11" & x"338" => data <= x"02";
when "11" & x"339" => data <= x"44";
when "11" & x"33a" => data <= x"43";
when "11" & x"33b" => data <= x"41";
when "11" & x"33c" => data <= x"54";
when "11" & x"33d" => data <= x"b8";
when "11" & x"33e" => data <= x"0b";
when "11" & x"33f" => data <= x"04";
when "11" & x"340" => data <= x"44";
when "11" & x"341" => data <= x"44";
when "11" & x"342" => data <= x"49";
when "11" & x"343" => data <= x"53";
when "11" & x"344" => data <= x"4b";
when "11" & x"345" => data <= x"53";
when "11" & x"346" => data <= x"b9";
when "11" & x"347" => data <= x"bb";
when "11" & x"348" => data <= x"01";
when "11" & x"349" => data <= x"44";
when "11" & x"34a" => data <= x"4c";
when "11" & x"34b" => data <= x"4f";
when "11" & x"34c" => data <= x"43";
when "11" & x"34d" => data <= x"4b";
when "11" & x"34e" => data <= x"ba";
when "11" & x"34f" => data <= x"01";
when "11" & x"350" => data <= x"02";
when "11" & x"351" => data <= x"44";
when "11" & x"352" => data <= x"55";
when "11" & x"353" => data <= x"4e";
when "11" & x"354" => data <= x"4c";
when "11" & x"355" => data <= x"4f";
when "11" & x"356" => data <= x"43";
when "11" & x"357" => data <= x"4b";
when "11" & x"358" => data <= x"ba";
when "11" & x"359" => data <= x"05";
when "11" & x"35a" => data <= x"02";
when "11" & x"35b" => data <= x"44";
when "11" & x"35c" => data <= x"46";
when "11" & x"35d" => data <= x"52";
when "11" & x"35e" => data <= x"45";
when "11" & x"35f" => data <= x"45";
when "11" & x"360" => data <= x"b8";
when "11" & x"361" => data <= x"f5";
when "11" & x"362" => data <= x"00";
when "11" & x"363" => data <= x"44";
when "11" & x"364" => data <= x"4b";
when "11" & x"365" => data <= x"49";
when "11" & x"366" => data <= x"4c";
when "11" & x"367" => data <= x"4c";
when "11" & x"368" => data <= x"ba";
when "11" & x"369" => data <= x"28";
when "11" & x"36a" => data <= x"03";
when "11" & x"36b" => data <= x"44";
when "11" & x"36c" => data <= x"52";
when "11" & x"36d" => data <= x"45";
when "11" & x"36e" => data <= x"53";
when "11" & x"36f" => data <= x"54";
when "11" & x"370" => data <= x"4f";
when "11" & x"371" => data <= x"52";
when "11" & x"372" => data <= x"45";
when "11" & x"373" => data <= x"ba";
when "11" & x"374" => data <= x"6b";
when "11" & x"375" => data <= x"03";
when "11" & x"376" => data <= x"44";
when "11" & x"377" => data <= x"4e";
when "11" & x"378" => data <= x"45";
when "11" & x"379" => data <= x"57";
when "11" & x"37a" => data <= x"ba";
when "11" & x"37b" => data <= x"c0";
when "11" & x"37c" => data <= x"01";
when "11" & x"37d" => data <= x"44";
when "11" & x"37e" => data <= x"46";
when "11" & x"37f" => data <= x"4f";
when "11" & x"380" => data <= x"52";
when "11" & x"381" => data <= x"4d";
when "11" & x"382" => data <= x"ba";
when "11" & x"383" => data <= x"63";
when "11" & x"384" => data <= x"03";
when "11" & x"385" => data <= x"44";
when "11" & x"386" => data <= x"4f";
when "11" & x"387" => data <= x"4e";
when "11" & x"388" => data <= x"42";
when "11" & x"389" => data <= x"4f";
when "11" & x"38a" => data <= x"4f";
when "11" & x"38b" => data <= x"54";
when "11" & x"38c" => data <= x"bb";
when "11" & x"38d" => data <= x"8e";
when "11" & x"38e" => data <= x"52";
when "11" & x"38f" => data <= x"44";
when "11" & x"390" => data <= x"52";
when "11" & x"391" => data <= x"45";
when "11" & x"392" => data <= x"43";
when "11" & x"393" => data <= x"41";
when "11" & x"394" => data <= x"54";
when "11" & x"395" => data <= x"b2";
when "11" & x"396" => data <= x"43";
when "11" & x"397" => data <= x"00";
when "11" & x"398" => data <= x"44";
when "11" & x"399" => data <= x"52";
when "11" & x"39a" => data <= x"4f";
when "11" & x"39b" => data <= x"4d";
when "11" & x"39c" => data <= x"bc";
when "11" & x"39d" => data <= x"1a";
when "11" & x"39e" => data <= x"86";
when "11" & x"39f" => data <= x"42";
when "11" & x"3a0" => data <= x"45";
when "11" & x"3a1" => data <= x"45";
when "11" & x"3a2" => data <= x"42";
when "11" & x"3a3" => data <= x"bb";
when "11" & x"3a4" => data <= x"a9";
when "11" & x"3a5" => data <= x"03";
when "11" & x"3a6" => data <= x"44";
when "11" & x"3a7" => data <= x"47";
when "11" & x"3a8" => data <= x"45";
when "11" & x"3a9" => data <= x"54";
when "11" & x"3aa" => data <= x"bc";
when "11" & x"3ab" => data <= x"14";
when "11" & x"3ac" => data <= x"00";
when "11" & x"3ad" => data <= x"44";
when "11" & x"3ae" => data <= x"50";
when "11" & x"3af" => data <= x"55";
when "11" & x"3b0" => data <= x"54";
when "11" & x"3b1" => data <= x"bc";
when "11" & x"3b2" => data <= x"17";
when "11" & x"3b3" => data <= x"00";
when "11" & x"3b4" => data <= x"44";
when "11" & x"3b5" => data <= x"41";
when "11" & x"3b6" => data <= x"42";
when "11" & x"3b7" => data <= x"4f";
when "11" & x"3b8" => data <= x"55";
when "11" & x"3b9" => data <= x"54";
when "11" & x"3ba" => data <= x"b4";
when "11" & x"3bb" => data <= x"32";
when "11" & x"3bc" => data <= x"00";
when "11" & x"3bd" => data <= x"87";
when "11" & x"3be" => data <= x"d6";
when "11" & x"3bf" => data <= x"00";
when "11" & x"3c0" => data <= x"44";
when "11" & x"3c1" => data <= x"55";
when "11" & x"3c2" => data <= x"54";
when "11" & x"3c3" => data <= x"49";
when "11" & x"3c4" => data <= x"4c";
when "11" & x"3c5" => data <= x"53";
when "11" & x"3c6" => data <= x"b4";
when "11" & x"3c7" => data <= x"03";
when "11" & x"3c8" => data <= x"00";
when "11" & x"3c9" => data <= x"b3";
when "11" & x"3ca" => data <= x"f5";
when "11" & x"3cb" => data <= x"00";
when "11" & x"3cc" => data <= x"a2";
when "11" & x"3cd" => data <= x"a0";
when "11" & x"3ce" => data <= x"b1";
when "11" & x"3cf" => data <= x"f2";
when "11" & x"3d0" => data <= x"c9";
when "11" & x"3d1" => data <= x"0d";
when "11" & x"3d2" => data <= x"d0";
when "11" & x"3d3" => data <= x"16";
when "11" & x"3d4" => data <= x"98";
when "11" & x"3d5" => data <= x"e8";
when "11" & x"3d6" => data <= x"a0";
when "11" & x"3d7" => data <= x"02";
when "11" & x"3d8" => data <= x"20";
when "11" & x"3d9" => data <= x"cb";
when "11" & x"3da" => data <= x"99";
when "11" & x"3db" => data <= x"20";
when "11" & x"3dc" => data <= x"44";
when "11" & x"3dd" => data <= x"b6";
when "11" & x"3de" => data <= x"20";
when "11" & x"3df" => data <= x"20";
when "11" & x"3e0" => data <= x"44";
when "11" & x"3e1" => data <= x"55";
when "11" & x"3e2" => data <= x"54";
when "11" & x"3e3" => data <= x"49";
when "11" & x"3e4" => data <= x"4c";
when "11" & x"3e5" => data <= x"53";
when "11" & x"3e6" => data <= x"00";
when "11" & x"3e7" => data <= x"4c";
when "11" & x"3e8" => data <= x"e7";
when "11" & x"3e9" => data <= x"ff";
when "11" & x"3ea" => data <= x"98";
when "11" & x"3eb" => data <= x"48";
when "11" & x"3ec" => data <= x"20";
when "11" & x"3ed" => data <= x"71";
when "11" & x"3ee" => data <= x"86";
when "11" & x"3ef" => data <= x"68";
when "11" & x"3f0" => data <= x"a8";
when "11" & x"3f1" => data <= x"a2";
when "11" & x"3f2" => data <= x"92";
when "11" & x"3f3" => data <= x"4c";
when "11" & x"3f4" => data <= x"6e";
when "11" & x"3f5" => data <= x"b4";
when "11" & x"3f6" => data <= x"c8";
when "11" & x"3f7" => data <= x"b1";
when "11" & x"3f8" => data <= x"f2";
when "11" & x"3f9" => data <= x"c9";
when "11" & x"3fa" => data <= x"0d";
when "11" & x"3fb" => data <= x"f0";
when "11" & x"3fc" => data <= x"06";
when "11" & x"3fd" => data <= x"c9";
when "11" & x"3fe" => data <= x"20";
when "11" & x"3ff" => data <= x"f0";
when "11" & x"400" => data <= x"f0";
when "11" & x"401" => data <= x"d0";
when "11" & x"402" => data <= x"f3";
when "11" & x"403" => data <= x"60";
when "11" & x"404" => data <= x"20";
when "11" & x"405" => data <= x"e7";
when "11" & x"406" => data <= x"ff";
when "11" & x"407" => data <= x"20";
when "11" & x"408" => data <= x"44";
when "11" & x"409" => data <= x"b6";
when "11" & x"40a" => data <= x"53";
when "11" & x"40b" => data <= x"50";
when "11" & x"40c" => data <= x"49";
when "11" & x"40d" => data <= x"20";
when "11" & x"40e" => data <= x"30";
when "11" & x"40f" => data <= x"2e";
when "11" & x"410" => data <= x"30";
when "11" & x"411" => data <= x"31";
when "11" & x"412" => data <= x"00";
when "11" & x"413" => data <= x"20";
when "11" & x"414" => data <= x"e7";
when "11" & x"415" => data <= x"ff";
when "11" & x"416" => data <= x"a2";
when "11" & x"417" => data <= x"00";
when "11" & x"418" => data <= x"a9";
when "11" & x"419" => data <= x"11";
when "11" & x"41a" => data <= x"86";
when "11" & x"41b" => data <= x"b5";
when "11" & x"41c" => data <= x"85";
when "11" & x"41d" => data <= x"bf";
when "11" & x"41e" => data <= x"a2";
when "11" & x"41f" => data <= x"00";
when "11" & x"420" => data <= x"a9";
when "11" & x"421" => data <= x"20";
when "11" & x"422" => data <= x"20";
when "11" & x"423" => data <= x"ee";
when "11" & x"424" => data <= x"ff";
when "11" & x"425" => data <= x"20";
when "11" & x"426" => data <= x"ee";
when "11" & x"427" => data <= x"ff";
when "11" & x"428" => data <= x"20";
when "11" & x"429" => data <= x"08";
when "11" & x"42a" => data <= x"b7";
when "11" & x"42b" => data <= x"20";
when "11" & x"42c" => data <= x"e7";
when "11" & x"42d" => data <= x"ff";
when "11" & x"42e" => data <= x"c6";
when "11" & x"42f" => data <= x"bf";
when "11" & x"430" => data <= x"d0";
when "11" & x"431" => data <= x"ee";
when "11" & x"432" => data <= x"60";
when "11" & x"433" => data <= x"20";
when "11" & x"434" => data <= x"44";
when "11" & x"435" => data <= x"b6";
when "11" & x"436" => data <= x"44";
when "11" & x"437" => data <= x"55";
when "11" & x"438" => data <= x"54";
when "11" & x"439" => data <= x"49";
when "11" & x"43a" => data <= x"4c";
when "11" & x"43b" => data <= x"53";
when "11" & x"43c" => data <= x"20";
when "11" & x"43d" => data <= x"62";
when "11" & x"43e" => data <= x"79";
when "11" & x"43f" => data <= x"20";
when "11" & x"440" => data <= x"4d";
when "11" & x"441" => data <= x"61";
when "11" & x"442" => data <= x"72";
when "11" & x"443" => data <= x"74";
when "11" & x"444" => data <= x"69";
when "11" & x"445" => data <= x"6e";
when "11" & x"446" => data <= x"20";
when "11" & x"447" => data <= x"4d";
when "11" & x"448" => data <= x"61";
when "11" & x"449" => data <= x"74";
when "11" & x"44a" => data <= x"68";
when "11" & x"44b" => data <= x"65";
when "11" & x"44c" => data <= x"72";
when "11" & x"44d" => data <= x"20";
when "11" & x"44e" => data <= x"0a";
when "11" & x"44f" => data <= x"0d";
when "11" & x"450" => data <= x"4d";
when "11" & x"451" => data <= x"4f";
when "11" & x"452" => data <= x"44";
when "11" & x"453" => data <= x"49";
when "11" & x"454" => data <= x"46";
when "11" & x"455" => data <= x"49";
when "11" & x"456" => data <= x"45";
when "11" & x"457" => data <= x"44";
when "11" & x"458" => data <= x"20";
when "11" & x"459" => data <= x"62";
when "11" & x"45a" => data <= x"79";
when "11" & x"45b" => data <= x"20";
when "11" & x"45c" => data <= x"64";
when "11" & x"45d" => data <= x"75";
when "11" & x"45e" => data <= x"69";
when "11" & x"45f" => data <= x"6b";
when "11" & x"460" => data <= x"6b";
when "11" & x"461" => data <= x"69";
when "11" & x"462" => data <= x"65";
when "11" & x"463" => data <= x"20";
when "11" & x"464" => data <= x"32";
when "11" & x"465" => data <= x"30";
when "11" & x"466" => data <= x"31";
when "11" & x"467" => data <= x"35";
when "11" & x"468" => data <= x"00";
when "11" & x"469" => data <= x"4c";
when "11" & x"46a" => data <= x"e7";
when "11" & x"46b" => data <= x"ff";
when "11" & x"46c" => data <= x"a2";
when "11" & x"46d" => data <= x"fd";
when "11" & x"46e" => data <= x"98";
when "11" & x"46f" => data <= x"48";
when "11" & x"470" => data <= x"e8";
when "11" & x"471" => data <= x"e8";
when "11" & x"472" => data <= x"68";
when "11" & x"473" => data <= x"48";
when "11" & x"474" => data <= x"a8";
when "11" & x"475" => data <= x"20";
when "11" & x"476" => data <= x"b0";
when "11" & x"477" => data <= x"b4";
when "11" & x"478" => data <= x"e8";
when "11" & x"479" => data <= x"bd";
when "11" & x"47a" => data <= x"2b";
when "11" & x"47b" => data <= x"b3";
when "11" & x"47c" => data <= x"30";
when "11" & x"47d" => data <= x"28";
when "11" & x"47e" => data <= x"86";
when "11" & x"47f" => data <= x"b5";
when "11" & x"480" => data <= x"ca";
when "11" & x"481" => data <= x"88";
when "11" & x"482" => data <= x"e8";
when "11" & x"483" => data <= x"c8";
when "11" & x"484" => data <= x"bd";
when "11" & x"485" => data <= x"2b";
when "11" & x"486" => data <= x"b3";
when "11" & x"487" => data <= x"30";
when "11" & x"488" => data <= x"16";
when "11" & x"489" => data <= x"51";
when "11" & x"48a" => data <= x"f2";
when "11" & x"48b" => data <= x"29";
when "11" & x"48c" => data <= x"5f";
when "11" & x"48d" => data <= x"f0";
when "11" & x"48e" => data <= x"f3";
when "11" & x"48f" => data <= x"ca";
when "11" & x"490" => data <= x"e8";
when "11" & x"491" => data <= x"bd";
when "11" & x"492" => data <= x"2b";
when "11" & x"493" => data <= x"b3";
when "11" & x"494" => data <= x"10";
when "11" & x"495" => data <= x"fa";
when "11" & x"496" => data <= x"b1";
when "11" & x"497" => data <= x"f2";
when "11" & x"498" => data <= x"c9";
when "11" & x"499" => data <= x"2e";
when "11" & x"49a" => data <= x"d0";
when "11" & x"49b" => data <= x"d4";
when "11" & x"49c" => data <= x"c8";
when "11" & x"49d" => data <= x"b0";
when "11" & x"49e" => data <= x"07";
when "11" & x"49f" => data <= x"b1";
when "11" & x"4a0" => data <= x"f2";
when "11" & x"4a1" => data <= x"20";
when "11" & x"4a2" => data <= x"ee";
when "11" & x"4a3" => data <= x"82";
when "11" & x"4a4" => data <= x"90";
when "11" & x"4a5" => data <= x"ca";
when "11" & x"4a6" => data <= x"68";
when "11" & x"4a7" => data <= x"bd";
when "11" & x"4a8" => data <= x"2b";
when "11" & x"4a9" => data <= x"b3";
when "11" & x"4aa" => data <= x"48";
when "11" & x"4ab" => data <= x"bd";
when "11" & x"4ac" => data <= x"2c";
when "11" & x"4ad" => data <= x"b3";
when "11" & x"4ae" => data <= x"48";
when "11" & x"4af" => data <= x"60";
when "11" & x"4b0" => data <= x"b1";
when "11" & x"4b1" => data <= x"f2";
when "11" & x"4b2" => data <= x"c9";
when "11" & x"4b3" => data <= x"0d";
when "11" & x"4b4" => data <= x"f0";
when "11" & x"4b5" => data <= x"08";
when "11" & x"4b6" => data <= x"c8";
when "11" & x"4b7" => data <= x"f0";
when "11" & x"4b8" => data <= x"07";
when "11" & x"4b9" => data <= x"c9";
when "11" & x"4ba" => data <= x"20";
when "11" & x"4bb" => data <= x"f0";
when "11" & x"4bc" => data <= x"f3";
when "11" & x"4bd" => data <= x"18";
when "11" & x"4be" => data <= x"88";
when "11" & x"4bf" => data <= x"60";
when "11" & x"4c0" => data <= x"4c";
when "11" & x"4c1" => data <= x"f5";
when "11" & x"4c2" => data <= x"b6";
when "11" & x"4c3" => data <= x"98";
when "11" & x"4c4" => data <= x"48";
when "11" & x"4c5" => data <= x"a9";
when "11" & x"4c6" => data <= x"00";
when "11" & x"4c7" => data <= x"8d";
when "11" & x"4c8" => data <= x"55";
when "11" & x"4c9" => data <= x"0d";
when "11" & x"4ca" => data <= x"8d";
when "11" & x"4cb" => data <= x"56";
when "11" & x"4cc" => data <= x"0d";
when "11" & x"4cd" => data <= x"20";
when "11" & x"4ce" => data <= x"b0";
when "11" & x"4cf" => data <= x"b4";
when "11" & x"4d0" => data <= x"b0";
when "11" & x"4d1" => data <= x"62";
when "11" & x"4d2" => data <= x"b1";
when "11" & x"4d3" => data <= x"f2";
when "11" & x"4d4" => data <= x"c9";
when "11" & x"4d5" => data <= x"0d";
when "11" & x"4d6" => data <= x"f0";
when "11" & x"4d7" => data <= x"5c";
when "11" & x"4d8" => data <= x"38";
when "11" & x"4d9" => data <= x"e9";
when "11" & x"4da" => data <= x"30";
when "11" & x"4db" => data <= x"30";
when "11" & x"4dc" => data <= x"57";
when "11" & x"4dd" => data <= x"c9";
when "11" & x"4de" => data <= x"0a";
when "11" & x"4df" => data <= x"b0";
when "11" & x"4e0" => data <= x"53";
when "11" & x"4e1" => data <= x"48";
when "11" & x"4e2" => data <= x"ad";
when "11" & x"4e3" => data <= x"55";
when "11" & x"4e4" => data <= x"0d";
when "11" & x"4e5" => data <= x"0a";
when "11" & x"4e6" => data <= x"48";
when "11" & x"4e7" => data <= x"2e";
when "11" & x"4e8" => data <= x"56";
when "11" & x"4e9" => data <= x"0d";
when "11" & x"4ea" => data <= x"ae";
when "11" & x"4eb" => data <= x"56";
when "11" & x"4ec" => data <= x"0d";
when "11" & x"4ed" => data <= x"0a";
when "11" & x"4ee" => data <= x"2e";
when "11" & x"4ef" => data <= x"56";
when "11" & x"4f0" => data <= x"0d";
when "11" & x"4f1" => data <= x"0a";
when "11" & x"4f2" => data <= x"2e";
when "11" & x"4f3" => data <= x"56";
when "11" & x"4f4" => data <= x"0d";
when "11" & x"4f5" => data <= x"8d";
when "11" & x"4f6" => data <= x"55";
when "11" & x"4f7" => data <= x"0d";
when "11" & x"4f8" => data <= x"68";
when "11" & x"4f9" => data <= x"6d";
when "11" & x"4fa" => data <= x"55";
when "11" & x"4fb" => data <= x"0d";
when "11" & x"4fc" => data <= x"8d";
when "11" & x"4fd" => data <= x"55";
when "11" & x"4fe" => data <= x"0d";
when "11" & x"4ff" => data <= x"8a";
when "11" & x"500" => data <= x"6d";
when "11" & x"501" => data <= x"56";
when "11" & x"502" => data <= x"0d";
when "11" & x"503" => data <= x"aa";
when "11" & x"504" => data <= x"68";
when "11" & x"505" => data <= x"6d";
when "11" & x"506" => data <= x"55";
when "11" & x"507" => data <= x"0d";
when "11" & x"508" => data <= x"8d";
when "11" & x"509" => data <= x"55";
when "11" & x"50a" => data <= x"0d";
when "11" & x"50b" => data <= x"8a";
when "11" & x"50c" => data <= x"69";
when "11" & x"50d" => data <= x"00";
when "11" & x"50e" => data <= x"8d";
when "11" & x"50f" => data <= x"56";
when "11" & x"510" => data <= x"0d";
when "11" & x"511" => data <= x"c9";
when "11" & x"512" => data <= x"02";
when "11" & x"513" => data <= x"b0";
when "11" & x"514" => data <= x"1f";
when "11" & x"515" => data <= x"c8";
when "11" & x"516" => data <= x"f0";
when "11" & x"517" => data <= x"1c";
when "11" & x"518" => data <= x"b1";
when "11" & x"519" => data <= x"f2";
when "11" & x"51a" => data <= x"c9";
when "11" & x"51b" => data <= x"0d";
when "11" & x"51c" => data <= x"f0";
when "11" & x"51d" => data <= x"04";
when "11" & x"51e" => data <= x"c9";
when "11" & x"51f" => data <= x"20";
when "11" & x"520" => data <= x"d0";
when "11" & x"521" => data <= x"b6";
when "11" & x"522" => data <= x"ae";
when "11" & x"523" => data <= x"55";
when "11" & x"524" => data <= x"0d";
when "11" & x"525" => data <= x"ad";
when "11" & x"526" => data <= x"56";
when "11" & x"527" => data <= x"0d";
when "11" & x"528" => data <= x"f0";
when "11" & x"529" => data <= x"04";
when "11" & x"52a" => data <= x"e8";
when "11" & x"52b" => data <= x"f0";
when "11" & x"52c" => data <= x"07";
when "11" & x"52d" => data <= x"ca";
when "11" & x"52e" => data <= x"68";
when "11" & x"52f" => data <= x"ad";
when "11" & x"530" => data <= x"56";
when "11" & x"531" => data <= x"0d";
when "11" & x"532" => data <= x"18";
when "11" & x"533" => data <= x"60";
when "11" & x"534" => data <= x"68";
when "11" & x"535" => data <= x"a8";
when "11" & x"536" => data <= x"a9";
when "11" & x"537" => data <= x"00";
when "11" & x"538" => data <= x"aa";
when "11" & x"539" => data <= x"38";
when "11" & x"53a" => data <= x"60";
when "11" & x"53b" => data <= x"a9";
when "11" & x"53c" => data <= x"0d";
when "11" & x"53d" => data <= x"8d";
when "11" & x"53e" => data <= x"5d";
when "11" & x"53f" => data <= x"0d";
when "11" & x"540" => data <= x"a2";
when "11" & x"541" => data <= x"00";
when "11" & x"542" => data <= x"8e";
when "11" & x"543" => data <= x"5e";
when "11" & x"544" => data <= x"0d";
when "11" & x"545" => data <= x"20";
when "11" & x"546" => data <= x"b0";
when "11" & x"547" => data <= x"b4";
when "11" & x"548" => data <= x"b0";
when "11" & x"549" => data <= x"49";
when "11" & x"54a" => data <= x"c9";
when "11" & x"54b" => data <= x"22";
when "11" & x"54c" => data <= x"d0";
when "11" & x"54d" => data <= x"04";
when "11" & x"54e" => data <= x"c8";
when "11" & x"54f" => data <= x"8d";
when "11" & x"550" => data <= x"5d";
when "11" & x"551" => data <= x"0d";
when "11" & x"552" => data <= x"b1";
when "11" & x"553" => data <= x"f2";
when "11" & x"554" => data <= x"c9";
when "11" & x"555" => data <= x"0d";
when "11" & x"556" => data <= x"f0";
when "11" & x"557" => data <= x"2c";
when "11" & x"558" => data <= x"c9";
when "11" & x"559" => data <= x"20";
when "11" & x"55a" => data <= x"d0";
when "11" & x"55b" => data <= x"0b";
when "11" & x"55c" => data <= x"90";
when "11" & x"55d" => data <= x"52";
when "11" & x"55e" => data <= x"ad";
when "11" & x"55f" => data <= x"5d";
when "11" & x"560" => data <= x"0d";
when "11" & x"561" => data <= x"c9";
when "11" & x"562" => data <= x"22";
when "11" & x"563" => data <= x"d0";
when "11" & x"564" => data <= x"28";
when "11" & x"565" => data <= x"a9";
when "11" & x"566" => data <= x"20";
when "11" & x"567" => data <= x"c9";
when "11" & x"568" => data <= x"22";
when "11" & x"569" => data <= x"f0";
when "11" & x"56a" => data <= x"19";
when "11" & x"56b" => data <= x"c9";
when "11" & x"56c" => data <= x"2a";
when "11" & x"56d" => data <= x"f0";
when "11" & x"56e" => data <= x"31";
when "11" & x"56f" => data <= x"c9";
when "11" & x"570" => data <= x"61";
when "11" & x"571" => data <= x"90";
when "11" & x"572" => data <= x"06";
when "11" & x"573" => data <= x"c9";
when "11" & x"574" => data <= x"7b";
when "11" & x"575" => data <= x"b0";
when "11" & x"576" => data <= x"02";
when "11" & x"577" => data <= x"49";
when "11" & x"578" => data <= x"20";
when "11" & x"579" => data <= x"9d";
when "11" & x"57a" => data <= x"5f";
when "11" & x"57b" => data <= x"0d";
when "11" & x"57c" => data <= x"c8";
when "11" & x"57d" => data <= x"e8";
when "11" & x"57e" => data <= x"e0";
when "11" & x"57f" => data <= x"0c";
when "11" & x"580" => data <= x"d0";
when "11" & x"581" => data <= x"d0";
when "11" & x"582" => data <= x"b1";
when "11" & x"583" => data <= x"f2";
when "11" & x"584" => data <= x"cd";
when "11" & x"585" => data <= x"5d";
when "11" & x"586" => data <= x"0d";
when "11" & x"587" => data <= x"d0";
when "11" & x"588" => data <= x"27";
when "11" & x"589" => data <= x"c9";
when "11" & x"58a" => data <= x"0d";
when "11" & x"58b" => data <= x"f0";
when "11" & x"58c" => data <= x"06";
when "11" & x"58d" => data <= x"c8";
when "11" & x"58e" => data <= x"20";
when "11" & x"58f" => data <= x"b0";
when "11" & x"590" => data <= x"b4";
when "11" & x"591" => data <= x"90";
when "11" & x"592" => data <= x"1d";
when "11" & x"593" => data <= x"8e";
when "11" & x"594" => data <= x"5c";
when "11" & x"595" => data <= x"0d";
when "11" & x"596" => data <= x"e0";
when "11" & x"597" => data <= x"0c";
when "11" & x"598" => data <= x"f0";
when "11" & x"599" => data <= x"05";
when "11" & x"59a" => data <= x"a9";
when "11" & x"59b" => data <= x"00";
when "11" & x"59c" => data <= x"9d";
when "11" & x"59d" => data <= x"5f";
when "11" & x"59e" => data <= x"0d";
when "11" & x"59f" => data <= x"60";
when "11" & x"5a0" => data <= x"8d";
when "11" & x"5a1" => data <= x"5e";
when "11" & x"5a2" => data <= x"0d";
when "11" & x"5a3" => data <= x"ad";
when "11" & x"5a4" => data <= x"5d";
when "11" & x"5a5" => data <= x"0d";
when "11" & x"5a6" => data <= x"c9";
when "11" & x"5a7" => data <= x"0d";
when "11" & x"5a8" => data <= x"f0";
when "11" & x"5a9" => data <= x"e3";
when "11" & x"5aa" => data <= x"c8";
when "11" & x"5ab" => data <= x"b1";
when "11" & x"5ac" => data <= x"f2";
when "11" & x"5ad" => data <= x"4c";
when "11" & x"5ae" => data <= x"84";
when "11" & x"5af" => data <= x"b5";
when "11" & x"5b0" => data <= x"4c";
when "11" & x"5b1" => data <= x"f5";
when "11" & x"5b2" => data <= x"b6";
when "11" & x"5b3" => data <= x"a0";
when "11" & x"5b4" => data <= x"00";
when "11" & x"5b5" => data <= x"ae";
when "11" & x"5b6" => data <= x"5c";
when "11" & x"5b7" => data <= x"0d";
when "11" & x"5b8" => data <= x"f0";
when "11" & x"5b9" => data <= x"17";
when "11" & x"5ba" => data <= x"b1";
when "11" & x"5bb" => data <= x"f2";
when "11" & x"5bc" => data <= x"f0";
when "11" & x"5bd" => data <= x"25";
when "11" & x"5be" => data <= x"c9";
when "11" & x"5bf" => data <= x"61";
when "11" & x"5c0" => data <= x"90";
when "11" & x"5c1" => data <= x"06";
when "11" & x"5c2" => data <= x"c9";
when "11" & x"5c3" => data <= x"7b";
when "11" & x"5c4" => data <= x"b0";
when "11" & x"5c5" => data <= x"02";
when "11" & x"5c6" => data <= x"49";
when "11" & x"5c7" => data <= x"20";
when "11" & x"5c8" => data <= x"d9";
when "11" & x"5c9" => data <= x"5f";
when "11" & x"5ca" => data <= x"0d";
when "11" & x"5cb" => data <= x"d0";
when "11" & x"5cc" => data <= x"16";
when "11" & x"5cd" => data <= x"c8";
when "11" & x"5ce" => data <= x"ca";
when "11" & x"5cf" => data <= x"d0";
when "11" & x"5d0" => data <= x"e9";
when "11" & x"5d1" => data <= x"b1";
when "11" & x"5d2" => data <= x"f2";
when "11" & x"5d3" => data <= x"f0";
when "11" & x"5d4" => data <= x"0c";
when "11" & x"5d5" => data <= x"ad";
when "11" & x"5d6" => data <= x"5c";
when "11" & x"5d7" => data <= x"0d";
when "11" & x"5d8" => data <= x"c9";
when "11" & x"5d9" => data <= x"0c";
when "11" & x"5da" => data <= x"f0";
when "11" & x"5db" => data <= x"05";
when "11" & x"5dc" => data <= x"ad";
when "11" & x"5dd" => data <= x"5e";
when "11" & x"5de" => data <= x"0d";
when "11" & x"5df" => data <= x"f0";
when "11" & x"5e0" => data <= x"02";
when "11" & x"5e1" => data <= x"18";
when "11" & x"5e2" => data <= x"60";
when "11" & x"5e3" => data <= x"38";
when "11" & x"5e4" => data <= x"60";
when "11" & x"5e5" => data <= x"b0";
when "11" & x"5e6" => data <= x"05";
when "11" & x"5e7" => data <= x"a9";
when "11" & x"5e8" => data <= x"20";
when "11" & x"5e9" => data <= x"20";
when "11" & x"5ea" => data <= x"ee";
when "11" & x"5eb" => data <= x"ff";
when "11" & x"5ec" => data <= x"a2";
when "11" & x"5ed" => data <= x"20";
when "11" & x"5ee" => data <= x"a0";
when "11" & x"5ef" => data <= x"04";
when "11" & x"5f0" => data <= x"ad";
when "11" & x"5f1" => data <= x"56";
when "11" & x"5f2" => data <= x"0d";
when "11" & x"5f3" => data <= x"20";
when "11" & x"5f4" => data <= x"26";
when "11" & x"5f5" => data <= x"b6";
when "11" & x"5f6" => data <= x"ad";
when "11" & x"5f7" => data <= x"55";
when "11" & x"5f8" => data <= x"0d";
when "11" & x"5f9" => data <= x"20";
when "11" & x"5fa" => data <= x"26";
when "11" & x"5fb" => data <= x"b6";
when "11" & x"5fc" => data <= x"a9";
when "11" & x"5fd" => data <= x"20";
when "11" & x"5fe" => data <= x"20";
when "11" & x"5ff" => data <= x"ee";
when "11" & x"600" => data <= x"ff";
when "11" & x"601" => data <= x"a0";
when "11" & x"602" => data <= x"00";
when "11" & x"603" => data <= x"b1";
when "11" & x"604" => data <= x"f2";
when "11" & x"605" => data <= x"f0";
when "11" & x"606" => data <= x"08";
when "11" & x"607" => data <= x"20";
when "11" & x"608" => data <= x"ee";
when "11" & x"609" => data <= x"ff";
when "11" & x"60a" => data <= x"c8";
when "11" & x"60b" => data <= x"c0";
when "11" & x"60c" => data <= x"0c";
when "11" & x"60d" => data <= x"d0";
when "11" & x"60e" => data <= x"f4";
when "11" & x"60f" => data <= x"a9";
when "11" & x"610" => data <= x"20";
when "11" & x"611" => data <= x"20";
when "11" & x"612" => data <= x"ee";
when "11" & x"613" => data <= x"ff";
when "11" & x"614" => data <= x"c8";
when "11" & x"615" => data <= x"c0";
when "11" & x"616" => data <= x"0d";
when "11" & x"617" => data <= x"d0";
when "11" & x"618" => data <= x"f8";
when "11" & x"619" => data <= x"aa";
when "11" & x"61a" => data <= x"a0";
when "11" & x"61b" => data <= x"0f";
when "11" & x"61c" => data <= x"b1";
when "11" & x"61d" => data <= x"f2";
when "11" & x"61e" => data <= x"d0";
when "11" & x"61f" => data <= x"02";
when "11" & x"620" => data <= x"a2";
when "11" & x"621" => data <= x"50";
when "11" & x"622" => data <= x"8a";
when "11" & x"623" => data <= x"4c";
when "11" & x"624" => data <= x"ee";
when "11" & x"625" => data <= x"ff";
when "11" & x"626" => data <= x"48";
when "11" & x"627" => data <= x"4a";
when "11" & x"628" => data <= x"4a";
when "11" & x"629" => data <= x"4a";
when "11" & x"62a" => data <= x"4a";
when "11" & x"62b" => data <= x"20";
when "11" & x"62c" => data <= x"2f";
when "11" & x"62d" => data <= x"b6";
when "11" & x"62e" => data <= x"68";
when "11" & x"62f" => data <= x"29";
when "11" & x"630" => data <= x"0f";
when "11" & x"631" => data <= x"f0";
when "11" & x"632" => data <= x"08";
when "11" & x"633" => data <= x"a2";
when "11" & x"634" => data <= x"30";
when "11" & x"635" => data <= x"18";
when "11" & x"636" => data <= x"69";
when "11" & x"637" => data <= x"30";
when "11" & x"638" => data <= x"4c";
when "11" & x"639" => data <= x"ee";
when "11" & x"63a" => data <= x"ff";
when "11" & x"63b" => data <= x"88";
when "11" & x"63c" => data <= x"d0";
when "11" & x"63d" => data <= x"02";
when "11" & x"63e" => data <= x"a2";
when "11" & x"63f" => data <= x"30";
when "11" & x"640" => data <= x"8a";
when "11" & x"641" => data <= x"4c";
when "11" & x"642" => data <= x"ee";
when "11" & x"643" => data <= x"ff";
when "11" & x"644" => data <= x"a2";
when "11" & x"645" => data <= x"00";
when "11" & x"646" => data <= x"68";
when "11" & x"647" => data <= x"85";
when "11" & x"648" => data <= x"a0";
when "11" & x"649" => data <= x"68";
when "11" & x"64a" => data <= x"85";
when "11" & x"64b" => data <= x"a1";
when "11" & x"64c" => data <= x"a0";
when "11" & x"64d" => data <= x"00";
when "11" & x"64e" => data <= x"f0";
when "11" & x"64f" => data <= x"07";
when "11" & x"650" => data <= x"b1";
when "11" & x"651" => data <= x"a0";
when "11" & x"652" => data <= x"f0";
when "11" & x"653" => data <= x"0b";
when "11" & x"654" => data <= x"20";
when "11" & x"655" => data <= x"66";
when "11" & x"656" => data <= x"b6";
when "11" & x"657" => data <= x"e6";
when "11" & x"658" => data <= x"a0";
when "11" & x"659" => data <= x"d0";
when "11" & x"65a" => data <= x"f5";
when "11" & x"65b" => data <= x"e6";
when "11" & x"65c" => data <= x"a1";
when "11" & x"65d" => data <= x"d0";
when "11" & x"65e" => data <= x"f1";
when "11" & x"65f" => data <= x"a5";
when "11" & x"660" => data <= x"a1";
when "11" & x"661" => data <= x"48";
when "11" & x"662" => data <= x"a5";
when "11" & x"663" => data <= x"a0";
when "11" & x"664" => data <= x"48";
when "11" & x"665" => data <= x"60";
when "11" & x"666" => data <= x"e0";
when "11" & x"667" => data <= x"00";
when "11" & x"668" => data <= x"d0";
when "11" & x"669" => data <= x"03";
when "11" & x"66a" => data <= x"4c";
when "11" & x"66b" => data <= x"ee";
when "11" & x"66c" => data <= x"ff";
when "11" & x"66d" => data <= x"9d";
when "11" & x"66e" => data <= x"00";
when "11" & x"66f" => data <= x"01";
when "11" & x"670" => data <= x"e8";
when "11" & x"671" => data <= x"60";
when "11" & x"672" => data <= x"08";
when "11" & x"673" => data <= x"10";
when "11" & x"674" => data <= x"1c";
when "11" & x"675" => data <= x"22";
when "11" & x"676" => data <= x"43";
when "11" & x"677" => data <= x"49";
when "11" & x"678" => data <= x"4f";
when "11" & x"679" => data <= x"58";
when "11" & x"67a" => data <= x"28";
when "11" & x"67b" => data <= x"3c";
when "11" & x"67c" => data <= x"64";
when "11" & x"67d" => data <= x"72";
when "11" & x"67e" => data <= x"76";
when "11" & x"67f" => data <= x"3e";
when "11" & x"680" => data <= x"29";
when "11" & x"681" => data <= x"00";
when "11" & x"682" => data <= x"3c";
when "11" & x"683" => data <= x"64";
when "11" & x"684" => data <= x"6e";
when "11" & x"685" => data <= x"6f";
when "11" & x"686" => data <= x"3e";
when "11" & x"687" => data <= x"2f";
when "11" & x"688" => data <= x"3c";
when "11" & x"689" => data <= x"64";
when "11" & x"68a" => data <= x"73";
when "11" & x"68b" => data <= x"70";
when "11" & x"68c" => data <= x"3e";
when "11" & x"68d" => data <= x"00";
when "11" & x"68e" => data <= x"3c";
when "11" & x"68f" => data <= x"64";
when "11" & x"690" => data <= x"6e";
when "11" & x"691" => data <= x"6f";
when "11" & x"692" => data <= x"3e";
when "11" & x"693" => data <= x"00";
when "11" & x"694" => data <= x"28";
when "11" & x"695" => data <= x"28";
when "11" & x"696" => data <= x"3c";
when "11" & x"697" => data <= x"66";
when "11" & x"698" => data <= x"72";
when "11" & x"699" => data <= x"6f";
when "11" & x"69a" => data <= x"6d";
when "11" & x"69b" => data <= x"20";
when "11" & x"69c" => data <= x"64";
when "11" & x"69d" => data <= x"6e";
when "11" & x"69e" => data <= x"6f";
when "11" & x"69f" => data <= x"3e";
when "11" & x"6a0" => data <= x"29";
when "11" & x"6a1" => data <= x"20";
when "11" & x"6a2" => data <= x"3c";
when "11" & x"6a3" => data <= x"74";
when "11" & x"6a4" => data <= x"6f";
when "11" & x"6a5" => data <= x"20";
when "11" & x"6a6" => data <= x"64";
when "11" & x"6a7" => data <= x"6e";
when "11" & x"6a8" => data <= x"6f";
when "11" & x"6a9" => data <= x"3e";
when "11" & x"6aa" => data <= x"29";
when "11" & x"6ab" => data <= x"20";
when "11" & x"6ac" => data <= x"28";
when "11" & x"6ad" => data <= x"3c";
when "11" & x"6ae" => data <= x"61";
when "11" & x"6af" => data <= x"64";
when "11" & x"6b0" => data <= x"73";
when "11" & x"6b1" => data <= x"70";
when "11" & x"6b2" => data <= x"3e";
when "11" & x"6b3" => data <= x"29";
when "11" & x"6b4" => data <= x"00";
when "11" & x"6b5" => data <= x"3c";
when "11" & x"6b6" => data <= x"64";
when "11" & x"6b7" => data <= x"72";
when "11" & x"6b8" => data <= x"76";
when "11" & x"6b9" => data <= x"3e";
when "11" & x"6ba" => data <= x"00";
when "11" & x"6bb" => data <= x"3c";
when "11" & x"6bc" => data <= x"66";
when "11" & x"6bd" => data <= x"73";
when "11" & x"6be" => data <= x"70";
when "11" & x"6bf" => data <= x"3e";
when "11" & x"6c0" => data <= x"00";
when "11" & x"6c1" => data <= x"28";
when "11" & x"6c2" => data <= x"3c";
when "11" & x"6c3" => data <= x"6d";
when "11" & x"6c4" => data <= x"6f";
when "11" & x"6c5" => data <= x"64";
when "11" & x"6c6" => data <= x"65";
when "11" & x"6c7" => data <= x"3e";
when "11" & x"6c8" => data <= x"29";
when "11" & x"6c9" => data <= x"00";
when "11" & x"6ca" => data <= x"28";
when "11" & x"6cb" => data <= x"3c";
when "11" & x"6cc" => data <= x"72";
when "11" & x"6cd" => data <= x"6f";
when "11" & x"6ce" => data <= x"6d";
when "11" & x"6cf" => data <= x"3e";
when "11" & x"6d0" => data <= x"29";
when "11" & x"6d1" => data <= x"00";
when "11" & x"6d2" => data <= x"48";
when "11" & x"6d3" => data <= x"4a";
when "11" & x"6d4" => data <= x"4a";
when "11" & x"6d5" => data <= x"4a";
when "11" & x"6d6" => data <= x"4a";
when "11" & x"6d7" => data <= x"20";
when "11" & x"6d8" => data <= x"dd";
when "11" & x"6d9" => data <= x"b6";
when "11" & x"6da" => data <= x"68";
when "11" & x"6db" => data <= x"29";
when "11" & x"6dc" => data <= x"0f";
when "11" & x"6dd" => data <= x"a8";
when "11" & x"6de" => data <= x"f0";
when "11" & x"6df" => data <= x"14";
when "11" & x"6e0" => data <= x"a9";
when "11" & x"6e1" => data <= x"20";
when "11" & x"6e2" => data <= x"20";
when "11" & x"6e3" => data <= x"66";
when "11" & x"6e4" => data <= x"b6";
when "11" & x"6e5" => data <= x"b9";
when "11" & x"6e6" => data <= x"71";
when "11" & x"6e7" => data <= x"b6";
when "11" & x"6e8" => data <= x"a8";
when "11" & x"6e9" => data <= x"b9";
when "11" & x"6ea" => data <= x"72";
when "11" & x"6eb" => data <= x"b6";
when "11" & x"6ec" => data <= x"f0";
when "11" & x"6ed" => data <= x"06";
when "11" & x"6ee" => data <= x"20";
when "11" & x"6ef" => data <= x"66";
when "11" & x"6f0" => data <= x"b6";
when "11" & x"6f1" => data <= x"c8";
when "11" & x"6f2" => data <= x"d0";
when "11" & x"6f3" => data <= x"f5";
when "11" & x"6f4" => data <= x"60";
when "11" & x"6f5" => data <= x"a2";
when "11" & x"6f6" => data <= x"00";
when "11" & x"6f7" => data <= x"8e";
when "11" & x"6f8" => data <= x"00";
when "11" & x"6f9" => data <= x"01";
when "11" & x"6fa" => data <= x"e8";
when "11" & x"6fb" => data <= x"20";
when "11" & x"6fc" => data <= x"46";
when "11" & x"6fd" => data <= x"b6";
when "11" & x"6fe" => data <= x"1a";
when "11" & x"6ff" => data <= x"53";
when "11" & x"700" => data <= x"79";
when "11" & x"701" => data <= x"6e";
when "11" & x"702" => data <= x"74";
when "11" & x"703" => data <= x"61";
when "11" & x"704" => data <= x"78";
when "11" & x"705" => data <= x"3a";
when "11" & x"706" => data <= x"20";
when "11" & x"707" => data <= x"00";
when "11" & x"708" => data <= x"a4";
when "11" & x"709" => data <= x"b5";
when "11" & x"70a" => data <= x"b9";
when "11" & x"70b" => data <= x"2b";
when "11" & x"70c" => data <= x"b3";
when "11" & x"70d" => data <= x"30";
when "11" & x"70e" => data <= x"06";
when "11" & x"70f" => data <= x"20";
when "11" & x"710" => data <= x"66";
when "11" & x"711" => data <= x"b6";
when "11" & x"712" => data <= x"c8";
when "11" & x"713" => data <= x"d0";
when "11" & x"714" => data <= x"f5";
when "11" & x"715" => data <= x"c8";
when "11" & x"716" => data <= x"c8";
when "11" & x"717" => data <= x"b9";
when "11" & x"718" => data <= x"2b";
when "11" & x"719" => data <= x"b3";
when "11" & x"71a" => data <= x"c8";
when "11" & x"71b" => data <= x"84";
when "11" & x"71c" => data <= x"b5";
when "11" & x"71d" => data <= x"20";
when "11" & x"71e" => data <= x"d2";
when "11" & x"71f" => data <= x"b6";
when "11" & x"720" => data <= x"e0";
when "11" & x"721" => data <= x"00";
when "11" & x"722" => data <= x"f0";
when "11" & x"723" => data <= x"08";
when "11" & x"724" => data <= x"a9";
when "11" & x"725" => data <= x"00";
when "11" & x"726" => data <= x"9d";
when "11" & x"727" => data <= x"00";
when "11" & x"728" => data <= x"01";
when "11" & x"729" => data <= x"4c";
when "11" & x"72a" => data <= x"00";
when "11" & x"72b" => data <= x"01";
when "11" & x"72c" => data <= x"60";
when "11" & x"72d" => data <= x"4c";
when "11" & x"72e" => data <= x"f5";
when "11" & x"72f" => data <= x"b6";
when "11" & x"730" => data <= x"20";
when "11" & x"731" => data <= x"b0";
when "11" & x"732" => data <= x"b4";
when "11" & x"733" => data <= x"b0";
when "11" & x"734" => data <= x"17";
when "11" & x"735" => data <= x"20";
when "11" & x"736" => data <= x"c3";
when "11" & x"737" => data <= x"b4";
when "11" & x"738" => data <= x"b0";
when "11" & x"739" => data <= x"bb";
when "11" & x"73a" => data <= x"48";
when "11" & x"73b" => data <= x"20";
when "11" & x"73c" => data <= x"b0";
when "11" & x"73d" => data <= x"b4";
when "11" & x"73e" => data <= x"68";
when "11" & x"73f" => data <= x"90";
when "11" & x"740" => data <= x"b4";
when "11" & x"741" => data <= x"d0";
when "11" & x"742" => data <= x"26";
when "11" & x"743" => data <= x"8a";
when "11" & x"744" => data <= x"8d";
when "11" & x"745" => data <= x"5b";
when "11" & x"746" => data <= x"0d";
when "11" & x"747" => data <= x"c9";
when "11" & x"748" => data <= x"04";
when "11" & x"749" => data <= x"b0";
when "11" & x"74a" => data <= x"1e";
when "11" & x"74b" => data <= x"60";
when "11" & x"74c" => data <= x"a5";
when "11" & x"74d" => data <= x"cf";
when "11" & x"74e" => data <= x"60";
when "11" & x"74f" => data <= x"20";
when "11" & x"750" => data <= x"b0";
when "11" & x"751" => data <= x"b4";
when "11" & x"752" => data <= x"b0";
when "11" & x"753" => data <= x"a1";
when "11" & x"754" => data <= x"20";
when "11" & x"755" => data <= x"c3";
when "11" & x"756" => data <= x"b4";
when "11" & x"757" => data <= x"b0";
when "11" & x"758" => data <= x"9c";
when "11" & x"759" => data <= x"48";
when "11" & x"75a" => data <= x"20";
when "11" & x"75b" => data <= x"b0";
when "11" & x"75c" => data <= x"b4";
when "11" & x"75d" => data <= x"68";
when "11" & x"75e" => data <= x"90";
when "11" & x"75f" => data <= x"95";
when "11" & x"760" => data <= x"60";
when "11" & x"761" => data <= x"20";
when "11" & x"762" => data <= x"b0";
when "11" & x"763" => data <= x"b4";
when "11" & x"764" => data <= x"b0";
when "11" & x"765" => data <= x"8f";
when "11" & x"766" => data <= x"4c";
when "11" & x"767" => data <= x"ba";
when "11" & x"768" => data <= x"b7";
when "11" & x"769" => data <= x"20";
when "11" & x"76a" => data <= x"00";
when "11" & x"76b" => data <= x"a0";
when "11" & x"76c" => data <= x"cd";
when "11" & x"76d" => data <= x"42";
when "11" & x"76e" => data <= x"61";
when "11" & x"76f" => data <= x"64";
when "11" & x"770" => data <= x"20";
when "11" & x"771" => data <= x"64";
when "11" & x"772" => data <= x"72";
when "11" & x"773" => data <= x"69";
when "11" & x"774" => data <= x"76";
when "11" & x"775" => data <= x"65";
when "11" & x"776" => data <= x"00";
when "11" & x"777" => data <= x"20";
when "11" & x"778" => data <= x"00";
when "11" & x"779" => data <= x"a0";
when "11" & x"77a" => data <= x"d6";
when "11" & x"77b" => data <= x"44";
when "11" & x"77c" => data <= x"69";
when "11" & x"77d" => data <= x"73";
when "11" & x"77e" => data <= x"6b";
when "11" & x"77f" => data <= x"20";
when "11" & x"780" => data <= x"6e";
when "11" & x"781" => data <= x"6f";
when "11" & x"782" => data <= x"74";
when "11" & x"783" => data <= x"20";
when "11" & x"784" => data <= x"66";
when "11" & x"785" => data <= x"6f";
when "11" & x"786" => data <= x"75";
when "11" & x"787" => data <= x"6e";
when "11" & x"788" => data <= x"64";
when "11" & x"789" => data <= x"00";
when "11" & x"78a" => data <= x"20";
when "11" & x"78b" => data <= x"b0";
when "11" & x"78c" => data <= x"b4";
when "11" & x"78d" => data <= x"b0";
when "11" & x"78e" => data <= x"9e";
when "11" & x"78f" => data <= x"a9";
when "11" & x"790" => data <= x"ff";
when "11" & x"791" => data <= x"20";
when "11" & x"792" => data <= x"a2";
when "11" & x"793" => data <= x"b7";
when "11" & x"794" => data <= x"08";
when "11" & x"795" => data <= x"e0";
when "11" & x"796" => data <= x"04";
when "11" & x"797" => data <= x"b0";
when "11" & x"798" => data <= x"33";
when "11" & x"799" => data <= x"28";
when "11" & x"79a" => data <= x"60";
when "11" & x"79b" => data <= x"20";
when "11" & x"79c" => data <= x"b0";
when "11" & x"79d" => data <= x"b4";
when "11" & x"79e" => data <= x"b0";
when "11" & x"79f" => data <= x"8d";
when "11" & x"7a0" => data <= x"a5";
when "11" & x"7a1" => data <= x"cf";
when "11" & x"7a2" => data <= x"8d";
when "11" & x"7a3" => data <= x"5b";
when "11" & x"7a4" => data <= x"0d";
when "11" & x"7a5" => data <= x"20";
when "11" & x"7a6" => data <= x"c3";
when "11" & x"7a7" => data <= x"b4";
when "11" & x"7a8" => data <= x"b0";
when "11" & x"7a9" => data <= x"26";
when "11" & x"7aa" => data <= x"48";
when "11" & x"7ab" => data <= x"20";
when "11" & x"7ac" => data <= x"b0";
when "11" & x"7ad" => data <= x"b4";
when "11" & x"7ae" => data <= x"b0";
when "11" & x"7af" => data <= x"15";
when "11" & x"7b0" => data <= x"68";
when "11" & x"7b1" => data <= x"d0";
when "11" & x"7b2" => data <= x"b6";
when "11" & x"7b3" => data <= x"e0";
when "11" & x"7b4" => data <= x"04";
when "11" & x"7b5" => data <= x"b0";
when "11" & x"7b6" => data <= x"b2";
when "11" & x"7b7" => data <= x"8e";
when "11" & x"7b8" => data <= x"5b";
when "11" & x"7b9" => data <= x"0d";
when "11" & x"7ba" => data <= x"20";
when "11" & x"7bb" => data <= x"c3";
when "11" & x"7bc" => data <= x"b4";
when "11" & x"7bd" => data <= x"b0";
when "11" & x"7be" => data <= x"11";
when "11" & x"7bf" => data <= x"48";
when "11" & x"7c0" => data <= x"20";
when "11" & x"7c1" => data <= x"b0";
when "11" & x"7c2" => data <= x"b4";
when "11" & x"7c3" => data <= x"90";
when "11" & x"7c4" => data <= x"07";
when "11" & x"7c5" => data <= x"68";
when "11" & x"7c6" => data <= x"6a";
when "11" & x"7c7" => data <= x"8a";
when "11" & x"7c8" => data <= x"ae";
when "11" & x"7c9" => data <= x"5b";
when "11" & x"7ca" => data <= x"0d";
when "11" & x"7cb" => data <= x"60";
when "11" & x"7cc" => data <= x"68";
when "11" & x"7cd" => data <= x"4c";
when "11" & x"7ce" => data <= x"f5";
when "11" & x"7cf" => data <= x"b6";
when "11" & x"7d0" => data <= x"20";
when "11" & x"7d1" => data <= x"3b";
when "11" & x"7d2" => data <= x"b5";
when "11" & x"7d3" => data <= x"20";
when "11" & x"7d4" => data <= x"d7";
when "11" & x"7d5" => data <= x"b1";
when "11" & x"7d6" => data <= x"ad";
when "11" & x"7d7" => data <= x"5c";
when "11" & x"7d8" => data <= x"0d";
when "11" & x"7d9" => data <= x"f0";
when "11" & x"7da" => data <= x"f2";
when "11" & x"7db" => data <= x"ad";
when "11" & x"7dc" => data <= x"5e";
when "11" & x"7dd" => data <= x"0d";
when "11" & x"7de" => data <= x"d0";
when "11" & x"7df" => data <= x"ed";
when "11" & x"7e0" => data <= x"ad";
when "11" & x"7e1" => data <= x"54";
when "11" & x"7e2" => data <= x"0d";
when "11" & x"7e3" => data <= x"30";
when "11" & x"7e4" => data <= x"92";
when "11" & x"7e5" => data <= x"20";
when "11" & x"7e6" => data <= x"b3";
when "11" & x"7e7" => data <= x"b5";
when "11" & x"7e8" => data <= x"90";
when "11" & x"7e9" => data <= x"06";
when "11" & x"7ea" => data <= x"20";
when "11" & x"7eb" => data <= x"fc";
when "11" & x"7ec" => data <= x"b1";
when "11" & x"7ed" => data <= x"4c";
when "11" & x"7ee" => data <= x"e0";
when "11" & x"7ef" => data <= x"b7";
when "11" & x"7f0" => data <= x"ad";
when "11" & x"7f1" => data <= x"54";
when "11" & x"7f2" => data <= x"0d";
when "11" & x"7f3" => data <= x"6a";
when "11" & x"7f4" => data <= x"ad";
when "11" & x"7f5" => data <= x"53";
when "11" & x"7f6" => data <= x"0d";
when "11" & x"7f7" => data <= x"ae";
when "11" & x"7f8" => data <= x"5b";
when "11" & x"7f9" => data <= x"0d";
when "11" & x"7fa" => data <= x"60";
when "11" & x"7fb" => data <= x"20";
when "11" & x"7fc" => data <= x"61";
when "11" & x"7fd" => data <= x"b7";
when "11" & x"7fe" => data <= x"a6";
when "11" & x"7ff" => data <= x"cf";
when "11" & x"800" => data <= x"20";
when "11" & x"801" => data <= x"0f";
when "11" & x"802" => data <= x"b0";
when "11" & x"803" => data <= x"4c";
when "11" & x"804" => data <= x"07";
when "11" & x"805" => data <= x"94";
when "11" & x"806" => data <= x"20";
when "11" & x"807" => data <= x"9b";
when "11" & x"808" => data <= x"b7";
when "11" & x"809" => data <= x"4c";
when "11" & x"80a" => data <= x"0f";
when "11" & x"80b" => data <= x"b0";
when "11" & x"80c" => data <= x"a9";
when "11" & x"80d" => data <= x"00";
when "11" & x"80e" => data <= x"8d";
when "11" & x"80f" => data <= x"57";
when "11" & x"810" => data <= x"0d";
when "11" & x"811" => data <= x"8d";
when "11" & x"812" => data <= x"58";
when "11" & x"813" => data <= x"0d";
when "11" & x"814" => data <= x"20";
when "11" & x"815" => data <= x"c3";
when "11" & x"816" => data <= x"b4";
when "11" & x"817" => data <= x"b0";
when "11" & x"818" => data <= x"2d";
when "11" & x"819" => data <= x"8e";
when "11" & x"81a" => data <= x"59";
when "11" & x"81b" => data <= x"0d";
when "11" & x"81c" => data <= x"8e";
when "11" & x"81d" => data <= x"53";
when "11" & x"81e" => data <= x"0d";
when "11" & x"81f" => data <= x"8d";
when "11" & x"820" => data <= x"5a";
when "11" & x"821" => data <= x"0d";
when "11" & x"822" => data <= x"8d";
when "11" & x"823" => data <= x"54";
when "11" & x"824" => data <= x"0d";
when "11" & x"825" => data <= x"20";
when "11" & x"826" => data <= x"c3";
when "11" & x"827" => data <= x"b4";
when "11" & x"828" => data <= x"b0";
when "11" & x"829" => data <= x"25";
when "11" & x"82a" => data <= x"8e";
when "11" & x"82b" => data <= x"59";
when "11" & x"82c" => data <= x"0d";
when "11" & x"82d" => data <= x"8d";
when "11" & x"82e" => data <= x"5a";
when "11" & x"82f" => data <= x"0d";
when "11" & x"830" => data <= x"ec";
when "11" & x"831" => data <= x"53";
when "11" & x"832" => data <= x"0d";
when "11" & x"833" => data <= x"ed";
when "11" & x"834" => data <= x"54";
when "11" & x"835" => data <= x"0d";
when "11" & x"836" => data <= x"10";
when "11" & x"837" => data <= x"1f";
when "11" & x"838" => data <= x"20";
when "11" & x"839" => data <= x"00";
when "11" & x"83a" => data <= x"a0";
when "11" & x"83b" => data <= x"ff";
when "11" & x"83c" => data <= x"42";
when "11" & x"83d" => data <= x"61";
when "11" & x"83e" => data <= x"64";
when "11" & x"83f" => data <= x"20";
when "11" & x"840" => data <= x"72";
when "11" & x"841" => data <= x"61";
when "11" & x"842" => data <= x"6e";
when "11" & x"843" => data <= x"67";
when "11" & x"844" => data <= x"65";
when "11" & x"845" => data <= x"00";
when "11" & x"846" => data <= x"a2";
when "11" & x"847" => data <= x"fe";
when "11" & x"848" => data <= x"8e";
when "11" & x"849" => data <= x"59";
when "11" & x"84a" => data <= x"0d";
when "11" & x"84b" => data <= x"e8";
when "11" & x"84c" => data <= x"8e";
when "11" & x"84d" => data <= x"5a";
when "11" & x"84e" => data <= x"0d";
when "11" & x"84f" => data <= x"a9";
when "11" & x"850" => data <= x"00";
when "11" & x"851" => data <= x"8d";
when "11" & x"852" => data <= x"53";
when "11" & x"853" => data <= x"0d";
when "11" & x"854" => data <= x"8d";
when "11" & x"855" => data <= x"54";
when "11" & x"856" => data <= x"0d";
when "11" & x"857" => data <= x"ee";
when "11" & x"858" => data <= x"59";
when "11" & x"859" => data <= x"0d";
when "11" & x"85a" => data <= x"d0";
when "11" & x"85b" => data <= x"03";
when "11" & x"85c" => data <= x"ee";
when "11" & x"85d" => data <= x"5a";
when "11" & x"85e" => data <= x"0d";
when "11" & x"85f" => data <= x"20";
when "11" & x"860" => data <= x"3b";
when "11" & x"861" => data <= x"b5";
when "11" & x"862" => data <= x"ad";
when "11" & x"863" => data <= x"54";
when "11" & x"864" => data <= x"0d";
when "11" & x"865" => data <= x"6a";
when "11" & x"866" => data <= x"ad";
when "11" & x"867" => data <= x"53";
when "11" & x"868" => data <= x"0d";
when "11" & x"869" => data <= x"20";
when "11" & x"86a" => data <= x"a0";
when "11" & x"86b" => data <= x"b1";
when "11" & x"86c" => data <= x"a2";
when "11" & x"86d" => data <= x"00";
when "11" & x"86e" => data <= x"ad";
when "11" & x"86f" => data <= x"5c";
when "11" & x"870" => data <= x"0d";
when "11" & x"871" => data <= x"d0";
when "11" & x"872" => data <= x"04";
when "11" & x"873" => data <= x"ca";
when "11" & x"874" => data <= x"8e";
when "11" & x"875" => data <= x"5e";
when "11" & x"876" => data <= x"0d";
when "11" & x"877" => data <= x"ad";
when "11" & x"878" => data <= x"54";
when "11" & x"879" => data <= x"0d";
when "11" & x"87a" => data <= x"30";
when "11" & x"87b" => data <= x"33";
when "11" & x"87c" => data <= x"ad";
when "11" & x"87d" => data <= x"53";
when "11" & x"87e" => data <= x"0d";
when "11" & x"87f" => data <= x"cd";
when "11" & x"880" => data <= x"59";
when "11" & x"881" => data <= x"0d";
when "11" & x"882" => data <= x"ad";
when "11" & x"883" => data <= x"54";
when "11" & x"884" => data <= x"0d";
when "11" & x"885" => data <= x"ed";
when "11" & x"886" => data <= x"5a";
when "11" & x"887" => data <= x"0d";
when "11" & x"888" => data <= x"b0";
when "11" & x"889" => data <= x"25";
when "11" & x"88a" => data <= x"20";
when "11" & x"88b" => data <= x"b3";
when "11" & x"88c" => data <= x"b5";
when "11" & x"88d" => data <= x"b0";
when "11" & x"88e" => data <= x"16";
when "11" & x"88f" => data <= x"20";
when "11" & x"890" => data <= x"e5";
when "11" & x"891" => data <= x"b5";
when "11" & x"892" => data <= x"f8";
when "11" & x"893" => data <= x"18";
when "11" & x"894" => data <= x"ad";
when "11" & x"895" => data <= x"57";
when "11" & x"896" => data <= x"0d";
when "11" & x"897" => data <= x"69";
when "11" & x"898" => data <= x"01";
when "11" & x"899" => data <= x"8d";
when "11" & x"89a" => data <= x"57";
when "11" & x"89b" => data <= x"0d";
when "11" & x"89c" => data <= x"ad";
when "11" & x"89d" => data <= x"58";
when "11" & x"89e" => data <= x"0d";
when "11" & x"89f" => data <= x"69";
when "11" & x"8a0" => data <= x"00";
when "11" & x"8a1" => data <= x"8d";
when "11" & x"8a2" => data <= x"58";
when "11" & x"8a3" => data <= x"0d";
when "11" & x"8a4" => data <= x"d8";
when "11" & x"8a5" => data <= x"24";
when "11" & x"8a6" => data <= x"ff";
when "11" & x"8a7" => data <= x"30";
when "11" & x"8a8" => data <= x"47";
when "11" & x"8a9" => data <= x"20";
when "11" & x"8aa" => data <= x"fc";
when "11" & x"8ab" => data <= x"b1";
when "11" & x"8ac" => data <= x"4c";
when "11" & x"8ad" => data <= x"77";
when "11" & x"8ae" => data <= x"b8";
when "11" & x"8af" => data <= x"a9";
when "11" & x"8b0" => data <= x"86";
when "11" & x"8b1" => data <= x"20";
when "11" & x"8b2" => data <= x"f4";
when "11" & x"8b3" => data <= x"ff";
when "11" & x"8b4" => data <= x"e0";
when "11" & x"8b5" => data <= x"00";
when "11" & x"8b6" => data <= x"f0";
when "11" & x"8b7" => data <= x"03";
when "11" & x"8b8" => data <= x"20";
when "11" & x"8b9" => data <= x"e7";
when "11" & x"8ba" => data <= x"ff";
when "11" & x"8bb" => data <= x"ad";
when "11" & x"8bc" => data <= x"58";
when "11" & x"8bd" => data <= x"0d";
when "11" & x"8be" => data <= x"a2";
when "11" & x"8bf" => data <= x"00";
when "11" & x"8c0" => data <= x"a0";
when "11" & x"8c1" => data <= x"04";
when "11" & x"8c2" => data <= x"20";
when "11" & x"8c3" => data <= x"26";
when "11" & x"8c4" => data <= x"b6";
when "11" & x"8c5" => data <= x"ad";
when "11" & x"8c6" => data <= x"57";
when "11" & x"8c7" => data <= x"0d";
when "11" & x"8c8" => data <= x"20";
when "11" & x"8c9" => data <= x"26";
when "11" & x"8ca" => data <= x"b6";
when "11" & x"8cb" => data <= x"20";
when "11" & x"8cc" => data <= x"44";
when "11" & x"8cd" => data <= x"b6";
when "11" & x"8ce" => data <= x"20";
when "11" & x"8cf" => data <= x"64";
when "11" & x"8d0" => data <= x"69";
when "11" & x"8d1" => data <= x"73";
when "11" & x"8d2" => data <= x"6b";
when "11" & x"8d3" => data <= x"00";
when "11" & x"8d4" => data <= x"ad";
when "11" & x"8d5" => data <= x"58";
when "11" & x"8d6" => data <= x"0d";
when "11" & x"8d7" => data <= x"d0";
when "11" & x"8d8" => data <= x"05";
when "11" & x"8d9" => data <= x"ce";
when "11" & x"8da" => data <= x"57";
when "11" & x"8db" => data <= x"0d";
when "11" & x"8dc" => data <= x"f0";
when "11" & x"8dd" => data <= x"05";
when "11" & x"8de" => data <= x"a9";
when "11" & x"8df" => data <= x"73";
when "11" & x"8e0" => data <= x"20";
when "11" & x"8e1" => data <= x"ee";
when "11" & x"8e2" => data <= x"ff";
when "11" & x"8e3" => data <= x"20";
when "11" & x"8e4" => data <= x"44";
when "11" & x"8e5" => data <= x"b6";
when "11" & x"8e6" => data <= x"20";
when "11" & x"8e7" => data <= x"66";
when "11" & x"8e8" => data <= x"6f";
when "11" & x"8e9" => data <= x"75";
when "11" & x"8ea" => data <= x"6e";
when "11" & x"8eb" => data <= x"64";
when "11" & x"8ec" => data <= x"00";
when "11" & x"8ed" => data <= x"4c";
when "11" & x"8ee" => data <= x"e7";
when "11" & x"8ef" => data <= x"ff";
when "11" & x"8f0" => data <= x"4c";
when "11" & x"8f1" => data <= x"82";
when "11" & x"8f2" => data <= x"a0";
when "11" & x"8f3" => data <= x"4c";
when "11" & x"8f4" => data <= x"f5";
when "11" & x"8f5" => data <= x"b6";
when "11" & x"8f6" => data <= x"20";
when "11" & x"8f7" => data <= x"b0";
when "11" & x"8f8" => data <= x"b4";
when "11" & x"8f9" => data <= x"90";
when "11" & x"8fa" => data <= x"f8";
when "11" & x"8fb" => data <= x"a2";
when "11" & x"8fc" => data <= x"00";
when "11" & x"8fd" => data <= x"8e";
when "11" & x"8fe" => data <= x"57";
when "11" & x"8ff" => data <= x"0d";
when "11" & x"900" => data <= x"8e";
when "11" & x"901" => data <= x"58";
when "11" & x"902" => data <= x"0d";
when "11" & x"903" => data <= x"8e";
when "11" & x"904" => data <= x"59";
when "11" & x"905" => data <= x"0d";
when "11" & x"906" => data <= x"8e";
when "11" & x"907" => data <= x"5a";
when "11" & x"908" => data <= x"0d";
when "11" & x"909" => data <= x"a9";
when "11" & x"90a" => data <= x"80";
when "11" & x"90b" => data <= x"20";
when "11" & x"90c" => data <= x"9c";
when "11" & x"90d" => data <= x"b0";
when "11" & x"90e" => data <= x"a9";
when "11" & x"90f" => data <= x"10";
when "11" & x"910" => data <= x"85";
when "11" & x"911" => data <= x"f2";
when "11" & x"912" => data <= x"a9";
when "11" & x"913" => data <= x"0e";
when "11" & x"914" => data <= x"85";
when "11" & x"915" => data <= x"f3";
when "11" & x"916" => data <= x"a0";
when "11" & x"917" => data <= x"0f";
when "11" & x"918" => data <= x"b1";
when "11" & x"919" => data <= x"f2";
when "11" & x"91a" => data <= x"c9";
when "11" & x"91b" => data <= x"ff";
when "11" & x"91c" => data <= x"f0";
when "11" & x"91d" => data <= x"42";
when "11" & x"91e" => data <= x"f8";
when "11" & x"91f" => data <= x"a8";
when "11" & x"920" => data <= x"10";
when "11" & x"921" => data <= x"0e";
when "11" & x"922" => data <= x"18";
when "11" & x"923" => data <= x"ad";
when "11" & x"924" => data <= x"57";
when "11" & x"925" => data <= x"0d";
when "11" & x"926" => data <= x"69";
when "11" & x"927" => data <= x"01";
when "11" & x"928" => data <= x"8d";
when "11" & x"929" => data <= x"57";
when "11" & x"92a" => data <= x"0d";
when "11" & x"92b" => data <= x"90";
when "11" & x"92c" => data <= x"03";
when "11" & x"92d" => data <= x"ee";
when "11" & x"92e" => data <= x"58";
when "11" & x"92f" => data <= x"0d";
when "11" & x"930" => data <= x"18";
when "11" & x"931" => data <= x"ad";
when "11" & x"932" => data <= x"59";
when "11" & x"933" => data <= x"0d";
when "11" & x"934" => data <= x"69";
when "11" & x"935" => data <= x"01";
when "11" & x"936" => data <= x"8d";
when "11" & x"937" => data <= x"59";
when "11" & x"938" => data <= x"0d";
when "11" & x"939" => data <= x"90";
when "11" & x"93a" => data <= x"03";
when "11" & x"93b" => data <= x"ee";
when "11" & x"93c" => data <= x"5a";
when "11" & x"93d" => data <= x"0d";
when "11" & x"93e" => data <= x"d8";
when "11" & x"93f" => data <= x"18";
when "11" & x"940" => data <= x"a5";
when "11" & x"941" => data <= x"f2";
when "11" & x"942" => data <= x"69";
when "11" & x"943" => data <= x"10";
when "11" & x"944" => data <= x"85";
when "11" & x"945" => data <= x"f2";
when "11" & x"946" => data <= x"d0";
when "11" & x"947" => data <= x"ce";
when "11" & x"948" => data <= x"a5";
when "11" & x"949" => data <= x"f3";
when "11" & x"94a" => data <= x"49";
when "11" & x"94b" => data <= x"01";
when "11" & x"94c" => data <= x"85";
when "11" & x"94d" => data <= x"f3";
when "11" & x"94e" => data <= x"6a";
when "11" & x"94f" => data <= x"b0";
when "11" & x"950" => data <= x"c5";
when "11" & x"951" => data <= x"ad";
when "11" & x"952" => data <= x"82";
when "11" & x"953" => data <= x"10";
when "11" & x"954" => data <= x"69";
when "11" & x"955" => data <= x"01";
when "11" & x"956" => data <= x"c9";
when "11" & x"957" => data <= x"90";
when "11" & x"958" => data <= x"f0";
when "11" & x"959" => data <= x"06";
when "11" & x"95a" => data <= x"20";
when "11" & x"95b" => data <= x"9c";
when "11" & x"95c" => data <= x"b0";
when "11" & x"95d" => data <= x"4c";
when "11" & x"95e" => data <= x"16";
when "11" & x"95f" => data <= x"b9";
when "11" & x"960" => data <= x"a0";
when "11" & x"961" => data <= x"04";
when "11" & x"962" => data <= x"a2";
when "11" & x"963" => data <= x"00";
when "11" & x"964" => data <= x"ad";
when "11" & x"965" => data <= x"58";
when "11" & x"966" => data <= x"0d";
when "11" & x"967" => data <= x"20";
when "11" & x"968" => data <= x"26";
when "11" & x"969" => data <= x"b6";
when "11" & x"96a" => data <= x"ad";
when "11" & x"96b" => data <= x"57";
when "11" & x"96c" => data <= x"0d";
when "11" & x"96d" => data <= x"20";
when "11" & x"96e" => data <= x"26";
when "11" & x"96f" => data <= x"b6";
when "11" & x"970" => data <= x"20";
when "11" & x"971" => data <= x"44";
when "11" & x"972" => data <= x"b6";
when "11" & x"973" => data <= x"20";
when "11" & x"974" => data <= x"6f";
when "11" & x"975" => data <= x"66";
when "11" & x"976" => data <= x"20";
when "11" & x"977" => data <= x"00";
when "11" & x"978" => data <= x"a2";
when "11" & x"979" => data <= x"00";
when "11" & x"97a" => data <= x"a0";
when "11" & x"97b" => data <= x"04";
when "11" & x"97c" => data <= x"ad";
when "11" & x"97d" => data <= x"5a";
when "11" & x"97e" => data <= x"0d";
when "11" & x"97f" => data <= x"20";
when "11" & x"980" => data <= x"26";
when "11" & x"981" => data <= x"b6";
when "11" & x"982" => data <= x"ad";
when "11" & x"983" => data <= x"59";
when "11" & x"984" => data <= x"0d";
when "11" & x"985" => data <= x"20";
when "11" & x"986" => data <= x"26";
when "11" & x"987" => data <= x"b6";
when "11" & x"988" => data <= x"20";
when "11" & x"989" => data <= x"44";
when "11" & x"98a" => data <= x"b6";
when "11" & x"98b" => data <= x"20";
when "11" & x"98c" => data <= x"64";
when "11" & x"98d" => data <= x"69";
when "11" & x"98e" => data <= x"73";
when "11" & x"98f" => data <= x"6b";
when "11" & x"990" => data <= x"00";
when "11" & x"991" => data <= x"ad";
when "11" & x"992" => data <= x"5a";
when "11" & x"993" => data <= x"0d";
when "11" & x"994" => data <= x"d0";
when "11" & x"995" => data <= x"07";
when "11" & x"996" => data <= x"ad";
when "11" & x"997" => data <= x"59";
when "11" & x"998" => data <= x"0d";
when "11" & x"999" => data <= x"c9";
when "11" & x"99a" => data <= x"01";
when "11" & x"99b" => data <= x"f0";
when "11" & x"99c" => data <= x"05";
when "11" & x"99d" => data <= x"a9";
when "11" & x"99e" => data <= x"73";
when "11" & x"99f" => data <= x"20";
when "11" & x"9a0" => data <= x"ee";
when "11" & x"9a1" => data <= x"ff";
when "11" & x"9a2" => data <= x"20";
when "11" & x"9a3" => data <= x"44";
when "11" & x"9a4" => data <= x"b6";
when "11" & x"9a5" => data <= x"20";
when "11" & x"9a6" => data <= x"66";
when "11" & x"9a7" => data <= x"72";
when "11" & x"9a8" => data <= x"65";
when "11" & x"9a9" => data <= x"65";
when "11" & x"9aa" => data <= x"20";
when "11" & x"9ab" => data <= x"28";
when "11" & x"9ac" => data <= x"75";
when "11" & x"9ad" => data <= x"6e";
when "11" & x"9ae" => data <= x"66";
when "11" & x"9af" => data <= x"6f";
when "11" & x"9b0" => data <= x"72";
when "11" & x"9b1" => data <= x"6d";
when "11" & x"9b2" => data <= x"61";
when "11" & x"9b3" => data <= x"74";
when "11" & x"9b4" => data <= x"74";
when "11" & x"9b5" => data <= x"65";
when "11" & x"9b6" => data <= x"64";
when "11" & x"9b7" => data <= x"29";
when "11" & x"9b8" => data <= x"00";
when "11" & x"9b9" => data <= x"4c";
when "11" & x"9ba" => data <= x"e7";
when "11" & x"9bb" => data <= x"ff";
when "11" & x"9bc" => data <= x"20";
when "11" & x"9bd" => data <= x"30";
when "11" & x"9be" => data <= x"b7";
when "11" & x"9bf" => data <= x"a2";
when "11" & x"9c0" => data <= x"04";
when "11" & x"9c1" => data <= x"8e";
when "11" & x"9c2" => data <= x"5b";
when "11" & x"9c3" => data <= x"0d";
when "11" & x"9c4" => data <= x"a2";
when "11" & x"9c5" => data <= x"00";
when "11" & x"9c6" => data <= x"b0";
when "11" & x"9c7" => data <= x"06";
when "11" & x"9c8" => data <= x"aa";
when "11" & x"9c9" => data <= x"e8";
when "11" & x"9ca" => data <= x"8e";
when "11" & x"9cb" => data <= x"5b";
when "11" & x"9cc" => data <= x"0d";
when "11" & x"9cd" => data <= x"ca";
when "11" & x"9ce" => data <= x"8a";
when "11" & x"9cf" => data <= x"48";
when "11" & x"9d0" => data <= x"a2";
when "11" & x"9d1" => data <= x"20";
when "11" & x"9d2" => data <= x"a0";
when "11" & x"9d3" => data <= x"02";
when "11" & x"9d4" => data <= x"20";
when "11" & x"9d5" => data <= x"26";
when "11" & x"9d6" => data <= x"b6";
when "11" & x"9d7" => data <= x"a9";
when "11" & x"9d8" => data <= x"3a";
when "11" & x"9d9" => data <= x"20";
when "11" & x"9da" => data <= x"ee";
when "11" & x"9db" => data <= x"ff";
when "11" & x"9dc" => data <= x"68";
when "11" & x"9dd" => data <= x"aa";
when "11" & x"9de" => data <= x"48";
when "11" & x"9df" => data <= x"bd";
when "11" & x"9e0" => data <= x"10";
when "11" & x"9e1" => data <= x"0d";
when "11" & x"9e2" => data <= x"30";
when "11" & x"9e3" => data <= x"0f";
when "11" & x"9e4" => data <= x"6a";
when "11" & x"9e5" => data <= x"bd";
when "11" & x"9e6" => data <= x"0c";
when "11" & x"9e7" => data <= x"0d";
when "11" & x"9e8" => data <= x"20";
when "11" & x"9e9" => data <= x"a0";
when "11" & x"9ea" => data <= x"b1";
when "11" & x"9eb" => data <= x"c9";
when "11" & x"9ec" => data <= x"ff";
when "11" & x"9ed" => data <= x"f0";
when "11" & x"9ee" => data <= x"04";
when "11" & x"9ef" => data <= x"38";
when "11" & x"9f0" => data <= x"20";
when "11" & x"9f1" => data <= x"e5";
when "11" & x"9f2" => data <= x"b5";
when "11" & x"9f3" => data <= x"20";
when "11" & x"9f4" => data <= x"e7";
when "11" & x"9f5" => data <= x"ff";
when "11" & x"9f6" => data <= x"68";
when "11" & x"9f7" => data <= x"aa";
when "11" & x"9f8" => data <= x"e8";
when "11" & x"9f9" => data <= x"ec";
when "11" & x"9fa" => data <= x"5b";
when "11" & x"9fb" => data <= x"0d";
when "11" & x"9fc" => data <= x"90";
when "11" & x"9fd" => data <= x"d0";
when "11" & x"9fe" => data <= x"60";
when "11" & x"9ff" => data <= x"4c";
when "11" & x"a00" => data <= x"f5";
when "11" & x"a01" => data <= x"b6";
when "11" & x"a02" => data <= x"a9";
when "11" & x"a03" => data <= x"00";
when "11" & x"a04" => data <= x"f0";
when "11" & x"a05" => data <= x"02";
when "11" & x"a06" => data <= x"a9";
when "11" & x"a07" => data <= x"0f";
when "11" & x"a08" => data <= x"48";
when "11" & x"a09" => data <= x"20";
when "11" & x"a0a" => data <= x"61";
when "11" & x"a0b" => data <= x"b7";
when "11" & x"a0c" => data <= x"20";
when "11" & x"a0d" => data <= x"c1";
when "11" & x"a0e" => data <= x"af";
when "11" & x"a0f" => data <= x"30";
when "11" & x"a10" => data <= x"0e";
when "11" & x"a11" => data <= x"68";
when "11" & x"a12" => data <= x"b0";
when "11" & x"a13" => data <= x"05";
when "11" & x"a14" => data <= x"99";
when "11" & x"a15" => data <= x"00";
when "11" & x"a16" => data <= x"0e";
when "11" & x"a17" => data <= x"90";
when "11" & x"a18" => data <= x"03";
when "11" & x"a19" => data <= x"99";
when "11" & x"a1a" => data <= x"00";
when "11" & x"a1b" => data <= x"0f";
when "11" & x"a1c" => data <= x"4c";
when "11" & x"a1d" => data <= x"cd";
when "11" & x"a1e" => data <= x"b0";
when "11" & x"a1f" => data <= x"c9";
when "11" & x"a20" => data <= x"ff";
when "11" & x"a21" => data <= x"f0";
when "11" & x"a22" => data <= x"03";
when "11" & x"a23" => data <= x"4c";
when "11" & x"a24" => data <= x"59";
when "11" & x"a25" => data <= x"b0";
when "11" & x"a26" => data <= x"4c";
when "11" & x"a27" => data <= x"3f";
when "11" & x"a28" => data <= x"b0";
when "11" & x"a29" => data <= x"20";
when "11" & x"a2a" => data <= x"bd";
when "11" & x"a2b" => data <= x"9b";
when "11" & x"a2c" => data <= x"20";
when "11" & x"a2d" => data <= x"4f";
when "11" & x"a2e" => data <= x"b7";
when "11" & x"a2f" => data <= x"6a";
when "11" & x"a30" => data <= x"08";
when "11" & x"a31" => data <= x"8a";
when "11" & x"a32" => data <= x"48";
when "11" & x"a33" => data <= x"20";
when "11" & x"a34" => data <= x"c1";
when "11" & x"a35" => data <= x"af";
when "11" & x"a36" => data <= x"30";
when "11" & x"a37" => data <= x"e7";
when "11" & x"a38" => data <= x"68";
when "11" & x"a39" => data <= x"28";
when "11" & x"a3a" => data <= x"20";
when "11" & x"a3b" => data <= x"a0";
when "11" & x"a3c" => data <= x"b1";
when "11" & x"a3d" => data <= x"20";
when "11" & x"a3e" => data <= x"44";
when "11" & x"a3f" => data <= x"b6";
when "11" & x"a40" => data <= x"4b";
when "11" & x"a41" => data <= x"69";
when "11" & x"a42" => data <= x"6c";
when "11" & x"a43" => data <= x"6c";
when "11" & x"a44" => data <= x"00";
when "11" & x"a45" => data <= x"38";
when "11" & x"a46" => data <= x"20";
when "11" & x"a47" => data <= x"e5";
when "11" & x"a48" => data <= x"b5";
when "11" & x"a49" => data <= x"20";
when "11" & x"a4a" => data <= x"44";
when "11" & x"a4b" => data <= x"b6";
when "11" & x"a4c" => data <= x"20";
when "11" & x"a4d" => data <= x"3a";
when "11" & x"a4e" => data <= x"20";
when "11" & x"a4f" => data <= x"00";
when "11" & x"a50" => data <= x"20";
when "11" & x"a51" => data <= x"9e";
when "11" & x"a52" => data <= x"9c";
when "11" & x"a53" => data <= x"08";
when "11" & x"a54" => data <= x"20";
when "11" & x"a55" => data <= x"e7";
when "11" & x"a56" => data <= x"ff";
when "11" & x"a57" => data <= x"28";
when "11" & x"a58" => data <= x"d0";
when "11" & x"a59" => data <= x"09";
when "11" & x"a5a" => data <= x"a0";
when "11" & x"a5b" => data <= x"0f";
when "11" & x"a5c" => data <= x"a9";
when "11" & x"a5d" => data <= x"f0";
when "11" & x"a5e" => data <= x"91";
when "11" & x"a5f" => data <= x"f2";
when "11" & x"a60" => data <= x"4c";
when "11" & x"a61" => data <= x"cd";
when "11" & x"a62" => data <= x"b0";
when "11" & x"a63" => data <= x"60";
when "11" & x"a64" => data <= x"a9";
when "11" & x"a65" => data <= x"00";
when "11" & x"a66" => data <= x"20";
when "11" & x"a67" => data <= x"6e";
when "11" & x"a68" => data <= x"ba";
when "11" & x"a69" => data <= x"4c";
when "11" & x"a6a" => data <= x"63";
when "11" & x"a6b" => data <= x"af";
when "11" & x"a6c" => data <= x"a9";
when "11" & x"a6d" => data <= x"01";
when "11" & x"a6e" => data <= x"8d";
when "11" & x"a6f" => data <= x"5f";
when "11" & x"a70" => data <= x"0d";
when "11" & x"a71" => data <= x"20";
when "11" & x"a72" => data <= x"4f";
when "11" & x"a73" => data <= x"b7";
when "11" & x"a74" => data <= x"6a";
when "11" & x"a75" => data <= x"08";
when "11" & x"a76" => data <= x"8a";
when "11" & x"a77" => data <= x"48";
when "11" & x"a78" => data <= x"20";
when "11" & x"a79" => data <= x"c1";
when "11" & x"a7a" => data <= x"af";
when "11" & x"a7b" => data <= x"10";
when "11" & x"a7c" => data <= x"26";
when "11" & x"a7d" => data <= x"aa";
when "11" & x"a7e" => data <= x"e8";
when "11" & x"a7f" => data <= x"f0";
when "11" & x"a80" => data <= x"3d";
when "11" & x"a81" => data <= x"98";
when "11" & x"a82" => data <= x"29";
when "11" & x"a83" => data <= x"f0";
when "11" & x"a84" => data <= x"85";
when "11" & x"a85" => data <= x"f2";
when "11" & x"a86" => data <= x"a0";
when "11" & x"a87" => data <= x"0e";
when "11" & x"a88" => data <= x"90";
when "11" & x"a89" => data <= x"01";
when "11" & x"a8a" => data <= x"c8";
when "11" & x"a8b" => data <= x"84";
when "11" & x"a8c" => data <= x"f3";
when "11" & x"a8d" => data <= x"a0";
when "11" & x"a8e" => data <= x"0f";
when "11" & x"a8f" => data <= x"98";
when "11" & x"a90" => data <= x"91";
when "11" & x"a91" => data <= x"f2";
when "11" & x"a92" => data <= x"ad";
when "11" & x"a93" => data <= x"5f";
when "11" & x"a94" => data <= x"0d";
when "11" & x"a95" => data <= x"d0";
when "11" & x"a96" => data <= x"06";
when "11" & x"a97" => data <= x"88";
when "11" & x"a98" => data <= x"91";
when "11" & x"a99" => data <= x"f2";
when "11" & x"a9a" => data <= x"88";
when "11" & x"a9b" => data <= x"10";
when "11" & x"a9c" => data <= x"fb";
when "11" & x"a9d" => data <= x"20";
when "11" & x"a9e" => data <= x"cd";
when "11" & x"a9f" => data <= x"b0";
when "11" & x"aa0" => data <= x"68";
when "11" & x"aa1" => data <= x"28";
when "11" & x"aa2" => data <= x"60";
when "11" & x"aa3" => data <= x"20";
when "11" & x"aa4" => data <= x"00";
when "11" & x"aa5" => data <= x"a0";
when "11" & x"aa6" => data <= x"ff";
when "11" & x"aa7" => data <= x"44";
when "11" & x"aa8" => data <= x"69";
when "11" & x"aa9" => data <= x"73";
when "11" & x"aaa" => data <= x"6b";
when "11" & x"aab" => data <= x"20";
when "11" & x"aac" => data <= x"61";
when "11" & x"aad" => data <= x"6c";
when "11" & x"aae" => data <= x"72";
when "11" & x"aaf" => data <= x"65";
when "11" & x"ab0" => data <= x"61";
when "11" & x"ab1" => data <= x"64";
when "11" & x"ab2" => data <= x"79";
when "11" & x"ab3" => data <= x"20";
when "11" & x"ab4" => data <= x"66";
when "11" & x"ab5" => data <= x"6f";
when "11" & x"ab6" => data <= x"72";
when "11" & x"ab7" => data <= x"6d";
when "11" & x"ab8" => data <= x"61";
when "11" & x"ab9" => data <= x"74";
when "11" & x"aba" => data <= x"74";
when "11" & x"abb" => data <= x"65";
when "11" & x"abc" => data <= x"64";
when "11" & x"abd" => data <= x"00";
when "11" & x"abe" => data <= x"4c";
when "11" & x"abf" => data <= x"3f";
when "11" & x"ac0" => data <= x"b0";
when "11" & x"ac1" => data <= x"20";
when "11" & x"ac2" => data <= x"30";
when "11" & x"ac3" => data <= x"b7";
when "11" & x"ac4" => data <= x"8d";
when "11" & x"ac5" => data <= x"5b";
when "11" & x"ac6" => data <= x"0d";
when "11" & x"ac7" => data <= x"20";
when "11" & x"ac8" => data <= x"25";
when "11" & x"ac9" => data <= x"bb";
when "11" & x"aca" => data <= x"08";
when "11" & x"acb" => data <= x"48";
when "11" & x"acc" => data <= x"a9";
when "11" & x"acd" => data <= x"0f";
when "11" & x"ace" => data <= x"91";
when "11" & x"acf" => data <= x"f2";
when "11" & x"ad0" => data <= x"88";
when "11" & x"ad1" => data <= x"a9";
when "11" & x"ad2" => data <= x"00";
when "11" & x"ad3" => data <= x"91";
when "11" & x"ad4" => data <= x"f2";
when "11" & x"ad5" => data <= x"88";
when "11" & x"ad6" => data <= x"10";
when "11" & x"ad7" => data <= x"fb";
when "11" & x"ad8" => data <= x"20";
when "11" & x"ad9" => data <= x"b8";
when "11" & x"ada" => data <= x"b0";
when "11" & x"adb" => data <= x"68";
when "11" & x"adc" => data <= x"28";
when "11" & x"add" => data <= x"08";
when "11" & x"ade" => data <= x"48";
when "11" & x"adf" => data <= x"20";
when "11" & x"ae0" => data <= x"63";
when "11" & x"ae1" => data <= x"af";
when "11" & x"ae2" => data <= x"68";
when "11" & x"ae3" => data <= x"28";
when "11" & x"ae4" => data <= x"08";
when "11" & x"ae5" => data <= x"48";
when "11" & x"ae6" => data <= x"ae";
when "11" & x"ae7" => data <= x"5b";
when "11" & x"ae8" => data <= x"0d";
when "11" & x"ae9" => data <= x"20";
when "11" & x"aea" => data <= x"0f";
when "11" & x"aeb" => data <= x"b0";
when "11" & x"aec" => data <= x"20";
when "11" & x"aed" => data <= x"44";
when "11" & x"aee" => data <= x"b6";
when "11" & x"aef" => data <= x"44";
when "11" & x"af0" => data <= x"69";
when "11" & x"af1" => data <= x"73";
when "11" & x"af2" => data <= x"6b";
when "11" & x"af3" => data <= x"20";
when "11" & x"af4" => data <= x"00";
when "11" & x"af5" => data <= x"68";
when "11" & x"af6" => data <= x"28";
when "11" & x"af7" => data <= x"20";
when "11" & x"af8" => data <= x"6c";
when "11" & x"af9" => data <= x"ad";
when "11" & x"afa" => data <= x"8e";
when "11" & x"afb" => data <= x"55";
when "11" & x"afc" => data <= x"0d";
when "11" & x"afd" => data <= x"a2";
when "11" & x"afe" => data <= x"00";
when "11" & x"aff" => data <= x"a0";
when "11" & x"b00" => data <= x"04";
when "11" & x"b01" => data <= x"20";
when "11" & x"b02" => data <= x"26";
when "11" & x"b03" => data <= x"b6";
when "11" & x"b04" => data <= x"ad";
when "11" & x"b05" => data <= x"55";
when "11" & x"b06" => data <= x"0d";
when "11" & x"b07" => data <= x"20";
when "11" & x"b08" => data <= x"26";
when "11" & x"b09" => data <= x"b6";
when "11" & x"b0a" => data <= x"20";
when "11" & x"b0b" => data <= x"44";
when "11" & x"b0c" => data <= x"b6";
when "11" & x"b0d" => data <= x"20";
when "11" & x"b0e" => data <= x"69";
when "11" & x"b0f" => data <= x"6e";
when "11" & x"b10" => data <= x"20";
when "11" & x"b11" => data <= x"64";
when "11" & x"b12" => data <= x"72";
when "11" & x"b13" => data <= x"69";
when "11" & x"b14" => data <= x"76";
when "11" & x"b15" => data <= x"65";
when "11" & x"b16" => data <= x"20";
when "11" & x"b17" => data <= x"00";
when "11" & x"b18" => data <= x"ad";
when "11" & x"b19" => data <= x"5b";
when "11" & x"b1a" => data <= x"0d";
when "11" & x"b1b" => data <= x"a2";
when "11" & x"b1c" => data <= x"00";
when "11" & x"b1d" => data <= x"a0";
when "11" & x"b1e" => data <= x"02";
when "11" & x"b1f" => data <= x"20";
when "11" & x"b20" => data <= x"26";
when "11" & x"b21" => data <= x"b6";
when "11" & x"b22" => data <= x"4c";
when "11" & x"b23" => data <= x"e7";
when "11" & x"b24" => data <= x"ff";
when "11" & x"b25" => data <= x"a9";
when "11" & x"b26" => data <= x"10";
when "11" & x"b27" => data <= x"85";
when "11" & x"b28" => data <= x"f2";
when "11" & x"b29" => data <= x"a9";
when "11" & x"b2a" => data <= x"0e";
when "11" & x"b2b" => data <= x"85";
when "11" & x"b2c" => data <= x"f3";
when "11" & x"b2d" => data <= x"a9";
when "11" & x"b2e" => data <= x"80";
when "11" & x"b2f" => data <= x"8d";
when "11" & x"b30" => data <= x"52";
when "11" & x"b31" => data <= x"0d";
when "11" & x"b32" => data <= x"20";
when "11" & x"b33" => data <= x"a1";
when "11" & x"b34" => data <= x"b0";
when "11" & x"b35" => data <= x"a9";
when "11" & x"b36" => data <= x"00";
when "11" & x"b37" => data <= x"8d";
when "11" & x"b38" => data <= x"53";
when "11" & x"b39" => data <= x"0d";
when "11" & x"b3a" => data <= x"8d";
when "11" & x"b3b" => data <= x"54";
when "11" & x"b3c" => data <= x"0d";
when "11" & x"b3d" => data <= x"a0";
when "11" & x"b3e" => data <= x"0f";
when "11" & x"b3f" => data <= x"b1";
when "11" & x"b40" => data <= x"f2";
when "11" & x"b41" => data <= x"10";
when "11" & x"b42" => data <= x"0c";
when "11" & x"b43" => data <= x"c9";
when "11" & x"b44" => data <= x"ff";
when "11" & x"b45" => data <= x"f0";
when "11" & x"b46" => data <= x"36";
when "11" & x"b47" => data <= x"ad";
when "11" & x"b48" => data <= x"54";
when "11" & x"b49" => data <= x"0d";
when "11" & x"b4a" => data <= x"6a";
when "11" & x"b4b" => data <= x"ad";
when "11" & x"b4c" => data <= x"53";
when "11" & x"b4d" => data <= x"0d";
when "11" & x"b4e" => data <= x"60";
when "11" & x"b4f" => data <= x"ee";
when "11" & x"b50" => data <= x"53";
when "11" & x"b51" => data <= x"0d";
when "11" & x"b52" => data <= x"d0";
when "11" & x"b53" => data <= x"03";
when "11" & x"b54" => data <= x"ee";
when "11" & x"b55" => data <= x"54";
when "11" & x"b56" => data <= x"0d";
when "11" & x"b57" => data <= x"18";
when "11" & x"b58" => data <= x"a5";
when "11" & x"b59" => data <= x"f2";
when "11" & x"b5a" => data <= x"69";
when "11" & x"b5b" => data <= x"10";
when "11" & x"b5c" => data <= x"85";
when "11" & x"b5d" => data <= x"f2";
when "11" & x"b5e" => data <= x"d0";
when "11" & x"b5f" => data <= x"df";
when "11" & x"b60" => data <= x"a5";
when "11" & x"b61" => data <= x"f3";
when "11" & x"b62" => data <= x"49";
when "11" & x"b63" => data <= x"01";
when "11" & x"b64" => data <= x"85";
when "11" & x"b65" => data <= x"f3";
when "11" & x"b66" => data <= x"29";
when "11" & x"b67" => data <= x"01";
when "11" & x"b68" => data <= x"d0";
when "11" & x"b69" => data <= x"d5";
when "11" & x"b6a" => data <= x"18";
when "11" & x"b6b" => data <= x"ad";
when "11" & x"b6c" => data <= x"52";
when "11" & x"b6d" => data <= x"0d";
when "11" & x"b6e" => data <= x"69";
when "11" & x"b6f" => data <= x"01";
when "11" & x"b70" => data <= x"c9";
when "11" & x"b71" => data <= x"90";
when "11" & x"b72" => data <= x"f0";
when "11" & x"b73" => data <= x"09";
when "11" & x"b74" => data <= x"8d";
when "11" & x"b75" => data <= x"52";
when "11" & x"b76" => data <= x"0d";
when "11" & x"b77" => data <= x"20";
when "11" & x"b78" => data <= x"a1";
when "11" & x"b79" => data <= x"b0";
when "11" & x"b7a" => data <= x"4c";
when "11" & x"b7b" => data <= x"3d";
when "11" & x"b7c" => data <= x"bb";
when "11" & x"b7d" => data <= x"20";
when "11" & x"b7e" => data <= x"00";
when "11" & x"b7f" => data <= x"a0";
when "11" & x"b80" => data <= x"ff";
when "11" & x"b81" => data <= x"4e";
when "11" & x"b82" => data <= x"6f";
when "11" & x"b83" => data <= x"20";
when "11" & x"b84" => data <= x"66";
when "11" & x"b85" => data <= x"72";
when "11" & x"b86" => data <= x"65";
when "11" & x"b87" => data <= x"65";
when "11" & x"b88" => data <= x"20";
when "11" & x"b89" => data <= x"64";
when "11" & x"b8a" => data <= x"69";
when "11" & x"b8b" => data <= x"73";
when "11" & x"b8c" => data <= x"6b";
when "11" & x"b8d" => data <= x"73";
when "11" & x"b8e" => data <= x"00";
when "11" & x"b8f" => data <= x"20";
when "11" & x"b90" => data <= x"8a";
when "11" & x"b91" => data <= x"b7";
when "11" & x"b92" => data <= x"08";
when "11" & x"b93" => data <= x"48";
when "11" & x"b94" => data <= x"8a";
when "11" & x"b95" => data <= x"48";
when "11" & x"b96" => data <= x"a9";
when "11" & x"b97" => data <= x"80";
when "11" & x"b98" => data <= x"20";
when "11" & x"b99" => data <= x"a1";
when "11" & x"b9a" => data <= x"b0";
when "11" & x"b9b" => data <= x"68";
when "11" & x"b9c" => data <= x"aa";
when "11" & x"b9d" => data <= x"68";
when "11" & x"b9e" => data <= x"9d";
when "11" & x"b9f" => data <= x"00";
when "11" & x"ba0" => data <= x"0e";
when "11" & x"ba1" => data <= x"68";
when "11" & x"ba2" => data <= x"29";
when "11" & x"ba3" => data <= x"01";
when "11" & x"ba4" => data <= x"9d";
when "11" & x"ba5" => data <= x"04";
when "11" & x"ba6" => data <= x"0e";
when "11" & x"ba7" => data <= x"4c";
when "11" & x"ba8" => data <= x"b8";
when "11" & x"ba9" => data <= x"b0";
when "11" & x"baa" => data <= x"20";
when "11" & x"bab" => data <= x"e4";
when "11" & x"bac" => data <= x"bb";
when "11" & x"bad" => data <= x"20";
when "11" & x"bae" => data <= x"b0";
when "11" & x"baf" => data <= x"b4";
when "11" & x"bb0" => data <= x"a2";
when "11" & x"bb1" => data <= x"00";
when "11" & x"bb2" => data <= x"b0";
when "11" & x"bb3" => data <= x"10";
when "11" & x"bb4" => data <= x"20";
when "11" & x"bb5" => data <= x"c3";
when "11" & x"bb6" => data <= x"b4";
when "11" & x"bb7" => data <= x"b0";
when "11" & x"bb8" => data <= x"17";
when "11" & x"bb9" => data <= x"c9";
when "11" & x"bba" => data <= x"00";
when "11" & x"bbb" => data <= x"d0";
when "11" & x"bbc" => data <= x"04";
when "11" & x"bbd" => data <= x"e0";
when "11" & x"bbe" => data <= x"00";
when "11" & x"bbf" => data <= x"f0";
when "11" & x"bc0" => data <= x"03";
when "11" & x"bc1" => data <= x"20";
when "11" & x"bc2" => data <= x"ef";
when "11" & x"bc3" => data <= x"bb";
when "11" & x"bc4" => data <= x"20";
when "11" & x"bc5" => data <= x"e2";
when "11" & x"bc6" => data <= x"8d";
when "11" & x"bc7" => data <= x"a2";
when "11" & x"bc8" => data <= x"ff";
when "11" & x"bc9" => data <= x"8a";
when "11" & x"bca" => data <= x"8d";
when "11" & x"bcb" => data <= x"52";
when "11" & x"bcc" => data <= x"0d";
when "11" & x"bcd" => data <= x"4c";
when "11" & x"bce" => data <= x"3a";
when "11" & x"bcf" => data <= x"b1";
when "11" & x"bd0" => data <= x"20";
when "11" & x"bd1" => data <= x"00";
when "11" & x"bd2" => data <= x"a0";
when "11" & x"bd3" => data <= x"ff";
when "11" & x"bd4" => data <= x"42";
when "11" & x"bd5" => data <= x"61";
when "11" & x"bd6" => data <= x"64";
when "11" & x"bd7" => data <= x"20";
when "11" & x"bd8" => data <= x"66";
when "11" & x"bd9" => data <= x"69";
when "11" & x"bda" => data <= x"6c";
when "11" & x"bdb" => data <= x"65";
when "11" & x"bdc" => data <= x"20";
when "11" & x"bdd" => data <= x"6e";
when "11" & x"bde" => data <= x"75";
when "11" & x"bdf" => data <= x"6d";
when "11" & x"be0" => data <= x"62";
when "11" & x"be1" => data <= x"65";
when "11" & x"be2" => data <= x"72";
when "11" & x"be3" => data <= x"00";
when "11" & x"be4" => data <= x"a2";
when "11" & x"be5" => data <= x"03";
when "11" & x"be6" => data <= x"a9";
when "11" & x"be7" => data <= x"20";
when "11" & x"be8" => data <= x"9d";
when "11" & x"be9" => data <= x"74";
when "11" & x"bea" => data <= x"0d";
when "11" & x"beb" => data <= x"ca";
when "11" & x"bec" => data <= x"10";
when "11" & x"bed" => data <= x"f8";
when "11" & x"bee" => data <= x"60";
when "11" & x"bef" => data <= x"c0";
when "11" & x"bf0" => data <= x"09";
when "11" & x"bf1" => data <= x"b0";
when "11" & x"bf2" => data <= x"dd";
when "11" & x"bf3" => data <= x"a0";
when "11" & x"bf4" => data <= x"03";
when "11" & x"bf5" => data <= x"b1";
when "11" & x"bf6" => data <= x"f2";
when "11" & x"bf7" => data <= x"29";
when "11" & x"bf8" => data <= x"4f";
when "11" & x"bf9" => data <= x"c9";
when "11" & x"bfa" => data <= x"42";
when "11" & x"bfb" => data <= x"d0";
when "11" & x"bfc" => data <= x"d3";
when "11" & x"bfd" => data <= x"a2";
when "11" & x"bfe" => data <= x"00";
when "11" & x"bff" => data <= x"c8";
when "11" & x"c00" => data <= x"b1";
when "11" & x"c01" => data <= x"f2";
when "11" & x"c02" => data <= x"c9";
when "11" & x"c03" => data <= x"20";
when "11" & x"c04" => data <= x"f0";
when "11" & x"c05" => data <= x"f9";
when "11" & x"c06" => data <= x"c9";
when "11" & x"c07" => data <= x"0d";
when "11" & x"c08" => data <= x"f0";
when "11" & x"c09" => data <= x"08";
when "11" & x"c0a" => data <= x"9d";
when "11" & x"c0b" => data <= x"74";
when "11" & x"c0c" => data <= x"0d";
when "11" & x"c0d" => data <= x"e8";
when "11" & x"c0e" => data <= x"e0";
when "11" & x"c0f" => data <= x"04";
when "11" & x"c10" => data <= x"90";
when "11" & x"c11" => data <= x"ed";
when "11" & x"c12" => data <= x"a2";
when "11" & x"c13" => data <= x"00";
when "11" & x"c14" => data <= x"60";
when "11" & x"c15" => data <= x"4c";
when "11" & x"c16" => data <= x"1e";
when "11" & x"c17" => data <= x"bc";
when "11" & x"c18" => data <= x"4c";
when "11" & x"c19" => data <= x"2b";
when "11" & x"c1a" => data <= x"bc";
when "11" & x"c1b" => data <= x"4c";
when "11" & x"c1c" => data <= x"38";
when "11" & x"c1d" => data <= x"bc";
when "11" & x"c1e" => data <= x"20";
when "11" & x"c1f" => data <= x"d8";
when "11" & x"c20" => data <= x"bd";
when "11" & x"c21" => data <= x"20";
when "11" & x"c22" => data <= x"a7";
when "11" & x"c23" => data <= x"bd";
when "11" & x"c24" => data <= x"20";
when "11" & x"c25" => data <= x"d0";
when "11" & x"c26" => data <= x"bd";
when "11" & x"c27" => data <= x"20";
when "11" & x"c28" => data <= x"05";
when "11" & x"c29" => data <= x"be";
when "11" & x"c2a" => data <= x"60";
when "11" & x"c2b" => data <= x"20";
when "11" & x"c2c" => data <= x"d8";
when "11" & x"c2d" => data <= x"bd";
when "11" & x"c2e" => data <= x"20";
when "11" & x"c2f" => data <= x"a7";
when "11" & x"c30" => data <= x"bd";
when "11" & x"c31" => data <= x"20";
when "11" & x"c32" => data <= x"d4";
when "11" & x"c33" => data <= x"bd";
when "11" & x"c34" => data <= x"20";
when "11" & x"c35" => data <= x"05";
when "11" & x"c36" => data <= x"be";
when "11" & x"c37" => data <= x"60";
when "11" & x"c38" => data <= x"a9";
when "11" & x"c39" => data <= x"00";
when "11" & x"c3a" => data <= x"8d";
when "11" & x"c3b" => data <= x"28";
when "11" & x"c3c" => data <= x"0d";
when "11" & x"c3d" => data <= x"20";
when "11" & x"c3e" => data <= x"c2";
when "11" & x"c3f" => data <= x"ff";
when "11" & x"c40" => data <= x"f0";
when "11" & x"c41" => data <= x"6e";
when "11" & x"c42" => data <= x"b1";
when "11" & x"c43" => data <= x"f2";
when "11" & x"c44" => data <= x"c8";
when "11" & x"c45" => data <= x"c9";
when "11" & x"c46" => data <= x"20";
when "11" & x"c47" => data <= x"f0";
when "11" & x"c48" => data <= x"f9";
when "11" & x"c49" => data <= x"b1";
when "11" & x"c4a" => data <= x"f2";
when "11" & x"c4b" => data <= x"88";
when "11" & x"c4c" => data <= x"c9";
when "11" & x"c4d" => data <= x"20";
when "11" & x"c4e" => data <= x"d0";
when "11" & x"c4f" => data <= x"24";
when "11" & x"c50" => data <= x"b1";
when "11" & x"c51" => data <= x"f2";
when "11" & x"c52" => data <= x"38";
when "11" & x"c53" => data <= x"e9";
when "11" & x"c54" => data <= x"30";
when "11" & x"c55" => data <= x"30";
when "11" & x"c56" => data <= x"1d";
when "11" & x"c57" => data <= x"c9";
when "11" & x"c58" => data <= x"0a";
when "11" & x"c59" => data <= x"90";
when "11" & x"c5a" => data <= x"14";
when "11" & x"c5b" => data <= x"e9";
when "11" & x"c5c" => data <= x"07";
when "11" & x"c5d" => data <= x"c9";
when "11" & x"c5e" => data <= x"0a";
when "11" & x"c5f" => data <= x"90";
when "11" & x"c60" => data <= x"13";
when "11" & x"c61" => data <= x"c9";
when "11" & x"c62" => data <= x"10";
when "11" & x"c63" => data <= x"90";
when "11" & x"c64" => data <= x"0a";
when "11" & x"c65" => data <= x"e9";
when "11" & x"c66" => data <= x"20";
when "11" & x"c67" => data <= x"c9";
when "11" & x"c68" => data <= x"0a";
when "11" & x"c69" => data <= x"90";
when "11" & x"c6a" => data <= x"09";
when "11" & x"c6b" => data <= x"c9";
when "11" & x"c6c" => data <= x"10";
when "11" & x"c6d" => data <= x"b0";
when "11" & x"c6e" => data <= x"05";
when "11" & x"c6f" => data <= x"c8";
when "11" & x"c70" => data <= x"c8";
when "11" & x"c71" => data <= x"8d";
when "11" & x"c72" => data <= x"28";
when "11" & x"c73" => data <= x"0d";
when "11" & x"c74" => data <= x"a5";
when "11" & x"c75" => data <= x"f4";
when "11" & x"c76" => data <= x"8d";
when "11" & x"c77" => data <= x"29";
when "11" & x"c78" => data <= x"0d";
when "11" & x"c79" => data <= x"98";
when "11" & x"c7a" => data <= x"48";
when "11" & x"c7b" => data <= x"a0";
when "11" & x"c7c" => data <= x"26";
when "11" & x"c7d" => data <= x"b9";
when "11" & x"c7e" => data <= x"81";
when "11" & x"c7f" => data <= x"bd";
when "11" & x"c80" => data <= x"99";
when "11" & x"c81" => data <= x"52";
when "11" & x"c82" => data <= x"0d";
when "11" & x"c83" => data <= x"88";
when "11" & x"c84" => data <= x"10";
when "11" & x"c85" => data <= x"f7";
when "11" & x"c86" => data <= x"a2";
when "11" & x"c87" => data <= x"0f";
when "11" & x"c88" => data <= x"20";
when "11" & x"c89" => data <= x"52";
when "11" & x"c8a" => data <= x"0d";
when "11" & x"c8b" => data <= x"68";
when "11" & x"c8c" => data <= x"a8";
when "11" & x"c8d" => data <= x"8e";
when "11" & x"c8e" => data <= x"28";
when "11" & x"c8f" => data <= x"0d";
when "11" & x"c90" => data <= x"8a";
when "11" & x"c91" => data <= x"10";
when "11" & x"c92" => data <= x"14";
when "11" & x"c93" => data <= x"20";
when "11" & x"c94" => data <= x"00";
when "11" & x"c95" => data <= x"a0";
when "11" & x"c96" => data <= x"ff";
when "11" & x"c97" => data <= x"4e";
when "11" & x"c98" => data <= x"6f";
when "11" & x"c99" => data <= x"20";
when "11" & x"c9a" => data <= x"53";
when "11" & x"c9b" => data <= x"69";
when "11" & x"c9c" => data <= x"64";
when "11" & x"c9d" => data <= x"65";
when "11" & x"c9e" => data <= x"77";
when "11" & x"c9f" => data <= x"61";
when "11" & x"ca0" => data <= x"79";
when "11" & x"ca1" => data <= x"73";
when "11" & x"ca2" => data <= x"20";
when "11" & x"ca3" => data <= x"52";
when "11" & x"ca4" => data <= x"41";
when "11" & x"ca5" => data <= x"4d";
when "11" & x"ca6" => data <= x"00";
when "11" & x"ca7" => data <= x"20";
when "11" & x"ca8" => data <= x"62";
when "11" & x"ca9" => data <= x"82";
when "11" & x"caa" => data <= x"18";
when "11" & x"cab" => data <= x"20";
when "11" & x"cac" => data <= x"c2";
when "11" & x"cad" => data <= x"ff";
when "11" & x"cae" => data <= x"d0";
when "11" & x"caf" => data <= x"03";
when "11" & x"cb0" => data <= x"4c";
when "11" & x"cb1" => data <= x"f5";
when "11" & x"cb2" => data <= x"b6";
when "11" & x"cb3" => data <= x"20";
when "11" & x"cb4" => data <= x"fe";
when "11" & x"cb5" => data <= x"80";
when "11" & x"cb6" => data <= x"98";
when "11" & x"cb7" => data <= x"20";
when "11" & x"cb8" => data <= x"71";
when "11" & x"cb9" => data <= x"82";
when "11" & x"cba" => data <= x"b9";
when "11" & x"cbb" => data <= x"0e";
when "11" & x"cbc" => data <= x"0f";
when "11" & x"cbd" => data <= x"48";
when "11" & x"cbe" => data <= x"29";
when "11" & x"cbf" => data <= x"03";
when "11" & x"cc0" => data <= x"85";
when "11" & x"cc1" => data <= x"a1";
when "11" & x"cc2" => data <= x"b9";
when "11" & x"cc3" => data <= x"0f";
when "11" & x"cc4" => data <= x"0f";
when "11" & x"cc5" => data <= x"85";
when "11" & x"cc6" => data <= x"a0";
when "11" & x"cc7" => data <= x"68";
when "11" & x"cc8" => data <= x"4a";
when "11" & x"cc9" => data <= x"4a";
when "11" & x"cca" => data <= x"29";
when "11" & x"ccb" => data <= x"03";
when "11" & x"ccc" => data <= x"f0";
when "11" & x"ccd" => data <= x"04";
when "11" & x"cce" => data <= x"49";
when "11" & x"ccf" => data <= x"03";
when "11" & x"cd0" => data <= x"d0";
when "11" & x"cd1" => data <= x"17";
when "11" & x"cd2" => data <= x"b9";
when "11" & x"cd3" => data <= x"0c";
when "11" & x"cd4" => data <= x"0f";
when "11" & x"cd5" => data <= x"d0";
when "11" & x"cd6" => data <= x"12";
when "11" & x"cd7" => data <= x"b9";
when "11" & x"cd8" => data <= x"0d";
when "11" & x"cd9" => data <= x"0f";
when "11" & x"cda" => data <= x"30";
when "11" & x"cdb" => data <= x"0d";
when "11" & x"cdc" => data <= x"8d";
when "11" & x"cdd" => data <= x"27";
when "11" & x"cde" => data <= x"0d";
when "11" & x"cdf" => data <= x"a2";
when "11" & x"ce0" => data <= x"05";
when "11" & x"ce1" => data <= x"c9";
when "11" & x"ce2" => data <= x"40";
when "11" & x"ce3" => data <= x"f0";
when "11" & x"ce4" => data <= x"15";
when "11" & x"ce5" => data <= x"0a";
when "11" & x"ce6" => data <= x"ca";
when "11" & x"ce7" => data <= x"d0";
when "11" & x"ce8" => data <= x"f8";
when "11" & x"ce9" => data <= x"20";
when "11" & x"cea" => data <= x"00";
when "11" & x"ceb" => data <= x"a0";
when "11" & x"cec" => data <= x"ff";
when "11" & x"ced" => data <= x"42";
when "11" & x"cee" => data <= x"61";
when "11" & x"cef" => data <= x"64";
when "11" & x"cf0" => data <= x"20";
when "11" & x"cf1" => data <= x"52";
when "11" & x"cf2" => data <= x"4f";
when "11" & x"cf3" => data <= x"4d";
when "11" & x"cf4" => data <= x"20";
when "11" & x"cf5" => data <= x"73";
when "11" & x"cf6" => data <= x"69";
when "11" & x"cf7" => data <= x"7a";
when "11" & x"cf8" => data <= x"65";
when "11" & x"cf9" => data <= x"00";
when "11" & x"cfa" => data <= x"4e";
when "11" & x"cfb" => data <= x"27";
when "11" & x"cfc" => data <= x"0d";
when "11" & x"cfd" => data <= x"a6";
when "11" & x"cfe" => data <= x"cf";
when "11" & x"cff" => data <= x"20";
when "11" & x"d00" => data <= x"1d";
when "11" & x"d01" => data <= x"ae";
when "11" & x"d02" => data <= x"18";
when "11" & x"d03" => data <= x"ad";
when "11" & x"d04" => data <= x"23";
when "11" & x"d05" => data <= x"0d";
when "11" & x"d06" => data <= x"65";
when "11" & x"d07" => data <= x"a0";
when "11" & x"d08" => data <= x"8d";
when "11" & x"d09" => data <= x"23";
when "11" & x"d0a" => data <= x"0d";
when "11" & x"d0b" => data <= x"ad";
when "11" & x"d0c" => data <= x"24";
when "11" & x"d0d" => data <= x"0d";
when "11" & x"d0e" => data <= x"65";
when "11" & x"d0f" => data <= x"a1";
when "11" & x"d10" => data <= x"8d";
when "11" & x"d11" => data <= x"24";
when "11" & x"d12" => data <= x"0d";
when "11" & x"d13" => data <= x"a9";
when "11" & x"d14" => data <= x"00";
when "11" & x"d15" => data <= x"6d";
when "11" & x"d16" => data <= x"25";
when "11" & x"d17" => data <= x"0d";
when "11" & x"d18" => data <= x"8d";
when "11" & x"d19" => data <= x"25";
when "11" & x"d1a" => data <= x"0d";
when "11" & x"d1b" => data <= x"a0";
when "11" & x"d1c" => data <= x"1c";
when "11" & x"d1d" => data <= x"b9";
when "11" & x"d1e" => data <= x"65";
when "11" & x"d1f" => data <= x"bd";
when "11" & x"d20" => data <= x"99";
when "11" & x"d21" => data <= x"52";
when "11" & x"d22" => data <= x"0d";
when "11" & x"d23" => data <= x"88";
when "11" & x"d24" => data <= x"10";
when "11" & x"d25" => data <= x"f7";
when "11" & x"d26" => data <= x"ad";
when "11" & x"d27" => data <= x"28";
when "11" & x"d28" => data <= x"0d";
when "11" & x"d29" => data <= x"8d";
when "11" & x"d2a" => data <= x"53";
when "11" & x"d2b" => data <= x"0d";
when "11" & x"d2c" => data <= x"ad";
when "11" & x"d2d" => data <= x"29";
when "11" & x"d2e" => data <= x"0d";
when "11" & x"d2f" => data <= x"8d";
when "11" & x"d30" => data <= x"69";
when "11" & x"d31" => data <= x"0d";
when "11" & x"d32" => data <= x"20";
when "11" & x"d33" => data <= x"1f";
when "11" & x"d34" => data <= x"a6";
when "11" & x"d35" => data <= x"a9";
when "11" & x"d36" => data <= x"ff";
when "11" & x"d37" => data <= x"8d";
when "11" & x"d38" => data <= x"82";
when "11" & x"d39" => data <= x"10";
when "11" & x"d3a" => data <= x"20";
when "11" & x"d3b" => data <= x"99";
when "11" & x"d3c" => data <= x"a7";
when "11" & x"d3d" => data <= x"20";
when "11" & x"d3e" => data <= x"52";
when "11" & x"d3f" => data <= x"0d";
when "11" & x"d40" => data <= x"18";
when "11" & x"d41" => data <= x"ad";
when "11" & x"d42" => data <= x"23";
when "11" & x"d43" => data <= x"0d";
when "11" & x"d44" => data <= x"69";
when "11" & x"d45" => data <= x"02";
when "11" & x"d46" => data <= x"8d";
when "11" & x"d47" => data <= x"23";
when "11" & x"d48" => data <= x"0d";
when "11" & x"d49" => data <= x"90";
when "11" & x"d4a" => data <= x"08";
when "11" & x"d4b" => data <= x"ee";
when "11" & x"d4c" => data <= x"24";
when "11" & x"d4d" => data <= x"0d";
when "11" & x"d4e" => data <= x"d0";
when "11" & x"d4f" => data <= x"03";
when "11" & x"d50" => data <= x"ee";
when "11" & x"d51" => data <= x"24";
when "11" & x"d52" => data <= x"0d";
when "11" & x"d53" => data <= x"ee";
when "11" & x"d54" => data <= x"5e";
when "11" & x"d55" => data <= x"0d";
when "11" & x"d56" => data <= x"ee";
when "11" & x"d57" => data <= x"5e";
when "11" & x"d58" => data <= x"0d";
when "11" & x"d59" => data <= x"ee";
when "11" & x"d5a" => data <= x"64";
when "11" & x"d5b" => data <= x"0d";
when "11" & x"d5c" => data <= x"ee";
when "11" & x"d5d" => data <= x"64";
when "11" & x"d5e" => data <= x"0d";
when "11" & x"d5f" => data <= x"ce";
when "11" & x"d60" => data <= x"27";
when "11" & x"d61" => data <= x"0d";
when "11" & x"d62" => data <= x"d0";
when "11" & x"d63" => data <= x"d6";
when "11" & x"d64" => data <= x"60";
when "11" & x"d65" => data <= x"a9";
when "11" & x"d66" => data <= x"00";
when "11" & x"d67" => data <= x"8d";
when "11" & x"d68" => data <= x"30";
when "11" & x"d69" => data <= x"fe";
when "11" & x"d6a" => data <= x"a0";
when "11" & x"d6b" => data <= x"00";
when "11" & x"d6c" => data <= x"b9";
when "11" & x"d6d" => data <= x"00";
when "11" & x"d6e" => data <= x"0e";
when "11" & x"d6f" => data <= x"99";
when "11" & x"d70" => data <= x"00";
when "11" & x"d71" => data <= x"80";
when "11" & x"d72" => data <= x"b9";
when "11" & x"d73" => data <= x"00";
when "11" & x"d74" => data <= x"0f";
when "11" & x"d75" => data <= x"99";
when "11" & x"d76" => data <= x"00";
when "11" & x"d77" => data <= x"81";
when "11" & x"d78" => data <= x"88";
when "11" & x"d79" => data <= x"d0";
when "11" & x"d7a" => data <= x"f1";
when "11" & x"d7b" => data <= x"a9";
when "11" & x"d7c" => data <= x"00";
when "11" & x"d7d" => data <= x"8d";
when "11" & x"d7e" => data <= x"30";
when "11" & x"d7f" => data <= x"fe";
when "11" & x"d80" => data <= x"60";
when "11" & x"d81" => data <= x"8e";
when "11" & x"d82" => data <= x"30";
when "11" & x"d83" => data <= x"fe";
when "11" & x"d84" => data <= x"ad";
when "11" & x"d85" => data <= x"ff";
when "11" & x"d86" => data <= x"bf";
when "11" & x"d87" => data <= x"a8";
when "11" & x"d88" => data <= x"49";
when "11" & x"d89" => data <= x"ff";
when "11" & x"d8a" => data <= x"8d";
when "11" & x"d8b" => data <= x"ff";
when "11" & x"d8c" => data <= x"bf";
when "11" & x"d8d" => data <= x"98";
when "11" & x"d8e" => data <= x"4d";
when "11" & x"d8f" => data <= x"ff";
when "11" & x"d90" => data <= x"bf";
when "11" & x"d91" => data <= x"8c";
when "11" & x"d92" => data <= x"ff";
when "11" & x"d93" => data <= x"bf";
when "11" & x"d94" => data <= x"c9";
when "11" & x"d95" => data <= x"ff";
when "11" & x"d96" => data <= x"d0";
when "11" & x"d97" => data <= x"05";
when "11" & x"d98" => data <= x"ce";
when "11" & x"d99" => data <= x"28";
when "11" & x"d9a" => data <= x"0d";
when "11" & x"d9b" => data <= x"30";
when "11" & x"d9c" => data <= x"03";
when "11" & x"d9d" => data <= x"ca";
when "11" & x"d9e" => data <= x"10";
when "11" & x"d9f" => data <= x"e1";
when "11" & x"da0" => data <= x"ad";
when "11" & x"da1" => data <= x"29";
when "11" & x"da2" => data <= x"0d";
when "11" & x"da3" => data <= x"8d";
when "11" & x"da4" => data <= x"30";
when "11" & x"da5" => data <= x"fe";
when "11" & x"da6" => data <= x"60";
when "11" & x"da7" => data <= x"a2";
when "11" & x"da8" => data <= x"0b";
when "11" & x"da9" => data <= x"bd";
when "11" & x"daa" => data <= x"32";
when "11" & x"dab" => data <= x"be";
when "11" & x"dac" => data <= x"9d";
when "11" & x"dad" => data <= x"70";
when "11" & x"dae" => data <= x"0d";
when "11" & x"daf" => data <= x"ca";
when "11" & x"db0" => data <= x"10";
when "11" & x"db1" => data <= x"f7";
when "11" & x"db2" => data <= x"e8";
when "11" & x"db3" => data <= x"8e";
when "11" & x"db4" => data <= x"05";
when "11" & x"db5" => data <= x"0d";
when "11" & x"db6" => data <= x"8e";
when "11" & x"db7" => data <= x"28";
when "11" & x"db8" => data <= x"0d";
when "11" & x"db9" => data <= x"a9";
when "11" & x"dba" => data <= x"40";
when "11" & x"dbb" => data <= x"8d";
when "11" & x"dbc" => data <= x"27";
when "11" & x"dbd" => data <= x"0d";
when "11" & x"dbe" => data <= x"0a";
when "11" & x"dbf" => data <= x"48";
when "11" & x"dc0" => data <= x"20";
when "11" & x"dc1" => data <= x"1f";
when "11" & x"dc2" => data <= x"a6";
when "11" & x"dc3" => data <= x"68";
when "11" & x"dc4" => data <= x"20";
when "11" & x"dc5" => data <= x"70";
when "11" & x"dc6" => data <= x"b0";
when "11" & x"dc7" => data <= x"a9";
when "11" & x"dc8" => data <= x"00";
when "11" & x"dc9" => data <= x"85";
when "11" & x"dca" => data <= x"a0";
when "11" & x"dcb" => data <= x"a9";
when "11" & x"dcc" => data <= x"20";
when "11" & x"dcd" => data <= x"85";
when "11" & x"dce" => data <= x"a1";
when "11" & x"dcf" => data <= x"60";
when "11" & x"dd0" => data <= x"20";
when "11" & x"dd1" => data <= x"cc";
when "11" & x"dd2" => data <= x"a7";
when "11" & x"dd3" => data <= x"60";
when "11" & x"dd4" => data <= x"20";
when "11" & x"dd5" => data <= x"78";
when "11" & x"dd6" => data <= x"a8";
when "11" & x"dd7" => data <= x"60";
when "11" & x"dd8" => data <= x"a2";
when "11" & x"dd9" => data <= x"0b";
when "11" & x"dda" => data <= x"bd";
when "11" & x"ddb" => data <= x"70";
when "11" & x"ddc" => data <= x"0d";
when "11" & x"ddd" => data <= x"9d";
when "11" & x"dde" => data <= x"60";
when "11" & x"ddf" => data <= x"0d";
when "11" & x"de0" => data <= x"ca";
when "11" & x"de1" => data <= x"10";
when "11" & x"de2" => data <= x"f7";
when "11" & x"de3" => data <= x"a2";
when "11" & x"de4" => data <= x"06";
when "11" & x"de5" => data <= x"bd";
when "11" & x"de6" => data <= x"05";
when "11" & x"de7" => data <= x"0d";
when "11" & x"de8" => data <= x"9d";
when "11" & x"de9" => data <= x"2e";
when "11" & x"dea" => data <= x"0d";
when "11" & x"deb" => data <= x"ca";
when "11" & x"dec" => data <= x"10";
when "11" & x"ded" => data <= x"f7";
when "11" & x"dee" => data <= x"ad";
when "11" & x"def" => data <= x"28";
when "11" & x"df0" => data <= x"0d";
when "11" & x"df1" => data <= x"8d";
when "11" & x"df2" => data <= x"35";
when "11" & x"df3" => data <= x"0d";
when "11" & x"df4" => data <= x"a5";
when "11" & x"df5" => data <= x"a0";
when "11" & x"df6" => data <= x"8d";
when "11" & x"df7" => data <= x"36";
when "11" & x"df8" => data <= x"0d";
when "11" & x"df9" => data <= x"a5";
when "11" & x"dfa" => data <= x"a1";
when "11" & x"dfb" => data <= x"8d";
when "11" & x"dfc" => data <= x"37";
when "11" & x"dfd" => data <= x"0d";
when "11" & x"dfe" => data <= x"ad";
when "11" & x"dff" => data <= x"27";
when "11" & x"e00" => data <= x"0d";
when "11" & x"e01" => data <= x"8d";
when "11" & x"e02" => data <= x"38";
when "11" & x"e03" => data <= x"0d";
when "11" & x"e04" => data <= x"60";
when "11" & x"e05" => data <= x"ad";
when "11" & x"e06" => data <= x"38";
when "11" & x"e07" => data <= x"0d";
when "11" & x"e08" => data <= x"8d";
when "11" & x"e09" => data <= x"27";
when "11" & x"e0a" => data <= x"0d";
when "11" & x"e0b" => data <= x"ad";
when "11" & x"e0c" => data <= x"37";
when "11" & x"e0d" => data <= x"0d";
when "11" & x"e0e" => data <= x"85";
when "11" & x"e0f" => data <= x"a1";
when "11" & x"e10" => data <= x"ad";
when "11" & x"e11" => data <= x"36";
when "11" & x"e12" => data <= x"0d";
when "11" & x"e13" => data <= x"85";
when "11" & x"e14" => data <= x"a0";
when "11" & x"e15" => data <= x"ad";
when "11" & x"e16" => data <= x"35";
when "11" & x"e17" => data <= x"0d";
when "11" & x"e18" => data <= x"8d";
when "11" & x"e19" => data <= x"28";
when "11" & x"e1a" => data <= x"0d";
when "11" & x"e1b" => data <= x"a2";
when "11" & x"e1c" => data <= x"06";
when "11" & x"e1d" => data <= x"bd";
when "11" & x"e1e" => data <= x"2e";
when "11" & x"e1f" => data <= x"0d";
when "11" & x"e20" => data <= x"9d";
when "11" & x"e21" => data <= x"05";
when "11" & x"e22" => data <= x"0d";
when "11" & x"e23" => data <= x"ca";
when "11" & x"e24" => data <= x"10";
when "11" & x"e25" => data <= x"f7";
when "11" & x"e26" => data <= x"a2";
when "11" & x"e27" => data <= x"0b";
when "11" & x"e28" => data <= x"bd";
when "11" & x"e29" => data <= x"60";
when "11" & x"e2a" => data <= x"0d";
when "11" & x"e2b" => data <= x"9d";
when "11" & x"e2c" => data <= x"70";
when "11" & x"e2d" => data <= x"0d";
when "11" & x"e2e" => data <= x"ca";
when "11" & x"e2f" => data <= x"10";
when "11" & x"e30" => data <= x"f7";
when "11" & x"e31" => data <= x"60";
when "11" & x"e32" => data <= x"49";
when "11" & x"e33" => data <= x"4e";
when "11" & x"e34" => data <= x"4f";
when "11" & x"e35" => data <= x"55";
when "11" & x"e36" => data <= x"54";
when "11" & x"e37" => data <= x"4d";
when "11" & x"e38" => data <= x"45";
when "11" & x"e39" => data <= x"4d";
when "11" & x"e3a" => data <= x"20";
when "11" & x"e3b" => data <= x"20";
when "11" & x"e3c" => data <= x"20";
when "11" & x"e3d" => data <= x"20";
when "11" & x"e3e" => data <= x"48";
when "11" & x"e3f" => data <= x"a5";
when "11" & x"e40" => data <= x"cf";
when "11" & x"e41" => data <= x"8d";
when "11" & x"e42" => data <= x"20";
when "11" & x"e43" => data <= x"0d";
when "11" & x"e44" => data <= x"68";
when "11" & x"e45" => data <= x"60";
when "11" & x"e46" => data <= x"a0";
when "11" & x"e47" => data <= x"00";
when "11" & x"e48" => data <= x"b1";
when "11" & x"e49" => data <= x"b0";
when "11" & x"e4a" => data <= x"30";
when "11" & x"e4b" => data <= x"07";
when "11" & x"e4c" => data <= x"29";
when "11" & x"e4d" => data <= x"03";
when "11" & x"e4e" => data <= x"85";
when "11" & x"e4f" => data <= x"cf";
when "11" & x"e50" => data <= x"8d";
when "11" & x"e51" => data <= x"20";
when "11" & x"e52" => data <= x"0d";
when "11" & x"e53" => data <= x"a0";
when "11" & x"e54" => data <= x"05";
when "11" & x"e55" => data <= x"b1";
when "11" & x"e56" => data <= x"b0";
when "11" & x"e57" => data <= x"18";
when "11" & x"e58" => data <= x"69";
when "11" & x"e59" => data <= x"07";
when "11" & x"e5a" => data <= x"85";
when "11" & x"e5b" => data <= x"be";
when "11" & x"e5c" => data <= x"20";
when "11" & x"e5d" => data <= x"6a";
when "11" & x"e5e" => data <= x"be";
when "11" & x"e5f" => data <= x"a4";
when "11" & x"e60" => data <= x"be";
when "11" & x"e61" => data <= x"b0";
when "11" & x"e62" => data <= x"02";
when "11" & x"e63" => data <= x"a9";
when "11" & x"e64" => data <= x"00";
when "11" & x"e65" => data <= x"91";
when "11" & x"e66" => data <= x"b0";
when "11" & x"e67" => data <= x"a9";
when "11" & x"e68" => data <= x"00";
when "11" & x"e69" => data <= x"60";
when "11" & x"e6a" => data <= x"c8";
when "11" & x"e6b" => data <= x"b1";
when "11" & x"e6c" => data <= x"b0";
when "11" & x"e6d" => data <= x"aa";
when "11" & x"e6e" => data <= x"29";
when "11" & x"e6f" => data <= x"3f";
when "11" & x"e70" => data <= x"85";
when "11" & x"e71" => data <= x"bf";
when "11" & x"e72" => data <= x"c9";
when "11" & x"e73" => data <= x"3a";
when "11" & x"e74" => data <= x"d0";
when "11" & x"e75" => data <= x"2f";
when "11" & x"e76" => data <= x"a5";
when "11" & x"e77" => data <= x"be";
when "11" & x"e78" => data <= x"c9";
when "11" & x"e79" => data <= x"09";
when "11" & x"e7a" => data <= x"d0";
when "11" & x"e7b" => data <= x"13";
when "11" & x"e7c" => data <= x"c8";
when "11" & x"e7d" => data <= x"b1";
when "11" & x"e7e" => data <= x"b0";
when "11" & x"e7f" => data <= x"c9";
when "11" & x"e80" => data <= x"23";
when "11" & x"e81" => data <= x"d0";
when "11" & x"e82" => data <= x"0c";
when "11" & x"e83" => data <= x"c8";
when "11" & x"e84" => data <= x"b1";
when "11" & x"e85" => data <= x"b0";
when "11" & x"e86" => data <= x"29";
when "11" & x"e87" => data <= x"20";
when "11" & x"e88" => data <= x"f0";
when "11" & x"e89" => data <= x"02";
when "11" & x"e8a" => data <= x"a9";
when "11" & x"e8b" => data <= x"02";
when "11" & x"e8c" => data <= x"8d";
when "11" & x"e8d" => data <= x"20";
when "11" & x"e8e" => data <= x"0d";
when "11" & x"e8f" => data <= x"18";
when "11" & x"e90" => data <= x"60";
when "11" & x"e91" => data <= x"a9";
when "11" & x"e92" => data <= x"1e";
when "11" & x"e93" => data <= x"38";
when "11" & x"e94" => data <= x"60";
when "11" & x"e95" => data <= x"a9";
when "11" & x"e96" => data <= x"10";
when "11" & x"e97" => data <= x"38";
when "11" & x"e98" => data <= x"60";
when "11" & x"e99" => data <= x"a9";
when "11" & x"e9a" => data <= x"12";
when "11" & x"e9b" => data <= x"38";
when "11" & x"e9c" => data <= x"60";
when "11" & x"e9d" => data <= x"a9";
when "11" & x"e9e" => data <= x"ff";
when "11" & x"e9f" => data <= x"38";
when "11" & x"ea0" => data <= x"60";
when "11" & x"ea1" => data <= x"a9";
when "11" & x"ea2" => data <= x"1e";
when "11" & x"ea3" => data <= x"38";
when "11" & x"ea4" => data <= x"60";
when "11" & x"ea5" => data <= x"a5";
when "11" & x"ea6" => data <= x"cf";
when "11" & x"ea7" => data <= x"6a";
when "11" & x"ea8" => data <= x"8a";
when "11" & x"ea9" => data <= x"90";
when "11" & x"eaa" => data <= x"02";
when "11" & x"eab" => data <= x"49";
when "11" & x"eac" => data <= x"c0";
when "11" & x"ead" => data <= x"2a";
when "11" & x"eae" => data <= x"90";
when "11" & x"eaf" => data <= x"0c";
when "11" & x"eb0" => data <= x"2a";
when "11" & x"eb1" => data <= x"b0";
when "11" & x"eb2" => data <= x"de";
when "11" & x"eb3" => data <= x"ad";
when "11" & x"eb4" => data <= x"20";
when "11" & x"eb5" => data <= x"0d";
when "11" & x"eb6" => data <= x"29";
when "11" & x"eb7" => data <= x"02";
when "11" & x"eb8" => data <= x"09";
when "11" & x"eb9" => data <= x"01";
when "11" & x"eba" => data <= x"d0";
when "11" & x"ebb" => data <= x"08";
when "11" & x"ebc" => data <= x"2a";
when "11" & x"ebd" => data <= x"90";
when "11" & x"ebe" => data <= x"d6";
when "11" & x"ebf" => data <= x"ad";
when "11" & x"ec0" => data <= x"20";
when "11" & x"ec1" => data <= x"0d";
when "11" & x"ec2" => data <= x"29";
when "11" & x"ec3" => data <= x"02";
when "11" & x"ec4" => data <= x"8d";
when "11" & x"ec5" => data <= x"20";
when "11" & x"ec6" => data <= x"0d";
when "11" & x"ec7" => data <= x"aa";
when "11" & x"ec8" => data <= x"86";
when "11" & x"ec9" => data <= x"c0";
when "11" & x"eca" => data <= x"bd";
when "11" & x"ecb" => data <= x"10";
when "11" & x"ecc" => data <= x"0d";
when "11" & x"ecd" => data <= x"30";
when "11" & x"ece" => data <= x"c6";
when "11" & x"ecf" => data <= x"a5";
when "11" & x"ed0" => data <= x"bf";
when "11" & x"ed1" => data <= x"c9";
when "11" & x"ed2" => data <= x"13";
when "11" & x"ed3" => data <= x"f0";
when "11" & x"ed4" => data <= x"0b";
when "11" & x"ed5" => data <= x"c9";
when "11" & x"ed6" => data <= x"0b";
when "11" & x"ed7" => data <= x"d0";
when "11" & x"ed8" => data <= x"b6";
when "11" & x"ed9" => data <= x"bd";
when "11" & x"eda" => data <= x"1c";
when "11" & x"edb" => data <= x"0d";
when "11" & x"edc" => data <= x"c9";
when "11" & x"edd" => data <= x"54";
when "11" & x"ede" => data <= x"d0";
when "11" & x"edf" => data <= x"b9";
when "11" & x"ee0" => data <= x"a5";
when "11" & x"ee1" => data <= x"be";
when "11" & x"ee2" => data <= x"c9";
when "11" & x"ee3" => data <= x"0a";
when "11" & x"ee4" => data <= x"d0";
when "11" & x"ee5" => data <= x"b7";
when "11" & x"ee6" => data <= x"20";
when "11" & x"ee7" => data <= x"1f";
when "11" & x"ee8" => data <= x"a6";
when "11" & x"ee9" => data <= x"a9";
when "11" & x"eea" => data <= x"00";
when "11" & x"eeb" => data <= x"85";
when "11" & x"eec" => data <= x"c5";
when "11" & x"eed" => data <= x"c8";
when "11" & x"eee" => data <= x"b1";
when "11" & x"eef" => data <= x"b0";
when "11" & x"ef0" => data <= x"c9";
when "11" & x"ef1" => data <= x"50";
when "11" & x"ef2" => data <= x"b0";
when "11" & x"ef3" => data <= x"9d";
when "11" & x"ef4" => data <= x"0a";
when "11" & x"ef5" => data <= x"85";
when "11" & x"ef6" => data <= x"c4";
when "11" & x"ef7" => data <= x"0a";
when "11" & x"ef8" => data <= x"26";
when "11" & x"ef9" => data <= x"c5";
when "11" & x"efa" => data <= x"0a";
when "11" & x"efb" => data <= x"26";
when "11" & x"efc" => data <= x"c5";
when "11" & x"efd" => data <= x"65";
when "11" & x"efe" => data <= x"c4";
when "11" & x"eff" => data <= x"85";
when "11" & x"f00" => data <= x"c4";
when "11" & x"f01" => data <= x"90";
when "11" & x"f02" => data <= x"02";
when "11" & x"f03" => data <= x"e6";
when "11" & x"f04" => data <= x"c5";
when "11" & x"f05" => data <= x"c8";
when "11" & x"f06" => data <= x"b1";
when "11" & x"f07" => data <= x"b0";
when "11" & x"f08" => data <= x"c9";
when "11" & x"f09" => data <= x"0a";
when "11" & x"f0a" => data <= x"b0";
when "11" & x"f0b" => data <= x"95";
when "11" & x"f0c" => data <= x"18";
when "11" & x"f0d" => data <= x"65";
when "11" & x"f0e" => data <= x"c4";
when "11" & x"f0f" => data <= x"85";
when "11" & x"f10" => data <= x"c4";
when "11" & x"f11" => data <= x"90";
when "11" & x"f12" => data <= x"02";
when "11" & x"f13" => data <= x"e6";
when "11" & x"f14" => data <= x"c5";
when "11" & x"f15" => data <= x"18";
when "11" & x"f16" => data <= x"a9";
when "11" & x"f17" => data <= x"00";
when "11" & x"f18" => data <= x"8d";
when "11" & x"f19" => data <= x"28";
when "11" & x"f1a" => data <= x"0d";
when "11" & x"f1b" => data <= x"a5";
when "11" & x"f1c" => data <= x"c5";
when "11" & x"f1d" => data <= x"6a";
when "11" & x"f1e" => data <= x"48";
when "11" & x"f1f" => data <= x"a5";
when "11" & x"f20" => data <= x"c4";
when "11" & x"f21" => data <= x"6a";
when "11" & x"f22" => data <= x"48";
when "11" & x"f23" => data <= x"90";
when "11" & x"f24" => data <= x"03";
when "11" & x"f25" => data <= x"6e";
when "11" & x"f26" => data <= x"28";
when "11" & x"f27" => data <= x"0d";
when "11" & x"f28" => data <= x"c8";
when "11" & x"f29" => data <= x"b1";
when "11" & x"f2a" => data <= x"b0";
when "11" & x"f2b" => data <= x"29";
when "11" & x"f2c" => data <= x"1f";
when "11" & x"f2d" => data <= x"8d";
when "11" & x"f2e" => data <= x"27";
when "11" & x"f2f" => data <= x"0d";
when "11" & x"f30" => data <= x"f0";
when "11" & x"f31" => data <= x"55";
when "11" & x"f32" => data <= x"18";
when "11" & x"f33" => data <= x"65";
when "11" & x"f34" => data <= x"c4";
when "11" & x"f35" => data <= x"aa";
when "11" & x"f36" => data <= x"a9";
when "11" & x"f37" => data <= x"00";
when "11" & x"f38" => data <= x"65";
when "11" & x"f39" => data <= x"c5";
when "11" & x"f3a" => data <= x"c9";
when "11" & x"f3b" => data <= x"03";
when "11" & x"f3c" => data <= x"90";
when "11" & x"f3d" => data <= x"06";
when "11" & x"f3e" => data <= x"d0";
when "11" & x"f3f" => data <= x"51";
when "11" & x"f40" => data <= x"e0";
when "11" & x"f41" => data <= x"21";
when "11" & x"f42" => data <= x"b0";
when "11" & x"f43" => data <= x"4d";
when "11" & x"f44" => data <= x"a6";
when "11" & x"f45" => data <= x"c0";
when "11" & x"f46" => data <= x"bd";
when "11" & x"f47" => data <= x"10";
when "11" & x"f48" => data <= x"0d";
when "11" & x"f49" => data <= x"6a";
when "11" & x"f4a" => data <= x"bd";
when "11" & x"f4b" => data <= x"0c";
when "11" & x"f4c" => data <= x"0d";
when "11" & x"f4d" => data <= x"20";
when "11" & x"f4e" => data <= x"26";
when "11" & x"f4f" => data <= x"ae";
when "11" & x"f50" => data <= x"18";
when "11" & x"f51" => data <= x"68";
when "11" & x"f52" => data <= x"6d";
when "11" & x"f53" => data <= x"23";
when "11" & x"f54" => data <= x"0d";
when "11" & x"f55" => data <= x"8d";
when "11" & x"f56" => data <= x"23";
when "11" & x"f57" => data <= x"0d";
when "11" & x"f58" => data <= x"68";
when "11" & x"f59" => data <= x"6d";
when "11" & x"f5a" => data <= x"24";
when "11" & x"f5b" => data <= x"0d";
when "11" & x"f5c" => data <= x"8d";
when "11" & x"f5d" => data <= x"24";
when "11" & x"f5e" => data <= x"0d";
when "11" & x"f5f" => data <= x"ad";
when "11" & x"f60" => data <= x"25";
when "11" & x"f61" => data <= x"0d";
when "11" & x"f62" => data <= x"69";
when "11" & x"f63" => data <= x"00";
when "11" & x"f64" => data <= x"8d";
when "11" & x"f65" => data <= x"25";
when "11" & x"f66" => data <= x"0d";
when "11" & x"f67" => data <= x"ad";
when "11" & x"f68" => data <= x"26";
when "11" & x"f69" => data <= x"0d";
when "11" & x"f6a" => data <= x"69";
when "11" & x"f6b" => data <= x"00";
when "11" & x"f6c" => data <= x"8d";
when "11" & x"f6d" => data <= x"26";
when "11" & x"f6e" => data <= x"0d";
when "11" & x"f6f" => data <= x"a0";
when "11" & x"f70" => data <= x"00";
when "11" & x"f71" => data <= x"8c";
when "11" & x"f72" => data <= x"29";
when "11" & x"f73" => data <= x"0d";
when "11" & x"f74" => data <= x"c8";
when "11" & x"f75" => data <= x"b1";
when "11" & x"f76" => data <= x"b0";
when "11" & x"f77" => data <= x"85";
when "11" & x"f78" => data <= x"a0";
when "11" & x"f79" => data <= x"c8";
when "11" & x"f7a" => data <= x"b1";
when "11" & x"f7b" => data <= x"b0";
when "11" & x"f7c" => data <= x"85";
when "11" & x"f7d" => data <= x"a1";
when "11" & x"f7e" => data <= x"a5";
when "11" & x"f7f" => data <= x"bf";
when "11" & x"f80" => data <= x"c9";
when "11" & x"f81" => data <= x"13";
when "11" & x"f82" => data <= x"f0";
when "11" & x"f83" => data <= x"05";
when "11" & x"f84" => data <= x"20";
when "11" & x"f85" => data <= x"9f";
when "11" & x"f86" => data <= x"af";
when "11" & x"f87" => data <= x"18";
when "11" & x"f88" => data <= x"60";
when "11" & x"f89" => data <= x"20";
when "11" & x"f8a" => data <= x"cc";
when "11" & x"f8b" => data <= x"a7";
when "11" & x"f8c" => data <= x"20";
when "11" & x"f8d" => data <= x"cd";
when "11" & x"f8e" => data <= x"a0";
when "11" & x"f8f" => data <= x"18";
when "11" & x"f90" => data <= x"60";
when "11" & x"f91" => data <= x"a9";
when "11" & x"f92" => data <= x"1e";
when "11" & x"f93" => data <= x"38";
when "11" & x"f94" => data <= x"60";
when "11" & x"f95" => data <= x"44";
when "11" & x"f96" => data <= x"55";
when "11" & x"f97" => data <= x"49";
when "11" & x"f98" => data <= x"4b";
when "11" & x"f99" => data <= x"20";
when "11" & x"f9a" => data <= x"44";
when "11" & x"f9b" => data <= x"55";
when "11" & x"f9c" => data <= x"49";
when "11" & x"f9d" => data <= x"4b";
when "11" & x"f9e" => data <= x"20";
when "11" & x"f9f" => data <= x"44";
when "11" & x"fa0" => data <= x"55";
when "11" & x"fa1" => data <= x"49";
when "11" & x"fa2" => data <= x"4b";
when "11" & x"fa3" => data <= x"20";
when "11" & x"fa4" => data <= x"44";
when "11" & x"fa5" => data <= x"55";
when "11" & x"fa6" => data <= x"49";
when "11" & x"fa7" => data <= x"4b";
when "11" & x"fa8" => data <= x"20";
when "11" & x"fa9" => data <= x"44";
when "11" & x"faa" => data <= x"55";
when "11" & x"fab" => data <= x"49";
when "11" & x"fac" => data <= x"4b";
when "11" & x"fad" => data <= x"20";
when "11" & x"fae" => data <= x"44";
when "11" & x"faf" => data <= x"55";
when "11" & x"fb0" => data <= x"49";
when "11" & x"fb1" => data <= x"4b";
when "11" & x"fb2" => data <= x"20";
when "11" & x"fb3" => data <= x"44";
when "11" & x"fb4" => data <= x"55";
when "11" & x"fb5" => data <= x"49";
when "11" & x"fb6" => data <= x"4b";
when "11" & x"fb7" => data <= x"20";
when "11" & x"fb8" => data <= x"44";
when "11" & x"fb9" => data <= x"55";
when "11" & x"fba" => data <= x"49";
when "11" & x"fbb" => data <= x"4b";
when "11" & x"fbc" => data <= x"20";
when "11" & x"fbd" => data <= x"44";
when "11" & x"fbe" => data <= x"55";
when "11" & x"fbf" => data <= x"49";
when "11" & x"fc0" => data <= x"4b";
when "11" & x"fc1" => data <= x"20";
when "11" & x"fc2" => data <= x"44";
when "11" & x"fc3" => data <= x"55";
when "11" & x"fc4" => data <= x"49";
when "11" & x"fc5" => data <= x"4b";
when "11" & x"fc6" => data <= x"20";
when "11" & x"fc7" => data <= x"44";
when "11" & x"fc8" => data <= x"55";
when "11" & x"fc9" => data <= x"49";
when "11" & x"fca" => data <= x"4b";
when "11" & x"fcb" => data <= x"20";
when "11" & x"fcc" => data <= x"44";
when "11" & x"fcd" => data <= x"55";
when "11" & x"fce" => data <= x"49";
when "11" & x"fcf" => data <= x"4b";
when "11" & x"fd0" => data <= x"20";
when "11" & x"fd1" => data <= x"44";
when "11" & x"fd2" => data <= x"55";
when "11" & x"fd3" => data <= x"49";
when "11" & x"fd4" => data <= x"4b";
when "11" & x"fd5" => data <= x"20";
when "11" & x"fd6" => data <= x"44";
when "11" & x"fd7" => data <= x"55";
when "11" & x"fd8" => data <= x"49";
when "11" & x"fd9" => data <= x"4b";
when "11" & x"fda" => data <= x"20";
when "11" & x"fdb" => data <= x"44";
when "11" & x"fdc" => data <= x"55";
when "11" & x"fdd" => data <= x"49";
when "11" & x"fde" => data <= x"4b";
when "11" & x"fdf" => data <= x"20";
when "11" & x"fe0" => data <= x"44";
when "11" & x"fe1" => data <= x"55";
when "11" & x"fe2" => data <= x"49";
when "11" & x"fe3" => data <= x"4b";
when "11" & x"fe4" => data <= x"20";
when "11" & x"fe5" => data <= x"44";
when "11" & x"fe6" => data <= x"55";
when "11" & x"fe7" => data <= x"49";
when "11" & x"fe8" => data <= x"4b";
when "11" & x"fe9" => data <= x"20";
when "11" & x"fea" => data <= x"44";
when "11" & x"feb" => data <= x"55";
when "11" & x"fec" => data <= x"49";
when "11" & x"fed" => data <= x"4b";
when "11" & x"fee" => data <= x"20";
when "11" & x"fef" => data <= x"44";
when "11" & x"ff0" => data <= x"55";
when "11" & x"ff1" => data <= x"49";
when "11" & x"ff2" => data <= x"4b";
when "11" & x"ff3" => data <= x"20";
when "11" & x"ff4" => data <= x"44";
when "11" & x"ff5" => data <= x"55";
when "11" & x"ff6" => data <= x"49";
when "11" & x"ff7" => data <= x"4b";
when "11" & x"ff8" => data <= x"20";
when "11" & x"ff9" => data <= x"44";
when "11" & x"ffa" => data <= x"55";
when "11" & x"ffb" => data <= x"49";
when "11" & x"ffc" => data <= x"4b";
when "11" & x"ffd" => data <= x"20";
when "11" & x"ffe" => data <= x"44";
when "11" & x"fff" => data <= x"00";
when others => data <= (others => '0');
end case;
end process;
end RTL;
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_reset.vhd
--
-- Description:
--
-- This VHDL file implements the reset module for the AXI Master lite.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_reset.vhd
--
-------------------------------------------------------------------------------
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $1/26/2011$
--
-- History:
--
-- DET 1/26/2011 Initial
-- ~~~~~~
-- - Adapted from AXI Master Lite reset module
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_reset is
port (
-----------------------------------------------------------------------
-- Clock Input
-----------------------------------------------------------------------
axi_aclk : in std_logic ;
-----------------------------------------------------------------------
-- Reset Input (active low)
-----------------------------------------------------------------------
axi_aresetn : in std_logic ;
-----------------------------------------------------------------------
-- IPIC Reset Input
-----------------------------------------------------------------------
ip2bus_mst_reset : In std_logic ;
-----------------------------------------------------------------------
-- Command Status Module Reset Output
-----------------------------------------------------------------------
rst2cmd_reset_out : out std_logic ;
-----------------------------------------------------------------------
-- Read Write controller Module Reset Output
-----------------------------------------------------------------------
rst2rdwr_reset_out : out std_logic ;
-----------------------------------------------------------------------
-- LocalLink Modules Reset Output
-----------------------------------------------------------------------
rst2llink_reset_out : out std_logic
);
end entity axi_master_burst_reset;
architecture implementation of axi_master_burst_reset is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal sig_axi_por_reg1 : std_logic := '0';
signal sig_axi_por_reg2 : std_logic := '0';
signal sig_axi_por_reg3 : std_logic := '0';
signal sig_axi_por_reg4 : std_logic := '0';
signal sig_axi_por_reg5 : std_logic := '0';
signal sig_axi_por_reg6 : std_logic := '0';
signal sig_axi_por_reg7 : std_logic := '0';
signal sig_axi_por_reg8 : std_logic := '0';
signal sig_axi_por2rst : std_logic := '0';
signal sig_axi_por2rst_out : std_logic := '0';
signal sig_axi_reset : std_logic := '0';
signal sig_ipic_reset : std_logic := '0';
signal sig_combined_reset : std_logic := '0';
signal sig_cmd_reset_reg : std_logic := '0';
signal sig_rdwr_reset_reg : std_logic := '0';
signal sig_llink_reset_reg : std_logic := '0';
-------------------------------------------------------------------------------
-- Register duplication attribute assignments to control fanout
-- on reset signals
-------------------------------------------------------------------------------
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_cmd_reset_reg : signal is "TRUE";
Attribute KEEP of sig_rdwr_reset_reg : signal is "TRUE";
Attribute KEEP of sig_llink_reset_reg : signal is "TRUE";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_cmd_reset_reg : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_rdwr_reset_reg : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_llink_reset_reg : signal is "no";
begin --(architecture implementation)
-- Assign the output ports
rst2cmd_reset_out <= sig_cmd_reset_reg ;
rst2rdwr_reset_out <= sig_rdwr_reset_reg ;
rst2llink_reset_out <= sig_llink_reset_reg;
-- Generate an active high combined reset from the
-- axi reset input and the IPIC reset input
sig_axi_reset <= not(axi_aresetn);
sig_ipic_reset <= ip2bus_mst_reset;
sig_combined_reset <= sig_axi_reset or sig_ipic_reset;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_RST_REG
--
-- Process Description:
-- Implements the register for the command/status module
-- reset output.
--
-------------------------------------------------------------
IMP_CMD_RST_REG : process (axi_aclk)
begin
if (axi_aclk'event and axi_aclk = '1') then
if (sig_axi_por2rst_out = '1') then
sig_cmd_reset_reg <= '1';
else
sig_cmd_reset_reg <= sig_combined_reset;
end if;
end if;
end process IMP_CMD_RST_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RDWR_RST_REG
--
-- Process Description:
-- Implements the register for the read/write controller
-- module reset output.
--
-------------------------------------------------------------
IMP_RDWR_RST_REG : process (axi_aclk)
begin
if (axi_aclk'event and axi_aclk = '1') then
if (sig_axi_por2rst_out = '1') then
sig_rdwr_reset_reg <= '1';
else
sig_rdwr_reset_reg <= sig_combined_reset;
end if;
end if;
end process IMP_RDWR_RST_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LLINK_RST_REG
--
-- Process Description:
-- Implements the register for the LocalLink Modules
-- reset output.
--
-------------------------------------------------------------
IMP_LLINK_RST_REG : process (axi_aclk)
begin
if (axi_aclk'event and axi_aclk = '1') then
if (sig_axi_por2rst_out = '1') then
sig_llink_reset_reg <= '1';
else
sig_llink_reset_reg <= sig_combined_reset;
end if;
end if;
end process IMP_LLINK_RST_REG;
---------------------------------------------------------------
-- Start Power On Reset (POR) Logic
---------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: AXI_POR_REG
--
-- Process Description:
-- This process generates an 8-clock wide pulse that
-- only occurs immediately after FPGA initialization. This
-- pulse is used to initialize reset logic synchronous to
-- the Main axi_aclk Clock until the Bus Reset occurs.
--
-------------------------------------------------------------
AXI_POR_REG : process (axi_aclk)
begin
if (axi_aclk'event and axi_aclk = '1') then
sig_axi_por_reg1 <= '1';
sig_axi_por_reg2 <= sig_axi_por_reg1;
sig_axi_por_reg3 <= sig_axi_por_reg2;
sig_axi_por_reg4 <= sig_axi_por_reg3;
sig_axi_por_reg5 <= sig_axi_por_reg4;
sig_axi_por_reg6 <= sig_axi_por_reg5;
sig_axi_por_reg7 <= sig_axi_por_reg6;
sig_axi_por_reg8 <= sig_axi_por_reg7;
sig_axi_por2rst_out <= sig_axi_por2rst ;
end if;
end process AXI_POR_REG;
sig_axi_por2rst <= not(sig_axi_por_reg1 and
sig_axi_por_reg2 and
sig_axi_por_reg3 and
sig_axi_por_reg4 and
sig_axi_por_reg5 and
sig_axi_por_reg6 and
sig_axi_por_reg7 and
sig_axi_por_reg8 );
---------------------------------------------------------------
-- End of Power On Reset (POR) Logic
---------------------------------------------------------------
end implementation;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2265.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02265ent IS
END c07s02b06x00p11n01i02265ent;
ARCHITECTURE c07s02b06x00p11n01i02265arch OF c07s02b06x00p11n01i02265ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := -(A/B) ;
V2 := A/(-B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02265"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02265 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02265arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2265.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02265ent IS
END c07s02b06x00p11n01i02265ent;
ARCHITECTURE c07s02b06x00p11n01i02265arch OF c07s02b06x00p11n01i02265ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := -(A/B) ;
V2 := A/(-B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02265"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02265 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02265arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2265.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p11n01i02265ent IS
END c07s02b06x00p11n01i02265ent;
ARCHITECTURE c07s02b06x00p11n01i02265arch OF c07s02b06x00p11n01i02265ent IS
BEGIN
TESTING: PROCESS
variable V1,V2,V3 : Integer ;
variable A : Integer := 10 ;
variable B : Integer := 5 ;
BEGIN
V1 := -(A/B) ;
V2 := A/(-B) ;
assert NOT(V1 = V2)
report "***PASSED TEST: c07s02b06x00p11n01i02265"
severity NOTE;
assert (V1 = V2)
report "***FAILED TEST: c07s02b06x00p11n01i02265 - Integer division satisfies the following identity: (-A)/B = -(A/B) = A/(-B)."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p11n01i02265arch;
|
--======================================================================
-- sim.vhd :: SOC simulation testbench
--
-- (c) Scott L. Baker, Sierra Circuit Design
--======================================================================
library IEEE;
use IEEE.std_logic_1164.all;
entity TEST_TOP is
end TEST_TOP;
architecture BEHAVIORAL of TEST_TOP is
--================================================================
-- Signal and component definition section
--================================================================
-- Output Port A
signal PORTA : std_logic_vector(7 downto 0);
-- UART
signal UART_RXD : std_logic; -- receive data
signal UART_TXD : std_logic; -- transmit data
-- reset and clock
signal RESET : std_logic; -- system reset
signal FCLK : std_logic; -- fast clock
component SOC
port (
-- Output Port A
PORTA : out std_logic_vector(7 downto 0);
-- UART
UART_RXD : in std_logic; -- receive data
UART_TXD : out std_logic; -- transmit data
-- reset and clock
SYSRESET : in std_logic; -- system reset
FCLK : in std_logic -- fast clock
);
end component;
--================================================================
-- End of types, component, and signal definition section
--================================================================
begin
MAKE_FCLK:
process(FCLK)
begin
if (FCLK = '1') then
FCLK <= '0' after 1 ns;
else
FCLK <= '1' after 1 ns;
end if;
end process;
MAKE_RESET:
process
begin
-- System Reset (active low)
RESET <= '0' after 0 ns, '1' after 10 ns;
wait;
end process;
UART_RXD <= '0';
--============================================
-- Instantiate the SOC
--============================================
MYSOC:
SOC port map (
PORTA => PORTA,
UART_RXD => UART_RXD,
UART_TXD => UART_TXD,
SYSRESET => RESET,
FCLK => FCLK
);
end BEHAVIORAL;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/21/2013 02:38:36 AM
-- Design Name:
-- Module Name: ten_gig_eth_packet_gen - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY ten_gig_eth_packet_gen IS
PORT (
RESET : IN std_logic;
MEM_CLK : IN std_logic;
MEM_WE : IN std_logic; -- memory write enable
MEM_ADDR : IN std_logic_vector(31 DOWNTO 0);
MEM_D : IN std_logic_vector(31 DOWNTO 0); -- memory data
--
TX_AXIS_ACLK : IN std_logic;
TX_START : IN std_logic; -- rising edge aligned 1-period pulse to start TX
TX_BYTES : IN std_logic_vector(15 DOWNTO 0); -- number of bytes to send
TX_AXIS_TDATA : OUT std_logic_vector(63 DOWNTO 0);
TX_AXIS_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
TX_AXIS_TVALID : OUT std_logic;
TX_AXIS_TLAST : OUT std_logic;
TX_AXIS_TREADY : IN std_logic
);
END ten_gig_eth_packet_gen;
ARCHITECTURE Behavioral OF ten_gig_eth_packet_gen IS
COMPONENT ten_gig_eth_packet_ram
PORT (
CLKA : IN std_logic;
WEA : IN std_logic_vector(0 DOWNTO 0);
ADDRA : IN std_logic_vector(11 DOWNTO 0);
DINA : IN std_logic_vector(31 DOWNTO 0);
CLKB : IN std_logic;
ADDRB : IN std_logic_vector(10 DOWNTO 0);
DOUTB : OUT std_logic_vector(63 DOWNTO 0)
);
END COMPONENT;
SIGNAL mem_clk_i : std_logic;
SIGNAL tx_clk_i : std_logic;
TYPE pktState_type IS (S0, S1, S2, S3, S4);
SIGNAL pktState : pktState_type;
SIGNAL mem_wea : std_logic_vector(0 DOWNTO 0);
SIGNAL addrb_i : std_logic_vector(10 DOWNTO 0);
SIGNAL doutb_i : std_logic_vector(63 DOWNTO 0);
SIGNAL addrCtr : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL bytesLeft : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL bytesLeft_reg : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL pktCtr : unsigned(47 DOWNTO 0) := (OTHERS => '0');
BEGIN
mem_clk_i <= MEM_CLK;
tx_clk_i <= TX_AXIS_ACLK;
mem_wea <= (OTHERS => MEM_WE);
tge_packet_ram_inst : ten_gig_eth_packet_ram
PORT MAP (
CLKA => mem_clk_i,
WEA => mem_wea,
ADDRA => MEM_ADDR(11 DOWNTO 0),
DINA => MEM_D,
CLKB => tx_clk_i,
ADDRB => addrb_i,
DOUTB => doutb_i
);
PROCESS (tx_clk_i) IS
BEGIN
IF falling_edge(tx_clk_i) THEN
TX_AXIS_TDATA <= doutb_i;
IF addrCtr = 5 THEN
-- little endian of pktCtr seen by the host
TX_AXIS_TDATA <= std_logic_vector(pktCtr) & doutb_i(15 DOWNTO 0);
-- convert to big endian
--TX_AXIS_TDATA <= std_logic_vector(pktCtr(7 DOWNTO 0))
-- & std_logic_vector(pktCtr(15 DOWNTO 8))
-- & std_logic_vector(pktCtr(23 DOWNTO 16))
-- & std_logic_vector(pktCtr(31 DOWNTO 24))
-- & std_logic_vector(pktCtr(39 DOWNTO 32))
-- & std_logic_vector(pktCtr(47 DOWNTO 40))
-- & doutb_i(15 DOWNTO 0);
END IF;
END IF;
END PROCESS;
pkt_sm: PROCESS (tx_clk_i, RESET) IS
BEGIN
IF RESET = '1' THEN
pktState <= S0;
pktCtr <= (OTHERS => '0');
addrCtr <= (OTHERS => '0');
TX_AXIS_TVALID <= '0';
TX_AXIS_TLAST <= '0';
ELSIF falling_edge(tx_clk_i) THEN
TX_AXIS_TVALID <= '0';
TX_AXIS_TLAST <= '0';
CASE pktState IS
WHEN S0 =>
IF TX_START = '1' THEN
addrCtr <= (OTHERS => '0');
bytesLeft <= unsigned(TX_BYTES);
-- minimum packet length requirement
IF unsigned(TX_BYTES) < 14 THEN
pktState <= S0;
ELSE
pktState <= S1;
END IF;
END IF;
WHEN S1 =>
pktState <= S1;
TX_AXIS_TVALID <= '1';
IF TX_AXIS_TREADY = '1' THEN
addrCtr <= addrCtr + 1;
bytesLeft_reg <= bytesLeft;
bytesLeft <= bytesLeft - 8;
IF bytesLeft <= 8 THEN
pktState <= S2;
TX_AXIS_TLAST <= '1';
END IF;
END IF;
WHEN S2 =>
pktState <= S0;
pktCtr <= pktCtr + 1;
WHEN OTHERS =>
pktState <= S0;
END CASE;
END IF;
END PROCESS pkt_sm;
addrb_i <= std_logic_vector(addrCtr(10 DOWNTO 0));
WITH bytesLeft_reg SELECT
TX_AXIS_TKEEP <=
(OTHERS => '0') WHEN to_unsigned(0,bytesLeft_reg'length),
"00000001" WHEN to_unsigned(1,bytesLeft_reg'length),
"00000011" WHEN to_unsigned(2,bytesLeft_reg'length),
"00000111" WHEN to_unsigned(3,bytesLeft_reg'length),
"00001111" WHEN to_unsigned(4,bytesLeft_reg'length),
"00011111" WHEN to_unsigned(5,bytesLeft_reg'length),
"00111111" WHEN to_unsigned(6,bytesLeft_reg'length),
"01111111" WHEN to_unsigned(7,bytesLeft_reg'length),
(OTHERS => '1') WHEN OTHERS;
END Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/21/2013 02:38:36 AM
-- Design Name:
-- Module Name: ten_gig_eth_packet_gen - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY ten_gig_eth_packet_gen IS
PORT (
RESET : IN std_logic;
MEM_CLK : IN std_logic;
MEM_WE : IN std_logic; -- memory write enable
MEM_ADDR : IN std_logic_vector(31 DOWNTO 0);
MEM_D : IN std_logic_vector(31 DOWNTO 0); -- memory data
--
TX_AXIS_ACLK : IN std_logic;
TX_START : IN std_logic; -- rising edge aligned 1-period pulse to start TX
TX_BYTES : IN std_logic_vector(15 DOWNTO 0); -- number of bytes to send
TX_AXIS_TDATA : OUT std_logic_vector(63 DOWNTO 0);
TX_AXIS_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
TX_AXIS_TVALID : OUT std_logic;
TX_AXIS_TLAST : OUT std_logic;
TX_AXIS_TREADY : IN std_logic
);
END ten_gig_eth_packet_gen;
ARCHITECTURE Behavioral OF ten_gig_eth_packet_gen IS
COMPONENT ten_gig_eth_packet_ram
PORT (
CLKA : IN std_logic;
WEA : IN std_logic_vector(0 DOWNTO 0);
ADDRA : IN std_logic_vector(11 DOWNTO 0);
DINA : IN std_logic_vector(31 DOWNTO 0);
CLKB : IN std_logic;
ADDRB : IN std_logic_vector(10 DOWNTO 0);
DOUTB : OUT std_logic_vector(63 DOWNTO 0)
);
END COMPONENT;
SIGNAL mem_clk_i : std_logic;
SIGNAL tx_clk_i : std_logic;
TYPE pktState_type IS (S0, S1, S2, S3, S4);
SIGNAL pktState : pktState_type;
SIGNAL mem_wea : std_logic_vector(0 DOWNTO 0);
SIGNAL addrb_i : std_logic_vector(10 DOWNTO 0);
SIGNAL doutb_i : std_logic_vector(63 DOWNTO 0);
SIGNAL addrCtr : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL bytesLeft : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL bytesLeft_reg : unsigned(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL pktCtr : unsigned(47 DOWNTO 0) := (OTHERS => '0');
BEGIN
mem_clk_i <= MEM_CLK;
tx_clk_i <= TX_AXIS_ACLK;
mem_wea <= (OTHERS => MEM_WE);
tge_packet_ram_inst : ten_gig_eth_packet_ram
PORT MAP (
CLKA => mem_clk_i,
WEA => mem_wea,
ADDRA => MEM_ADDR(11 DOWNTO 0),
DINA => MEM_D,
CLKB => tx_clk_i,
ADDRB => addrb_i,
DOUTB => doutb_i
);
PROCESS (tx_clk_i) IS
BEGIN
IF falling_edge(tx_clk_i) THEN
TX_AXIS_TDATA <= doutb_i;
IF addrCtr = 5 THEN
-- little endian of pktCtr seen by the host
TX_AXIS_TDATA <= std_logic_vector(pktCtr) & doutb_i(15 DOWNTO 0);
-- convert to big endian
--TX_AXIS_TDATA <= std_logic_vector(pktCtr(7 DOWNTO 0))
-- & std_logic_vector(pktCtr(15 DOWNTO 8))
-- & std_logic_vector(pktCtr(23 DOWNTO 16))
-- & std_logic_vector(pktCtr(31 DOWNTO 24))
-- & std_logic_vector(pktCtr(39 DOWNTO 32))
-- & std_logic_vector(pktCtr(47 DOWNTO 40))
-- & doutb_i(15 DOWNTO 0);
END IF;
END IF;
END PROCESS;
pkt_sm: PROCESS (tx_clk_i, RESET) IS
BEGIN
IF RESET = '1' THEN
pktState <= S0;
pktCtr <= (OTHERS => '0');
addrCtr <= (OTHERS => '0');
TX_AXIS_TVALID <= '0';
TX_AXIS_TLAST <= '0';
ELSIF falling_edge(tx_clk_i) THEN
TX_AXIS_TVALID <= '0';
TX_AXIS_TLAST <= '0';
CASE pktState IS
WHEN S0 =>
IF TX_START = '1' THEN
addrCtr <= (OTHERS => '0');
bytesLeft <= unsigned(TX_BYTES);
-- minimum packet length requirement
IF unsigned(TX_BYTES) < 14 THEN
pktState <= S0;
ELSE
pktState <= S1;
END IF;
END IF;
WHEN S1 =>
pktState <= S1;
TX_AXIS_TVALID <= '1';
IF TX_AXIS_TREADY = '1' THEN
addrCtr <= addrCtr + 1;
bytesLeft_reg <= bytesLeft;
bytesLeft <= bytesLeft - 8;
IF bytesLeft <= 8 THEN
pktState <= S2;
TX_AXIS_TLAST <= '1';
END IF;
END IF;
WHEN S2 =>
pktState <= S0;
pktCtr <= pktCtr + 1;
WHEN OTHERS =>
pktState <= S0;
END CASE;
END IF;
END PROCESS pkt_sm;
addrb_i <= std_logic_vector(addrCtr(10 DOWNTO 0));
WITH bytesLeft_reg SELECT
TX_AXIS_TKEEP <=
(OTHERS => '0') WHEN to_unsigned(0,bytesLeft_reg'length),
"00000001" WHEN to_unsigned(1,bytesLeft_reg'length),
"00000011" WHEN to_unsigned(2,bytesLeft_reg'length),
"00000111" WHEN to_unsigned(3,bytesLeft_reg'length),
"00001111" WHEN to_unsigned(4,bytesLeft_reg'length),
"00011111" WHEN to_unsigned(5,bytesLeft_reg'length),
"00111111" WHEN to_unsigned(6,bytesLeft_reg'length),
"01111111" WHEN to_unsigned(7,bytesLeft_reg'length),
(OTHERS => '1') WHEN OTHERS;
END Behavioral;
|
---------------------------------------------------------------------------------------------------
--
-- Title : zcpsmRom
-- Design : eth_new
-- Author : a4a881d4
-- Company : a4a881d4
--
---------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity zcpsmRom is
generic (
AWIDTH : natural := 10;
PROG : string := "program.bit"
);
port(
reset : in std_logic;
clk : in std_logic;
port_ce : out std_logic_vector(15 downto 0);
port_id : out std_logic_vector(3 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0)
);
end zcpsmRom;
--}} End of automatically maintained section
architecture behavior of zcpsmRom is
component zcpsm
Port (
address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component;
component zcpsmProgRom
generic (
AWIDTH : natural := 10;
PROG : string := "program.bit"
);
port (
clk : in std_logic;
addr : in std_logic_vector( AWIDTH-1 downto 0 );
dout : out std_logic_vector( 17 downto 0 )
);
end component;
component zcpsmDecode
port (
port_id_H : in std_logic_vector(3 downto 0);
ce : out std_logic_vector(15 downto 0)
);
end component;
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
signal port_id_i : std_logic_vector(7 downto 0);
begin
port_id <= port_id_i( 3 downto 0 );
u_rx_zcpsm : zcpsm
port map(
address => address,
instruction => instruction,
port_id => port_id_i,
write_strobe => write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => '0',
reset => reset,
clk => clk
);
u_rom : zcpsmProgRom
generic map(
AWIDTH => 10,
PROG => PROG
)
port map(
clk => clk,
addr => address( AWIDTH-1 downto 0 ),
dout => instruction
);
u_decode : zcpsmDecode
port map(
port_id_H => port_id_i( 7 downto 4 ),
ce => port_ce
);
end behavior;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ent is
generic (
INT : integer := -25;
SL : std_logic := '1'
);
port (
a : in signed(7 downto 0);
b : in signed(7 downto 0);
const : out signed(7 downto 0);
absolute1 : out unsigned(7 downto 0);
absolute2 : out unsigned(7 downto 0);
sum : out signed(8 downto 0);
diff : out signed(8 downto 0);
inv_diff : out signed(8 downto 0);
quarter : out signed(7 downto 0);
int_sum : out signed(8 downto 0);
int_diff : out signed(8 downto 0);
inv_int_sum : out signed(8 downto 0);
inv_int_diff : out signed(8 downto 0);
sl_sum : out signed(8 downto 0);
sl_diff : out signed(8 downto 0);
inv_sl_sum : out signed(8 downto 0);
inv_sl_diff : out signed(8 downto 0);
lt : out boolean;
le : out boolean;
eq : out boolean;
neq : out boolean;
ge : out boolean;
gt : out boolean;
int_lt : out boolean;
int_le : out boolean;
int_eq : out boolean;
int_neq : out boolean;
int_ge : out boolean;
int_gt : out boolean;
inv_int_lt : out boolean;
inv_int_le : out boolean;
inv_int_eq : out boolean;
inv_int_neq : out boolean;
inv_int_ge : out boolean;
inv_int_gt : out boolean
);
end;
architecture a of ent is
signal ra, rb : signed(8 downto 0);
begin
ra <= resize(a, 9);
rb <= resize(b, 9);
const <= to_signed(INT, const'length);
absolute1 <= to_unsigned(abs(INT), absolute1'length);
absolute2 <= unsigned(abs(a));
sum <= ra + rb;
diff <= ra + (-rb);
inv_diff <= rb - ra;
quarter <= a / 4;
int_sum <= ra + INT;
int_diff <= ra - INT;
inv_int_sum <= INT + ra;
inv_int_diff <= INT - ra;
sl_sum <= ra + SL;
sl_diff <= ra - SL;
inv_sl_sum <= SL + ra;
inv_sl_diff <= SL - ra;
lt <= a < b;
le <= a <= b;
eq <= a = b;
neq <= a /= b;
ge <= a >= b;
gt <= a > b;
int_lt <= a < INT;
int_le <= a <= INT;
int_eq <= a = INT;
int_neq <= a /= INT;
int_ge <= a >= INT;
int_gt <= a > INT;
inv_int_lt <= INT < b;
inv_int_le <= INT <= b;
inv_int_eq <= INT = b;
inv_int_neq <= INT /= b;
inv_int_ge <= INT >= b;
inv_int_gt <= INT > b;
end;
|
------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 8; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
component leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
-- Clock and reset
diff_clkin_top_125_p: in std_ulogic;
diff_clkin_bot_125_p: in std_ulogic;
clkin_50_fpga_right: in std_ulogic;
clkin_50_fpga_top: in std_ulogic;
clkout_sma: out std_ulogic;
cpu_resetn: in std_ulogic;
-- DDR3
ddr3_ck_p: out std_ulogic;
ddr3_ck_n: out std_ulogic;
ddr3_cke: out std_ulogic;
ddr3_rstn: out std_ulogic;
ddr3_csn: out std_ulogic;
ddr3_rasn: out std_ulogic;
ddr3_casn: out std_ulogic;
ddr3_wen: out std_ulogic;
ddr3_ba: out std_logic_vector(2 downto 0);
ddr3_a : out std_logic_vector(13 downto 0);
ddr3_dqs_p: inout std_logic_vector(3 downto 0);
ddr3_dqs_n: inout std_logic_vector(3 downto 0);
ddr3_dq: inout std_logic_vector(31 downto 0);
ddr3_dm: out std_logic_vector(3 downto 0);
ddr3_odt: out std_ulogic;
ddr3_oct_rzq: in std_ulogic;
-- LPDDR2
lpddr2_ck_p: out std_ulogic;
lpddr2_ck_n: out std_ulogic;
lpddr2_cke: out std_ulogic;
lpddr2_a: out std_logic_vector(9 downto 0);
lpddr2_dqs_p: inout std_logic_vector(1 downto 0);
lpddr2_dqs_n: inout std_logic_vector(1 downto 0);
lpddr2_dq: inout std_logic_vector(15 downto 0);
lpddr2_dm: out std_logic_vector(1 downto 0);
lpddr2_csn: out std_ulogic;
lpddr2_oct_rzq: in std_ulogic;
-- Flash and SSRAM interface
fm_a: out std_logic_vector(26 downto 1);
fm_d: in std_logic_vector(15 downto 0);
flash_clk: out std_ulogic;
flash_resetn: out std_ulogic;
flash_cen: out std_ulogic;
flash_advn: out std_ulogic;
flash_wen: out std_ulogic;
flash_oen: out std_ulogic;
flash_rdybsyn: in std_ulogic;
ssram_clk: out std_ulogic;
ssram_oen: out std_ulogic;
sram_cen: out std_ulogic;
ssram_bwen: out std_ulogic;
ssram_bwan: out std_ulogic;
ssram_bwbn: out std_ulogic;
ssram_adscn: out std_ulogic;
ssram_adspn: out std_ulogic;
ssram_zzn: out std_ulogic; -- Name incorrect, this is active high
ssram_advn: out std_ulogic;
-- EEPROM
eeprom_scl : out std_ulogic;
eeprom_sda : inout std_ulogic;
-- UART
uart_rxd : in std_ulogic;
uart_rts : in std_ulogic; -- Note CTS and RTS mixed up on PCB
uart_txd : out std_ulogic;
uart_cts : out std_ulogic;
-- USB UART Interface
usb_uart_rstn : in std_ulogic; -- inout
usb_uart_ri : in std_ulogic;
usb_uart_dcd : in std_ulogic;
usb_uart_dtr : out std_ulogic;
usb_uart_dsr : in std_ulogic;
usb_uart_txd : out std_ulogic;
usb_uart_rxd : in std_ulogic;
usb_uart_rts : in std_ulogic;
usb_uart_cts : out std_ulogic;
usb_uart_gpio2 : in std_ulogic;
usb_uart_suspend : in std_ulogic;
usb_uart_suspendn : in std_ulogic;
-- Ethernet port A
eneta_rx_clk: in std_ulogic;
eneta_tx_clk: in std_ulogic;
eneta_intn: in std_ulogic;
eneta_resetn: out std_ulogic;
eneta_mdio: inout std_ulogic;
eneta_mdc: out std_ulogic;
eneta_rx_er: in std_ulogic;
eneta_tx_er: out std_ulogic;
eneta_rx_col: in std_ulogic;
eneta_rx_crs: in std_ulogic;
eneta_tx_d: out std_logic_vector(3 downto 0);
eneta_rx_d: in std_logic_vector(3 downto 0);
eneta_gtx_clk: out std_ulogic;
eneta_tx_en: out std_ulogic;
eneta_rx_dv: in std_ulogic;
-- Ethernet port B
enetb_rx_clk: in std_ulogic;
enetb_tx_clk: in std_ulogic;
enetb_intn: in std_ulogic;
enetb_resetn: out std_ulogic;
enetb_mdio: inout std_ulogic;
enetb_mdc: out std_ulogic;
enetb_rx_er: in std_ulogic;
enetb_tx_er: out std_ulogic;
enetb_rx_col: in std_ulogic;
enetb_rx_crs: in std_ulogic;
enetb_tx_d: out std_logic_vector(3 downto 0);
enetb_rx_d: in std_logic_vector(3 downto 0);
enetb_gtx_clk: out std_ulogic;
enetb_tx_en: out std_ulogic;
enetb_rx_dv: in std_ulogic;
-- LEDs, switches, GPIO
user_led : out std_logic_vector(3 downto 0);
user_dipsw : in std_logic_vector(3 downto 0);
dip_3p3V : in std_ulogic;
user_pb : in std_logic_vector(3 downto 0);
overtemp_fpga : out std_ulogic;
header_p : in std_logic_vector(5 downto 0); -- inout
header_n : in std_logic_vector(5 downto 0); -- inout
header_d : in std_logic_vector(7 downto 0); -- inout
-- LCD
lcd_data : in std_logic_vector(7 downto 0); -- inout
lcd_wen : out std_ulogic;
lcd_csn : out std_ulogic;
lcd_d_cn : out std_ulogic;
-- HIGH-SPEED-MEZZANINE-CARD Interface
-- hsmc_clk_in0: in std_ulogic;
-- hsmc_clk_out0: out std_ulogic;
-- hsmc_clk_in_p: in std_logic_vector(2 downto 1);
-- hsmc_clk_out_p: out std_logic_vector(2 downto 1);
-- hsmc_d: in std_logic_vector(3 downto 0); -- inout
-- hsmc_tx_d_p: out std_logic_vector(16 downto 0);
-- hsmc_rx_d_p: in std_logic_vector(16 downto 0);
-- hsmc_rx_led: out std_ulogic;
-- hsmc_tx_led: out std_ulogic;
-- hsmc_scl: out std_ulogic;
-- hsmc_sda: in std_ulogic; -- inout
-- hsmc_prsntn: in std_ulogic;
-- MAX V CPLD interface
max5_csn: out std_ulogic;
max5_wen: out std_ulogic;
max5_oen: out std_ulogic;
max5_ben: out std_logic_vector(3 downto 0);
max5_clk: out std_ulogic;
-- USB Blaster II
usb_clk : in std_ulogic;
usb_data : in std_logic_vector(7 downto 0); -- inout
usb_addr : in std_logic_vector(1 downto 0); -- inout
usb_scl : in std_ulogic; -- inout
usb_sda : in std_ulogic; -- inout
usb_resetn : in std_ulogic;
usb_oen : in std_ulogic;
usb_rdn : in std_ulogic;
usb_wrn : in std_ulogic;
usb_full : out std_ulogic;
usb_empty : out std_ulogic;
fx2_resetn : in std_ulogic
);
end component;
signal clk125, clk50, clkout: std_ulogic := '0';
signal rst: std_ulogic;
signal user_led: std_logic_vector(3 downto 0);
signal address : std_logic_vector(26 downto 1);
signal data : std_logic_vector(15 downto 0);
signal ramsn : std_ulogic;
signal ramoen : std_ulogic;
signal rwen : std_ulogic;
signal mben : std_logic_vector(3 downto 0);
--signal rwenx : std_logic_vector(3 downto 0);
signal romsn : std_logic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
--signal read : std_ulogic;
signal writen : std_ulogic;
signal brdyn : std_ulogic;
signal bexcn : std_ulogic;
signal wdog : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(7 downto 0);
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal plllock : std_ulogic;
signal txd1, rxd1 : std_ulogic;
--signal txd2, rxd2 : std_ulogic;
constant lresp : boolean := false;
signal eneta_rx_clk, eneta_tx_clk, enetb_rx_clk, enetb_tx_clk: std_ulogic;
signal eneta_intn, eneta_resetn, enetb_intn, enetb_resetn: std_ulogic;
signal eneta_mdio, enetb_mdio: std_logic;
signal eneta_mdc, enetb_mdc: std_ulogic;
signal eneta_rx_er, eneta_rx_col, eneta_rx_crs, eneta_rx_dv: std_ulogic;
signal enetb_rx_er, enetb_rx_col, enetb_rx_crs, enetb_rx_dv: std_ulogic;
signal eneta_rx_d, enetb_rx_d: std_logic_vector(7 downto 0);
signal eneta_tx_d, enetb_tx_d: std_logic_vector(7 downto 0);
signal eneta_tx_en, eneta_tx_er, enetb_tx_en, enetb_tx_er: std_ulogic;
signal lpddr2_ck, lpddr2_ck_n, lpddr2_cke, lpddr2_cs_n: std_ulogic;
signal lpddr2_ca: std_logic_vector(9 downto 0);
signal lpddr2_dm, lpddr2_dqs, lpddr2_dqs_n: std_logic_vector(3 downto 0);
signal lpddr2_dq: std_logic_vector(31 downto 0);
begin
-- clock and reset
clk125 <= not clk125 after 4 ns;
clk50 <= not clk50 after 10 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
d3 : leon3mp
generic map ( fabtech, memtech, padtech, disas, dbguart, pclow )
port map (
-- Clock and reset
diff_clkin_top_125_p => clk125,
diff_clkin_bot_125_p => clk125,
clkin_50_fpga_right => clk50,
clkin_50_fpga_top => clk50,
clkout_sma => clkout,
cpu_resetn => rst,
-- DDR3
ddr3_ck_p => open,
ddr3_ck_n => open,
ddr3_cke => open,
ddr3_rstn => open,
ddr3_csn => open,
ddr3_rasn => open,
ddr3_casn => open,
ddr3_wen => open,
ddr3_ba => open,
ddr3_a => open,
ddr3_dqs_p => open,
ddr3_dqs_n => open,
ddr3_dq => open,
ddr3_dm => open,
ddr3_odt => open,
ddr3_oct_rzq => '0',
-- LPDDR2
lpddr2_ck_p => lpddr2_ck,
lpddr2_ck_n => lpddr2_ck_n,
lpddr2_cke => lpddr2_cke,
lpddr2_a => lpddr2_ca,
lpddr2_dqs_p => lpddr2_dqs(1 downto 0),
lpddr2_dqs_n => lpddr2_dqs_n(1 downto 0),
lpddr2_dq => lpddr2_dq(15 downto 0),
lpddr2_dm => lpddr2_dm(1 downto 0),
lpddr2_csn => lpddr2_cs_n,
lpddr2_oct_rzq => '0',
-- Flash and SSRAM interface
fm_a => address(26 downto 1),
fm_d => data,
flash_clk => open,
flash_resetn => open,
flash_cen => romsn,
flash_advn => open,
flash_wen => rwen,
flash_oen => oen,
flash_rdybsyn => '1',
ssram_clk => open,
ssram_oen => open,
sram_cen => open,
ssram_bwen => open,
ssram_bwan => open,
ssram_bwbn => open,
ssram_adscn => open,
ssram_adspn => open,
ssram_zzn => open,
ssram_advn => open,
-- EEPROM
eeprom_scl => open,
eeprom_sda => open,
-- UART
uart_rxd => rxd1,
uart_rts => '1',
uart_txd => txd1,
uart_cts => open,
-- USB UART Interface
usb_uart_rstn => '1',
usb_uart_ri => '0',
usb_uart_dcd => '1',
usb_uart_dtr => open,
usb_uart_dsr => '1',
usb_uart_txd => open,
usb_uart_rxd => '1',
usb_uart_rts => '1',
usb_uart_cts => open,
usb_uart_gpio2 => '0',
usb_uart_suspend => '0',
usb_uart_suspendn => '1',
-- Ethernet port A
eneta_rx_clk => eneta_rx_clk,
eneta_tx_clk => eneta_tx_clk,
eneta_intn => eneta_intn,
eneta_resetn => eneta_resetn,
eneta_mdio => eneta_mdio,
eneta_mdc => eneta_mdc,
eneta_rx_er => eneta_rx_er,
eneta_tx_er => eneta_tx_er,
eneta_rx_col => eneta_rx_col,
eneta_rx_crs => eneta_rx_crs,
eneta_tx_d => eneta_tx_d(3 downto 0),
eneta_rx_d => eneta_rx_d(3 downto 0),
eneta_gtx_clk => open,
eneta_tx_en => eneta_tx_en,
eneta_rx_dv => eneta_rx_dv,
-- Ethernet port B
enetb_rx_clk => enetb_rx_clk,
enetb_tx_clk => enetb_tx_clk,
enetb_intn => enetb_intn,
enetb_resetn => enetb_resetn,
enetb_mdio => enetb_mdio,
enetb_mdc => enetb_mdc,
enetb_rx_er => enetb_rx_er,
enetb_tx_er => enetb_tx_er,
enetb_rx_col => enetb_rx_col,
enetb_rx_crs => enetb_rx_crs,
enetb_tx_d => enetb_tx_d(3 downto 0),
enetb_rx_d => enetb_rx_d(3 downto 0),
enetb_gtx_clk => open,
enetb_tx_en => enetb_tx_en,
enetb_rx_dv => enetb_rx_dv,
-- LEDs, switches, GPIO
user_led => user_led,
user_dipsw => "1111",
dip_3p3V => '0',
user_pb => "0000",
overtemp_fpga => open,
header_p => "000000",
header_n => "000000",
header_d => "00000000",
-- LCD
lcd_data => "00000000",
lcd_wen => open,
lcd_csn => open,
lcd_d_cn => open,
-- HIGH-SPEED-MEZZANINE-CARD Interface
-- hsmc_clk_in0 => '0',
-- hsmc_clk_out0 => open,
-- hsmc_clk_in_p => "00",
-- hsmc_clk_out_p => open,
-- hsmc_d => "0000",
-- hsmc_tx_d_p => open,
-- hsmc_rx_d_p => (others => '0'),
-- hsmc_rx_led => open,
-- hsmc_tx_led => open,
-- hsmc_scl => open,
-- hsmc_sda => '0',
-- hsmc_prsntn => '0',
-- MAX V CPLD interface
max5_csn => open,
max5_wen => open,
max5_oen => open,
max5_ben => open,
max5_clk => open,
-- USB Blaster II
usb_clk => '0',
usb_data => (others => '0'),
usb_addr => "00",
usb_scl => '0',
usb_sda => '0',
usb_resetn => '0',
usb_oen => '0',
usb_rdn => '0',
usb_wrn => '0',
usb_full => open,
usb_empty => open,
fx2_resetn => '1'
);
-- 16 bit prom
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (address(romdepth downto 1), data,
romsn, romsn, romsn, rwen, oen);
-- ROMSN is pulled down by the MAX V system controller after FPGA programming
-- completed (bug?)
romsn <= 'L';
data <= buskeep(data), (others => 'H') after 250 ns;
error <= user_led(3);
eneta_mdio <= 'H';
enetb_mdio <= 'H';
eneta_tx_d(7 downto 4) <= "0000";
enetb_tx_d(7 downto 4) <= "0000";
p1: phy
generic map(base1000_t_fd => 0, base1000_t_hd => 0, address => 0)
port map(rst, eneta_mdio, eneta_tx_clk, eneta_rx_clk, eneta_rx_d, eneta_rx_dv,
eneta_rx_er, eneta_rx_col, eneta_rx_crs, eneta_tx_d, eneta_tx_en, eneta_tx_er, eneta_mdc,
'0');
p2: phy
generic map(base1000_t_fd => 0, base1000_t_hd => 0, address => 1)
port map(rst, enetb_mdio, enetb_tx_clk, enetb_rx_clk, enetb_rx_d, enetb_rx_dv,
enetb_rx_er, enetb_rx_col, enetb_rx_crs, enetb_tx_d, enetb_tx_en, enetb_tx_er, enetb_mdc,
'0');
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
test0 : grtestmod generic map (width => 16)
port map ( rst, clk50, error, address(21 downto 2), data,
iosn, oen, writen, brdyn);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
|
component nios_system is
port (
alu_a_export : out std_logic_vector(31 downto 0); -- export
alu_b_export : out std_logic_vector(31 downto 0); -- export
alu_carry_out_export : in std_logic := 'X'; -- export
alu_control_export : out std_logic_vector(2 downto 0); -- export
alu_negative_export : in std_logic := 'X'; -- export
alu_out_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
alu_overflow_export : in std_logic := 'X'; -- export
alu_zero_export : in std_logic := 'X'; -- export
clk_clk : in std_logic := 'X'; -- clk
hex_0_export : out std_logic_vector(3 downto 0); -- export
hex_1_export : out std_logic_vector(3 downto 0); -- export
hex_2_export : out std_logic_vector(3 downto 0); -- export
hex_3_export : out std_logic_vector(3 downto 0); -- export
hex_4_export : out std_logic_vector(3 downto 0); -- export
hex_5_export : out std_logic_vector(3 downto 0); -- export
leds_export : out std_logic_vector(9 downto 0); -- export
regfile_data_export : out std_logic_vector(31 downto 0); -- export
regfile_r1sel_export : out std_logic_vector(5 downto 0); -- export
regfile_r2sel_export : out std_logic_vector(5 downto 0); -- export
regfile_reg1_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
regfile_reg2_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
regfile_we_export : out std_logic; -- export
regfile_wsel_export : out std_logic_vector(5 downto 0); -- export
reset_reset_n : in std_logic := 'X'; -- reset_n
sram_addr_export : out std_logic_vector(10 downto 0); -- export
sram_cs_export : out std_logic; -- export
sram_data_in_export : inout std_logic_vector(15 downto 0) := (others => 'X'); -- export
sram_oe_export : out std_logic; -- export
sram_read_write_export : out std_logic; -- export
switches_export : in std_logic_vector(9 downto 0) := (others => 'X'); -- export
keys_export : in std_logic_vector(3 downto 0) := (others => 'X') -- export
);
end component nios_system;
u0 : component nios_system
port map (
alu_a_export => CONNECTED_TO_alu_a_export, -- alu_a.export
alu_b_export => CONNECTED_TO_alu_b_export, -- alu_b.export
alu_carry_out_export => CONNECTED_TO_alu_carry_out_export, -- alu_carry_out.export
alu_control_export => CONNECTED_TO_alu_control_export, -- alu_control.export
alu_negative_export => CONNECTED_TO_alu_negative_export, -- alu_negative.export
alu_out_export => CONNECTED_TO_alu_out_export, -- alu_out.export
alu_overflow_export => CONNECTED_TO_alu_overflow_export, -- alu_overflow.export
alu_zero_export => CONNECTED_TO_alu_zero_export, -- alu_zero.export
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
hex_0_export => CONNECTED_TO_hex_0_export, -- hex_0.export
hex_1_export => CONNECTED_TO_hex_1_export, -- hex_1.export
hex_2_export => CONNECTED_TO_hex_2_export, -- hex_2.export
hex_3_export => CONNECTED_TO_hex_3_export, -- hex_3.export
hex_4_export => CONNECTED_TO_hex_4_export, -- hex_4.export
hex_5_export => CONNECTED_TO_hex_5_export, -- hex_5.export
leds_export => CONNECTED_TO_leds_export, -- leds.export
regfile_data_export => CONNECTED_TO_regfile_data_export, -- regfile_data.export
regfile_r1sel_export => CONNECTED_TO_regfile_r1sel_export, -- regfile_r1sel.export
regfile_r2sel_export => CONNECTED_TO_regfile_r2sel_export, -- regfile_r2sel.export
regfile_reg1_export => CONNECTED_TO_regfile_reg1_export, -- regfile_reg1.export
regfile_reg2_export => CONNECTED_TO_regfile_reg2_export, -- regfile_reg2.export
regfile_we_export => CONNECTED_TO_regfile_we_export, -- regfile_we.export
regfile_wsel_export => CONNECTED_TO_regfile_wsel_export, -- regfile_wsel.export
reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n
sram_addr_export => CONNECTED_TO_sram_addr_export, -- sram_addr.export
sram_cs_export => CONNECTED_TO_sram_cs_export, -- sram_cs.export
sram_data_in_export => CONNECTED_TO_sram_data_in_export, -- sram_data_in.export
sram_oe_export => CONNECTED_TO_sram_oe_export, -- sram_oe.export
sram_read_write_export => CONNECTED_TO_sram_read_write_export, -- sram_read_write.export
switches_export => CONNECTED_TO_switches_export, -- switches.export
keys_export => CONNECTED_TO_keys_export -- keys.export
);
|
-------------------------------------------------------------------------------
-- $Id: wrpfifo_dp_cntl.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
--wrpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: wrpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Write Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the WPFIFO design.
--
--
-- wrpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- pf_occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- | |
-- | |-- pf_adder_bit.vhd
-- |
-- |
-- |
-- |-- pf_dly1_mux.vhd
--
--
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe April 6, 2001 -- V1.00b (Backup of read count at end of
-- read)
--
-- DET May 24, 2001 -- V1.00c (fixed bug where RdAck was
-- issued if RdReq from IP occured on the
-- immediatly following clock cycle after
-- a 'Release' command
--
-- DET June 25, 2001 -- Added the DP Core with the ENB input
-- so that the DP port B (Read port) is
-- disabled when the WrFIFO is empty. This
-- clears up MTI sim warnings.
--
--
-- DET Sept. 27, 2001 -- Size Optimized redesign and
-- parameterization
--
-- DET Oct. 10, 2001 -- added pf_dly1_mux module to design
--
--
-- DET 1/21/2003 V2_00_a
-- ~~~~~~
-- - Corrected a burst read problem where the IP stops a burst read
-- with one data value left in the FIFO.
-- ^^^^^^
-- LCW Nov 8, 2004 -- updated for NCSim
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--
-- Designed by: D. Thorpe
-- Xilinx Mona Loa IP Team
-- Albuquerque, NM
-- APR 10, 2001
--
--
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_top;
use opb_ipif_v2_00_h.pf_occ_counter_top;
use opb_ipif_v2_00_h.pf_adder;
use opb_ipif_v2_00_h.pf_dly1_mux;
----------------------------------------------------------------------
entity wrpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 5;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port(
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_wr_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end wrpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of wrpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
Constant DLY_MUX_WIDTH : integer := OCC_CNTR_WIDTH+2;
--Shared internal signals
Signal base_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
-------------------------- start processes ------------------------------------
begin -- architecture
---------------------------------------------------------------------------
-- Generate the Write PFIFO with packetizing features included
---------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (reset1,
--reset2,
--reset3,
normal_op,
packet_op,
rest1,
rest2,
mark1,
--mark2,
rls1,
--rls2,
--pkt_rd_backup,
--nml_rd_backup,
pkt_update,
nml_update
);
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal decr_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_read : std_logic;
signal ld_addr_read_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_decr : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
--signal sig_normal_occupancy_dly1 : std_logic_vector(0 to
-- OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
base_occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib)
-- needed during burst to fill pipeline
-- (1 clock) out of DPort Block
and not(hold_ack);
-- added May 24 to fix RdAck generation
-- immediately after release
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_ipif_v2_00_h.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
dly_sel1 => '0',
dly_sel2 => back_to_back_rd,
Inputs => dly_mux_in,
Y_out => dly_mux_out
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
--------------------------------------------------------------------
-- Transition sequence state machine
--------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
trans_state <= reset1;
hold_ack <= '1';
Elsif (Bus_clk'event and Bus_clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Case trans_state Is
When reset1 =>
--trans_state <= reset2;
trans_state <= normal_op;
hold_ack <= '1';
enable_wr_addr_inc <= '0';
-- When reset2 =>
-- trans_state <= reset3;
-- hold_ack <= '1';
-- When reset3 =>
-- trans_state <= normal_op;
-- hold_ack <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
-- Elsif (rd_backup = '1') Then
-- trans_state <= nml_rd_backup;
-- hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
-- elsif (rd_backup = '1') then
-- trans_state <= pkt_rd_backup;
-- hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
ld_addr_mark_into_read <= '1';
ld_occ_mark_into_norm <= '1';
trans_state <= rest2;
--trans_state <= pkt_update;
hold_ack <= '1';
When rest2 =>
trans_state <= pkt_update;
hold_ack <= '1';
When mark1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= mark2;
trans_state <= pkt_update;
hold_ack <= '1';
-- When mark2 =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
When rls1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= rls2;
trans_state <= nml_update;
hold_ack <= '1';
-- When rls2 =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
-- When pkt_rd_backup =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
-- When nml_rd_backup =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
When nml_update =>
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking
-- operations. This counter establishes the full flag states
------------------------------------------------------------------
--inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc_by_2 <= decr_mark_addr and inc_wr_addr;
inc_mocc <= decr_mark_addr or inc_wr_addr;
I_MARK_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_mark_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup) ;
-- added as part of V0_00c mods
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
-- inc_wr_addr <= WrReq
-- and not(int_full)
-- and not(int_full_dly1)
-- and not(int_full_dly2)
-- and not(hold_ack)
-- and not(rd_backup and int_almost_full)
-- and enable_wr_addr_inc;
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and enable_wr_addr_inc;
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- process_name
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(hold_ack)
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_read,
Load_value => mark_address,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_rd_addr
and enable_mark_addr_inc;
decr_mark_addr <= rd_backup
and enable_rd_addr_decr
and enable_mark_addr_decr;
I_MARKREG_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_read_into_mark,
Load_value => read_address,
Count_Down => decr_mark_addr,
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
----------------------------------------------------------------------------
-- Generate the Write PFIFO with no packetizing features
----------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
-- Internal signals
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_wr_addr : std_logic;
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal rd_backup : std_logic;
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal occ_load_value : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib);
-- needed during burst to fill
-- pipeline (1 clock) out of DPort
-- Block
DeadLock <= int_full and int_empty; -- both full and empty
-- at the same time
DP_core_rden <= not(int_empty)-- assert read enable when not
or Bus_rst; -- empty or during reset
DP_core_wren <= not(int_full) -- assert write enable when not
or Bus_rst; -- full or during reset
base_occupancy <= sig_normal_occupancy;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_ipif_v2_00_h.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,-- : in std_logic;
Rst => Bus_rst,-- : In std_logic;
dly_sel1 => '0', --burst_ack_inhib,-- : in std_logic;
dly_sel2 => back_to_back_rd,-- : in std_logic;
Inputs => dly_mux_in,-- : in std_logic_vector;
Y_out => dly_mux_out-- : out std_logic_vector
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup);
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2);
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- REG_READ_REQUEST
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(int_empty)
and not(int_empty_dly1);
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup;
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_ipif_v2_00_h.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_clk,
Rst => Bus_rst,
Ain => slv_max_vacancy,
Bin => base_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
-------------------------------------------------------------------------------
-- $Id: wrpfifo_dp_cntl.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
--wrpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: wrpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Write Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the WPFIFO design.
--
--
-- wrpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- pf_occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- | |
-- | |-- pf_adder_bit.vhd
-- |
-- |
-- |
-- |-- pf_dly1_mux.vhd
--
--
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe April 6, 2001 -- V1.00b (Backup of read count at end of
-- read)
--
-- DET May 24, 2001 -- V1.00c (fixed bug where RdAck was
-- issued if RdReq from IP occured on the
-- immediatly following clock cycle after
-- a 'Release' command
--
-- DET June 25, 2001 -- Added the DP Core with the ENB input
-- so that the DP port B (Read port) is
-- disabled when the WrFIFO is empty. This
-- clears up MTI sim warnings.
--
--
-- DET Sept. 27, 2001 -- Size Optimized redesign and
-- parameterization
--
-- DET Oct. 10, 2001 -- added pf_dly1_mux module to design
--
--
-- DET 1/21/2003 V2_00_a
-- ~~~~~~
-- - Corrected a burst read problem where the IP stops a burst read
-- with one data value left in the FIFO.
-- ^^^^^^
-- LCW Nov 8, 2004 -- updated for NCSim
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--
-- Designed by: D. Thorpe
-- Xilinx Mona Loa IP Team
-- Albuquerque, NM
-- APR 10, 2001
--
--
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_top;
use opb_ipif_v2_00_h.pf_occ_counter_top;
use opb_ipif_v2_00_h.pf_adder;
use opb_ipif_v2_00_h.pf_dly1_mux;
----------------------------------------------------------------------
entity wrpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 5;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port(
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_wr_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end wrpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of wrpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
Constant DLY_MUX_WIDTH : integer := OCC_CNTR_WIDTH+2;
--Shared internal signals
Signal base_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
-------------------------- start processes ------------------------------------
begin -- architecture
---------------------------------------------------------------------------
-- Generate the Write PFIFO with packetizing features included
---------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (reset1,
--reset2,
--reset3,
normal_op,
packet_op,
rest1,
rest2,
mark1,
--mark2,
rls1,
--rls2,
--pkt_rd_backup,
--nml_rd_backup,
pkt_update,
nml_update
);
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal decr_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_read : std_logic;
signal ld_addr_read_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_decr : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
--signal sig_normal_occupancy_dly1 : std_logic_vector(0 to
-- OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
base_occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib)
-- needed during burst to fill pipeline
-- (1 clock) out of DPort Block
and not(hold_ack);
-- added May 24 to fix RdAck generation
-- immediately after release
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_ipif_v2_00_h.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
dly_sel1 => '0',
dly_sel2 => back_to_back_rd,
Inputs => dly_mux_in,
Y_out => dly_mux_out
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
--------------------------------------------------------------------
-- Transition sequence state machine
--------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
trans_state <= reset1;
hold_ack <= '1';
Elsif (Bus_clk'event and Bus_clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Case trans_state Is
When reset1 =>
--trans_state <= reset2;
trans_state <= normal_op;
hold_ack <= '1';
enable_wr_addr_inc <= '0';
-- When reset2 =>
-- trans_state <= reset3;
-- hold_ack <= '1';
-- When reset3 =>
-- trans_state <= normal_op;
-- hold_ack <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
-- Elsif (rd_backup = '1') Then
-- trans_state <= nml_rd_backup;
-- hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
-- elsif (rd_backup = '1') then
-- trans_state <= pkt_rd_backup;
-- hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
ld_addr_mark_into_read <= '1';
ld_occ_mark_into_norm <= '1';
trans_state <= rest2;
--trans_state <= pkt_update;
hold_ack <= '1';
When rest2 =>
trans_state <= pkt_update;
hold_ack <= '1';
When mark1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= mark2;
trans_state <= pkt_update;
hold_ack <= '1';
-- When mark2 =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
When rls1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= rls2;
trans_state <= nml_update;
hold_ack <= '1';
-- When rls2 =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
-- When pkt_rd_backup =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
-- When nml_rd_backup =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
When nml_update =>
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking
-- operations. This counter establishes the full flag states
------------------------------------------------------------------
--inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc_by_2 <= decr_mark_addr and inc_wr_addr;
inc_mocc <= decr_mark_addr or inc_wr_addr;
I_MARK_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_mark_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup) ;
-- added as part of V0_00c mods
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
-- inc_wr_addr <= WrReq
-- and not(int_full)
-- and not(int_full_dly1)
-- and not(int_full_dly2)
-- and not(hold_ack)
-- and not(rd_backup and int_almost_full)
-- and enable_wr_addr_inc;
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and enable_wr_addr_inc;
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- process_name
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(hold_ack)
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_read,
Load_value => mark_address,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_rd_addr
and enable_mark_addr_inc;
decr_mark_addr <= rd_backup
and enable_rd_addr_decr
and enable_mark_addr_decr;
I_MARKREG_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_read_into_mark,
Load_value => read_address,
Count_Down => decr_mark_addr,
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
----------------------------------------------------------------------------
-- Generate the Write PFIFO with no packetizing features
----------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
-- Internal signals
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_wr_addr : std_logic;
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal rd_backup : std_logic;
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal occ_load_value : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib);
-- needed during burst to fill
-- pipeline (1 clock) out of DPort
-- Block
DeadLock <= int_full and int_empty; -- both full and empty
-- at the same time
DP_core_rden <= not(int_empty)-- assert read enable when not
or Bus_rst; -- empty or during reset
DP_core_wren <= not(int_full) -- assert write enable when not
or Bus_rst; -- full or during reset
base_occupancy <= sig_normal_occupancy;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_ipif_v2_00_h.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,-- : in std_logic;
Rst => Bus_rst,-- : In std_logic;
dly_sel1 => '0', --burst_ack_inhib,-- : in std_logic;
dly_sel2 => back_to_back_rd,-- : in std_logic;
Inputs => dly_mux_in,-- : in std_logic_vector;
Y_out => dly_mux_out-- : out std_logic_vector
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup);
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2);
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- REG_READ_REQUEST
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(int_empty)
and not(int_empty_dly1);
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup;
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_ipif_v2_00_h.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_clk,
Rst => Bus_rst,
Ain => slv_max_vacancy,
Bin => base_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
package STRSYN is
attribute SigDir : string;
attribute SigType : string;
attribute SigBias : string;
end STRSYN;
entity opfd is
port (
terminal in1: electrical;
terminal in2: electrical;
terminal out1: electrical;
terminal out2: electrical;
terminal vbias4: electrical;
terminal gnd: electrical;
terminal vdd: electrical;
terminal vbias1: electrical;
terminal vref: electrical;
terminal vbias2: electrical;
terminal vbias3: electrical);
end opfd;
architecture simple of opfd is
-- Attributes for Ports
attribute SigDir of in1:terminal is "input";
attribute SigType of in1:terminal is "undef";
attribute SigDir of in2:terminal is "input";
attribute SigType of in2:terminal is "undef";
attribute SigDir of out1:terminal is "output";
attribute SigType of out1:terminal is "undef";
attribute SigDir of out2:terminal is "output";
attribute SigType of out2:terminal is "undef";
attribute SigDir of vbias4:terminal is "reference";
attribute SigType of vbias4:terminal is "voltage";
attribute SigDir of gnd:terminal is "reference";
attribute SigType of gnd:terminal is "current";
attribute SigBias of gnd:terminal is "negative";
attribute SigDir of vdd:terminal is "reference";
attribute SigType of vdd:terminal is "current";
attribute SigBias of vdd:terminal is "positive";
attribute SigDir of vbias1:terminal is "reference";
attribute SigType of vbias1:terminal is "voltage";
attribute SigDir of vref:terminal is "reference";
attribute SigType of vref:terminal is "current";
attribute SigBias of vref:terminal is "negative";
attribute SigDir of vbias2:terminal is "reference";
attribute SigType of vbias2:terminal is "voltage";
attribute SigDir of vbias3:terminal is "reference";
attribute SigType of vbias3:terminal is "voltage";
terminal net1: electrical;
terminal net2: electrical;
terminal net3: electrical;
terminal net4: electrical;
terminal net5: electrical;
terminal net6: electrical;
terminal net7: electrical;
terminal net8: electrical;
terminal net9: electrical;
terminal net10: electrical;
terminal net11: electrical;
terminal net12: electrical;
terminal net13: electrical;
begin
subnet0_subnet0_m1 : entity nmos(behave)
generic map(
L => Ldiff_0,
Ldiff_0init => 5.15e-06,
W => Wdiff_0,
Wdiff_0init => 2.185e-05,
scope => private
)
port map(
D => net1,
G => in1,
S => net7
);
subnet0_subnet0_m2 : entity nmos(behave)
generic map(
L => Ldiff_0,
Ldiff_0init => 5.15e-06,
W => Wdiff_0,
Wdiff_0init => 2.185e-05,
scope => private
)
port map(
D => net2,
G => in2,
S => net7
);
subnet0_subnet0_m3 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => W_0,
W_0init => 3.35e-05
)
port map(
D => net7,
G => vbias4,
S => gnd
);
subnet0_subnet0_m4 : entity nmos(behave)
generic map(
L => Ldiff_0,
Ldiff_0init => 5.15e-06,
W => Wdiff_0,
Wdiff_0init => 2.185e-05,
scope => private
)
port map(
D => net8,
G => in1,
S => net7
);
subnet0_subnet0_m5 : entity nmos(behave)
generic map(
L => Ldiff_0,
Ldiff_0init => 5.15e-06,
W => Wdiff_0,
Wdiff_0init => 2.185e-05,
scope => private
)
port map(
D => net8,
G => in2,
S => net7
);
subnet0_subnet0_m6 : entity pmos(behave)
generic map(
L => Lcmdiffp_0,
Lcmdiffp_0init => 5.1e-06,
W => Wcmdiffp_0,
Wcmdiffp_0init => 5.5e-07,
scope => private
)
port map(
D => net8,
G => net8,
S => vdd
);
subnet0_subnet0_m7 : entity pmos(behave)
generic map(
L => Lcmdiffp_0,
Lcmdiffp_0init => 5.1e-06,
W => Wcmdiffp_0,
Wcmdiffp_0init => 5.5e-07,
scope => private
)
port map(
D => net8,
G => net8,
S => vdd
);
subnet0_subnet0_m8 : entity pmos(behave)
generic map(
L => Lcmdiffp_0,
Lcmdiffp_0init => 5.1e-06,
W => Wcmdiffp_0,
Wcmdiffp_0init => 5.5e-07,
scope => private
)
port map(
D => net1,
G => net8,
S => vdd
);
subnet0_subnet0_m9 : entity pmos(behave)
generic map(
L => Lcmdiffp_0,
Lcmdiffp_0init => 5.1e-06,
W => Wcmdiffp_0,
Wcmdiffp_0init => 5.5e-07,
scope => private
)
port map(
D => net2,
G => net8,
S => vdd
);
subnet0_subnet1_m1 : entity pmos(behave)
generic map(
L => L_2,
L_2init => 4.6e-06,
W => Wsrc_1,
Wsrc_1init => 2.87e-05,
scope => Wprivate,
symmetry_scope => sym_3
)
port map(
D => net3,
G => net1,
S => vdd
);
subnet0_subnet2_m1 : entity pmos(behave)
generic map(
L => L_3,
L_3init => 2.9e-06,
W => Wsrc_1,
Wsrc_1init => 2.87e-05,
scope => Wprivate,
symmetry_scope => sym_3
)
port map(
D => net4,
G => net2,
S => vdd
);
subnet0_subnet3_m1 : entity nmos(behave)
generic map(
L => Lcm_2,
Lcm_2init => 7.5e-07,
W => Wcm_2,
Wcm_2init => 1.245e-05,
scope => private,
symmetry_scope => sym_4
)
port map(
D => net3,
G => net3,
S => gnd
);
subnet0_subnet3_m2 : entity nmos(behave)
generic map(
L => Lcm_2,
Lcm_2init => 7.5e-07,
W => Wcmcout_2,
Wcmcout_2init => 3.63e-05,
scope => private,
symmetry_scope => sym_4
)
port map(
D => net5,
G => net3,
S => gnd
);
subnet0_subnet3_c1 : entity cap(behave)
generic map(
C => C_4,
C_4init => 2.257e-12,
symmetry_scope => sym_4
)
port map(
P => net5,
N => net3
);
subnet0_subnet4_m1 : entity nmos(behave)
generic map(
L => Lcm_2,
Lcm_2init => 7.5e-07,
W => Wcm_2,
Wcm_2init => 1.245e-05,
scope => private,
symmetry_scope => sym_4
)
port map(
D => net4,
G => net4,
S => gnd
);
subnet0_subnet4_m2 : entity nmos(behave)
generic map(
L => Lcm_2,
Lcm_2init => 7.5e-07,
W => Wcmcout_2,
Wcmcout_2init => 3.63e-05,
scope => private,
symmetry_scope => sym_4
)
port map(
D => net6,
G => net4,
S => gnd
);
subnet0_subnet4_c1 : entity cap(behave)
generic map(
C => C_5,
C_5init => 2.958e-12,
symmetry_scope => sym_4
)
port map(
P => net6,
N => net4
);
subnet0_subnet5_m1 : entity pmos(behave)
generic map(
L => Lcm_3,
Lcm_3init => 3.5e-07,
W => Wcm_3,
Wcm_3init => 1.185e-05,
scope => private,
symmetry_scope => sym_5
)
port map(
D => net5,
G => net5,
S => vdd
);
subnet0_subnet5_m2 : entity pmos(behave)
generic map(
L => Lcm_3,
Lcm_3init => 3.5e-07,
W => Wcmout_3,
Wcmout_3init => 6.31e-05,
scope => private,
symmetry_scope => sym_5
)
port map(
D => out1,
G => net5,
S => vdd
);
subnet0_subnet5_c1 : entity cap(behave)
generic map(
C => C_6,
symmetry_scope => sym_5
)
port map(
P => out1,
N => net5
);
subnet0_subnet6_m1 : entity pmos(behave)
generic map(
L => Lcm_3,
Lcm_3init => 3.5e-07,
W => Wcm_3,
Wcm_3init => 1.185e-05,
scope => private,
symmetry_scope => sym_5
)
port map(
D => net6,
G => net6,
S => vdd
);
subnet0_subnet6_m2 : entity pmos(behave)
generic map(
L => Lcm_3,
Lcm_3init => 3.5e-07,
W => Wcmout_3,
Wcmout_3init => 6.31e-05,
scope => private,
symmetry_scope => sym_5
)
port map(
D => out2,
G => net6,
S => vdd
);
subnet0_subnet6_c1 : entity cap(behave)
generic map(
C => C_7,
symmetry_scope => sym_5
)
port map(
P => out2,
N => net6
);
subnet0_subnet7_m1 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => Wcursrc_4,
Wcursrc_4init => 2.67e-05,
scope => Wprivate,
symmetry_scope => sym_6
)
port map(
D => out1,
G => vbias4,
S => gnd
);
subnet0_subnet8_m1 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => Wcursrc_4,
Wcursrc_4init => 2.67e-05,
scope => Wprivate,
symmetry_scope => sym_6
)
port map(
D => out2,
G => vbias4,
S => gnd
);
subnet1_subnet0_r1 : entity res(behave)
generic map(
R => 1e+07
)
port map(
P => net9,
N => out1
);
subnet1_subnet0_r2 : entity res(behave)
generic map(
R => 1e+07
)
port map(
P => net9,
N => out2
);
subnet1_subnet0_c2 : entity cap(behave)
generic map(
C => Ccmfb
)
port map(
P => net12,
N => vref
);
subnet1_subnet0_c1 : entity cap(behave)
generic map(
C => Ccmfb
)
port map(
P => net11,
N => net9
);
subnet1_subnet0_t1 : entity pmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => W_1,
W_1init => 4.285e-05
)
port map(
D => net10,
G => vbias1,
S => vdd
);
subnet1_subnet0_t2 : entity pmos(behave)
generic map(
L => Lcmdiff_0,
Lcmdiff_0init => 1.105e-05,
W => Wcmdiff_0,
Wcmdiff_0init => 4.57e-05,
scope => private
)
port map(
D => net12,
G => vref,
S => net10
);
subnet1_subnet0_t3 : entity pmos(behave)
generic map(
L => Lcmdiff_0,
Lcmdiff_0init => 1.105e-05,
W => Wcmdiff_0,
Wcmdiff_0init => 4.57e-05,
scope => private
)
port map(
D => net11,
G => net9,
S => net10
);
subnet1_subnet0_t4 : entity nmos(behave)
generic map(
L => Lcm_0,
Lcm_0init => 4.25e-06,
W => Wcmfbload_0,
Wcmfbload_0init => 1e-06,
scope => private
)
port map(
D => net11,
G => net11,
S => gnd
);
subnet1_subnet0_t5 : entity nmos(behave)
generic map(
L => Lcm_0,
Lcm_0init => 4.25e-06,
W => Wcmfbload_0,
Wcmfbload_0init => 1e-06,
scope => private
)
port map(
D => net12,
G => net11,
S => gnd
);
subnet1_subnet0_t6 : entity nmos(behave)
generic map(
L => Lcmbias_0,
Lcmbias_0init => 2.6e-06,
W => Wcmbias_0,
Wcmbias_0init => 4.725e-05,
scope => private
)
port map(
D => out1,
G => net12,
S => gnd
);
subnet1_subnet0_t7 : entity nmos(behave)
generic map(
L => Lcmbias_0,
Lcmbias_0init => 2.6e-06,
W => Wcmbias_0,
Wcmbias_0init => 4.725e-05,
scope => private
)
port map(
D => out2,
G => net12,
S => gnd
);
subnet2_subnet0_m1 : entity pmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => (pfak)*(WBias),
WBiasinit => 4.45e-05
)
port map(
D => vbias1,
G => vbias1,
S => vdd
);
subnet2_subnet0_m2 : entity pmos(behave)
generic map(
L => (pfak)*(LBias),
LBiasinit => 6.3e-06,
W => (pfak)*(WBias),
WBiasinit => 4.45e-05
)
port map(
D => vbias2,
G => vbias2,
S => vbias1
);
subnet2_subnet0_i1 : entity idc(behave)
generic map(
I => 1.145e-05
)
port map(
P => vdd,
N => vbias3
);
subnet2_subnet0_m3 : entity nmos(behave)
generic map(
L => (pfak)*(LBias),
LBiasinit => 6.3e-06,
W => WBias,
WBiasinit => 4.45e-05
)
port map(
D => vbias3,
G => vbias3,
S => vbias4
);
subnet2_subnet0_m4 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => WBias,
WBiasinit => 4.45e-05
)
port map(
D => vbias2,
G => vbias3,
S => net13
);
subnet2_subnet0_m5 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => WBias,
WBiasinit => 4.45e-05
)
port map(
D => vbias4,
G => vbias4,
S => gnd
);
subnet2_subnet0_m6 : entity nmos(behave)
generic map(
L => LBias,
LBiasinit => 6.3e-06,
W => WBias,
WBiasinit => 4.45e-05
)
port map(
D => net13,
G => vbias4,
S => gnd
);
end simple;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
--USE ieee.numeric_std.ALL;
ENTITY tb_controller IS
END tb_controller;
ARCHITECTURE behavior OF tb_controller IS
--Inputs
SIGNAL tb_opcode : std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
--Outputs
SIGNAL tb_regDst : std_logic;
SIGNAL tb_jump : std_logic;
SIGNAL tb_branch : std_logic;
SIGNAL tb_memRead : std_logic;
SIGNAL tb_memToRegister : std_logic;
SIGNAL tb_ALUop : std_logic_vector(1 DOWNTO 0);
SIGNAL tb_memWrite : std_logic;
SIGNAL tb_ALUsrc : std_logic;
SIGNAL tb_regWrite : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
U1_Test : ENTITY work.Controller(Behavioral)
PORT MAP(
opcode => tb_opcode,
regDst => tb_regDst,
jump => tb_jump,
branch => tb_branch,
memRead => tb_memRead,
memToRegister => tb_memToRegister,
ALUop => tb_ALUop,
memWrite => tb_memWrite,
ALUsrc => tb_ALUsrc,
regWrite => tb_regWrite
);
-- Stimulus process
stim_proc : PROCESS
BEGIN
tb_opcode <= "000000"; --R-type
WAIT FOR 50 ns;
tb_opcode <= "100011"; --load word
WAIT FOR 50 ns;
tb_opcode <= "101011"; --store word
WAIT FOR 50 ns;
tb_opcode <= "000100"; --breanh equal
WAIT FOR 50 ns;
tb_opcode <= "000010"; --jump
WAIT FOR 50 ns;
tb_opcode <= "111111"; --unknown
WAIT FOR 50 ns;
ASSERT false
REPORT "END"
SEVERITY failure;
END PROCESS;
END; |
-- $Id: rlink_cext_vhpi.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2007-2010 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Package Name: rlink_cext_vhpi
-- Description: VHDL procedural interface: VHDL declaration side
--
-- Dependencies: -
-- Tool versions: ghdl 0.18-0.31
-- Revision History:
-- Date Rev Version Comment
-- 2010-12-29 351 1.1 rename vhpi_rriext->rlink_cext_vhpi; new rbv3 names
-- 2007-08-26 76 1.0 Initial version
------------------------------------------------------------------------------
package rlink_cext_vhpi is
impure function rlink_cext_getbyte (
clk : integer) -- clock cycle
return integer;
attribute foreign of rlink_cext_getbyte :
function is "VHPIDIRECT rlink_cext_getbyte";
impure function rlink_cext_putbyte (
dat : integer) -- data byte
return integer;
attribute foreign of rlink_cext_putbyte :
function is "VHPIDIRECT rlink_cext_putbyte";
end package rlink_cext_vhpi;
package body rlink_cext_vhpi is
impure function rlink_cext_getbyte (
clk : integer) -- clock cycle
return integer is
begin
report "rlink_cext_getbyte not vhpi'ed" severity failure;
end rlink_cext_getbyte;
impure function rlink_cext_putbyte (
dat : integer) -- data byte
return integer is
begin
report "rlink_cext_getbyte not vhpi'ed" severity failure;
end rlink_cext_putbyte;
end package body rlink_cext_vhpi;
|
-------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_CMD_WIDTH : integer range 72 to 112 := 72;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
function funct_fix_addr (in_addr_width : integer) return integer is
Variable new_addr_width : Integer;
begin
If (in_addr_width <= 32) Then
new_addr_width := 32;
elsif (in_addr_width > 32 and in_addr_width <= 40) Then
new_addr_width := 40;
elsif (in_addr_width > 40 and in_addr_width <= 48) Then
new_addr_width := 48;
elsif (in_addr_width > 48 and in_addr_width <= 56) Then
new_addr_width := 56;
else
new_addr_width := 64;
End if;
Return (new_addr_width);
end function funct_fix_addr;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH);
constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ;
signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ;
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_CMD_WIDTH : integer range 72 to 112 := 72;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
function funct_fix_addr (in_addr_width : integer) return integer is
Variable new_addr_width : Integer;
begin
If (in_addr_width <= 32) Then
new_addr_width := 32;
elsif (in_addr_width > 32 and in_addr_width <= 40) Then
new_addr_width := 40;
elsif (in_addr_width > 40 and in_addr_width <= 48) Then
new_addr_width := 48;
elsif (in_addr_width > 48 and in_addr_width <= 56) Then
new_addr_width := 56;
else
new_addr_width := 64;
End if;
Return (new_addr_width);
end function funct_fix_addr;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH);
constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ;
signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ;
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_CMD_WIDTH : integer range 72 to 112 := 72;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
function funct_fix_addr (in_addr_width : integer) return integer is
Variable new_addr_width : Integer;
begin
If (in_addr_width <= 32) Then
new_addr_width := 32;
elsif (in_addr_width > 32 and in_addr_width <= 40) Then
new_addr_width := 40;
elsif (in_addr_width > 40 and in_addr_width <= 48) Then
new_addr_width := 48;
elsif (in_addr_width > 48 and in_addr_width <= 56) Then
new_addr_width := 56;
else
new_addr_width := 64;
End if;
Return (new_addr_width);
end function funct_fix_addr;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH);
constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ;
signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ;
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_CMD_WIDTH : integer range 72 to 112 := 72;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
function funct_fix_addr (in_addr_width : integer) return integer is
Variable new_addr_width : Integer;
begin
If (in_addr_width <= 32) Then
new_addr_width := 32;
elsif (in_addr_width > 32 and in_addr_width <= 40) Then
new_addr_width := 40;
elsif (in_addr_width > 40 and in_addr_width <= 48) Then
new_addr_width := 48;
elsif (in_addr_width > 48 and in_addr_width <= 56) Then
new_addr_width := 56;
else
new_addr_width := 64;
End if;
Return (new_addr_width);
end function funct_fix_addr;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH);
constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ;
signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ;
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
end implementation;
|
-------------------------------------------------------------------------------
-- axi_datamover.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover.vhd
--
-- Description:
-- Top level VHDL wrapper for the AXI DataMover
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_11;
use axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap;
use axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap ;
use axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap;
-------------------------------------------------------------------------------
entity axi_datamover is
generic (
C_INCLUDE_MM2S : Integer range 0 to 2 := 2;
-- Specifies the type of MM2S function to include
-- 0 = Omit MM2S functionality
-- 1 = Full MM2S Functionality
-- 2 = Basic MM2S functionality
C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the MM2S ID port
C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the MM2S Master Stream Data
-- Channel data bus
C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit MM2S Status FIFO
-- 1 = Include MM2S Status FIFO
C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the MM2S Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the MM2S function
-- 0 = Omit DRE
-- 1 = Include DRE
C_MM2S_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the MM2S function
C_MM2S_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the MM2S Command Interface
C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the MM2S internal
-- child command queues in the Read Address Controller and
-- the Read Data Controller. Increasing this value will
-- allow more Read Addresses to be issued to the AXI4 Read
-- Address Channel before receipt of the associated read
-- data on the Read Data Channel.
C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- MM2S (Read) Store and Forward function
-- 0 = Omit MM2S Store and Forward
-- 1 = Include MM2S Store and Forward
C_INCLUDE_S2MM : Integer range 0 to 4 := 2;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Basic S2MM functionality
C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1;
-- Specifies the constant value to output on
-- the ARID output port
C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_BTT_USED : Integer range 8 to 23 := 16;
-- Specifies the number of bits used from the BTT field
-- of the input Command Word of the S2MM Command Interface
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if support for indeterminate packet lengths
-- are to be received on the input Stream interface
-- 0 = Omit support (User MUST transfer the exact number of
-- bytes on the Stream interface as specified in the BTT
-- field of the Corresponding DataMover Command)
-- 1 = Include support for indeterminate packet lengths
-- This causes FIFOs to be added and "Store and Forward"
-- behavior of the S2MM function
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ;
-- This parameter specifies the inclusion/omission of the
-- S2MM (Write) Store and Forward function
-- 0 = Omit S2MM Store and Forward
-- 1 = Include S2MM Store and Forward
C_ENABLE_CACHE_USER : integer range 0 to 1 := 0;
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_S2MM_ADV_SIG : integer range 0 to 1 := 0;
C_ENABLE_MM2S_ADV_SIG : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_CMD_WIDTH : integer range 72 to 112 := 72;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- MM2S Primary Clock input ----------------------------------
m_axi_mm2s_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- MM2S Primary Reset input --
m_axi_mm2s_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- MM2S Halt request input control --------------------
mm2s_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- MM2S Halt Complete status flag --
mm2s_halt_cmplt : Out std_logic; --
-- Active high soft shutdown complete status --
-------------------------------------------------------
-- Error discrete output -------------------------
mm2s_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O ---------
m_axis_mm2s_cmdsts_aclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_mm2s_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -------------------------------------------------
s_axis_mm2s_cmd_tvalid : in std_logic; --
s_axis_mm2s_cmd_tready : out std_logic; --
s_axis_mm2s_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) ------------------------
m_axis_mm2s_sts_tvalid : out std_logic; --
m_axis_mm2s_sts_tready : in std_logic; --
m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); --
m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); --
m_axis_mm2s_sts_tlast : out std_logic; --
--------------------------------------------------------------------
-- Address Posting contols -----------------------
mm2s_allow_addr_req : in std_logic; --
mm2s_addr_req_posted : out std_logic; --
mm2s_rd_xfer_cmplt : out std_logic; --
--------------------------------------------------
-- MM2S AXI Address Channel I/O --------------------------------------------------
m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_mm2s_arvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_mm2s_arready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); --
-- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); --
-- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); --
-- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------
m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); --
m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); --
m_axi_mm2s_rlast : In std_logic; --
m_axi_mm2s_rvalid : In std_logic; --
m_axi_mm2s_rready : Out std_logic; --
----------------------------------------------------------------------------------------
-- MM2S AXI Master Stream Channel I/O -------------------------------------------------------
m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_tlast : Out std_logic; --
m_axis_mm2s_tvalid : Out std_logic; --
m_axis_mm2s_tready : In std_logic; --
----------------------------------------------------------------------------------------------
-- Testing Support I/O --------------------------------------------------------
mm2s_dbg_sel : in std_logic_vector( 3 downto 0); --
mm2s_dbg_data : out std_logic_vector(31 downto 0) ; --
-------------------------------------------------------------------------------
-- S2MM Primary Clock input ---------------------------------
m_axi_s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
m_axi_s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
-------------------------------------------------------------
-- S2MM Halt request input control ------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
-----------------------------------------------------
-- S2MM Error discrete output ------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
------------------------------------------------
-- Memory Map to Stream Command FIFO and Status FIFO I/O -----------------
m_axis_s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
m_axis_s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) --------------------------------------------------
s_axis_s2mm_cmd_tvalid : in std_logic; --
s_axis_s2mm_cmd_tready : out std_logic; --
s_axis_s2mm_cmd_tdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-----------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) -----------------------------------------------------------
m_axis_s2mm_sts_tvalid : out std_logic; --
m_axis_s2mm_sts_tready : in std_logic; --
m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
m_axis_s2mm_sts_tlast : out std_logic; --
-------------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O ----------------------------------------------------
m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel CACHE output --
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel USER output --
--
m_axi_s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
m_axi_s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-------------------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); --
-- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); --
-- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); --
-- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O --------------------------------------------------
m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); --
m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); --
m_axi_s2mm_wlast : Out std_logic; --
m_axi_s2mm_wvalid : Out std_logic; --
m_axi_s2mm_wready : In std_logic; --
-------------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O -------------------------
m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); --
m_axi_s2mm_bvalid : In std_logic; --
m_axi_s2mm_bready : Out std_logic; --
----------------------------------------------------------------------
-- S2MM AXI Slave Stream Channel I/O -------------------------------------------------------
s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); --
s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); --
s_axis_s2mm_tlast : In std_logic; --
s_axis_s2mm_tvalid : In std_logic; --
s_axis_s2mm_tready : Out std_logic; --
---------------------------------------------------------------------------------------------
-- Testing Support I/O ------------------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
------------------------------------------------------------------------
);
end entity axi_datamover;
architecture implementation of axi_datamover is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Declarations
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_clip_brst_len
--
-- Function Description:
-- This function is used to limit the parameterized max burst
-- databeats when the tranfer data width is 256 bits or greater.
-- This is required to keep from crossing the 4K byte xfer
-- boundary required by AXI. This process is further complicated
-- by the inclusion/omission of upsizers or downsizers in the
-- data path.
--
-------------------------------------------------------------------
function funct_clip_brst_len (param_burst_beats : integer;
mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0);
Variable fvar_max_burst_dbeats : Integer;
begin
if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc
If (mmap_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (mmap_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (mmap_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit mmap width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
else -- use stream dwidth for calc
If (stream_transfer_bit_width <= 128) Then -- allowed
fvar_max_burst_dbeats := param_burst_beats;
Elsif (stream_transfer_bit_width <= 256) Then
If (param_burst_beats <= 128) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 128;
End if;
Elsif (stream_transfer_bit_width <= 512) Then
If (param_burst_beats <= 64) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 64;
End if;
Else -- 1024 bit stream width case
If (param_burst_beats <= 32) Then
fvar_max_burst_dbeats := param_burst_beats;
Else
fvar_max_burst_dbeats := 32;
End if;
End if;
end if;
Return (fvar_max_burst_dbeats);
end function funct_clip_brst_len;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_depth_16
--
-- Function Description:
-- This function is used to fix the Command and Status FIFO depths to
-- 16 entries when Async clocking mode is enabled. This is required
-- due to the way the async_fifo_fg.vhd design in proc_common is
-- implemented.
-------------------------------------------------------------------
function funct_fix_depth_16 (async_clocking_mode : integer;
requested_depth : integer) return integer is
Variable fvar_depth_2_use : Integer;
begin
If (async_clocking_mode = 1) Then -- async mode so fix at 16
fvar_depth_2_use := 16;
Elsif (requested_depth > 16) Then -- limit at 16
fvar_depth_2_use := 16;
Else -- use requested depth
fvar_depth_2_use := requested_depth;
End if;
Return (fvar_depth_2_use);
end function funct_fix_depth_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_min_btt_width
--
-- Function Description:
-- This function calculates the minimum required value
-- for the used width of the command BTT field.
--
-------------------------------------------------------------------
function funct_get_min_btt_width (max_burst_beats : integer;
bytes_per_beat : integer ) return integer is
Variable var_min_btt_needed : Integer;
Variable var_max_bytes_per_burst : Integer;
begin
var_max_bytes_per_burst := max_burst_beats*bytes_per_beat;
if (var_max_bytes_per_burst <= 16) then
var_min_btt_needed := 5;
elsif (var_max_bytes_per_burst <= 32) then
var_min_btt_needed := 6;
elsif (var_max_bytes_per_burst <= 64) then
var_min_btt_needed := 7;
elsif (var_max_bytes_per_burst <= 128) then
var_min_btt_needed := 8;
elsif (var_max_bytes_per_burst <= 256) then
var_min_btt_needed := 9;
elsif (var_max_bytes_per_burst <= 512) then
var_min_btt_needed := 10;
elsif (var_max_bytes_per_burst <= 1024) then
var_min_btt_needed := 11;
elsif (var_max_bytes_per_burst <= 2048) then
var_min_btt_needed := 12;
elsif (var_max_bytes_per_burst <= 4096) then
var_min_btt_needed := 13;
else -- 8K byte range
var_min_btt_needed := 14;
end if;
Return (var_min_btt_needed);
end function funct_get_min_btt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_xfer_bytes_per_dbeat
--
-- Function Description:
-- Calculates the nuber of bytes that will transfered per databeat
-- on the AXI4 MMap Bus.
--
-------------------------------------------------------------------
function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer;
stream_transfer_bit_width : integer;
down_up_sizers_enabled : integer) return integer is
Variable temp_bytes_per_dbeat : Integer := 4;
begin
if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth
temp_bytes_per_dbeat := mmap_transfer_bit_width/8;
else -- No down/up sizers so use Stream data width
temp_bytes_per_dbeat := stream_transfer_bit_width/8;
end if;
Return (temp_bytes_per_dbeat);
end function funct_get_xfer_bytes_per_dbeat;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_fix_btt_used
--
-- Function Description:
-- THis function makes sure the BTT width used is at least the
-- minimum needed.
--
-------------------------------------------------------------------
function funct_fix_btt_used (requested_btt_width : integer;
min_btt_width : integer) return integer is
Variable var_corrected_btt_width : Integer;
begin
If (requested_btt_width < min_btt_width) Then
var_corrected_btt_width := min_btt_width;
else
var_corrected_btt_width := requested_btt_width;
End if;
Return (var_corrected_btt_width);
end function funct_fix_btt_used;
function funct_fix_addr (in_addr_width : integer) return integer is
Variable new_addr_width : Integer;
begin
If (in_addr_width <= 32) Then
new_addr_width := 32;
elsif (in_addr_width > 32 and in_addr_width <= 40) Then
new_addr_width := 40;
elsif (in_addr_width > 40 and in_addr_width <= 48) Then
new_addr_width := 48;
elsif (in_addr_width > 48 and in_addr_width <= 56) Then
new_addr_width := 56;
else
new_addr_width := 64;
End if;
Return (new_addr_width);
end function funct_fix_addr;
-------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------
Constant MM2S_TAG_WIDTH : integer := 4;
Constant S2MM_TAG_WIDTH : integer := 4;
Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF;
Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT;
Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE,
C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE,
C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC,
C_MM2S_STSCMD_FIFO_DEPTH);
Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC,
C_S2MM_STSCMD_FIFO_DEPTH);
Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH,
C_M_AXIS_MM2S_TDATA_WIDTH,
MM2S_DOWNSIZER_ENABLED);
Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS,
MM2S_BYTES_PER_BEAT);
Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED,
MM2S_MIN_BTT_NEEDED);
Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH,
C_S_AXIS_S2MM_TDATA_WIDTH,
S2MM_UPSIZER_ENABLED);
Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS,
S2MM_BYTES_PER_BEAT);
Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED,
S2MM_MIN_BTT_NEEDED);
constant C_M_AXI_MM2S_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_MM2S_ADDR_WIDTH);
constant C_M_AXI_S2MM_ADDR_WIDTH_int : integer := funct_fix_addr(C_M_AXI_S2MM_ADDR_WIDTH);
-- Signals
signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0');
signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0');
signal m_axi_mm2s_araddr_int : std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH_int-1 downto 0) ;
signal m_axi_s2mm_awaddr_int : std_logic_vector (C_M_AXI_S2MM_ADDR_WIDTH_int-1 downto 0) ;
begin --(architecture implementation)
-------------------------------------------------------------
-- Conversion to tkeep for external stream connnections
-------------------------------------------------------------
-- MM2S Status Stream Output
m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ;
GEN_MM2S_TKEEP_ENABLE1 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- MM2S Stream Output
m_axis_mm2s_tkeep <= sig_mm2s_tstrb ;
end generate GEN_MM2S_TKEEP_ENABLE1;
GEN_MM2S_TKEEP_DISABLE1 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
m_axis_mm2s_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE1;
GEN_S2MM_TKEEP_ENABLE1 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- S2MM Stream Input
sig_s2mm_tstrb <= s_axis_s2mm_tkeep ;
end generate GEN_S2MM_TKEEP_ENABLE1;
GEN_S2MM_TKEEP_DISABLE1 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_s2mm_tstrb <= (others => '1');
end generate GEN_S2MM_TKEEP_DISABLE1;
-- S2MM Status Stream Output
m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_OMIT
--
-- If Generate Description:
-- Instantiate the MM2S OMIT Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_OMIT : if (C_INCLUDE_MM2S = 0) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_OMIT_WRAPPER
--
-- Description:
-- Read omit Wrapper Instance
--
------------------------------------------------------------
I_MM2S_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_omit_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_FULL
--
-- If Generate Description:
-- Instantiate the MM2S Full Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_FULL : if (C_INCLUDE_MM2S = 1) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_FULL_WRAPPER
--
-- Description:
-- Read Full Wrapper Instance
--
------------------------------------------------------------
I_MM2S_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_full_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_INCLUDE_MM2S_GP_SF => C_MM2S_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_MM2S_TKEEP => C_ENABLE_MM2S_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MM2S_BASIC
--
-- If Generate Description:
-- Instantiate the MM2S Basic Wrapper
--
--
------------------------------------------------------------
GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate
begin
------------------------------------------------------------
-- Instance: I_MM2S_BASIC_WRAPPER
--
-- Description:
-- Read Basic Wrapper Instance
--
------------------------------------------------------------
I_MM2S_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_mm2s_basic_wrap
generic map (
C_INCLUDE_MM2S => C_INCLUDE_MM2S ,
C_MM2S_ARID => C_M_AXI_MM2S_ARID ,
C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH ,
C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH_int ,
C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH ,
C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH ,
C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO ,
C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH ,
C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC ,
C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE ,
C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS ,
C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED ,
C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => MM2S_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
mm2s_aclk => m_axi_mm2s_aclk ,
mm2s_aresetn => m_axi_mm2s_aresetn ,
mm2s_halt => mm2s_halt ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
mm2s_err => mm2s_err ,
mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk ,
mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn ,
mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid ,
mm2s_cmd_wready => s_axis_mm2s_cmd_tready ,
mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata ,
mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid ,
mm2s_sts_wready => m_axis_mm2s_sts_tready ,
mm2s_sts_wdata => m_axis_mm2s_sts_tdata ,
mm2s_sts_wstrb => sig_mm2s_sts_tstrb ,
mm2s_sts_wlast => m_axis_mm2s_sts_tlast ,
mm2s_allow_addr_req => mm2s_allow_addr_req ,
mm2s_addr_req_posted => mm2s_addr_req_posted ,
mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt ,
mm2s_arid => m_axi_mm2s_arid ,
mm2s_araddr => m_axi_mm2s_araddr_int ,
mm2s_arlen => m_axi_mm2s_arlen ,
mm2s_arsize => m_axi_mm2s_arsize ,
mm2s_arburst => m_axi_mm2s_arburst ,
mm2s_arprot => m_axi_mm2s_arprot ,
mm2s_arcache => m_axi_mm2s_arcache ,
mm2s_aruser => m_axi_mm2s_aruser ,
mm2s_arvalid => m_axi_mm2s_arvalid ,
mm2s_arready => m_axi_mm2s_arready ,
mm2s_rdata => m_axi_mm2s_rdata ,
mm2s_rresp => m_axi_mm2s_rresp ,
mm2s_rlast => m_axi_mm2s_rlast ,
mm2s_rvalid => m_axi_mm2s_rvalid ,
mm2s_rready => m_axi_mm2s_rready ,
mm2s_strm_wdata => m_axis_mm2s_tdata ,
mm2s_strm_wstrb => sig_mm2s_tstrb ,
mm2s_strm_wlast => m_axis_mm2s_tlast ,
mm2s_strm_wvalid => m_axis_mm2s_tvalid ,
mm2s_strm_wready => m_axis_mm2s_tready ,
mm2s_dbg_sel => mm2s_dbg_sel ,
mm2s_dbg_data => mm2s_dbg_data
);
end generate GEN_MM2S_BASIC;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_OMIT
--
-- If Generate Description:
-- Instantiate the S2MM OMIT Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_OMIT : if (C_INCLUDE_S2MM = 0) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_OMIT_WRAPPER
--
-- Description:
-- Write Omit Wrapper Instance
--
------------------------------------------------------------
I_S2MM_OMIT_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_omit_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_OMIT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_FULL
--
-- If Generate Description:
-- Instantiate the S2MM FULL Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_FULL : if (C_INCLUDE_S2MM = 1) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_FULL_WRAPPER
--
-- Description:
-- Write Full Wrapper Instance
--
------------------------------------------------------------
I_S2MM_FULL_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_full_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_BTT_USED => S2MM_CORRECTED_BTT_USED ,
C_S2MM_SUPPORT_INDET_BTT => C_S2MM_SUPPORT_INDET_BTT ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_INCLUDE_S2MM_GP_SF => C_S2MM_INCLUDE_SF ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_S2MM_TKEEP => C_ENABLE_S2MM_TKEEP ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_FULL;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_S2MM_BASIC
--
-- If Generate Description:
-- Instantiate the S2MM Basic Wrapper
--
--
------------------------------------------------------------
GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate
begin
------------------------------------------------------------
-- Instance: I_S2MM_BASIC_WRAPPER
--
-- Description:
-- Write Basic Wrapper Instance
--
------------------------------------------------------------
I_S2MM_BASIC_WRAPPER : entity axi_datamover_v5_1_11.axi_datamover_s2mm_basic_wrap
generic map (
C_INCLUDE_S2MM => C_INCLUDE_S2MM ,
C_S2MM_AWID => C_M_AXI_S2MM_AWID ,
C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH ,
C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH_int ,
C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH ,
C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH ,
C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO ,
C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH ,
C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC ,
C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE ,
C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS ,
C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH ,
C_TAG_WIDTH => S2MM_TAG_WIDTH ,
C_ENABLE_CACHE_USER => C_ENABLE_CACHE_USER ,
C_ENABLE_SKID_BUF => C_ENABLE_SKID_BUF ,
C_MICRO_DMA => C_MICRO_DMA ,
C_FAMILY => C_FAMILY
)
port map (
s2mm_aclk => m_axi_s2mm_aclk ,
s2mm_aresetn => m_axi_s2mm_aresetn ,
s2mm_halt => s2mm_halt ,
s2mm_halt_cmplt => s2mm_halt_cmplt ,
s2mm_err => s2mm_err ,
s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk ,
s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn ,
s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid ,
s2mm_cmd_wready => s_axis_s2mm_cmd_tready ,
s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata ,
s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid ,
s2mm_sts_wready => m_axis_s2mm_sts_tready ,
s2mm_sts_wdata => m_axis_s2mm_sts_tdata ,
s2mm_sts_wstrb => sig_s2mm_sts_tstrb ,
s2mm_sts_wlast => m_axis_s2mm_sts_tlast ,
s2mm_allow_addr_req => s2mm_allow_addr_req ,
s2mm_addr_req_posted => s2mm_addr_req_posted ,
s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt ,
s2mm_ld_nxt_len => s2mm_ld_nxt_len ,
s2mm_wr_len => s2mm_wr_len ,
s2mm_awid => m_axi_s2mm_awid ,
s2mm_awaddr => m_axi_s2mm_awaddr_int ,
s2mm_awlen => m_axi_s2mm_awlen ,
s2mm_awsize => m_axi_s2mm_awsize ,
s2mm_awburst => m_axi_s2mm_awburst ,
s2mm_awprot => m_axi_s2mm_awprot ,
s2mm_awcache => m_axi_s2mm_awcache ,
s2mm_awuser => m_axi_s2mm_awuser ,
s2mm_awvalid => m_axi_s2mm_awvalid ,
s2mm_awready => m_axi_s2mm_awready ,
s2mm_wdata => m_axi_s2mm_wdata ,
s2mm_wstrb => m_axi_s2mm_wstrb ,
s2mm_wlast => m_axi_s2mm_wlast ,
s2mm_wvalid => m_axi_s2mm_wvalid ,
s2mm_wready => m_axi_s2mm_wready ,
s2mm_bresp => m_axi_s2mm_bresp ,
s2mm_bvalid => m_axi_s2mm_bvalid ,
s2mm_bready => m_axi_s2mm_bready ,
s2mm_strm_wdata => s_axis_s2mm_tdata ,
s2mm_strm_wstrb => sig_s2mm_tstrb ,
s2mm_strm_wlast => s_axis_s2mm_tlast ,
s2mm_strm_wvalid => s_axis_s2mm_tvalid ,
s2mm_strm_wready => s_axis_s2mm_tready ,
s2mm_dbg_sel => s2mm_dbg_sel ,
s2mm_dbg_data => s2mm_dbg_data
);
end generate GEN_S2MM_BASIC;
m_axi_mm2s_araddr <= m_axi_mm2s_araddr_int (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awaddr <= m_axi_s2mm_awaddr_int (C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
end implementation;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--
-- ZPUINO implementation on Gadget Factory 'Papilio One' Board
--
-- Copyright 2010 Alvaro Lopes <[email protected]>
--
-- Version: 1.0
--
-- The FreeBSD license
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above
-- copyright notice, this list of conditions and the following
-- disclaimer in the documentation and/or other materials
-- provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library zpuino;
use zpuino.pad.all;
use zpuino.papilio_pkg.all;
library board;
use board.zpuino_config.all;
use board.zpu_config.all;
use board.zpupkg.all;
use board.zpuinopkg.all;
library unisim;
use unisim.vcomponents.all;
entity ZPUino_Papilio_One_V1 is
port (
--32Mhz input clock is converted to a 96Mhz clock
CLK: in std_logic;
--RST: in std_logic; -- No reset on papilio
--Clock outputs to be used in schematic
clk_96Mhz: out std_logic; --This is the clock that the system runs on.
clk_1Mhz: out std_logic; --This is a 1Mhz clock for symbols like the C64 SID chip.
clk_osc_32Mhz: out std_logic; --This is the 32Mhz clock from external oscillator.
-- Connection to the main SPI flash
SPI_FLASH_SCK: out std_logic;
SPI_FLASH_MISO: in std_logic;
SPI_FLASH_MOSI: out std_logic;
SPI_FLASH_CS: inout std_logic;
gpio_bus_in : in std_logic_vector(97 downto 0);
gpio_bus_out : out std_logic_vector(147 downto 0);
-- UART (FTDI) connection
TXD: out std_logic;
RXD: in std_logic;
--There are more bits in the address for this wishbone connection
wishbone_slot_video_in : in std_logic_vector(63 downto 0);
wishbone_slot_video_out : out std_logic_vector(33 downto 0);
vgaclkout: out std_logic;
-- Unfortunately the Xilinx Schematic Editor does not support records, so we have to put all wishbone signals into one array.
-- This is a little cumbersome but is better then dealing with all the signals in the schematic editor.
-- This is what the original record base approach looked like:
--
-- type wishbone_bus_in_type is record
-- wb_clk_i: std_logic; -- Wishbone clock
-- wb_rst_i: std_logic; -- Wishbone reset (synchronous)
-- wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits)
-- wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits)
-- wb_we_i: std_logic; -- Wishbone write enable signal
-- wb_cyc_i: std_logic; -- Wishbone cycle signal
-- wb_stb_i: std_logic; -- Wishbone strobe signal
-- end record;
--
-- type wishbone_bus_out_type is record
-- wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits)
-- wb_ack_o: std_logic; -- Wishbone acknowledge out signal
-- wb_inta_o: std_logic;
-- end record;
--
-- Turning them into an array looks like this:
--
-- wishbone_in : in std_logic_vector(61 downto 0);
--
-- wishbone_in_record.wb_clk_i <= wishbone_in(61);
-- wishbone_in_record.wb_rst_i <= wishbone_in(60);
-- wishbone_in_record.wb_dat_i <= wishbone_in(59 downto 28);
-- wishbone_in_record.wb_adr_i <= wishbone_in(27 downto 3);
-- wishbone_in_record.wb_we_i <= wishbone_in(2);
-- wishbone_in_record.wb_cyc_i <= wishbone_in(1);
-- wishbone_in_record.wb_stb_i <= wishbone_in(0);
--
-- wishbone_out : out std_logic_vector(33 downto 0);
--
-- wishbone_out(33 downto 2) <= wishbone_out_record.wb_dat_o;
-- wishbone_out(1) <= wishbone_out_record.wb_ack_o;
-- wishbone_out(0) <= wishbone_out_record.wb_inta_o;
--Input and output reversed for the master
wishbone_slot_5_in : out std_logic_vector(61 downto 0);
wishbone_slot_5_out : in std_logic_vector(33 downto 0);
wishbone_slot_6_in : out std_logic_vector(61 downto 0);
wishbone_slot_6_out : in std_logic_vector(33 downto 0);
wishbone_slot_8_in : out std_logic_vector(61 downto 0);
wishbone_slot_8_out : in std_logic_vector(33 downto 0);
wishbone_slot_9_in : out std_logic_vector(61 downto 0);
wishbone_slot_9_out : in std_logic_vector(33 downto 0);
wishbone_slot_10_in : out std_logic_vector(61 downto 0);
wishbone_slot_10_out : in std_logic_vector(33 downto 0);
wishbone_slot_11_in : out std_logic_vector(61 downto 0);
wishbone_slot_11_out : in std_logic_vector(33 downto 0);
wishbone_slot_12_in : out std_logic_vector(61 downto 0);
wishbone_slot_12_out : in std_logic_vector(33 downto 0);
wishbone_slot_13_in : out std_logic_vector(61 downto 0);
wishbone_slot_13_out : in std_logic_vector(33 downto 0);
wishbone_slot_14_in : out std_logic_vector(61 downto 0);
wishbone_slot_14_out : in std_logic_vector(33 downto 0);
wishbone_slot_15_in : out std_logic_vector(61 downto 0);
wishbone_slot_15_out : in std_logic_vector(33 downto 0)
);
-- attribute LOC: string;
-- attribute LOC of CLK: signal is "P89";
-- attribute LOC of RXD: signal is "P88";
-- attribute LOC of TXD: signal is "P90";
-- attribute LOC of SPI_FLASH_CS: signal is "P24";
-- attribute LOC of SPI_FLASH_SCK: signal is "P50";
-- attribute LOC of SPI_FLASH_MISO: signal is "P44";
-- attribute LOC of SPI_FLASH_MOSI: signal is "P27";
--
-- attribute IOSTANDARD: string;
-- attribute IOSTANDARD of CLK: signal is "LVCMOS33";
-- attribute IOSTANDARD of RXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of TXD: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_CS: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_SCK: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MISO: signal is "LVCMOS33";
-- attribute IOSTANDARD of SPI_FLASH_MOSI: signal is "LVCMOS33";
--
-- attribute PERIOD: string;
-- attribute PERIOD of CLK: signal is "31.00ns";
end entity ZPUino_Papilio_One_V1;
architecture behave of ZPUino_Papilio_One_V1 is
component clkgen is
port (
clkin: in std_logic;
rstin: in std_logic;
clkout: out std_logic;
clkout_1mhz: out std_logic;
clk_osc_32Mhz: out std_logic;
vgaclkout: out std_logic;
rstout: out std_logic
);
end component clkgen;
component zpuino_serialreset is
generic (
SYSTEM_CLOCK_MHZ: integer := 96
);
port (
clk: in std_logic;
rx: in std_logic;
rstin: in std_logic;
rstout: out std_logic
);
end component zpuino_serialreset;
signal sysrst: std_logic;
signal sysclk: std_logic;
signal sysclk_1mhz: std_logic;
signal dbg_reset: std_logic;
signal clkgen_rst: std_logic;
signal gpio_o_reg: std_logic_vector(zpuino_gpio_count-1 downto 0);
signal rx: std_logic;
signal tx: std_logic;
constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
"0" &
"0000000000000000" &
"0000000000000000" &
"0000000000000000";
-- constant spp_cap_in: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- constant spp_cap_out: std_logic_vector(zpuino_gpio_count-1 downto 0) :=
-- "0" &
-- "1111111111111111" &
-- "1111111111111111" &
-- "1111111111111111";
-- I/O Signals
signal slot_cyc: slot_std_logic_type;
signal slot_we: slot_std_logic_type;
signal slot_stb: slot_std_logic_type;
signal slot_read: slot_cpuword_type;
signal slot_write: slot_cpuword_type;
signal slot_address: slot_address_type;
signal slot_ack: slot_std_logic_type;
signal slot_interrupt: slot_std_logic_type;
signal spi_enabled: std_logic;
signal uart_enabled: std_logic;
signal timers_interrupt: std_logic_vector(1 downto 0);
signal timers_pwm: std_logic_vector(1 downto 0);
signal ivecs, sigmadelta_raw: std_logic_vector(17 downto 0);
signal sigmadelta_spp_en: std_logic_vector(1 downto 0);
signal sigmadelta_spp_data: std_logic_vector(1 downto 0);
-- For busy-implementation
signal addr_save_q: std_logic_vector(maxAddrBitIncIO downto 0);
signal write_save_q: std_logic_vector(wordSize-1 downto 0);
signal spi_pf_miso: std_logic;
signal spi_pf_mosi: std_logic;
signal spi_pf_sck: std_logic;
signal adc_mosi: std_logic;
signal adc_miso: std_logic;
signal adc_sck: std_logic;
signal adc_seln: std_logic;
signal adc_enabled: std_logic;
signal wb_clk_i: std_logic;
signal wb_rst_i: std_logic;
signal uart2_tx, uart2_rx: std_logic;
signal jtag_data_chain_out: std_logic_vector(98 downto 0);
signal jtag_ctrl_chain_in: std_logic_vector(11 downto 0);
signal wishbone_slot_video_in_record : wishbone_bus_in_type;
signal wishbone_slot_video_out_record : wishbone_bus_out_type;
signal wishbone_slot_5_in_record : wishbone_bus_in_type;
signal wishbone_slot_5_out_record : wishbone_bus_out_type;
signal wishbone_slot_6_in_record : wishbone_bus_in_type;
signal wishbone_slot_6_out_record : wishbone_bus_out_type;
signal wishbone_slot_8_in_record : wishbone_bus_in_type;
signal wishbone_slot_8_out_record : wishbone_bus_out_type;
signal wishbone_slot_9_in_record : wishbone_bus_in_type;
signal wishbone_slot_9_out_record : wishbone_bus_out_type;
signal wishbone_slot_10_in_record : wishbone_bus_in_type;
signal wishbone_slot_10_out_record : wishbone_bus_out_type;
signal wishbone_slot_11_in_record : wishbone_bus_in_type;
signal wishbone_slot_11_out_record : wishbone_bus_out_type;
signal wishbone_slot_12_in_record : wishbone_bus_in_type;
signal wishbone_slot_12_out_record : wishbone_bus_out_type;
signal wishbone_slot_13_in_record : wishbone_bus_in_type;
signal wishbone_slot_13_out_record : wishbone_bus_out_type;
signal wishbone_slot_14_in_record : wishbone_bus_in_type;
signal wishbone_slot_14_out_record : wishbone_bus_out_type;
signal wishbone_slot_15_in_record : wishbone_bus_in_type;
signal wishbone_slot_15_out_record : wishbone_bus_out_type;
signal gpio_bus_in_record : gpio_bus_in_type;
signal gpio_bus_out_record : gpio_bus_out_type;
component zpuino_debug_spartan3e is
port (
TCK: out std_logic;
TDI: out std_logic;
CAPTUREIR: out std_logic;
UPDATEIR: out std_logic;
SHIFTIR: out std_logic;
CAPTUREDR: out std_logic;
UPDATEDR: out std_logic;
SHIFTDR: out std_logic;
TLR: out std_logic;
TDO_IR: in std_logic;
TDO_DR: in std_logic
);
end component;
begin
-- Unpack the wishbone array into a record so the modules code is not confusing.
-- These are backwards for the master.
-- wishbone_slot_video_in_record.wb_clk_i <= wishbone_slot_video_in(61);
-- wishbone_slot_video_in_record.wb_rst_i <= wishbone_slot_video_in(60);
-- wishbone_slot_video_in_record.wb_dat_i <= wishbone_slot_video_in(59 downto 28);
-- wishbone_slot_video_in_record.wb_adr_i <= wishbone_slot_video_in(27 downto 3);
-- wishbone_slot_video_in_record.wb_we_i <= wishbone_slot_video_in(2);
-- wishbone_slot_video_in_record.wb_cyc_i <= wishbone_slot_video_in(1);
-- wishbone_slot_video_in_record.wb_stb_i <= wishbone_slot_video_in(0);
-- wishbone_slot_video_out(33 downto 2) <= wishbone_slot_video_out_record.wb_dat_o;
-- wishbone_slot_video_out(1) <= wishbone_slot_video_out_record.wb_ack_o;
-- wishbone_slot_video_out(0) <= wishbone_slot_video_out_record.wb_inta_o;
wishbone_slot_5_in(61) <= wishbone_slot_5_in_record.wb_clk_i;
wishbone_slot_5_in(60) <= wishbone_slot_5_in_record.wb_rst_i;
wishbone_slot_5_in(59 downto 28) <= wishbone_slot_5_in_record.wb_dat_i;
wishbone_slot_5_in(27 downto 3) <= wishbone_slot_5_in_record.wb_adr_i;
wishbone_slot_5_in(2) <= wishbone_slot_5_in_record.wb_we_i;
wishbone_slot_5_in(1) <= wishbone_slot_5_in_record.wb_cyc_i;
wishbone_slot_5_in(0) <= wishbone_slot_5_in_record.wb_stb_i;
wishbone_slot_5_out_record.wb_dat_o <= wishbone_slot_5_out(33 downto 2);
wishbone_slot_5_out_record.wb_ack_o <= wishbone_slot_5_out(1);
wishbone_slot_5_out_record.wb_inta_o <= wishbone_slot_5_out(0);
wishbone_slot_6_in(61) <= wishbone_slot_6_in_record.wb_clk_i;
wishbone_slot_6_in(60) <= wishbone_slot_6_in_record.wb_rst_i;
wishbone_slot_6_in(59 downto 28) <= wishbone_slot_6_in_record.wb_dat_i;
wishbone_slot_6_in(27 downto 3) <= wishbone_slot_6_in_record.wb_adr_i;
wishbone_slot_6_in(2) <= wishbone_slot_6_in_record.wb_we_i;
wishbone_slot_6_in(1) <= wishbone_slot_6_in_record.wb_cyc_i;
wishbone_slot_6_in(0) <= wishbone_slot_6_in_record.wb_stb_i;
wishbone_slot_6_out_record.wb_dat_o <= wishbone_slot_6_out(33 downto 2);
wishbone_slot_6_out_record.wb_ack_o <= wishbone_slot_6_out(1);
wishbone_slot_6_out_record.wb_inta_o <= wishbone_slot_6_out(0);
wishbone_slot_8_in(61) <= wishbone_slot_8_in_record.wb_clk_i;
wishbone_slot_8_in(60) <= wishbone_slot_8_in_record.wb_rst_i;
wishbone_slot_8_in(59 downto 28) <= wishbone_slot_8_in_record.wb_dat_i;
wishbone_slot_8_in(27 downto 3) <= wishbone_slot_8_in_record.wb_adr_i;
wishbone_slot_8_in(2) <= wishbone_slot_8_in_record.wb_we_i;
wishbone_slot_8_in(1) <= wishbone_slot_8_in_record.wb_cyc_i;
wishbone_slot_8_in(0) <= wishbone_slot_8_in_record.wb_stb_i;
wishbone_slot_8_out_record.wb_dat_o <= wishbone_slot_8_out(33 downto 2);
wishbone_slot_8_out_record.wb_ack_o <= wishbone_slot_8_out(1);
wishbone_slot_8_out_record.wb_inta_o <= wishbone_slot_8_out(0);
wishbone_slot_9_in(61) <= wishbone_slot_9_in_record.wb_clk_i;
wishbone_slot_9_in(60) <= wishbone_slot_9_in_record.wb_rst_i;
wishbone_slot_9_in(59 downto 28) <= wishbone_slot_9_in_record.wb_dat_i;
wishbone_slot_9_in(27 downto 3) <= wishbone_slot_9_in_record.wb_adr_i;
wishbone_slot_9_in(2) <= wishbone_slot_9_in_record.wb_we_i;
wishbone_slot_9_in(1) <= wishbone_slot_9_in_record.wb_cyc_i;
wishbone_slot_9_in(0) <= wishbone_slot_9_in_record.wb_stb_i;
wishbone_slot_9_out_record.wb_dat_o <= wishbone_slot_9_out(33 downto 2);
wishbone_slot_9_out_record.wb_ack_o <= wishbone_slot_9_out(1);
wishbone_slot_9_out_record.wb_inta_o <= wishbone_slot_9_out(0);
wishbone_slot_10_in(61) <= wishbone_slot_10_in_record.wb_clk_i;
wishbone_slot_10_in(60) <= wishbone_slot_10_in_record.wb_rst_i;
wishbone_slot_10_in(59 downto 28) <= wishbone_slot_10_in_record.wb_dat_i;
wishbone_slot_10_in(27 downto 3) <= wishbone_slot_10_in_record.wb_adr_i;
wishbone_slot_10_in(2) <= wishbone_slot_10_in_record.wb_we_i;
wishbone_slot_10_in(1) <= wishbone_slot_10_in_record.wb_cyc_i;
wishbone_slot_10_in(0) <= wishbone_slot_10_in_record.wb_stb_i;
wishbone_slot_10_out_record.wb_dat_o <= wishbone_slot_10_out(33 downto 2);
wishbone_slot_10_out_record.wb_ack_o <= wishbone_slot_10_out(1);
wishbone_slot_10_out_record.wb_inta_o <= wishbone_slot_10_out(0);
wishbone_slot_11_in(61) <= wishbone_slot_11_in_record.wb_clk_i;
wishbone_slot_11_in(60) <= wishbone_slot_11_in_record.wb_rst_i;
wishbone_slot_11_in(59 downto 28) <= wishbone_slot_11_in_record.wb_dat_i;
wishbone_slot_11_in(27 downto 3) <= wishbone_slot_11_in_record.wb_adr_i;
wishbone_slot_11_in(2) <= wishbone_slot_11_in_record.wb_we_i;
wishbone_slot_11_in(1) <= wishbone_slot_11_in_record.wb_cyc_i;
wishbone_slot_11_in(0) <= wishbone_slot_11_in_record.wb_stb_i;
wishbone_slot_11_out_record.wb_dat_o <= wishbone_slot_11_out(33 downto 2);
wishbone_slot_11_out_record.wb_ack_o <= wishbone_slot_11_out(1);
wishbone_slot_11_out_record.wb_inta_o <= wishbone_slot_11_out(0);
wishbone_slot_12_in(61) <= wishbone_slot_12_in_record.wb_clk_i;
wishbone_slot_12_in(60) <= wishbone_slot_12_in_record.wb_rst_i;
wishbone_slot_12_in(59 downto 28) <= wishbone_slot_12_in_record.wb_dat_i;
wishbone_slot_12_in(27 downto 3) <= wishbone_slot_12_in_record.wb_adr_i;
wishbone_slot_12_in(2) <= wishbone_slot_12_in_record.wb_we_i;
wishbone_slot_12_in(1) <= wishbone_slot_12_in_record.wb_cyc_i;
wishbone_slot_12_in(0) <= wishbone_slot_12_in_record.wb_stb_i;
wishbone_slot_12_out_record.wb_dat_o <= wishbone_slot_12_out(33 downto 2);
wishbone_slot_12_out_record.wb_ack_o <= wishbone_slot_12_out(1);
wishbone_slot_12_out_record.wb_inta_o <= wishbone_slot_12_out(0);
wishbone_slot_13_in(61) <= wishbone_slot_13_in_record.wb_clk_i;
wishbone_slot_13_in(60) <= wishbone_slot_13_in_record.wb_rst_i;
wishbone_slot_13_in(59 downto 28) <= wishbone_slot_13_in_record.wb_dat_i;
wishbone_slot_13_in(27 downto 3) <= wishbone_slot_13_in_record.wb_adr_i;
wishbone_slot_13_in(2) <= wishbone_slot_13_in_record.wb_we_i;
wishbone_slot_13_in(1) <= wishbone_slot_13_in_record.wb_cyc_i;
wishbone_slot_13_in(0) <= wishbone_slot_13_in_record.wb_stb_i;
wishbone_slot_13_out_record.wb_dat_o <= wishbone_slot_13_out(33 downto 2);
wishbone_slot_13_out_record.wb_ack_o <= wishbone_slot_13_out(1);
wishbone_slot_13_out_record.wb_inta_o <= wishbone_slot_13_out(0);
wishbone_slot_14_in(61) <= wishbone_slot_14_in_record.wb_clk_i;
wishbone_slot_14_in(60) <= wishbone_slot_14_in_record.wb_rst_i;
wishbone_slot_14_in(59 downto 28) <= wishbone_slot_14_in_record.wb_dat_i;
wishbone_slot_14_in(27 downto 3) <= wishbone_slot_14_in_record.wb_adr_i;
wishbone_slot_14_in(2) <= wishbone_slot_14_in_record.wb_we_i;
wishbone_slot_14_in(1) <= wishbone_slot_14_in_record.wb_cyc_i;
wishbone_slot_14_in(0) <= wishbone_slot_14_in_record.wb_stb_i;
wishbone_slot_14_out_record.wb_dat_o <= wishbone_slot_14_out(33 downto 2);
wishbone_slot_14_out_record.wb_ack_o <= wishbone_slot_14_out(1);
wishbone_slot_14_out_record.wb_inta_o <= wishbone_slot_14_out(0);
wishbone_slot_15_in(61) <= wishbone_slot_15_in_record.wb_clk_i;
wishbone_slot_15_in(60) <= wishbone_slot_15_in_record.wb_rst_i;
wishbone_slot_15_in(59 downto 28) <= wishbone_slot_15_in_record.wb_dat_i;
wishbone_slot_15_in(27 downto 3) <= wishbone_slot_15_in_record.wb_adr_i;
wishbone_slot_15_in(2) <= wishbone_slot_15_in_record.wb_we_i;
wishbone_slot_15_in(1) <= wishbone_slot_15_in_record.wb_cyc_i;
wishbone_slot_15_in(0) <= wishbone_slot_15_in_record.wb_stb_i;
wishbone_slot_15_out_record.wb_dat_o <= wishbone_slot_15_out(33 downto 2);
wishbone_slot_15_out_record.wb_ack_o <= wishbone_slot_15_out(1);
wishbone_slot_15_out_record.wb_inta_o <= wishbone_slot_15_out(0);
gpio_bus_in_record.gpio_spp_data <= gpio_bus_in(97 downto 49);
gpio_bus_in_record.gpio_i <= gpio_bus_in(48 downto 0);
gpio_bus_out(147) <= gpio_bus_out_record.gpio_clk;
gpio_bus_out(146 downto 98) <= gpio_bus_out_record.gpio_o;
gpio_bus_out(97 downto 49) <= gpio_bus_out_record.gpio_t;
gpio_bus_out(48 downto 0) <= gpio_bus_out_record.gpio_spp_read;
gpio_bus_out_record.gpio_o <= gpio_o_reg;
gpio_bus_out_record.gpio_clk <= sysclk;
wb_clk_i <= sysclk;
wb_rst_i <= sysrst;
--Wishbone 5
wishbone_slot_5_in_record.wb_clk_i <= sysclk;
wishbone_slot_5_in_record.wb_rst_i <= sysrst;
slot_read(5) <= wishbone_slot_5_out_record.wb_dat_o;
wishbone_slot_5_in_record.wb_dat_i <= slot_write(5);
wishbone_slot_5_in_record.wb_adr_i <= slot_address(5);
wishbone_slot_5_in_record.wb_we_i <= slot_we(5);
wishbone_slot_5_in_record.wb_cyc_i <= slot_cyc(5);
wishbone_slot_5_in_record.wb_stb_i <= slot_stb(5);
slot_ack(5) <= wishbone_slot_5_out_record.wb_ack_o;
slot_interrupt(5) <= wishbone_slot_5_out_record.wb_inta_o;
--Wishbone 6
wishbone_slot_6_in_record.wb_clk_i <= sysclk;
wishbone_slot_6_in_record.wb_rst_i <= sysrst;
slot_read(6) <= wishbone_slot_6_out_record.wb_dat_o;
wishbone_slot_6_in_record.wb_dat_i <= slot_write(6);
wishbone_slot_6_in_record.wb_adr_i <= slot_address(6);
wishbone_slot_6_in_record.wb_we_i <= slot_we(6);
wishbone_slot_6_in_record.wb_cyc_i <= slot_cyc(6);
wishbone_slot_6_in_record.wb_stb_i <= slot_stb(6);
slot_ack(6) <= wishbone_slot_6_out_record.wb_ack_o;
slot_interrupt(6) <= wishbone_slot_6_out_record.wb_inta_o;
--Wishbone 8
wishbone_slot_8_in_record.wb_clk_i <= sysclk;
wishbone_slot_8_in_record.wb_rst_i <= sysrst;
slot_read(8) <= wishbone_slot_8_out_record.wb_dat_o;
wishbone_slot_8_in_record.wb_dat_i <= slot_write(8);
wishbone_slot_8_in_record.wb_adr_i <= slot_address(8);
wishbone_slot_8_in_record.wb_we_i <= slot_we(8);
wishbone_slot_8_in_record.wb_cyc_i <= slot_cyc(8);
wishbone_slot_8_in_record.wb_stb_i <= slot_stb(8);
slot_ack(8) <= wishbone_slot_8_out_record.wb_ack_o;
slot_interrupt(8) <= wishbone_slot_8_out_record.wb_inta_o;
--Wishbone 9
wishbone_slot_9_in_record.wb_clk_i <= sysclk;
wishbone_slot_9_in_record.wb_rst_i <= sysrst;
slot_read(9) <= wishbone_slot_9_out_record.wb_dat_o;
wishbone_slot_9_in_record.wb_dat_i <= slot_write(9);
wishbone_slot_9_in_record.wb_adr_i <= slot_address(9);
wishbone_slot_9_in_record.wb_we_i <= slot_we(9);
wishbone_slot_9_in_record.wb_cyc_i <= slot_cyc(9);
wishbone_slot_9_in_record.wb_stb_i <= slot_stb(9);
slot_ack(9) <= wishbone_slot_9_out_record.wb_ack_o;
slot_interrupt(9) <= wishbone_slot_9_out_record.wb_inta_o;
--Wishbone 10
wishbone_slot_10_in_record.wb_clk_i <= sysclk;
wishbone_slot_10_in_record.wb_rst_i <= sysrst;
slot_read(10) <= wishbone_slot_10_out_record.wb_dat_o;
wishbone_slot_10_in_record.wb_dat_i <= slot_write(10);
wishbone_slot_10_in_record.wb_adr_i <= slot_address(10);
wishbone_slot_10_in_record.wb_we_i <= slot_we(10);
wishbone_slot_10_in_record.wb_cyc_i <= slot_cyc(10);
wishbone_slot_10_in_record.wb_stb_i <= slot_stb(10);
slot_ack(10) <= wishbone_slot_10_out_record.wb_ack_o;
slot_interrupt(10) <= wishbone_slot_10_out_record.wb_inta_o;
--Wishbone 11
wishbone_slot_11_in_record.wb_clk_i <= sysclk;
wishbone_slot_11_in_record.wb_rst_i <= sysrst;
slot_read(11) <= wishbone_slot_11_out_record.wb_dat_o;
wishbone_slot_11_in_record.wb_dat_i <= slot_write(11);
wishbone_slot_11_in_record.wb_adr_i <= slot_address(11);
wishbone_slot_11_in_record.wb_we_i <= slot_we(11);
wishbone_slot_11_in_record.wb_cyc_i <= slot_cyc(11);
wishbone_slot_11_in_record.wb_stb_i <= slot_stb(11);
slot_ack(11) <= wishbone_slot_11_out_record.wb_ack_o;
slot_interrupt(11) <= wishbone_slot_11_out_record.wb_inta_o;
--Wishbone 12
wishbone_slot_12_in_record.wb_clk_i <= sysclk;
wishbone_slot_12_in_record.wb_rst_i <= sysrst;
slot_read(12) <= wishbone_slot_12_out_record.wb_dat_o;
wishbone_slot_12_in_record.wb_dat_i <= slot_write(12);
wishbone_slot_12_in_record.wb_adr_i <= slot_address(12);
wishbone_slot_12_in_record.wb_we_i <= slot_we(12);
wishbone_slot_12_in_record.wb_cyc_i <= slot_cyc(12);
wishbone_slot_12_in_record.wb_stb_i <= slot_stb(12);
slot_ack(12) <= wishbone_slot_12_out_record.wb_ack_o;
slot_interrupt(12) <= wishbone_slot_12_out_record.wb_inta_o;
--Wishbone 13
wishbone_slot_13_in_record.wb_clk_i <= sysclk;
wishbone_slot_13_in_record.wb_rst_i <= sysrst;
slot_read(13) <= wishbone_slot_13_out_record.wb_dat_o;
wishbone_slot_13_in_record.wb_dat_i <= slot_write(13);
wishbone_slot_13_in_record.wb_adr_i <= slot_address(13);
wishbone_slot_13_in_record.wb_we_i <= slot_we(13);
wishbone_slot_13_in_record.wb_cyc_i <= slot_cyc(13);
wishbone_slot_13_in_record.wb_stb_i <= slot_stb(13);
slot_ack(13) <= wishbone_slot_13_out_record.wb_ack_o;
slot_interrupt(13) <= wishbone_slot_13_out_record.wb_inta_o;
--Wishbone 14
wishbone_slot_14_in_record.wb_clk_i <= sysclk;
wishbone_slot_14_in_record.wb_rst_i <= sysrst;
slot_read(14) <= wishbone_slot_14_out_record.wb_dat_o;
wishbone_slot_14_in_record.wb_dat_i <= slot_write(14);
wishbone_slot_14_in_record.wb_adr_i <= slot_address(14);
wishbone_slot_14_in_record.wb_we_i <= slot_we(14);
wishbone_slot_14_in_record.wb_cyc_i <= slot_cyc(14);
wishbone_slot_14_in_record.wb_stb_i <= slot_stb(14);
slot_ack(14) <= wishbone_slot_14_out_record.wb_ack_o;
slot_interrupt(14) <= wishbone_slot_14_out_record.wb_inta_o;
--Wishbone 15
wishbone_slot_15_in_record.wb_clk_i <= sysclk;
wishbone_slot_15_in_record.wb_rst_i <= sysrst;
slot_read(15) <= wishbone_slot_15_out_record.wb_dat_o;
wishbone_slot_15_in_record.wb_dat_i <= slot_write(15);
wishbone_slot_15_in_record.wb_adr_i <= slot_address(15);
wishbone_slot_15_in_record.wb_we_i <= slot_we(15);
wishbone_slot_15_in_record.wb_cyc_i <= slot_cyc(15);
wishbone_slot_15_in_record.wb_stb_i <= slot_stb(15);
slot_ack(15) <= wishbone_slot_15_out_record.wb_ack_o;
slot_interrupt(15) <= wishbone_slot_15_out_record.wb_inta_o;
rstgen: zpuino_serialreset
generic map (
SYSTEM_CLOCK_MHZ => 96
)
port map (
clk => sysclk,
rx => rx,
rstin => clkgen_rst,
rstout => sysrst
);
--sysrst <= clkgen_rst;
clkgen_inst: clkgen
port map (
clkin => clk,
rstin => dbg_reset,
clkout => sysclk,
vgaclkout => vgaclkout,
clkout_1mhz => clk_1Mhz,
clk_osc_32Mhz => clk_osc_32Mhz,
rstout => clkgen_rst
);
clk_96Mhz <= sysclk;
zpuino:zpuino_top
port map (
clk => sysclk,
rst => sysrst,
slot_cyc => slot_cyc,
slot_we => slot_we,
slot_stb => slot_stb,
slot_read => slot_read,
slot_write => slot_write,
slot_address => slot_address,
slot_ack => slot_ack,
slot_interrupt=> slot_interrupt,
--Be careful the order for this is different then the other wishbone bus connections.
--The address array is bigger so we moved the single signals to the top of the array.
m_wb_dat_o => wishbone_slot_video_out(33 downto 2),
m_wb_dat_i => wishbone_slot_video_in(59 downto 28),
m_wb_adr_i => wishbone_slot_video_in(27 downto 0),
m_wb_we_i => wishbone_slot_video_in(62),
m_wb_cyc_i => wishbone_slot_video_in(61),
m_wb_stb_i => wishbone_slot_video_in(60),
m_wb_ack_o => wishbone_slot_video_out(1),
dbg_reset => dbg_reset,
jtag_data_chain_out => open,--jtag_data_chain_out,
jtag_ctrl_chain_in => (others=>'0')--jtag_ctrl_chain_in
);
--
--
-- ---------------- I/O connection to devices --------------------
--
--
--
-- IO SLOT 0 For SPI FLash
--
slot0: zpuino_spi
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(0),
wb_dat_i => slot_write(0),
wb_adr_i => slot_address(0),
wb_we_i => slot_we(0),
wb_cyc_i => slot_cyc(0),
wb_stb_i => slot_stb(0),
wb_ack_o => slot_ack(0),
wb_inta_o => slot_interrupt(0),
mosi => spi_pf_mosi,
miso => spi_pf_miso,
sck => spi_pf_sck,
enabled => spi_enabled
);
--
-- IO SLOT 1
--
uart_inst: zpuino_uart
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(1),
wb_dat_i => slot_write(1),
wb_adr_i => slot_address(1),
wb_we_i => slot_we(1),
wb_cyc_i => slot_cyc(1),
wb_stb_i => slot_stb(1),
wb_ack_o => slot_ack(1),
wb_inta_o => slot_interrupt(1),
enabled => uart_enabled,
tx => tx,
rx => rx
);
--
-- IO SLOT 2
--
gpio_inst: zpuino_gpio
generic map (
gpio_count => zpuino_gpio_count
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(2),
wb_dat_i => slot_write(2),
wb_adr_i => slot_address(2),
wb_we_i => slot_we(2),
wb_cyc_i => slot_cyc(2),
wb_stb_i => slot_stb(2),
wb_ack_o => slot_ack(2),
wb_inta_o => slot_interrupt(2),
spp_data => gpio_bus_in_record.gpio_spp_data,
spp_read => gpio_bus_out_record.gpio_spp_read,
gpio_i => gpio_bus_in_record.gpio_i,
gpio_t => gpio_bus_out_record.gpio_t,
gpio_o => gpio_o_reg,
spp_cap_in => spp_cap_in,
spp_cap_out => spp_cap_out
);
--
-- IO SLOT 3
--
timers_inst: zpuino_timers
generic map (
A_TSCENABLED => true,
A_PWMCOUNT => 1,
A_WIDTH => 16,
A_PRESCALER_ENABLED => true,
A_BUFFERS => true,
B_TSCENABLED => false,
B_PWMCOUNT => 1,
B_WIDTH => 8,
B_PRESCALER_ENABLED => false,
B_BUFFERS => false
)
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(3),
wb_dat_i => slot_write(3),
wb_adr_i => slot_address(3),
wb_we_i => slot_we(3),
wb_cyc_i => slot_cyc(3),
wb_stb_i => slot_stb(3),
wb_ack_o => slot_ack(3),
wb_inta_o => slot_interrupt(3), -- We use two interrupt lines
wb_intb_o => slot_interrupt(4), -- so we borrow intr line from slot 4
pwm_a_out => timers_pwm(0 downto 0),
pwm_b_out => timers_pwm(1 downto 1)
);
--
-- IO SLOT 4 - DO NOT USE (it's already mapped to Interrupt Controller)
--
--
-- IO SLOT 5
--
--
-- sigmadelta_inst: zpuino_sigmadelta
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(5),
-- wb_dat_i => slot_write(5),
-- wb_adr_i => slot_address(5),
-- wb_we_i => slot_we(5),
-- wb_cyc_i => slot_cyc(5),
-- wb_stb_i => slot_stb(5),
-- wb_ack_o => slot_ack(5),
-- wb_inta_o => slot_interrupt(5),
--
-- raw_out => sigmadelta_raw,
-- spp_data => sigmadelta_spp_data,
-- spp_en => sigmadelta_spp_en,
-- sync_in => '1'
-- );
--
-- IO SLOT 6
--
-- slot1: zpuino_spi
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(6),
-- wb_dat_i => slot_write(6),
-- wb_adr_i => slot_address(6),
-- wb_we_i => slot_we(6),
-- wb_cyc_i => slot_cyc(6),
-- wb_stb_i => slot_stb(6),
-- wb_ack_o => slot_ack(6),
-- wb_inta_o => slot_interrupt(6),
--
-- mosi => spi2_mosi,
-- miso => spi2_miso,
-- sck => spi2_sck,
-- enabled => open
-- );
--
--
--
--
-- IO SLOT 7
--
crc16_inst: zpuino_crc16
port map (
wb_clk_i => wb_clk_i,
wb_rst_i => wb_rst_i,
wb_dat_o => slot_read(7),
wb_dat_i => slot_write(7),
wb_adr_i => slot_address(7),
wb_we_i => slot_we(7),
wb_cyc_i => slot_cyc(7),
wb_stb_i => slot_stb(7),
wb_ack_o => slot_ack(7),
wb_inta_o => slot_interrupt(7)
);
--
-- IO SLOT 8 (optional)
--
-- adc_inst: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(8),
-- wb_dat_i => slot_write(8),
-- wb_adr_i => slot_address(8),
-- wb_we_i => slot_we(8),
-- wb_cyc_i => slot_cyc(8),
-- wb_stb_i => slot_stb(8),
-- wb_ack_o => slot_ack(8),
-- wb_inta_o => slot_interrupt(8)
-- );
--
-- --
-- -- IO SLOT 9
-- --
--
-- slot9: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(9),
-- wb_dat_i => slot_write(9),
-- wb_adr_i => slot_address(9),
-- wb_we_i => slot_we(9),
-- wb_cyc_i => slot_cyc(9),
-- wb_stb_i => slot_stb(9),
-- wb_ack_o => slot_ack(9),
-- wb_inta_o => slot_interrupt(9)
-- );
--
-- --
-- -- IO SLOT 10
-- --
--
-- slot10: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(10),
-- wb_dat_i => slot_write(10),
-- wb_adr_i => slot_address(10),
-- wb_we_i => slot_we(10),
-- wb_cyc_i => slot_cyc(10),
-- wb_stb_i => slot_stb(10),
-- wb_ack_o => slot_ack(10),
-- wb_inta_o => slot_interrupt(10)
-- );
--
-- --
-- -- IO SLOT 11
-- --
--
-- slot11: zpuino_empty_device
---- generic map (
---- bits => 4
---- )
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(11),
-- wb_dat_i => slot_write(11),
-- wb_adr_i => slot_address(11),
-- wb_we_i => slot_we(11),
-- wb_cyc_i => slot_cyc(11),
-- wb_stb_i => slot_stb(11),
-- wb_ack_o => slot_ack(11),
--
-- wb_inta_o => slot_interrupt(11)
--
---- tx => uart2_tx,
---- rx => uart2_rx
-- );
--
-- --
-- -- IO SLOT 12
-- --
--
-- slot12: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(12),
-- wb_dat_i => slot_write(12),
-- wb_adr_i => slot_address(12),
-- wb_we_i => slot_we(12),
-- wb_cyc_i => slot_cyc(12),
-- wb_stb_i => slot_stb(12),
-- wb_ack_o => slot_ack(12),
-- wb_inta_o => slot_interrupt(12)
-- );
--
-- --
-- -- IO SLOT 13
-- --
--
-- slot13: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(13),
-- wb_dat_i => slot_write(13),
-- wb_adr_i => slot_address(13),
-- wb_we_i => slot_we(13),
-- wb_cyc_i => slot_cyc(13),
-- wb_stb_i => slot_stb(13),
-- wb_ack_o => slot_ack(13),
-- wb_inta_o => slot_interrupt(13)
--
---- data_out => ym2149_audio_data
-- );
--
-- --
-- -- IO SLOT 14
-- --
--
-- slot14: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(14),
-- wb_dat_i => slot_write(14),
-- wb_adr_i => slot_address(14),
-- wb_we_i => slot_we(14),
-- wb_cyc_i => slot_cyc(14),
-- wb_stb_i => slot_stb(14),
-- wb_ack_o => slot_ack(14),
-- wb_inta_o => slot_interrupt(14)
--
---- clk_1MHZ => sysclk_1mhz,
---- audio_data => sid_audio_data
--
-- );
--
-- --
-- -- IO SLOT 15
-- --
--
-- slot15: zpuino_empty_device
-- port map (
-- wb_clk_i => wb_clk_i,
-- wb_rst_i => wb_rst_i,
-- wb_dat_o => slot_read(15),
-- wb_dat_i => slot_write(15),
-- wb_adr_i => slot_address(15),
-- wb_we_i => slot_we(15),
-- wb_cyc_i => slot_cyc(15),
-- wb_stb_i => slot_stb(15),
-- wb_ack_o => slot_ack(15),
-- wb_inta_o => slot_interrupt(15)
-- );
-- -- Audio for SID
-- sid_sd: simple_sigmadelta
-- generic map (
-- BITS => 18
-- )
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- data_in => sid_audio_data,
-- data_out => sid_audio
-- );
-- Audio output for devices
-- ym2149_audio_dac <= ym2149_audio_data & "0000000000";
--
-- mixer: zpuino_io_audiomixer
-- port map (
-- clk => wb_clk_i,
-- rst => wb_rst_i,
-- ena => '1',
--
-- data_in1 => sid_audio_data,
-- data_in2 => ym2149_audio_dac,
-- data_in3 => sigmadelta_raw,
--
-- audio_out => platform_audio_sd
-- );
-- pin00: IOPAD port map(I => gpio_o(0), O => gpio_i(0), T => gpio_t(0), C => sysclk,PAD => WING_A(0) );
-- pin01: IOPAD port map(I => gpio_o(1), O => gpio_i(1), T => gpio_t(1), C => sysclk,PAD => WING_A(1) );
-- pin02: IOPAD port map(I => gpio_o(2), O => gpio_i(2), T => gpio_t(2), C => sysclk,PAD => WING_A(2) );
-- pin03: IOPAD port map(I => gpio_o(3), O => gpio_i(3), T => gpio_t(3), C => sysclk,PAD => WING_A(3) );
-- pin04: IOPAD port map(I => gpio_o(4), O => gpio_i(4), T => gpio_t(4), C => sysclk,PAD => WING_A(4) );
-- pin05: IOPAD port map(I => gpio_o(5), O => gpio_i(5), T => gpio_t(5), C => sysclk,PAD => WING_A(5) );
-- pin06: IOPAD port map(I => gpio_o(6), O => gpio_i(6), T => gpio_t(6), C => sysclk,PAD => WING_A(6) );
-- pin07: IOPAD port map(I => gpio_o(7), O => gpio_i(7), T => gpio_t(7), C => sysclk,PAD => WING_A(7) );
-- pin08: IOPAD port map(I => gpio_o(8), O => gpio_i(8), T => gpio_t(8), C => sysclk,PAD => WING_A(8) );
-- pin09: IOPAD port map(I => gpio_o(9), O => gpio_i(9), T => gpio_t(9), C => sysclk,PAD => WING_A(9) );
-- pin10: IOPAD port map(I => gpio_o(10),O => gpio_i(10),T => gpio_t(10),C => sysclk,PAD => WING_A(10) );
-- pin11: IOPAD port map(I => gpio_o(11),O => gpio_i(11),T => gpio_t(11),C => sysclk,PAD => WING_A(11) );
-- pin12: IOPAD port map(I => gpio_o(12),O => gpio_i(12),T => gpio_t(12),C => sysclk,PAD => WING_A(12) );
-- pin13: IOPAD port map(I => gpio_o(13),O => gpio_i(13),T => gpio_t(13),C => sysclk,PAD => WING_A(13) );
-- pin14: IOPAD port map(I => gpio_o(14),O => gpio_i(14),T => gpio_t(14),C => sysclk,PAD => WING_A(14) );
-- pin15: IOPAD port map(I => gpio_o(15),O => gpio_i(15),T => gpio_t(15),C => sysclk,PAD => WING_A(15) );
--
-- pin16: IOPAD port map(I => gpio_o(16),O => gpio_i(16),T => gpio_t(16),C => sysclk,PAD => WING_B(0) );
-- pin17: IOPAD port map(I => gpio_o(17),O => gpio_i(17),T => gpio_t(17),C => sysclk,PAD => WING_B(1) );
-- pin18: IOPAD port map(I => gpio_o(18),O => gpio_i(18),T => gpio_t(18),C => sysclk,PAD => WING_B(2) );
-- pin19: IOPAD port map(I => gpio_o(19),O => gpio_i(19),T => gpio_t(19),C => sysclk,PAD => WING_B(3) );
-- pin20: IOPAD port map(I => gpio_o(20),O => gpio_i(20),T => gpio_t(20),C => sysclk,PAD => WING_B(4) );
-- pin21: IOPAD port map(I => gpio_o(21),O => gpio_i(21),T => gpio_t(21),C => sysclk,PAD => WING_B(5) );
-- pin22: IOPAD port map(I => gpio_o(22),O => gpio_i(22),T => gpio_t(22),C => sysclk,PAD => WING_B(6) );
-- pin23: IOPAD port map(I => gpio_o(23),O => gpio_i(23),T => gpio_t(23),C => sysclk,PAD => WING_B(7) );
-- pin24: IOPAD port map(I => gpio_o(24),O => gpio_i(24),T => gpio_t(24),C => sysclk,PAD => WING_B(8) );
-- pin25: IOPAD port map(I => gpio_o(25),O => gpio_i(25),T => gpio_t(25),C => sysclk,PAD => WING_B(9) );
-- pin26: IOPAD port map(I => gpio_o(26),O => gpio_i(26),T => gpio_t(26),C => sysclk,PAD => WING_B(10) );
-- pin27: IOPAD port map(I => gpio_o(27),O => gpio_i(27),T => gpio_t(27),C => sysclk,PAD => WING_B(11) );
-- pin28: IOPAD port map(I => gpio_o(28),O => gpio_i(28),T => gpio_t(28),C => sysclk,PAD => WING_B(12) );
-- pin29: IOPAD port map(I => gpio_o(29),O => gpio_i(29),T => gpio_t(29),C => sysclk,PAD => WING_B(13) );
-- pin30: IOPAD port map(I => gpio_o(30),O => gpio_i(30),T => gpio_t(30),C => sysclk,PAD => WING_B(14) );
-- pin31: IOPAD port map(I => gpio_o(31),O => gpio_i(31),T => gpio_t(31),C => sysclk,PAD => WING_B(15) );
--
-- pin32: IOPAD port map(I => gpio_o(32),O => gpio_i(32),T => gpio_t(32),C => sysclk,PAD => WING_C(0) );
-- pin33: IOPAD port map(I => gpio_o(33),O => gpio_i(33),T => gpio_t(33),C => sysclk,PAD => WING_C(1) );
-- pin34: IOPAD port map(I => gpio_o(34),O => gpio_i(34),T => gpio_t(34),C => sysclk,PAD => WING_C(2) );
-- pin35: IOPAD port map(I => gpio_o(35),O => gpio_i(35),T => gpio_t(35),C => sysclk,PAD => WING_C(3) );
-- pin36: IOPAD port map(I => gpio_o(36),O => gpio_i(36),T => gpio_t(36),C => sysclk,PAD => WING_C(4) );
-- pin37: IOPAD port map(I => gpio_o(37),O => gpio_i(37),T => gpio_t(37),C => sysclk,PAD => WING_C(5) );
-- pin38: IOPAD port map(I => gpio_o(38),O => gpio_i(38),T => gpio_t(38),C => sysclk,PAD => WING_C(6) );
-- pin39: IOPAD port map(I => gpio_o(39),O => gpio_i(39),T => gpio_t(39),C => sysclk,PAD => WING_C(7) );
-- pin40: IOPAD port map(I => gpio_o(40),O => gpio_i(40),T => gpio_t(40),C => sysclk,PAD => WING_C(8) );
-- pin41: IOPAD port map(I => gpio_o(41),O => gpio_i(41),T => gpio_t(41),C => sysclk,PAD => WING_C(9) );
-- pin42: IOPAD port map(I => gpio_o(42),O => gpio_i(42),T => gpio_t(42),C => sysclk,PAD => WING_C(10) );
-- pin43: IOPAD port map(I => gpio_o(43),O => gpio_i(43),T => gpio_t(43),C => sysclk,PAD => WING_C(11) );
-- pin44: IOPAD port map(I => gpio_o(44),O => gpio_i(44),T => gpio_t(44),C => sysclk,PAD => WING_C(12) );
-- pin45: IOPAD port map(I => gpio_o(45),O => gpio_i(45),T => gpio_t(45),C => sysclk,PAD => WING_C(13) );
-- pin46: IOPAD port map(I => gpio_o(46),O => gpio_i(46),T => gpio_t(46),C => sysclk,PAD => WING_C(14) );
-- pin47: IOPAD port map(I => gpio_o(47),O => gpio_i(47),T => gpio_t(47),C => sysclk,PAD => WING_C(15) );
-- Other ports are special, we need to avoid outputs on input-only pins
ibufrx: IPAD port map ( PAD => RXD, O => rx, C => sysclk );
ibufmiso: IPAD port map ( PAD => SPI_FLASH_MISO, O => spi_pf_miso, C => sysclk );
obuftx: OPAD port map ( I => tx, PAD => TXD );
ospiclk: OPAD port map ( I => spi_pf_sck, PAD => SPI_FLASH_SCK );
ospics: OPAD port map ( I => gpio_o_reg(48), PAD => SPI_FLASH_CS );
ospimosi: OPAD port map ( I => spi_pf_mosi, PAD => SPI_FLASH_MOSI );
-- process(gpio_spp_read,
-- sigmadelta_spp_data,
-- timers_pwm,
-- spi2_mosi,spi2_sck)
-- begin
--
-- gpio_spp_data <= (others => DontCareValue);
--
---- gpio_spp_data(0) <= platform_audio_sd; -- PPS0 : SIGMADELTA DATA
-- gpio_spp_data(1) <= timers_pwm(0); -- PPS1 : TIMER0
-- gpio_spp_data(2) <= timers_pwm(1); -- PPS2 : TIMER1
-- gpio_spp_data(3) <= spi2_mosi; -- PPS3 : USPI MOSI
-- gpio_spp_data(4) <= spi2_sck; -- PPS4 : USPI SCK
---- gpio_spp_data(5) <= platform_audio_sd; -- PPS5 : SIGMADELTA1 DATA
-- gpio_spp_data(6) <= uart2_tx; -- PPS6 : UART2 DATA
---- gpio_spp_data(8) <= platform_audio_sd;
-- spi2_miso <= gpio_spp_read(0); -- PPS0 : USPI MISO
---- uart2_rx <= gpio_spp_read(1); -- PPS0 : USPI MISO
--
-- end process;
end behave;
|
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: P.68d
-- \ \ Application: netgen
-- / / Filename: bram_x64.vhd
-- /___/ /\ Timestamp: Fri Sep 6 17:21:24 2013
-- \ \ / \
-- \___\/\___\
--
-- Command : -w -sim -ofmt vhdl /home/adrian/praca/creotech/pcie_brazil/bpm-sw/hdl/ip_cores/pcie/ml605/tmp/_cg/bram_x64.ngc /home/adrian/praca/creotech/pcie_brazil/bpm-sw/hdl/ip_cores/pcie/ml605/tmp/_cg/bram_x64.vhd
-- Device : 6vlx240tff1156-1
-- Input file : /home/adrian/praca/creotech/pcie_brazil/bpm-sw/hdl/ip_cores/pcie/ml605/tmp/_cg/bram_x64.ngc
-- Output file : /home/adrian/praca/creotech/pcie_brazil/bpm-sw/hdl/ip_cores/pcie/ml605/tmp/_cg/bram_x64.vhd
-- # of Entities : 1
-- Design Name : bram_x64
-- Xilinx : /opt/Xilinx/14.6/ISE_DS/ISE/
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
entity bram_x64 is
port (
clka : in STD_LOGIC := 'X';
clkb : in STD_LOGIC := 'X';
wea : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
dina : in STD_LOGIC_VECTOR ( 63 downto 0 );
web : in STD_LOGIC_VECTOR ( 7 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 63 downto 0 );
douta : out STD_LOGIC_VECTOR ( 63 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 63 downto 0 )
);
end bram_x64;
architecture STRUCTURE of bram_x64 is
signal N0 : STD_LOGIC;
signal N1 : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
begin
XST_VCC : VCC
port map (
P => N0
);
XST_GND : GND
port map (
G => N1
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(63),
DIADI(6) => dina(62),
DIADI(5) => dina(61),
DIADI(4) => dina(60),
DIADI(3) => dina(59),
DIADI(2) => dina(58),
DIADI(1) => dina(57),
DIADI(0) => dina(56),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(63),
DIBDI(6) => dinb(62),
DIBDI(5) => dinb(61),
DIBDI(4) => dinb(60),
DIBDI(3) => dinb(59),
DIBDI(2) => dinb(58),
DIBDI(1) => dinb(57),
DIBDI(0) => dinb(56),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(63),
DOADO(6) => douta(62),
DOADO(5) => douta(61),
DOADO(4) => douta(60),
DOADO(3) => douta(59),
DOADO(2) => douta(58),
DOADO(1) => douta(57),
DOADO(0) => douta(56),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(63),
DOBDO(6) => doutb(62),
DOBDO(5) => doutb(61),
DOBDO(4) => doutb(60),
DOBDO(3) => doutb(59),
DOBDO(2) => doutb(58),
DOBDO(1) => doutb(57),
DOBDO(0) => doutb(56),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(7),
WEA(2) => wea(7),
WEA(1) => wea(7),
WEA(0) => wea(7),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(7),
WEBWE(2) => web(7),
WEBWE(1) => web(7),
WEBWE(0) => web(7)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(55),
DIADI(6) => dina(54),
DIADI(5) => dina(53),
DIADI(4) => dina(52),
DIADI(3) => dina(51),
DIADI(2) => dina(50),
DIADI(1) => dina(49),
DIADI(0) => dina(48),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(55),
DIBDI(6) => dinb(54),
DIBDI(5) => dinb(53),
DIBDI(4) => dinb(52),
DIBDI(3) => dinb(51),
DIBDI(2) => dinb(50),
DIBDI(1) => dinb(49),
DIBDI(0) => dinb(48),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(55),
DOADO(6) => douta(54),
DOADO(5) => douta(53),
DOADO(4) => douta(52),
DOADO(3) => douta(51),
DOADO(2) => douta(50),
DOADO(1) => douta(49),
DOADO(0) => douta(48),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(55),
DOBDO(6) => doutb(54),
DOBDO(5) => doutb(53),
DOBDO(4) => doutb(52),
DOBDO(3) => doutb(51),
DOBDO(2) => doutb(50),
DOBDO(1) => doutb(49),
DOBDO(0) => doutb(48),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(6),
WEA(2) => wea(6),
WEA(1) => wea(6),
WEA(0) => wea(6),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(6),
WEBWE(2) => web(6),
WEBWE(1) => web(6),
WEBWE(0) => web(6)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(47),
DIADI(6) => dina(46),
DIADI(5) => dina(45),
DIADI(4) => dina(44),
DIADI(3) => dina(43),
DIADI(2) => dina(42),
DIADI(1) => dina(41),
DIADI(0) => dina(40),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(47),
DIBDI(6) => dinb(46),
DIBDI(5) => dinb(45),
DIBDI(4) => dinb(44),
DIBDI(3) => dinb(43),
DIBDI(2) => dinb(42),
DIBDI(1) => dinb(41),
DIBDI(0) => dinb(40),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(47),
DOADO(6) => douta(46),
DOADO(5) => douta(45),
DOADO(4) => douta(44),
DOADO(3) => douta(43),
DOADO(2) => douta(42),
DOADO(1) => douta(41),
DOADO(0) => douta(40),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(47),
DOBDO(6) => doutb(46),
DOBDO(5) => doutb(45),
DOBDO(4) => doutb(44),
DOBDO(3) => doutb(43),
DOBDO(2) => doutb(42),
DOBDO(1) => doutb(41),
DOBDO(0) => doutb(40),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(5),
WEA(2) => wea(5),
WEA(1) => wea(5),
WEA(0) => wea(5),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(5),
WEBWE(2) => web(5),
WEBWE(1) => web(5),
WEBWE(0) => web(5)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(39),
DIADI(6) => dina(38),
DIADI(5) => dina(37),
DIADI(4) => dina(36),
DIADI(3) => dina(35),
DIADI(2) => dina(34),
DIADI(1) => dina(33),
DIADI(0) => dina(32),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(39),
DIBDI(6) => dinb(38),
DIBDI(5) => dinb(37),
DIBDI(4) => dinb(36),
DIBDI(3) => dinb(35),
DIBDI(2) => dinb(34),
DIBDI(1) => dinb(33),
DIBDI(0) => dinb(32),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(39),
DOADO(6) => douta(38),
DOADO(5) => douta(37),
DOADO(4) => douta(36),
DOADO(3) => douta(35),
DOADO(2) => douta(34),
DOADO(1) => douta(33),
DOADO(0) => douta(32),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(39),
DOBDO(6) => doutb(38),
DOBDO(5) => doutb(37),
DOBDO(4) => doutb(36),
DOBDO(3) => doutb(35),
DOBDO(2) => doutb(34),
DOBDO(1) => doutb(33),
DOBDO(0) => doutb(32),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(4),
WEA(2) => wea(4),
WEA(1) => wea(4),
WEA(0) => wea(4),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(4),
WEBWE(2) => web(4),
WEBWE(1) => web(4),
WEBWE(0) => web(4)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(31),
DIADI(6) => dina(30),
DIADI(5) => dina(29),
DIADI(4) => dina(28),
DIADI(3) => dina(27),
DIADI(2) => dina(26),
DIADI(1) => dina(25),
DIADI(0) => dina(24),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(31),
DIBDI(6) => dinb(30),
DIBDI(5) => dinb(29),
DIBDI(4) => dinb(28),
DIBDI(3) => dinb(27),
DIBDI(2) => dinb(26),
DIBDI(1) => dinb(25),
DIBDI(0) => dinb(24),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(31),
DOADO(6) => douta(30),
DOADO(5) => douta(29),
DOADO(4) => douta(28),
DOADO(3) => douta(27),
DOADO(2) => douta(26),
DOADO(1) => douta(25),
DOADO(0) => douta(24),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(31),
DOBDO(6) => doutb(30),
DOBDO(5) => doutb(29),
DOBDO(4) => doutb(28),
DOBDO(3) => doutb(27),
DOBDO(2) => doutb(26),
DOBDO(1) => doutb(25),
DOBDO(0) => doutb(24),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(3),
WEA(2) => wea(3),
WEA(1) => wea(3),
WEA(0) => wea(3),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(3),
WEBWE(2) => web(3),
WEBWE(1) => web(3),
WEBWE(0) => web(3)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(23),
DIADI(6) => dina(22),
DIADI(5) => dina(21),
DIADI(4) => dina(20),
DIADI(3) => dina(19),
DIADI(2) => dina(18),
DIADI(1) => dina(17),
DIADI(0) => dina(16),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(23),
DIBDI(6) => dinb(22),
DIBDI(5) => dinb(21),
DIBDI(4) => dinb(20),
DIBDI(3) => dinb(19),
DIBDI(2) => dinb(18),
DIBDI(1) => dinb(17),
DIBDI(0) => dinb(16),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(23),
DOADO(6) => douta(22),
DOADO(5) => douta(21),
DOADO(4) => douta(20),
DOADO(3) => douta(19),
DOADO(2) => douta(18),
DOADO(1) => douta(17),
DOADO(0) => douta(16),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(23),
DOBDO(6) => doutb(22),
DOBDO(5) => doutb(21),
DOBDO(4) => doutb(20),
DOBDO(3) => doutb(19),
DOBDO(2) => doutb(18),
DOBDO(1) => doutb(17),
DOBDO(0) => doutb(16),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(2),
WEA(2) => wea(2),
WEA(1) => wea(2),
WEA(0) => wea(2),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(2),
WEBWE(2) => web(2),
WEBWE(1) => web(2),
WEBWE(0) => web(2)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(15),
DIADI(6) => dina(14),
DIADI(5) => dina(13),
DIADI(4) => dina(12),
DIADI(3) => dina(11),
DIADI(2) => dina(10),
DIADI(1) => dina(9),
DIADI(0) => dina(8),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(15),
DIBDI(6) => dinb(14),
DIBDI(5) => dinb(13),
DIBDI(4) => dinb(12),
DIBDI(3) => dinb(11),
DIBDI(2) => dinb(10),
DIBDI(1) => dinb(9),
DIBDI(0) => dinb(8),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(15),
DOADO(6) => douta(14),
DOADO(5) => douta(13),
DOADO(4) => douta(12),
DOADO(3) => douta(11),
DOADO(2) => douta(10),
DOADO(1) => douta(9),
DOADO(0) => douta(8),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(15),
DOBDO(6) => doutb(14),
DOBDO(5) => doutb(13),
DOBDO(4) => doutb(12),
DOBDO(3) => doutb(11),
DOBDO(2) => doutb(10),
DOBDO(1) => doutb(9),
DOBDO(0) => doutb(8),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(1),
WEA(2) => wea(1),
WEA(1) => wea(1),
WEA(0) => wea(1),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(1),
WEBWE(2) => web(1),
WEBWE(1) => web(1),
WEBWE(0) => web(1)
);
U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => FALSE,
EN_ECC_WRITE => FALSE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "VIRTEX6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
CASCADEINA => N1,
CASCADEINB => N1,
CASCADEOUTA =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED
,
CASCADEOUTB =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED
,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED
,
ENARDEN => N0,
ENBWREN => N0,
INJECTDBITERR => N1,
INJECTSBITERR => N1,
REGCEAREGCE => N1,
REGCEB => N0,
RSTRAMARSTRAM => N1,
RSTRAMB => N1,
RSTREGARSTREG => N1,
RSTREGB => N1,
SBITERR =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED
,
ADDRARDADDR(15) => N0,
ADDRARDADDR(14) => addra(11),
ADDRARDADDR(13) => addra(10),
ADDRARDADDR(12) => addra(9),
ADDRARDADDR(11) => addra(8),
ADDRARDADDR(10) => addra(7),
ADDRARDADDR(9) => addra(6),
ADDRARDADDR(8) => addra(5),
ADDRARDADDR(7) => addra(4),
ADDRARDADDR(6) => addra(3),
ADDRARDADDR(5) => addra(2),
ADDRARDADDR(4) => addra(1),
ADDRARDADDR(3) => addra(0),
ADDRARDADDR(2) => N1,
ADDRARDADDR(1) => N1,
ADDRARDADDR(0) => N1,
ADDRBWRADDR(15) => N0,
ADDRBWRADDR(14) => addrb(11),
ADDRBWRADDR(13) => addrb(10),
ADDRBWRADDR(12) => addrb(9),
ADDRBWRADDR(11) => addrb(8),
ADDRBWRADDR(10) => addrb(7),
ADDRBWRADDR(9) => addrb(6),
ADDRBWRADDR(8) => addrb(5),
ADDRBWRADDR(7) => addrb(4),
ADDRBWRADDR(6) => addrb(3),
ADDRBWRADDR(5) => addrb(2),
ADDRBWRADDR(4) => addrb(1),
ADDRBWRADDR(3) => addrb(0),
ADDRBWRADDR(2) => N1,
ADDRBWRADDR(1) => N1,
ADDRBWRADDR(0) => N1,
DIADI(31) => N1,
DIADI(30) => N1,
DIADI(29) => N1,
DIADI(28) => N1,
DIADI(27) => N1,
DIADI(26) => N1,
DIADI(25) => N1,
DIADI(24) => N1,
DIADI(23) => N1,
DIADI(22) => N1,
DIADI(21) => N1,
DIADI(20) => N1,
DIADI(19) => N1,
DIADI(18) => N1,
DIADI(17) => N1,
DIADI(16) => N1,
DIADI(15) => N1,
DIADI(14) => N1,
DIADI(13) => N1,
DIADI(12) => N1,
DIADI(11) => N1,
DIADI(10) => N1,
DIADI(9) => N1,
DIADI(8) => N1,
DIADI(7) => dina(7),
DIADI(6) => dina(6),
DIADI(5) => dina(5),
DIADI(4) => dina(4),
DIADI(3) => dina(3),
DIADI(2) => dina(2),
DIADI(1) => dina(1),
DIADI(0) => dina(0),
DIBDI(31) => N1,
DIBDI(30) => N1,
DIBDI(29) => N1,
DIBDI(28) => N1,
DIBDI(27) => N1,
DIBDI(26) => N1,
DIBDI(25) => N1,
DIBDI(24) => N1,
DIBDI(23) => N1,
DIBDI(22) => N1,
DIBDI(21) => N1,
DIBDI(20) => N1,
DIBDI(19) => N1,
DIBDI(18) => N1,
DIBDI(17) => N1,
DIBDI(16) => N1,
DIBDI(15) => N1,
DIBDI(14) => N1,
DIBDI(13) => N1,
DIBDI(12) => N1,
DIBDI(11) => N1,
DIBDI(10) => N1,
DIBDI(9) => N1,
DIBDI(8) => N1,
DIBDI(7) => dinb(7),
DIBDI(6) => dinb(6),
DIBDI(5) => dinb(5),
DIBDI(4) => dinb(4),
DIBDI(3) => dinb(3),
DIBDI(2) => dinb(2),
DIBDI(1) => dinb(1),
DIBDI(0) => dinb(0),
DIPADIP(3) => N1,
DIPADIP(2) => N1,
DIPADIP(1) => N1,
DIPADIP(0) => N1,
DIPBDIP(3) => N1,
DIPBDIP(2) => N1,
DIPBDIP(1) => N1,
DIPBDIP(0) => N1,
DOADO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED
,
DOADO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED
,
DOADO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED
,
DOADO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED
,
DOADO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED
,
DOADO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED
,
DOADO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED
,
DOADO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED
,
DOADO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED
,
DOADO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED
,
DOADO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED
,
DOADO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED
,
DOADO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED
,
DOADO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED
,
DOADO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED
,
DOADO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED
,
DOADO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED
,
DOADO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED
,
DOADO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED
,
DOADO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED
,
DOADO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED
,
DOADO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED
,
DOADO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED
,
DOADO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED
,
DOADO(7) => douta(7),
DOADO(6) => douta(6),
DOADO(5) => douta(5),
DOADO(4) => douta(4),
DOADO(3) => douta(3),
DOADO(2) => douta(2),
DOADO(1) => douta(1),
DOADO(0) => douta(0),
DOBDO(31) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED
,
DOBDO(30) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED
,
DOBDO(29) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED
,
DOBDO(28) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED
,
DOBDO(27) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED
,
DOBDO(26) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED
,
DOBDO(25) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED
,
DOBDO(24) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED
,
DOBDO(23) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED
,
DOBDO(22) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED
,
DOBDO(21) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED
,
DOBDO(20) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED
,
DOBDO(19) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED
,
DOBDO(18) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED
,
DOBDO(17) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED
,
DOBDO(16) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED
,
DOBDO(15) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED
,
DOBDO(14) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED
,
DOBDO(13) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED
,
DOBDO(12) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED
,
DOBDO(11) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED
,
DOBDO(10) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED
,
DOBDO(9) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED
,
DOBDO(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED
,
DOBDO(7) => doutb(7),
DOBDO(6) => doutb(6),
DOBDO(5) => doutb(5),
DOBDO(4) => doutb(4),
DOBDO(3) => doutb(3),
DOBDO(2) => doutb(2),
DOBDO(1) => doutb(1),
DOBDO(0) => doutb(0),
DOPADOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED
,
DOPADOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED
,
DOPADOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED
,
DOPADOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED
,
DOPBDOP(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED
,
DOPBDOP(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED
,
DOPBDOP(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED
,
DOPBDOP(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED
,
ECCPARITY(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED
,
ECCPARITY(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED
,
ECCPARITY(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED
,
ECCPARITY(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED
,
ECCPARITY(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED
,
ECCPARITY(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED
,
ECCPARITY(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED
,
ECCPARITY(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED
,
RDADDRECC(8) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED
,
RDADDRECC(7) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED
,
RDADDRECC(6) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED
,
RDADDRECC(5) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED
,
RDADDRECC(4) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED
,
RDADDRECC(3) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED
,
RDADDRECC(2) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED
,
RDADDRECC(1) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED
,
RDADDRECC(0) =>
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_NO_BMM_INFO_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED
,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7) => N1,
WEBWE(6) => N1,
WEBWE(5) => N1,
WEBWE(4) => N1,
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
end STRUCTURE;
-- synthesis translate_on
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:43:34 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_17x16/mult_17x16_sim_netlist.vhdl
-- Design : mult_17x16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
HEwf3cpHrfxxCzYi4DIsRMQg19ftJOTVDCEaSnsD4XRA9ftUqxy2rv2AVVrChR2eoPzu5OeGOQS2
XBqs+3qvvOE8m13m8G5J9UQYGHGj5c0dHNQSTuAaaR5hUFd5PxtOVvoA/2lpV3Prk0ftlU+KvevE
jhn+9GUErxmPFfv5aEJHC0qUxPC9hVl8NA5/yTmKYckPWTSw80lDaus8XqCGpIupM0D+rYJhzse4
Ryt4V01sMWn0k+wgFj57UTocN/hcHJznQprpEXQ8oX1EFnT5jCBYyVdCB8Z4Fpi1fQAosxWU7MAF
FfxRzd9RUIFnSBZNmXc9ns/Hhit/UokWJk8c4Q==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
lC8pU0ydwLPr4wrr0arpTy3T/4jBYm9GsnCfwKefHo14jlW2pH8ydTTPvfpxwdLOT7TL+KSFHL3T
Duwla1FImWrK/f20n6WkAPPuTnt+LWwQCPN9jtWs+LI9gWIWiU9sV5PjaqwbHXCW9n2pzG9ExAN3
98OHtxV3uR0MeMYc6pV+iBcTmHxnx+/AI+9EfugsrmdVSmwJT+KoX8wUwq+Uobm9v4naPOdhb+sb
MCa9vBvez/EVHF/NGvG8fAtexsMZavoBkRXwgg63Pcxrf7gAZUFsC0Xy/CwMeOdY7SxmDHH5NSsT
fOOIxbN6ReiqTH405hbUDOcFPHUctUgHSHuuaA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 460000)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mult_17x16_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 16 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 24 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of mult_17x16_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of mult_17x16_mult_gen_v12_0_12 : entity is 17;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of mult_17x16_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of mult_17x16_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of mult_17x16_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of mult_17x16_mult_gen_v12_0_12 : entity is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of mult_17x16_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of mult_17x16_mult_gen_v12_0_12 : entity is 32;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of mult_17x16_mult_gen_v12_0_12 : entity is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of mult_17x16_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of mult_17x16_mult_gen_v12_0_12 : entity is "kintexu";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of mult_17x16_mult_gen_v12_0_12 : entity is "mult_gen_v12_0_12";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mult_17x16_mult_gen_v12_0_12 : entity is "yes";
end mult_17x16_mult_gen_v12_0_12;
architecture STRUCTURE of mult_17x16_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 17;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 4;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 32;
attribute C_OUT_LOW of i_mult : label is 8;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.mult_17x16_mult_gen_v12_0_12_viv
port map (
A(16 downto 0) => A(16 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(24 downto 0) => P(24 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mult_17x16 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 16 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 24 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of mult_17x16 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of mult_17x16 : entity is "mult_17x16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mult_17x16 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of mult_17x16 : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end mult_17x16;
architecture STRUCTURE of mult_17x16 is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 17;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 4;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 32;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.mult_17x16_mult_gen_v12_0_12
port map (
A(16 downto 0) => A(16 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(24 downto 0) => P(24 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
|
--------------------------------------------------------------------------------
-- Copyright (c) 2015 David Banks
--
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : BusMonCore.vhd
-- /___/ /\ Timestamp : 30/05/2015
-- \ \ / \
-- \___\/\___\
--
--Design Name: AtomBusMon
--Device: XC3S250E
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.OhoPack.all ;
entity BusMonCore is
generic (
num_comparators : integer := 8;
reg_width : integer := 46;
fifo_width : integer := 72;
avr_data_mem_size : integer := 1024 * 2; -- 2K is the mimimum
avr_prog_mem_size : integer := 1024 * 8 -- Default is 8K, 6809 amd Z80 need 9K
);
port (
clock_avr : in std_logic;
busmon_clk : in std_logic;
busmon_clken : in std_logic;
cpu_clk : in std_logic;
cpu_clken : in std_logic;
-- CPU Signals
Addr : in std_logic_vector(15 downto 0);
Data : in std_logic_vector(7 downto 0);
Rd_n : in std_logic;
Wr_n : in std_logic;
RdIO_n : in std_logic;
WrIO_n : in std_logic;
Sync : in std_logic;
Rdy : out std_logic;
nRSTin : in std_logic;
nRSTout : out std_logic;
CountCycle : in std_logic;
-- CPU Registers
-- unused in pure bus monitor mode
Regs : in std_logic_vector(255 downto 0);
-- CPI Specific data
PdcData : in std_logic_vector(7 downto 0) := x"00";
-- CPU Memory Read/Write
-- unused in pure bus monitor mode
RdMemOut : out std_logic;
WrMemOut : out std_logic;
RdIOOut : out std_logic;
WrIOOut : out std_logic;
ExecOut : out std_logic;
AddrOut : out std_logic_vector(15 downto 0);
DataOut : out std_logic_vector(7 downto 0);
DataIn : in std_logic_vector(7 downto 0);
Done : in std_logic;
-- Special outputs (function is CPU specific)
Special : out std_logic_vector(2 downto 0);
-- Single Step interface
SS_Single : out std_logic;
SS_Step : out std_logic;
-- External trigger inputs
trig : in std_logic_vector(1 downto 0);
-- AVR Serial Port
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- Switches
sw_reset_cpu : in std_logic;
sw_reset_avr : in std_logic;
-- LEDs
led_bkpt : out std_logic;
led_trig0 : out std_logic;
led_trig1 : out std_logic;
-- OHO_DY1 connected to test connector
tmosi : out std_logic;
tdin : out std_logic;
tcclk : out std_logic
);
end BusMonCore;
architecture behavioral of BusMonCore is
signal cpu_reset_n : std_logic;
signal nrst_avr : std_logic;
signal nrst1 : std_logic;
signal nrst2 : std_logic;
signal nrst3 : std_logic;
-- debounce time is 2^17 / 16MHz = 8.192ms
signal nrst_counter : unsigned(17 downto 0);
signal dy_counter : std_logic_vector(31 downto 0);
signal dy_data : y2d_type ;
signal mux : std_logic_vector(7 downto 0);
signal muxsel : std_logic_vector(5 downto 0);
signal cmd_edge : std_logic;
signal cmd_edge1 : std_logic;
signal cmd_edge2 : std_logic;
signal cmd_ack : std_logic;
signal cmd_ack1 : std_logic;
signal cmd_ack2 : std_logic;
signal cmd : std_logic_vector(5 downto 0);
signal addr_sync : std_logic_vector(15 downto 0);
signal addr_inst : std_logic_vector(15 downto 0);
signal Addr1 : std_logic_vector(15 downto 0);
signal Data1 : std_logic_vector(7 downto 0);
signal ext_clk : std_logic;
signal timer0Count : std_logic_vector(23 downto 0);
signal timer1Count : std_logic_vector(23 downto 0);
signal cycleCount : std_logic_vector(23 downto 0);
signal instrCount : std_logic_vector(23 downto 0);
signal single : std_logic;
signal reset : std_logic;
signal step : std_logic;
signal bw_status : std_logic_vector(3 downto 0);
signal bw_status1 : std_logic_vector(3 downto 0);
signal auto_inc : std_logic;
signal brkpt_reg : std_logic_vector(num_comparators * reg_width - 1 downto 0);
signal brkpt_enable : std_logic;
signal brkpt_active : std_logic;
signal brkpt_active1 : std_logic;
signal watch_active : std_logic;
signal fifo_din : std_logic_vector(fifo_width - 1 downto 0);
signal fifo_dout : std_logic_vector(fifo_width - 1 downto 0);
signal fifo_empty : std_logic;
signal fifo_full : std_logic;
signal fifo_not_empty1 : std_logic;
signal fifo_not_empty2 : std_logic;
signal fifo_rd : std_logic;
signal fifo_rd_en : std_logic;
signal fifo_wr : std_logic;
signal fifo_wr_en : std_logic;
signal fifo_rst : std_logic;
signal memory_rd : std_logic;
signal memory_wr : std_logic;
signal io_rd : std_logic;
signal io_wr : std_logic;
signal exec : std_logic;
signal addr_dout_reg : std_logic_vector(23 downto 0);
signal din_reg : std_logic_vector(7 downto 0);
signal Rdy_int : std_logic;
signal unused_d6 : std_logic;
signal unused_d7 : std_logic;
signal last_done : std_logic;
signal cmd_done : std_logic;
signal reset_counter : std_logic_vector(9 downto 0);
signal dropped_counter : std_logic_vector(3 downto 0);
signal timer_mode : std_logic_vector(1 downto 0);
begin
inst_oho_dy1 : entity work.Oho_Dy1 port map (
dy_clock => clock_avr,
dy_rst_n => '1',
dy_data => dy_data,
dy_update => '1',
dy_frame => open,
dy_frameend => open,
dy_frameend_c => open,
dy_pwm => "1010",
dy_counter => dy_counter,
dy_sclk => tdin,
dy_ser => tcclk,
dy_rclk => tmosi
);
Inst_AVR8: entity work.AVR8
generic map(
CDATAMEMSIZE => avr_data_mem_size,
CPROGMEMSIZE => avr_prog_mem_size
)
port map(
clk16M => clock_avr,
nrst => nrst_avr,
portain => PdcData,
portaout => open,
-- Command Port
portbin(0) => '0',
portbin(1) => '0',
portbin(2) => '0',
portbin(3) => '0',
portbin(4) => '0',
portbin(5) => '0',
portbin(6) => '0',
portbin(7) => '0',
portbout(0) => cmd(0),
portbout(1) => cmd(1),
portbout(2) => cmd(2),
portbout(3) => cmd(3),
portbout(4) => cmd(4),
portbout(5) => cmd(5),
portbout(6) => cmd_edge,
portbout(7) => open,
-- Status Port
portdin(0) => '0',
portdin(1) => '0',
portdin(2) => '0',
portdin(3) => '0',
portdin(4) => '0',
portdin(5) => '0',
portdin(6) => cmd_ack2,
portdin(7) => fifo_not_empty2,
portdout(0) => muxsel(0),
portdout(1) => muxsel(1),
portdout(2) => muxsel(2),
portdout(3) => muxsel(3),
portdout(4) => muxsel(4),
portdout(5) => muxsel(5),
portdout(6) => unused_d6,
portdout(7) => unused_d7,
-- Mux Port
portein => mux,
porteout => open,
spi_mosio => open,
spi_scko => open,
spi_misoi => '0',
rxd => avr_RxD,
txd => avr_TxD
);
-- Syncronise signals crossing busmon_clk / clock_avr boundary
process (clock_avr)
begin
if rising_edge(clock_avr) then
fifo_not_empty1 <= not fifo_empty;
fifo_not_empty2 <= fifo_not_empty1;
cmd_ack1 <= cmd_ack;
cmd_ack2 <= cmd_ack1;
end if;
end process;
WatchEvents_inst : entity work.WatchEvents port map(
clk => busmon_clk,
srst => fifo_rst,
din => fifo_din,
wr_en => fifo_wr_en,
rd_en => fifo_rd_en,
dout => fifo_dout,
full => fifo_full,
empty => fifo_empty
);
fifo_wr_en <= fifo_wr and busmon_clken;
fifo_rd_en <= fifo_rd and busmon_clken;
-- The fifo is writen the cycle after the break point
-- Addr1 is the address bus delayed by 1 cycle
-- DataWr1 is the data being written delayed by 1 cycle
-- DataRd is the data being read, that is already one cycle late
-- bw_state1(1) is 1 for writes, and 0 for reads
fifo_din <= instrCount & dropped_counter & bw_status1 & Data1 & Addr1 & addr_inst;
-- Implement a 4-bit saturating counter of the number of dropped events
process (busmon_clk)
begin
if rising_edge(busmon_clk) then
if busmon_clken = '1' then
if fifo_rst = '1' then
dropped_counter <= x"0";
elsif fifo_wr_en = '1' then
if fifo_full = '1' then
if dropped_counter /= x"F" then
dropped_counter <= dropped_counter + 1;
end if;
else
dropped_counter <= x"0";
end if;
end if;
end if;
end if;
end process;
led_trig0 <= trig(0);
led_trig1 <= trig(1);
led_bkpt <= brkpt_active;
nrst_avr <= not sw_reset_avr;
-- OHO DY1 Display for Testing
dy_data(0) <= hex & "0000" & Addr(3 downto 0);
dy_data(1) <= hex & "0000" & Addr(7 downto 4);
dy_data(2) <= hex & "0000" & "00" & sw_reset_avr & sw_reset_cpu;
mux <= addr_inst(7 downto 0) when muxsel = 0 else
addr_inst(15 downto 8) when muxsel = 1 else
din_reg when muxsel = 2 else
instrCount(23 downto 16) when muxsel = 3 else
instrCount(7 downto 0) when muxsel = 4 else
instrCount(15 downto 8) when muxsel = 5 else
fifo_dout(7 downto 0) when muxsel = 6 else
fifo_dout(15 downto 8) when muxsel = 7 else
fifo_dout(23 downto 16) when muxsel = 8 else
fifo_dout(31 downto 24) when muxsel = 9 else
fifo_dout(39 downto 32) when muxsel = 10 else
fifo_dout(47 downto 40) when muxsel = 11 else
fifo_dout(55 downto 48) when muxsel = 12 else
fifo_dout(63 downto 56) when muxsel = 13 else
fifo_dout(71 downto 64) when muxsel = 14 else
Regs(8 * to_integer(unsigned(muxsel(4 downto 0))) + 7 downto 8 * to_integer(unsigned(muxsel(4 downto 0))));
-- Combinatorial set of comparators to decode breakpoint/watch addresses
brkpt_active_process: process (brkpt_reg, brkpt_enable, Addr, Sync, Rd_n, Wr_n, RdIO_n, WrIO_n, trig)
variable i : integer;
variable reg_addr : std_logic_vector(15 downto 0);
variable reg_mask : std_logic_vector(15 downto 0);
variable reg_mode_bmr : std_logic;
variable reg_mode_bmw : std_logic;
variable reg_mode_bir : std_logic;
variable reg_mode_biw : std_logic;
variable reg_mode_bx : std_logic;
variable reg_mode_wmr : std_logic;
variable reg_mode_wmw : std_logic;
variable reg_mode_wir : std_logic;
variable reg_mode_wiw : std_logic;
variable reg_mode_wx : std_logic;
variable reg_mode_all : std_logic_vector(9 downto 0);
variable bactive : std_logic;
variable wactive : std_logic;
variable status : std_logic_vector(3 downto 0);
variable trigval : std_logic;
begin
bactive := '0';
wactive := '0';
status := (others => '0');
if (brkpt_enable = '1') then
for i in 0 to num_comparators - 1 loop
reg_addr := brkpt_reg(i * reg_width + 15 downto i * reg_width);
reg_mask := brkpt_reg(i * reg_width + 31 downto i * reg_width + 16);
reg_mode_bmr := brkpt_reg(i * reg_width + 32);
reg_mode_wmr := brkpt_reg(i * reg_width + 33);
reg_mode_bmw := brkpt_reg(i * reg_width + 34);
reg_mode_wmw := brkpt_reg(i * reg_width + 35);
reg_mode_bir := brkpt_reg(i * reg_width + 36);
reg_mode_wir := brkpt_reg(i * reg_width + 37);
reg_mode_biw := brkpt_reg(i * reg_width + 38);
reg_mode_wiw := brkpt_reg(i * reg_width + 39);
reg_mode_bx := brkpt_reg(i * reg_width + 40);
reg_mode_wx := brkpt_reg(i * reg_width + 41);
reg_mode_all := brkpt_reg(i * reg_width + 41 downto i * reg_width + 32);
trigval := brkpt_reg(i * reg_width + 42 + to_integer(unsigned(trig)));
if (trigval = '1' and ((Addr and reg_mask) = reg_addr or (reg_mode_all = "0000000000"))) then
if (Sync = '1') then
if (reg_mode_bx = '1') then
bactive := '1';
status := "1000";
elsif (reg_mode_wx = '1') then
wactive := '1';
status := "1001";
end if;
elsif (Rd_n = '0') then
if (reg_mode_bmr = '1') then
bactive := '1';
status := "0000";
elsif (reg_mode_wmr = '1') then
wactive := '1';
status := "0001";
end if;
elsif (Wr_n = '0') then
if (reg_mode_bmw = '1') then
bactive := '1';
status := "0010";
elsif (reg_mode_wmw = '1') then
wactive := '1';
status := "0011";
end if;
elsif (RdIO_n = '0') then
if (reg_mode_bir = '1') then
bactive := '1';
status := "0100";
elsif (reg_mode_wir = '1') then
wactive := '1';
status := "0101";
end if;
elsif (WrIO_n = '0') then
if (reg_mode_biw = '1') then
bactive := '1';
status := "0110";
elsif (reg_mode_wiw = '1') then
wactive := '1';
status := "0111";
end if;
end if;
end if;
end loop;
end if;
watch_active <= wactive;
brkpt_active <= bactive;
bw_status <= status;
end process;
-- CPU Control Commands
-- 00000x Enable/Disable single stepping
-- 00001x Enable/Disable breakpoints / watches
-- 00010x Load breakpoint / watch register
-- 00011x Reset CPU
-- 001000 Singe Step CPU
-- 001001 Read FIFO
-- 001010 Reset FIFO
-- 001011 Unused
-- 00110x Load address/data register
-- 00111x Unused
-- 010000 Read Memory
-- 010001 Read Memory and Auto Inc Address
-- 010010 Write Memory
-- 010011 Write Memory and Auto Inc Address
-- 010100 Read IO
-- 010101 Read IO and Auto Inc Address
-- 010110 Write IO
-- 010111 Write IO and Auto Inc Address
-- 011000 Execute 6502 instruction
-- 0111xx Unused
-- 011x1x Unused
-- 011xx1 Unused
-- 100xxx Special
-- 1010xx Timer Mode
-- 00 - count cpu cycles where clken = 1 and CountCycle = 1
-- 01 - count cpu cycles where clken = 1 (ignoring CountCycle)
-- 10 - free running timer, using busmon_clk as the source
-- 11 - free running timer, using trig0 as the source
-- Use trig0 to drive a free running counter for absolute timings
ext_clk <= trig(0);
timer1Process: process (ext_clk)
begin
if rising_edge(ext_clk) then
timer1Count <= timer1Count + 1;
end if;
end process;
cpuProcess: process (busmon_clk)
begin
if rising_edge(busmon_clk) then
timer0Count <= timer0Count + 1;
if busmon_clken = '1' then
-- Cycle counter
if (cpu_reset_n = '0') then
cycleCount <= (others => '0');
elsif (CountCycle = '1' or timer_mode(0) = '1') then
cycleCount <= cycleCount + 1;
end if;
-- Command processing
cmd_edge1 <= cmd_edge;
cmd_edge2 <= cmd_edge1;
fifo_rd <= '0';
fifo_wr <= '0';
fifo_rst <= '0';
memory_rd <= '0';
memory_wr <= '0';
io_rd <= '0';
io_wr <= '0';
exec <= '0';
SS_Step <= '0';
if (cmd_edge2 /= cmd_edge1) then
if (cmd(5 downto 1) = "00000") then
single <= cmd(0);
end if;
if (cmd(5 downto 1) = "00001") then
brkpt_enable <= cmd(0);
end if;
if (cmd(5 downto 1) = "00010") then
brkpt_reg <= cmd(0) & brkpt_reg(brkpt_reg'length - 1 downto 1);
end if;
if (cmd(5 downto 1) = "00110") then
addr_dout_reg <= cmd(0) & addr_dout_reg(addr_dout_reg'length - 1 downto 1);
end if;
if (cmd(5 downto 1) = "00011") then
reset <= cmd(0);
end if;
if (cmd(5 downto 0) = "01001") then
fifo_rd <= '1';
end if;
if (cmd(5 downto 0) = "01010") then
fifo_rst <= '1';
end if;
if (cmd(5 downto 1) = "01000") then
memory_rd <= '1';
auto_inc <= cmd(0);
end if;
if (cmd(5 downto 1) = "01001") then
memory_wr <= '1';
auto_inc <= cmd(0);
end if;
if (cmd(5 downto 1) = "01010") then
io_rd <= '1';
auto_inc <= cmd(0);
end if;
if (cmd(5 downto 1) = "01011") then
io_wr <= '1';
auto_inc <= cmd(0);
end if;
if (cmd(5 downto 0) = "011000") then
exec <= '1';
end if;
if (cmd(5 downto 3) = "100") then
Special <= cmd(2 downto 0);
end if;
if (cmd(5 downto 2) = "1010") then
timer_mode <= cmd(1 downto 0);
end if;
-- Acknowlege certain commands immediately
if cmd(5 downto 4) /= "01" then
cmd_ack <= not cmd_ack;
end if;
end if;
if cmd_done = '1' then
-- Acknowlege memory access commands when thet complete
cmd_ack <= not cmd_ack;
-- Auto increment the memory address reg the cycle after a rd/wr
if auto_inc = '1' then
addr_dout_reg(23 downto 8) <= addr_dout_reg(23 downto 8) + 1;
end if;
end if;
-- Single Stepping
if (brkpt_active = '1') then
single <= '1';
end if;
if ((single = '0') or (cmd_edge2 /= cmd_edge1 and cmd = "001000")) then
Rdy_int <= (not brkpt_active);
SS_Step <= (not brkpt_active);
else
Rdy_int <= (not Sync);
end if;
-- Latch instruction address for the whole cycle
if (Sync = '1') then
addr_inst <= Addr;
if timer_mode = "10" then
instrCount <= timer0Count;
elsif timer_mode = "11" then
instrCount <= timer1Count;
else
instrCount <= cycleCount;
end if;
end if;
-- Breakpoints and Watches written to the FIFO
brkpt_active1 <= brkpt_active;
bw_status1 <= bw_status;
if watch_active = '1' or (brkpt_active = '1' and brkpt_active1 = '0') then
fifo_wr <= '1';
Addr1 <= Addr;
end if;
end if;
end if;
end process;
dataProcess: process (cpu_clk)
begin
if rising_edge(cpu_clk) then
if cpu_clken = '1' then
-- Latch the data bus for use in watches
Data1 <= Data;
-- Latch memory read in response to a read command
if (Done = '1') then
din_reg <= DataIn;
end if;
-- Delay the increnting of the address by one cycle
last_done <= Done;
if Done = '1' and last_done = '0' then
cmd_done <= '1';
else
cmd_done <= '0';
end if;
end if;
end if;
end process;
Rdy <= Rdy_int;
RdMemOut <= memory_rd;
WrMemOut <= memory_wr;
RdIOOut <= io_rd;
WrIOOut <= io_wr;
AddrOut <= addr_dout_reg(23 downto 8);
DataOut <= addr_dout_reg(7 downto 0);
SS_Single <= single;
ExecOut <= exec;
-- Reset Logic
-- Generate a short (~1ms @ 1MHz) power up reset pulse
--
-- This is in case FPGA configuration takes longer than
-- the length of the host system reset pulse.
--
-- Some 6502 cores (particularly the AlanD core) needs
-- reset to be asserted to start.
-- Debounce nRSTin using clock_avr as this is always 16MHz
-- nrst1 is the possibly glitchy input
-- nrst2 is the filtered output
process(clock_avr)
begin
if rising_edge(clock_avr) then
-- Syncronise nRSTin
nrst1 <= nRSTin and (not sw_reset_cpu);
-- De-glitch NRST
if nrst1 = '0' then
nrst_counter <= to_unsigned(0, nrst_counter'length);
nrst2 <= '0';
elsif nrst_counter(nrst_counter'high) = '0' then
nrst_counter <= nrst_counter + 1;
else
nrst2 <= '1';
end if;
end if;
end process;
process(cpu_clk)
begin
if rising_edge(cpu_clk) then
if cpu_clken = '1' then
if reset_counter(reset_counter'high) = '0' then
reset_counter <= reset_counter + 1;
end if;
nrst3 <= nrst2 and reset_counter(reset_counter'high) and (not reset);
cpu_reset_n <= nrst3;
end if;
end if;
end process;
nRSTout <= cpu_reset_n;
end behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity tb_and6 is
end tb_and6;
architecture behav of tb_and6 is
signal i0, i1, i2, i3, i4, i5 : std_logic;
signal o : std_logic;
begin
dut : entity work.and6
port map (i0 => i0, i1 => i1, i2 => i2, i3 => i4, i4 => i4,
i5 => i5, o => o);
process
constant v0 : std_logic_vector := b"1011";
constant v1 : std_logic_vector := b"1111";
constant v2 : std_logic_vector := b"1111";
constant v3 : std_logic_vector := b"1111";
constant v4 : std_logic_vector := b"1111";
constant v5 : std_logic_vector := b"1101";
constant ov : std_logic_vector := b"1001";
begin
for i in ov'range loop
i0 <= v0 (i);
i1 <= v1 (i);
i2 <= v2 (i);
i3 <= v3 (i);
i4 <= v4 (i);
i5 <= v5 (i);
wait for 1 ns;
assert o = ov(i) severity failure;
end loop;
wait;
end process;
end behav;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity prova is
port(
entrada : in std_logic_vector (6 downto 0) := "0000000";
display : out std_logic_vector (6 downto 0)
);
end prova;
architecture Behavioral of prova is
signal bcd : std_logic_vector (6 downto 0);
begin
-- BCD.
process (entrada)
begin
if (entrada = "0000000") then -- 0
bcd <= "1111110";
elsif (entrada = "0000001") then -- 1
bcd <= "0110000";
elsif (entrada = "0000010") then -- 2
bcd <= "1101101";
elsif (entrada = "0000100") then -- 3
bcd <= "1111001";
elsif (entrada = "0001000") then -- 4
bcd <= "0110010";
elsif (entrada = "0010000") then -- 5
bcd <= "1011010";
elsif (entrada = "0100000") then -- 6
bcd <= "1011111";
else
bcd <= "1110000";
end if;
end process;
display <= bcd;
end Behavioral;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY principal_tb IS
END principal_tb;
ARCHITECTURE behavior OF principal_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MODULOPRINCIPAL
PORT(
rst : IN std_logic;
CLK : IN std_logic;
ALURESULT : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal rst : std_logic := '0';
signal CLK : std_logic := '0';
--Outputs
signal ALURESULT : std_logic_vector(31 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MODULOPRINCIPAL PORT MAP (
rst => rst,
CLK => CLK,
ALURESULT => ALURESULT
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for 20 ns;
CLK <= '1';
wait for 20 ns;
end process;
-- Stimulus process
stim_proc: process
begin
rst<='1';
wait for 20 ns;
rst<='0';
wait for 700 ns;
rst<='1';
wait;
end process;
END;
|
-- NEED RESULT: ARCH00619: Concurrent proc call 1 passed
-- NEED RESULT: ARCH00619: Concurrent proc call 1 passed
-- NEED RESULT: ARCH00619.P1: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00619.P2: Multi transport transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00619: Concurrent proc call 2 passed
-- NEED RESULT: ARCH00619: Concurrent proc call 2 passed
-- NEED RESULT: ARCH00619: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00619: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00619: One transport transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00619: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: P2: Transport transactions completed entirely passed
-- NEED RESULT: P1: Transport transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00619
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.3 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00619(ARCH00619)
-- ENT00619_Test_Bench(ARCH00619_Test_Bench)
--
-- REVISION HISTORY:
--
-- 24-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00619 is
end ENT00619 ;
--
--
architecture ARCH00619 of ENT00619 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
signal chk_st_arr3_vector : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_arr2_vector_savt : chk_time_type := 0 ns ;
signal s_st_arr3_vector_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ;
signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 3 ;
signal st_arr2_vector_select : select_type := 1 ;
signal st_arr3_vector_select : select_type := 1 ;
--
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
signal s_st_arr3_vector : st_arr3_vector
:= c_st_arr3_vector_1 ;
--
procedure P1
(signal s_st_arr2_vector : in st_arr2_vector ;
signal select_sig : out Select_Type ;
signal savtime : out Chk_Time_Type ;
signal chk_sig : out Chk_Sig_Type ;
signal count : out Integer)
is
variable correct : boolean ;
begin
case s_st_arr2_vector_cnt is
when 0
=> null ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns,
-- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ;
--
when 1
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_2(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"Concurrent proc call 1",
correct ) ;
--
when 2
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_1(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619.P1" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
select_sig <= transport 2 ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns ,
-- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ,
-- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns ,
-- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ;
--
when 3
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_2(lowb)(highb,false) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"Concurrent proc call 2",
correct ) ;
select_sig <= transport 3 ;
-- s_st_arr2_vector(lowb)(highb,false) <= transport
-- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ;
--
when 4
=> correct :=
s_st_arr2_vector(lowb)(highb,false) =
c_st_arr2_vector_1(lowb)(highb,false) and
(s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00619" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00619" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
savtime <= transport Std.Standard.Now ;
chk_sig <= transport s_st_arr2_vector_cnt
after (1 us - Std.Standard.Now) ;
count <= transport s_st_arr2_vector_cnt + 1 ;
--
end ;
--
procedure P2
(signal s_st_arr3_vector : in st_arr3_vector ;
signal select_sig : out Select_Type ;
signal savtime : out Chk_Time_Type ;
signal chk_sig : out Chk_Sig_Type ;
signal count : out Integer)
is
variable correct : boolean ;
begin
case s_st_arr3_vector_cnt is
when 0
=> null ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns,
-- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ;
--
when 1
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_2(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"Concurrent proc call 1",
correct ) ;
--
when 2
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_1(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619.P2" ,
"Multi transport transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
select_sig <= transport 2 ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns ,
-- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ,
-- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns ,
-- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ;
--
when 3
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_2(highb)(lowb,true) and
(s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"Concurrent proc call 2",
correct ) ;
select_sig <= transport 3 ;
-- s_st_arr3_vector(highb)(lowb,true) <= transport
-- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ;
--
when 4
=> correct :=
s_st_arr3_vector(highb)(lowb,true) =
c_st_arr3_vector_1(highb)(lowb,true) and
(s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00619" ,
"One transport transaction occurred on a " &
"concurrent signal asg",
correct ) ;
test_report ( "ARCH00619" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00619" ,
"Old transactions were removed on a " &
"concurrent signal asg",
false ) ;
--
end case ;
--
savtime <= transport Std.Standard.Now ;
chk_sig <= transport s_st_arr3_vector_cnt
after (1 us - Std.Standard.Now) ;
count <= transport s_st_arr3_vector_cnt + 1 ;
--
end ;
--
begin
CHG1 :
P1(
s_st_arr2_vector ,
st_arr2_vector_select ,
s_st_arr2_vector_savt ,
chk_st_arr2_vector ,
s_st_arr2_vector_cnt ) ;
--
PGEN_CHKP_1 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Transport transactions completed entirely",
chk_st_arr2_vector = 4 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
with st_arr2_vector_select select
s_st_arr2_vector(lowb)(highb,false) <= transport
c_st_arr2_vector_2(lowb)(highb,false) after 10 ns,
c_st_arr2_vector_1(lowb)(highb,false) after 20 ns
when 1,
--
c_st_arr2_vector_2(lowb)(highb,false) after 10 ns ,
c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ,
c_st_arr2_vector_2(lowb)(highb,false) after 30 ns ,
c_st_arr2_vector_1(lowb)(highb,false) after 40 ns
when 2,
--
c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3 ;
--
CHG2 :
P2(
s_st_arr3_vector ,
st_arr3_vector_select ,
s_st_arr3_vector_savt ,
chk_st_arr3_vector ,
s_st_arr3_vector_cnt ) ;
--
PGEN_CHKP_2 :
process ( chk_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Transport transactions completed entirely",
chk_st_arr3_vector = 4 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
with st_arr3_vector_select select
s_st_arr3_vector(highb)(lowb,true) <= transport
c_st_arr3_vector_2(highb)(lowb,true) after 10 ns,
c_st_arr3_vector_1(highb)(lowb,true) after 20 ns
when 1,
--
c_st_arr3_vector_2(highb)(lowb,true) after 10 ns ,
c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ,
c_st_arr3_vector_2(highb)(lowb,true) after 30 ns ,
c_st_arr3_vector_1(highb)(lowb,true) after 40 ns
when 2,
--
c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3 ;
--
end ARCH00619 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00619_Test_Bench is
end ENT00619_Test_Bench ;
--
--
architecture ARCH00619_Test_Bench of ENT00619_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00619 ( ARCH00619 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00619_Test_Bench ;
|
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
-- SW8 (GLOBAL RESET) resets LCD
ENTITY LCD_Display IS
-- Enter number of live Hex hardware data values to display
-- (do not count ASCII character constants)
GENERIC(Num_Hex_Digits: Integer:= 8);
-----------------------------------------------------------------------
-- LCD Displays 16 Characters on 2 lines
-- LCD_display string is an ASCII character string entered in hex for
-- the two lines of the LCD Display (See ASCII to hex table below)
-- Edit LCD_Display_String entries above to modify display
-- Enter the ASCII character's 2 hex digit equivalent value
-- (see table below for ASCII hex values)
-- To display character assign ASCII value to LCD_display_string(x)
-- To skip a character use X"20" (ASCII space)
-- To dislay "live" hex values from hardware on LCD use the following:
-- make array element for that character location X"0" & 4-bit field from Hex_Display_Data
-- state machine sees X"0" in high 4-bits & grabs the next lower 4-bits from Hex_Display_Data input
-- and performs 4-bit binary to ASCII conversion needed to print a hex digit
-- Num_Hex_Digits must be set to the count of hex data characters (ie. "00"s) in the display
-- Connect hardware bits to display to Hex_Display_Data input
-- To display less than 32 characters, terminate string with an entry of X"FE"
-- (fewer characters may slightly increase the LCD's data update rate)
-------------------------------------------------------------------
-- ASCII HEX TABLE
-- Hex Low Hex Digit
-- Value 0 1 2 3 4 5 6 7 8 9 A B C D E F
------\----------------------------------------------------------------
--H 2 | SP ! " # $ % & ' ( ) * + , - . /
--i 3 | 0 1 2 3 4 5 6 7 8 9 : ; < = > ?
--g 4 | @ A B C D E F G H I J K L M N O
--h 5 | P Q R S T U V W X Y Z [ \ ] ^ _
-- 6 | ` a b c d e f g h i j k l m n o
-- 7 | p q r s t u v w x y z { | } ~ DEL
-----------------------------------------------------------------------
-- Example "A" is row 4 column 1, so hex value is X"41"
-- *see LCD Controller's Datasheet for other graphics characters available
--
PORT(reset, clk_48Mhz : IN STD_LOGIC;
Hex_Display_Data : IN STD_LOGIC_VECTOR((Num_Hex_Digits*4)-1 DOWNTO 0);
Display_Data : IN STD_LOGIC_VECTOR(((Num_Hex_Digits*4)*4)-1 DOWNTO 0);
LCD_RS, LCD_EN : OUT STD_LOGIC;
LCD_RW : OUT STD_LOGIC;
DATA_BUS : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END ENTITY LCD_Display;
ARCHITECTURE a OF LCD_Display IS
TYPE character_string IS ARRAY ( 0 TO 31 ) OF STD_LOGIC_VECTOR( 7 DOWNTO 0 );
TYPE STATE_TYPE IS (HOLD, FUNC_SET, DISPLAY_ON, MODE_SET, Print_String,
LINE2, RETURN_HOME, DROP_LCD_EN, RESET1, RESET2,
RESET3, DISPLAY_OFF, DISPLAY_CLEAR);
SIGNAL state, next_command: STATE_TYPE;
SIGNAL LCD_display_string : character_string;
-- Enter new ASCII hex data above for LCD Display
SIGNAL DATA_BUS_VALUE, Next_Char: STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL CLK_COUNT_400HZ: STD_LOGIC_VECTOR(19 DOWNTO 0);
SIGNAL CHAR_COUNT: STD_LOGIC_VECTOR(4 DOWNTO 0);
SIGNAL CLK_400HZ_Enable,LCD_RW_INT : STD_LOGIC;
SIGNAL Line1_chars, Line2_chars: STD_LOGIC_VECTOR(127 DOWNTO 0);
BEGIN
LCD_display_string <= (
-- ASCII hex values for LCD Display
-- Enter Live Hex Data Values from hardware here
-- LCD DISPLAYS THE FOLLOWING:
------------------------------
--| Count=XX |
--| Data =XXXXXXXX |
------------------------------
-- Line 1
X"43",X"6F",X"75",X"6E",X"74",X"3D",
X"0" & Hex_Display_Data(7 DOWNTO 4),X"0" & Hex_Display_Data(3 DOWNTO 0),
X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",
-- Line 2
X"44",X"41",X"54",X"41",X"20",X"3D",
X"0" & Display_Data(31 DOWNTO 28),
X"0" & Display_Data(27 DOWNTO 24),
X"0" & Display_Data(23 DOWNTO 20),
X"0" & Display_Data(19 DOWNTO 16),
X"0" & Display_Data(15 DOWNTO 12),
X"0" & Display_Data(11 DOWNTO 8),
X"0" & Display_Data(7 DOWNTO 4),
X"0" & Display_Data(3 DOWNTO 0),
X"20",X"20");
-- BIDIRECTIONAL TRI STATE LCD DATA BUS
DATA_BUS <= DATA_BUS_VALUE WHEN LCD_RW_INT = '0' ELSE "ZZZZZZZZ";
-- get next character in display string
Next_Char <= LCD_display_string(CONV_INTEGER(CHAR_COUNT));
LCD_RW <= LCD_RW_INT;
PROCESS
BEGIN
WAIT UNTIL CLK_48MHZ'EVENT AND CLK_48MHZ = '1';
IF RESET = '0' THEN
CLK_COUNT_400HZ <= X"00000";
CLK_400HZ_Enable <= '0';
ELSE
IF CLK_COUNT_400HZ < X"0EA60" THEN
CLK_COUNT_400HZ <= CLK_COUNT_400HZ + 1;
CLK_400HZ_Enable <= '0';
ELSE
CLK_COUNT_400HZ <= X"00000";
CLK_400HZ_Enable <= '1';
END IF;
END IF;
END PROCESS;
PROCESS (CLK_48MHZ, reset)
BEGIN
IF reset = '0' THEN
state <= RESET1;
DATA_BUS_VALUE <= X"38";
next_command <= RESET2;
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '1';
ELSIF CLK_48MHZ'EVENT AND CLK_48MHZ = '1' THEN
-- State Machine to send commands and data to LCD DISPLAY
IF CLK_400HZ_Enable = '1' THEN
CASE state IS
-- Set Function to 8-bit transfer and 2 line display with 5x8 Font size
-- see Hitachi HD44780 family data sheet for LCD command and timing details
WHEN RESET1 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"38";
state <= DROP_LCD_EN;
next_command <= RESET2;
CHAR_COUNT <= "00000";
WHEN RESET2 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"38";
state <= DROP_LCD_EN;
next_command <= RESET3;
WHEN RESET3 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"38";
state <= DROP_LCD_EN;
next_command <= FUNC_SET;
-- EXTRA STATES ABOVE ARE NEEDED FOR RELIABLE PUSHBUTTON RESET OF LCD
WHEN FUNC_SET =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"38";
state <= DROP_LCD_EN;
next_command <= DISPLAY_OFF;
-- Turn off Display and Turn off cursor
WHEN DISPLAY_OFF =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"08";
state <= DROP_LCD_EN;
next_command <= DISPLAY_CLEAR;
-- Clear Display and Turn off cursor
WHEN DISPLAY_CLEAR =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"01";
state <= DROP_LCD_EN;
next_command <= DISPLAY_ON;
-- Turn on Display and Turn off cursor
WHEN DISPLAY_ON =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"0C";
state <= DROP_LCD_EN;
next_command <= MODE_SET;
-- Set write mode to auto increment address and move cursor to the right
WHEN MODE_SET =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"06";
state <= DROP_LCD_EN;
next_command <= Print_String;
-- Write ASCII hex character in first LCD character location
WHEN Print_String =>
state <= DROP_LCD_EN;
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW_INT <= '0';
-- ASCII character to output
IF Next_Char(7 DOWNTO 4) /= X"0" THEN
DATA_BUS_VALUE <= Next_Char;
ELSE
-- Convert 4-bit value to an ASCII hex digit
IF Next_Char(3 DOWNTO 0) >9 THEN
-- ASCII A...F
DATA_BUS_VALUE <= X"4" & (Next_Char(3 DOWNTO 0)-9);
ELSE
-- ASCII 0...9
DATA_BUS_VALUE <= X"3" & Next_Char(3 DOWNTO 0);
END IF;
END IF;
state <= DROP_LCD_EN;
-- Loop to send out 32 characters to LCD Display (16 by 2 lines)
IF (CHAR_COUNT < 31) AND (Next_Char /= X"FE") THEN
CHAR_COUNT <= CHAR_COUNT +1;
ELSE
CHAR_COUNT <= "00000";
END IF;
-- Jump to second line?
IF CHAR_COUNT = 15 THEN next_command <= line2;
-- Return to first line?
ELSIF (CHAR_COUNT = 31) OR (Next_Char = X"FE") THEN
next_command <= return_home;
ELSE next_command <= Print_String; END IF;
-- Set write address to line 2 character 1
WHEN LINE2 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"C0";
state <= DROP_LCD_EN;
next_command <= Print_String;
-- Return write address to first character postion on line 1
WHEN RETURN_HOME =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW_INT <= '0';
DATA_BUS_VALUE <= X"80";
state <= DROP_LCD_EN;
next_command <= Print_String;
-- The next three states occur at the end of each command or data transfer to the LCD
-- Drop LCD E line - falling edge loads inst/data to LCD controller
WHEN DROP_LCD_EN =>
LCD_EN <= '0';
state <= HOLD;
-- Hold LCD inst/data valid after falling edge of E line
WHEN HOLD =>
state <= next_command;
END CASE;
END IF;
END IF;
END PROCESS;
END a;
|
library ieee;
use ieee.std_logic_1164.all;
entity theunit is
port (dout : out std_ulogic);
end;
architecture rtl of theunit is
subtype thenum_t is integer range 0 to 1;
type rec_t is record
-- NOTE: changing order of these members prevents crash
data0 : std_ulogic;
bankm : std_ulogic_vector(thenum_t);
end record;
signal r : rec_t;
begin
thecomb : process(r)
variable v : rec_t;
variable thenum : thenum_t := 1;
begin
v.data0 := '1';
v.bankm := (others => '1');
-- NOTE: removing any of the lines below prevents crash
v.bankm(thenum) := '0';
r <= v;
dout <= r.data0;
end process;
end;
|
architecture RTL of FIFO is
begin
block_label : block is begin end block BLOCK_LABEL;
BLOCK_LABEL : BLOCK IS BEGIN END BLOCK BLOCK_LABEL;
end architecture RTL;
|
------------------------------------------------------------------------------
-- Special configuration which disconnects the ParamOutReg modules, so that
-- we can drive the values with VHDL'2008 external names in the Reconf.Module
-- wrapper <app>-wrapreconfmodule.vhd.
------------------------------------------------------------------------------
configuration WrapReconfModule_cfg of ADT7410_tb is
for behavior
for DUT : ADT7410
for WrapReconfModule
for MyReconfigLogic_0 : MyReconfigLogic
for struct
for all : ParamOutReg
use entity work.ParamOutReg(rtl)
port map (
Reset_n_i => '0',
Clk_i => '0',
Enable_i => '0',
ParamWrData_i => (others => '0'),
Param_o => open
);
end for;
end for;
end for;
end for;
end for;
end for;
end WrapReconfModule_cfg;
|
library ieee;
use ieee.std_logic_1164.all;
entity divider_2 is
port( data_in: in std_logic_vector(7 downto 0);
data_out: out std_logic_vector( 10 downto 0));
end divider_2;
architecture behavior of divider_2 is
begin
data_out(6 downto 0)<= data_in(7 downto 1);
data_out(10 downto 7)<=(others=>data_in(7));
end behavior; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:15:44 06/26/2017
-- Design Name:
-- Module Name: /media/sf_SistemiEmbedded/workbench/ISE/SE/complex_modulus/tb_complex_abs.vhd
-- Project Name: complex_modulus
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: complex_abs
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_complex_abs IS
END tb_complex_abs;
ARCHITECTURE behavior OF tb_complex_abs IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT complex_abs
GENERIC ( complex_width : natural := 32 );
PORT(
clock : IN std_logic;
reset_n : IN std_logic;
enable : IN std_logic;
complex_value : IN std_logic_vector(complex_width-1 downto 0);
abs_value : OUT std_logic_vector(complex_width-1 downto 0);
done : OUT std_logic
);
END COMPONENT;
constant complex_width : natural := 32;
--Inputs
signal clock : std_logic := '0';
signal reset_n : std_logic := '0';
signal enable : std_logic := '0';
signal complex_value : std_logic_vector(complex_width-1 downto 0) := (others => '0');
--Outputs
signal abs_value : std_logic_vector(complex_width-1 downto 0);
signal done : std_logic;
-- Clock period definitions
constant clock_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: complex_abs PORT MAP (
clock => clock,
reset_n => reset_n,
enable => enable,
complex_value => complex_value,
abs_value => abs_value,
done => done
);
-- Clock process definitions
clock_process :process
begin
clock <= '0';
wait for clock_period/2;
clock <= '1';
wait for clock_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clock_period*10;
-- insert stimulus here
enable <= '1';
wait for 5 ns;
complex_value <= x"00050004"; -- 0x00000029
wait for 5 ns;
reset_n <= '1';
wait until done = '1';
complex_value <= x"00020003"; -- 0x0000000D
wait until done = '1';
complex_value <= x"FFFF0006"; -- 0x00000025
wait until done = '1';
complex_value <= x"00051000"; -- 0x01000019
wait;
end process;
END;
|
entity test is
type t is range 0 to 1.0E+2;
end;
|
library ieee;
use ieee.std_logic_1164.all;
entity exit02 is
port (val : std_logic_vector (3 downto 0);
res : out integer);
end exit02;
architecture behav of exit02 is
function ffs (v : std_logic_vector (3 downto 0)) return natural
is
variable r : natural;
begin
r := 4;
for i in v'reverse_range loop
if v (i) = '1' then
r := i;
exit;
end if;
end loop;
return r;
end ffs;
begin
res <= ffs (val);
end behav;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for INST_AB_e
--
-- Generated
-- by: wig
-- on: Sat Mar 3 17:08:41 2007
-- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../case.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ab_e-e.vhd,v 1.1 2007/03/03 17:32:14 wig Exp $
-- $Date: 2007/03/03 17:32:14 $
-- $Log: inst_ab_e-e.vhd,v $
-- Revision 1.1 2007/03/03 17:32:14 wig
-- Fixed case in UNIX, too for testcase case
--
-- Revision 1.2 2007/03/03 17:24:06 wig
-- Updated testcase for case matches. Added filename serialization.
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity INST_AB_e
--
entity INST_AB_e is
-- Generics:
-- No Generated Generics for Entity INST_AB_e
-- Generated Port Declaration:
-- No Generated Port for Entity INST_AB_e
end INST_AB_e;
--
-- End of Generated Entity INST_AB_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
entity encrypt is
port( data_in: in std_logic_vector(0 to 63);
key: in std_logic_vector(0 to 63);
data_out: out std_logic_vector(0 to 63));
end encrypt;
architecture behavior of encrypt is
component initial_permutation
port( data_in: in std_logic_vector(0 to 63);
permuted_right_half: out std_logic_vector(0 to 31);
permuted_left_half: out std_logic_vector(0 to 31));
end component;
component key_permutation_1
port( key: in std_logic_vector(0 to 63);
permuted_left_key: out std_logic_vector(0 to 27);
permuted_right_key: out std_logic_vector(0 to 27));
end component;
component subkey_production
generic(shifting_parameter: std_logic_vector(0 to 1);
left_or_right: std_logic_vector(0 to 0));
port( left_key_in: in std_logic_vector(0 to 27);
right_key_in: in std_logic_vector(0 to 27);
subkey: out std_logic_vector(0 to 47);
left_key_out: out std_logic_vector(0 to 27);
right_key_out: out std_logic_vector(0 to 27));
end component;
component round
port( left_plain: in std_logic_vector(0 to 31);
right_plain: in std_logic_vector(0 to 31);
subkey: in std_logic_vector(0 to 47);
left_data_out: out std_logic_vector(0 to 31);
right_data_out: out std_logic_vector(0 to 31));
end component;
component swap_left_right_64_bits
port( data_in_left: in std_logic_vector(0 to 31);
data_in_right: in std_logic_vector(0 to 31);
data_out_left: out std_logic_vector(0 to 31);
data_out_right: out std_logic_vector(0 to 31));
end component;
component reverse_initial_permutation
port( permuted_left_half: in std_logic_vector(0 to 31);
permuted_right_half: in std_logic_vector(0 to 31);
data_out: out std_logic_vector(0 to 63));
end component;
signal permuted_right_plain_text: std_logic_vector(0 to 31);
signal permuted_left_plain_text: std_logic_vector(0 to 31);
signal left_key: std_logic_vector(0 to 27);
signal right_key: std_logic_vector(0 to 27);
signal subkey1,subkey2,subkey3,subkey4,subkey5,subkey6,subkey7,subkey8,subkey9,subkey10,subkey11,subkey12,subkey13,subkey14,subkey15,subkey16: std_logic_vector(0 to 47);
signal left_key_1,left_key_2,left_key_3,left_key_4,left_key_5,left_key_6,left_key_7,left_key_8,left_key_9,left_key_10,left_key_11,left_key_12,left_key_13,left_key_14,left_key_15,left_key_16: std_logic_vector(0 to 27);
signal right_key_1,right_key_2,right_key_3,right_key_4,right_key_5,right_key_6,right_key_7,right_key_8,right_key_9,right_key_10,right_key_11,right_key_12,right_key_13,right_key_14,right_key_15,right_key_16: std_logic_vector(0 to 27);
signal left_plain_1,left_plain_2,left_plain_3,left_plain_4,left_plain_5,left_plain_6,left_plain_7,left_plain_8,left_plain_9,left_plain_10,left_plain_11,left_plain_12,left_plain_13,left_plain_14,left_plain_15,left_plain_16: std_logic_vector(0 to 31);
signal right_plain_1,right_plain_2,right_plain_3,right_plain_4,right_plain_5,right_plain_6,right_plain_7,right_plain_8,right_plain_9,right_plain_10,right_plain_11,right_plain_12,right_plain_13,right_plain_14,right_plain_15,right_plain_16: std_logic_vector(0 to 31);
signal swaped_plain_text_left,swaped_plain_text_right: std_logic_vector(0 to 31);
begin
s1: initial_permutation port map(
data_in=>data_in,
permuted_right_half=>permuted_right_plain_text,
permuted_left_half=>permuted_left_plain_text);
s2: key_permutation_1 port map(
key=>key,
permuted_left_key=>left_key,
permuted_right_key=>right_key);
s3: subkey_production generic map(
shifting_parameter=>"01",
left_or_right=>"0")
port map( left_key_in=>left_key,
right_key_in=>right_key,
subkey=>subkey1,
left_key_out=>left_key_1,
right_key_out=>right_key_1);
s4: round port map(
left_plain=>permuted_left_plain_text,
right_plain=>permuted_right_plain_text,
subkey=>subkey1,
left_data_out=>left_plain_1,
right_data_out=>right_plain_1);
s5: subkey_production generic map(
shifting_parameter=>"01",
left_or_right=>"0")
port map( left_key_in=>left_key_1,
right_key_in=>right_key_1,
subkey=>subkey2,
left_key_out=>left_key_2,
right_key_out=>right_key_2);
s6: round port map(
left_plain=>left_plain_1,
right_plain=>right_plain_1,
subkey=>subkey2,
left_data_out=>left_plain_2,
right_data_out=>right_plain_2);
s7: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_2,
right_key_in=>right_key_2,
subkey=>subkey3,
left_key_out=>left_key_3,
right_key_out=>right_key_3);
s8: round port map(
left_plain=>left_plain_2,
right_plain=>right_plain_2,
subkey=>subkey3,
left_data_out=>left_plain_3,
right_data_out=>right_plain_3);
s9: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_3,
right_key_in=>right_key_3,
subkey=>subkey4,
left_key_out=>left_key_4,
right_key_out=>right_key_4);
s10: round port map(
left_plain=>left_plain_3,
right_plain=>right_plain_3,
subkey=>subkey4,
left_data_out=>left_plain_4,
right_data_out=>right_plain_4);
s11: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_4,
right_key_in=>right_key_4,
subkey=>subkey5,
left_key_out=>left_key_5,
right_key_out=>right_key_5);
s12: round port map(
left_plain=>left_plain_4,
right_plain=>right_plain_4,
subkey=>subkey5,
left_data_out=>left_plain_5,
right_data_out=>right_plain_5);
s13: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_5,
right_key_in=>right_key_5,
subkey=>subkey6,
left_key_out=>left_key_6,
right_key_out=>right_key_6);
s14: round port map(
left_plain=>left_plain_5,
right_plain=>right_plain_5,
subkey=>subkey6,
left_data_out=>left_plain_6,
right_data_out=>right_plain_6);
s15: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_6,
right_key_in=>right_key_6,
subkey=>subkey7,
left_key_out=>left_key_7,
right_key_out=>right_key_7);
s16: round port map(
left_plain=>left_plain_6,
right_plain=>right_plain_6,
subkey=>subkey7,
left_data_out=>left_plain_7,
right_data_out=>right_plain_7);
s17: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_7,
right_key_in=>right_key_7,
subkey=>subkey8,
left_key_out=>left_key_8,
right_key_out=>right_key_8);
s18: round port map(
left_plain=>left_plain_7,
right_plain=>right_plain_7,
subkey=>subkey8,
left_data_out=>left_plain_8,
right_data_out=>right_plain_8);
s19: subkey_production generic map(
shifting_parameter=>"01",
left_or_right=>"0")
port map( left_key_in=>left_key_8,
right_key_in=>right_key_8,
subkey=>subkey9,
left_key_out=>left_key_9,
right_key_out=>right_key_9);
s20: round port map(
left_plain=>left_plain_8,
right_plain=>right_plain_8,
subkey=>subkey9,
left_data_out=>left_plain_9,
right_data_out=>right_plain_9);
s21: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_9,
right_key_in=>right_key_9,
subkey=>subkey10,
left_key_out=>left_key_10,
right_key_out=>right_key_10);
s22: round port map(
left_plain=>left_plain_9,
right_plain=>right_plain_9,
subkey=>subkey10,
left_data_out=>left_plain_10,
right_data_out=>right_plain_10);
s23: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_10,
right_key_in=>right_key_10,
subkey=>subkey11,
left_key_out=>left_key_11,
right_key_out=>right_key_11);
s24: round port map(
left_plain=>left_plain_10,
right_plain=>right_plain_10,
subkey=>subkey11,
left_data_out=>left_plain_11,
right_data_out=>right_plain_11);
s25: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_11,
right_key_in=>right_key_11,
subkey=>subkey12,
left_key_out=>left_key_12,
right_key_out=>right_key_12);
s26: round port map(
left_plain=>left_plain_11,
right_plain=>right_plain_11,
subkey=>subkey12,
left_data_out=>left_plain_12,
right_data_out=>right_plain_12);
s27: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_12,
right_key_in=>right_key_12,
subkey=>subkey13,
left_key_out=>left_key_13,
right_key_out=>right_key_13);
s28: round port map(
left_plain=>left_plain_12,
right_plain=>right_plain_12,
subkey=>subkey13,
left_data_out=>left_plain_13,
right_data_out=>right_plain_13);
s29: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_13,
right_key_in=>right_key_13,
subkey=>subkey14,
left_key_out=>left_key_14,
right_key_out=>right_key_14);
s30: round port map(
left_plain=>left_plain_13,
right_plain=>right_plain_13,
subkey=>subkey14,
left_data_out=>left_plain_14,
right_data_out=>right_plain_14);
s31: subkey_production generic map(
shifting_parameter=>"10",
left_or_right=>"0")
port map( left_key_in=>left_key_14,
right_key_in=>right_key_14,
subkey=>subkey15,
left_key_out=>left_key_15,
right_key_out=>right_key_15);
s32: round port map(
left_plain=>left_plain_14,
right_plain=>right_plain_14,
subkey=>subkey15,
left_data_out=>left_plain_15,
right_data_out=>right_plain_15);
s33: subkey_production generic map(
shifting_parameter=>"01",
left_or_right=>"0")
port map( left_key_in=>left_key_15,
right_key_in=>right_key_15,
subkey=>subkey16,
left_key_out=>left_key_16,
right_key_out=>right_key_16);
s34: round port map(
left_plain=>left_plain_15,
right_plain=>right_plain_15,
subkey=>subkey16,
left_data_out=>left_plain_16,
right_data_out=>right_plain_16);
s35: swap_left_right_64_bits port map(
data_in_left=>left_plain_16,
data_in_right=>right_plain_16,
data_out_left=>swaped_plain_text_left,
data_out_right=>swaped_plain_text_right);
s36: reverse_initial_permutation port map(
permuted_left_half=>swaped_plain_text_left,
permuted_right_half=>swaped_plain_text_right,
data_out=>data_out);
end;
|
-------------------------------------------------------------------------------
-- $Id: mux_onehot_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- mux_onehot_f - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot_f.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines.
--
-- Please refer to the entity interface while reading the
-- remainder of this description.
--
-- If n is the index of the single select line of S(0 to C_NB-1)
-- that is asserted, then
--
-- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1)
--
-- That is, Y selects the nth group of C_DW consecutive
-- bits of D.
--
-- Note that C_NB = 1 is handled as a special case in which
-- Y <= D, without regard to the select line, S.
--
-- The Implementation depends on the C_FAMILY parameter.
-- If the target family supports the needed primitives,
-- a carry-chain structure will be implemented. Otherwise,
-- an implementation dependent on synthesis inferral will
-- be generated.
--
-------------------------------------------------------------------------------
-- Structure:
-- mux_onehot_f
-- family_support
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 11/30/05 -- First version derived from mux_onehot.vhd
-- -- by BLT and ALS.
--
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Generic and Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics and Ports
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- input D -- input data bus
-- input S -- input select bus
-- output Y -- output bus
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else
-- (Bus1Data0, Bus1Data1) if S(1)=1 else
-- (Bus2Data0, Bus2Data1) if S(2)=1 else
-- (Bus3Data0, Bus3Data1) if S(3)=1
--
-- Only one bit of S should be asserted at a time.
--
-------------------------------------------------------------------------------
library proc_common_v4_0;
use proc_common_v4_0.family_support.all; -- 'supported' function, etc.
--
entity mux_onehot_f is
generic( C_DW: integer := 32;
C_NB: integer := 5;
C_FAMILY : string := "virtexe");
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture imp of mux_onehot_f is
constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY,
no_lut_return_val => 2*C_NB);
function lut_val(D, S : std_logic_vector) return std_logic is
variable rn : std_logic := '0';
begin
for i in D'range loop
rn := rn or (S(i) and D(i));
end loop;
return not rn;
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-----------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*C_NB-1);
signal sel: std_logic_vector(0 to C_DW*C_NB-1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Reorder data buses
WA_GEN : if C_DW > 0 generate -- XST WA
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
end generate;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
GEN: if C_NB > 1 generate
constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut
-- size divided by two.signals per bus.
constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL;
begin
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout : std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '0';
NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate
constant BTL : positive := min(BPL, C_NB - j*BPL);
-- Number of Buses This Lut (for last LUT this may be less than BPL)
begin
lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1),
S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1)
);
MUXCY_GEN : if NUMLUTS > 1 generate
MUXCY_I : component MUXCY
port map (CI=>cyout(j),
DI=> '1',
S=>lutout(j),
O=>cyout(j+1));
end generate;
end generate;
Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one
-- LUT, then take value from
-- lutout rather than cyout.
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
end imp;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.